uniqId begin end IUPredL PFams desc length nPFam nPapers nSoluble nTMH organism sequenceId soluble source subseq BOMP
P38398 111 1643 0.619353424657535 PF12820.7:BRCT_assoc:235:397 Breast cancer type 1 susceptibility protein; RING finger protein 53; RING-type E3 ubiquitin transferase BRCA1; EC 2.3.2.27 1863 163 2566 1533 0 Homo sapiens (Human) SwissProt::P38398 1 SwissProt ENNSPEHLKDEVSIIQSMGYRNRAKRLLQSEPENPSLQETSLSVQLSNLGTVRTLRTKQRIQPQKTSVYIELGSDSSEDTVNKATYCSVGDQELLQITPQGTRDEISLDSAKKAACEFSETDVTNTEHHQPSNNDLNTTEKRAAERHPEKYQGSSVSNLHVEPCGTNTHASSLQHENSSLLLTKDRMNVEKAEFCNKSKQPGLARSQHNRWAGSKETCNDRRTPSTEKKVDLNADPLCERKEWNKQKLPCSENPRDTEDVPWITLNSSIQKVNEWFSRSDELLGSDDSHDGESESNAKVADVLDVLNEVDEYSGSSEKIDLLASDPHEALICKSERVHSKSVESNIEDKIFGKTYRKKASLPNLSHVTENLIIGAFVTEPQIIQERPLTNKLKRKRRPTSGLHPEDFIKKADLAVQKTPEMINQGTNQTEQNGQVMNITNSGHENKTKGDSIQNEKNPNPIESLEKESAFKTKAEPISSSISNMELELNIHNSKAPKKNRLRRKSSTRHIHALELVVSRNLSPPNCTELQIDSCSSSEEIKKKKYNQMPVRHSRNLQLMEGKEPATGAKKSNKPNEQTSKRHDSDTFPELKLTNAPGSFTKCSNTSELKEFVNPSLPREEKEEKLETVKVSNNAEDPKDLMLSGERVLQTERSVESSSISLVPGTDYGTQESISLLEVSTLGKAKTEPNKCVSQCAAFENPKGLIHGCSKDNRNDTEGFKYPLGHEVNHSRETSIEMEESELDAQYLQNTFKVSKRQSFAPFSNPGNAEEECATFSAHSGSLKKQSPKVTFECEQKEENQGKNESNIKPVQTVNITAGFPVVGQKDKPVDNAKCSIKGGSRFCLSSQFRGNETGLITPNKHGLLQNPYRIPPLFPIKSFVKTKCKKNLLEENFEEHSMSPEREMGNENIPSTVSTISRNNIRENVFKEASSSNINEVGSSTNEVGSSINEIGSSDENIQAELGRNRGPKLNAMLRLGVLQPEVYKQSLPGSNCKHPEIKKQEYEEVVQTVNTDFSPYLISDNLEQPMGSSHASQVCSETPDDLLDDGEIKEDTSFAENDIKESSAVFSKSVQKGELSRSPSPFTHTHLAQGYRRGAKKLESSEENLSSEDEELPCFQHLLFGKVNNIPSQSTRHSTVATECLSKNTEENLLSLKNSLNDCSNQVILAKASQEHHLSEETKCSASLFSSQCSELEDLTANTNTQDPFLIGSSKQMRHQSESQGVGLSDKELVSDDEERGTGLEENNQEEQSMDSNLGEAASGCESETSVSEDCSGLSSQSDILTTQQRDTMQHNLIKLQQEMAELEAVLEQHGSQPSNSYPSIISDSSALEDLRNPEQSTSEKAVLTSQKSSEYPISQNPEGLSADKFEVSADSSTSKNKEPGVERSSPSKCPSLDDRWYMHSCSGSLQNRNYPSQEELIKVVDVEEQQLEESGPHDLTETSYLPRQDLEGTPYLESGISLFSDDPESDPSEDRAPESARVGNIPSSTSALKVPQLKVAESAQSPAAAHTTDTAGYNAMEESVSREKPELTAST
XP_014970085.1 1 136 0.336575 brain-derived neurotrophic factor isoform X2 255 0 1953 116 1 Macaca mulatta XP_014970085.1 1 RefSeq MFHQVRRVMTILFLTMVISYFGCMKAAPMKEANIRGQGGLAYPGVRTHGTLESVNGPKAGSRGLTSLADTFEHVIEELLDEDQKVRPNEENNKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRR
NP_001264919.1 39 103 0.369181538461538 stromal cell-derived factor 1 isoform 5 precursor 103 0 1149 65 0 Homo sapiens NP_001264919.1 1 RefSeq YCTCLIRVSFHGATPLTQGSWVLYSLSCAGGETGLREPGPMVSPRVESHQEGRLGVPGPVNLGKA
NP_001300832.1 1 361 0.502857617728532 nuclear factor erythroid 2-related factor 2 isoform 5 532 0 1090 361 0 Homo sapiens NP_001300832.1 1 RefSeq MMDLELPPPGLPSQQDMDLIDILWRQDIDLGVAHIPKSDALYFDDCMQLLAQTFPFVDDNEVSSATFQSLVPDIPGHIESPVFIATNQAQSPETSVAQVAPVDLDGMQQDIEQVWEELLSIPELQCLNIENDKLVETTMVPSPEAKLTEVDNYHFYSSIPSMEKEVGNCSPHFLNAFEDSFSSILSTEDPNQLTVNSLNSDATVNTDFGDEFYSAFIAEPSISNSMPSPATLSHSLSELLNGPIDVSDLSLCKAFNQNHPESTAEFNDSDSGISLNTSPSVASPEHSVESSSYGDTLLGLSDSEVEELDSAPGSVKQNGPKTPVHSSGDMVQPLSPSQGQSTHVHDAQCENTPEKELPVSP
P16070 179 742 0.705427659574468 CD44 antigen; CDw44; Epican; Extracellular matrix receptor III; ECMR-III; GP90 lymphocyte homing/adhesion receptor; HUTCH-I; Heparan sulfate proteoglycan; Hermes antigen; Hyaluronate receptor; Phagocytic glycoprotein 1; PGP-1; Phagocytic glycoprotein I; PGP-I; CD44 antigen 742 0 1061 541 1 Homo sapiens (Human) SwissProt::P16070 1 SwissProt SSGSSSERSSTSGGYIFYTFSTVHPIPDEDSPWITDSTDRIPATTLMSTSATATETATKRQETWDWFSWLFLPSESKNHLHTTTQMAGTSSNTISAGWEPNEENEDERDRHLSFSGSGIDDDEDFISSTISTTPRAFDHTKQNQDWTQWNPSHSNPEVLLQTTTRMTDVDRNGTTAYEGNWNPEAHPPLIHHEHHEEEETPHSTSTIQATPSSTTEETATQKEQWFGNRWHEGYRQTPKEDSHSTTGTAAASAHTSHPMQGRTTPSPEDSSWTDFFNPISHPMGRGHQAGRRMDMDSSHSITLQPTANPNTGLVEDLDRTGPLSMTTQQSNSQSFSTSHEGLEEDKDHPTTSTLTSSNRNDVTGGRRDPNHSEGSTTLLEGYTSHYPHTKESRTFIPVTSAKTGSFGVTAVTVGDSNSNVNRSLSGDQDTFHPSGGSHTTHGSESDGHSHGSQEGGANTTSGPIRTPQIPEWLIILASLLALALILAVCIAVNSRRRCGQKKKLVINSGNGAVEDRKPSGLNGEASKSQEMVHLVNKESSETPDQFMTADETRNLQNVDMKIGV
NP_002512.1 1 102 0.511144117647059 Natriuretic peptides B; Gamma-brain natriuretic peptide 134 0 971 102 0 Homo sapiens (Human) SwissProt::P16860 1 SwissProt MDPQTAPSRALLLLLFLHLAFLGGRSHPLGSPGSASDLETSGLQEQRNHLQGKLSELQVEQTSLEPLQESPRPTGVWKSREVATEGIRGHRKMVLYTLRAPR
NP_001238759.1 1 327 0.687248929663608 PF00865.18:Osteopontin:43:327 osteopontin isoform 5 327 285 904 327 0 Homo sapiens NP_001238759.1 1 RefSeq MGIVPRSLDKKAHRVQFQLNRIKAKIELPWGSLQLDCLMKTLKELYNKYPDAVATWLNPDPSQKQNLLAPQNAVSSEETNDFKQETLPSKSNESHDHMDDMDDEDDDDHVDSQDSIDSNDSDDVDDTDDSHQSDESHHSDESDELVTDFPTDLPATEVFTPVVPTVDTYDGRGDSVVYGLRSKSKKFRRPDIQYPDATDEDITSHMESEELNGAYKAIPVAQDLNAPSDWDSRGKDSYETSQLDDQSAETHSHKQSRLYKRKANDESNEHSDVIDSQELSKVSREFHSHEFHSHEDMLVVDPKSKEEDKHLKFRISHELDSASSEVN
NP_001020428.2 1 196 0.676541326530612 vascular endothelial growth factor A isoform 3 324 0 884 196 0 Mus musculus NP_001020428.2 1 RefSeq MTDRQTDTAPSPSAHLLAGGLPTVDAAASREEPKPAPGGGVEGVGARGIARKLFVQLLGSSRSVVAVVCAAGDKPIGAGRSASSGLEKPGPEKRGEEEKEEERGPQWALGSQEPSSWTGEAAVCADSAPAARAPQAPARASVPEGRGARQGAQESGLPRSPSRRGSASRAGPGRASETMNFLLSWVHWTLALLLYL
Q60795 52 426 0.551649066666667 Nuclear factor erythroid 2-related factor 2; NF-E2-related factor 2; NFE2-related factor 2; Nuclear factor, erythroid derived 2, like 2 597 0 869 375 0 Mus musculus (Mouse) SwissProt::Q60795 1 SwissProt KKLEKERQEQLQKEQEKAFFAQFQLDEETGEFLPIQPAQHIQTDTSGSASYSQVAHIPKQDALYFEDCMQLLAETFPFVDDHESLALDIPSHAESSVFTAPHQAQSLNSSLEAAMTDLSSIEQDMEQVWQELFSIPELQCLNTENKQLADTTAVPSPEATLTEMDSNYHFYSSISSLEKEVGNCGPHFLHGFEDSFSSILSTDDASQLTSLDSNPTLNTDFGDEFYSAFIAEPSDGGSMPSSAAISQSLSELLDGTIEGCDLSLCKAFNPKHAEGTMEFNDSDSGISLNTSPSRASPEHSVESSIYGDPPPGFSDSEMEELDSAPGSVKQNGPKAQPAHSPGDTVQPLSPAQGHSAPMRESQCENTTKKEVPVSP
NP_000167.1 1 407 0.548716953316953 PF02155.15:GCR:26:401 Glucocorticoid receptor; GR; Nuclear receptor subfamily 3 group C member 1 777 376 836 407 0 Homo sapiens (Human) SwissProt::P04150 1 SwissProt MDSKESLTPGREENPSSVLAQERGDVMDFYKTLRGGATVKVSASSPSLAVASQSDSKQRRLLVDFPKGSVSNAQQPDLSKAVSLSMGLYMGETETKVMGNDLGFPQQGQISLSSGETDLKLLEESIANLNRSTSVPENPKSSASTAVSAAPTEKEFPKTHSDVSSEQQHLKGQTGTNGGNVKLYTTDQSTFDILQDLEFSSGSPGKETNESPWRSDLLIDENCLLSPLAGEDDSFLLEGNSNEDCKPLILPDTKPKIKDNGDLVLSSPSNVTLPQVKTEKEDFIELCTPGVIKQEKLGTVYCQASFPGANIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQQQDQKPIFNVIPPIPVGSENWNRCQGSGDDNLTSLGTLNFPGRTVFSNGYSSPSMRPDVSSPPS
P08047 1 606 0.593619636963695 Transcription factor Sp1 785 0 804 606 0 Homo sapiens (Human) SwissProt::P08047 1 SwissProt MSDQDHSMDEMTAVVKIEKGVGGNNGGNGNGGGAFSQARSSSTGSSSSTGGGGQESQPSPLALLAATCSRIESPNENSNNSQGPSQSGGTGELDLTATQLSQGANGWQIISSSSGATPTSKEQSGSSTNGSNGSESSKNRTVSGGQYVVAAAPNLQNQQVLTGLPGVMPNIQYQVIPQFQTVDGQQLQFAATGAQVQQDGSGQIQIIPGANQQIITNRGSGGNIIAAMPNLLQQAVPLQGLANNVLSGQTQYVTNVPVALNGNITLLPVNSVSAATLTPSSQAVTISSSGSQESGSQPVTSGTTISSASLVSSQASSSSFFTNANSYSTTTTTSNMGIMNFTTSGSSGTNSQGQTPQRVSGLQGSDALNIQQNQTSGGSLQAGQQKEGEQNQQTQQQQILIQPQLVQGGQALQALQAAPLSGQTFTTQAISQETLQNLQLQAVPNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQAQTITLAPMQGVSLGQTSSSNTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGTSGIQVHPIQGLPLAIANAPGDHGAQLGLHGAGGDGIHDDTAGGEEGENSPDAQPQAGRRTRREACTC
NP_036645.2 1 138 0.35735652173913 brain-derived neurotrophic factor isoform 2 preproprotein 257 0 784 138 0 Rattus norvegicus NP_036645.2 1 RefSeq MFHQVRRVMTILFLTMVISYFGCMKAAPMKEANVHGQGNLAYPAVRTHGTLESVNGPRAGSRGLTTTSLADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRR
NP_002219.1 1 248 0.593385887096774 PF03957.13:Jun:5:241 Transcription factor AP-1; Activator protein 1; AP1; Proto-oncogene c-Jun; V-jun avian sarcoma virus 17 oncogene homolog; p39 331 237 770 248 0 Homo sapiens (Human) SwissProt::P05412 1 SwissProt MTAKMETTFYDDALNASFLPSESGPYGYSNPKILKQSMTLNLADPVGSLKPHLRAKNSDLLTSPDVGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAELHSQNTLPSVTSAAQPVNGAGMVAPAVASVAGGSGSGGFSASLHSEPPVYANLSNFNPGALSSGGGAPSYGAAGLAFPAQPQQQQQPPHHLPQQMPVQHPRLQALKEEPQTVPEMPGETPPLSPIDME
Q03135 1 178 0.118811797752809 PF01146.17:Caveolin:43:174 Caveolin-1 178 132 769 155 1 Homo sapiens (Human) SwissProt::Q03135 1 SwissProt MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMADELSEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSALFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTVCDPLFEAVGKIFSNVRINLQKEI
NP_001177871.1 1 101 0.141137623762376 tumor necrosis factor ligand superfamily member 10 isoform 2 101 0 766 78 1 Homo sapiens NP_001177871.1 1 RefSeq MAMMEVQGGPSLGQTCVLIVIFTVLLQSLCVAVTYVYFTNELKQMQDKYSKSGIACFLKEDDSYWDPNDEESMNSPCWQVKWQLRQLVRKTPRMKRLWAAK
P05305 76 212 0.518005839416058 Endothelin-1; Preproendothelin-1; PPET1 212 0 755 137 0 Homo sapiens (Human) SwissProt::P05305 1 SwissProt TPEHVVPYGLGSPRSKRALENLLPTKATDRENRCQCASQKDKKCWNFCQAGKELRAEDIMEKDWNNHKKGKDCSKLGKKCIYQQLVRGRKIRRSSEEHLRQTRSETMRNSVKSSFHDPKLKGKPSRERYVTHNRAHW
Q62226 199 437 0.262879916317992 PF01079.20:Hint:1:224 Sonic hedgehog protein; SHH; HHG-1; Shh unprocessed N-terminal signaling and C-terminal autoprocessing domains; ShhNC 437 224 685 239 0 Mus musculus (Mouse) SwissProt::Q62226 1 SwissProt CFPGSATVHLEQGGTKLVKDLRPGDRVLAADDQGRLLYSDFLTFLDRDEGAKKVFYVIETLEPRERLLLTAAHLLFVAPHNDSGPTPGPSALFASRVRPGQRVYVVAERGGDRRLLPAAVHSVTLREEEAGAYAPLTAHGTILINRVLASCYAVIEEHSWAHRAFAPFRLAHALLAALAPARTDGGGGGSIPAAQSATEARGAEPTAGIHWYSQLLYHIGTWLLDSETMHPLGMAVKSS
NP_001139438.1 187 2896 0.73274273062731 PF08065.12:KI67R:455:566,PF08065.12:KI67R:577:688,PF08065.12:KI67R:699:810,PF08065.12:KI67R:821:931,PF08065.12:KI67R:942:1051,PF08065.12:KI67R:1063:1174,PF08065.12:KI67R:1185:1296,PF08065.12:KI67R:1308:1418,PF08065.12:KI67R:1429:1540,PF08065.12:KI67R:1551:1658,PF08065.12:KI67R:1669:1780,PF08065.12:KI67R:1790:1901,PF08065.12:KI67R:1912:2023,PF08065.12:KI67R:2034:2142,PF08065.12:KI67R:2154:2259,PF08065.12:KI67R:2273:2382 proliferation marker protein Ki-67 isoform 2 2896 1773 672 2710 0 Homo sapiens NP_001139438.1 1 RefSeq LKKIIKEQPQPSGKQESGSEIHVEVKAQSLVISPPAPSPRKTPVASDQRRRSCKTAPASSSKSQTEVPKRGGRKSGNLPSKRVSISRSQHDILQMICSKRRSGASEANLIVAKSWADVVKLGAKQTQTKVIKHGPQRSMNKRQRRPATPKKPVGEVHSQFSTGHANSPCTIIIGKAHTEKVHVPARPYRVLNNFISNQKMDFKEDLSGIAEMFKTPVKEQPQLTSTCHIAISNSENLLGKQFQGTDSGEEPLLPTSESFGGNVFFSAQNAAKQPSDKCSASPPLRRQCIRENGNVAKTPRNTYKMTSLETKTSDTETEPSKTVSTANRSGRSTEFRNIQKLPVESKSEETNTEIVECILKRGQKATLLQQRREGEMKEIERPFETYKENIELKENDEKMKAMKRSRTWGQKCAPMSDLTDLKSLPDTELMKDTARGQNLLQTQDHAKAPKSEKGKITKMPCQSLQPEPINTPTHTKQQLKASLGKVGVKEELLAVGKFTRTSGETTHTHREPAGDGKSIRTFKESPKQILDPAARVTGMKKWPRTPKEEAQSLEDLAGFKELFQTPGPSEESMTDEKTTKIACKSPPPESVDTPTSTKQWPKRSLRKADVEEEFLALRKLTPSAGKAMLTPKPAGGDEKDIKAFMGTPVQKLDLAGTLPGSKRQLQTPKEKAQALEDLAGFKELFQTPGHTEELVAAGKTTKIPCDSPQSDPVDTPTSTKQRPKRSIRKADVEGELLACRNLMPSAGKAMHTPKPSVGEEKDIIIFVGTPVQKLDLTENLTGSKRRPQTPKEEAQALEDLTGFKELFQTPGHTEEAVAAGKTTKMPCESSPPESADTPTSTRRQPKTPLEKRDVQKELSALKKLTQTSGETTHTDKVPGGEDKSINAFRETAKQKLDPAASVTGSKRHPKTKEKAQPLEDLAGLKELFQTPVCTDKPTTHEKTTKIACRSQPDPVDTPTSSKPQSKRSLRKVDVEEEFFALRKRTPSAGKAMHTPKPAVSGEKNIYAFMGTPVQKLDLTENLTGSKRRLQTPKEKAQALEDLAGFKELFQTRGHTEESMTNDKTAKVACKSSQPDPDKNPASSKRRLKTSLGKVGVKEELLAVGKLTQTSGETTHTHTEPTGDGKSMKAFMESPKQILDSAASLTGSKRQLRTPKGKSEVPEDLAGFIELFQTPSHTKESMTNEKTTKVSYRASQPDLVDTPTSSKPQPKRSLRKADTEEEFLAFRKQTPSAGKAMHTPKPAVGEEKDINTFLGTPVQKLDQPGNLPGSNRRLQTRKEKAQALEELTGFRELFQTPCTDNPTTDEKTTKKILCKSPQSDPADTPTNTKQRPKRSLKKADVEEEFLAFRKLTPSAGKAMHTPKAAVGEEKDINTFVGTPVEKLDLLGNLPGSKRRPQTPKEKAKALEDLAGFKELFQTPGHTEESMTDDKITEVSCKSPQPDPVKTPTSSKQRLKISLGKVGVKEEVLPVGKLTQTSGKTTQTHRETAGDGKSIKAFKESAKQMLDPANYGTGMERWPRTPKEEAQSLEDLAGFKELFQTPDHTEESTTDDKTTKIACKSPPPESMDTPTSTRRRPKTPLGKRDIVEELSALKQLTQTTHTDKVPGDEDKGINVFRETAKQKLDPAASVTGSKRQPRTPKGKAQPLEDLAGLKELFQTPICTDKPTTHEKTTKIACRSPQPDPVGTPTIFKPQSKRSLRKADVEEESLALRKRTPSVGKAMDTPKPAGGDEKDMKAFMGTPVQKLDLPGNLPGSKRWPQTPKEKAQALEDLAGFKELFQTPGTDKPTTDEKTTKIACKSPQPDPVDTPASTKQRPKRNLRKADVEEEFLALRKRTPSAGKAMDTPKPAVSDEKNINTFVETPVQKLDLLGNLPGSKRQPQTPKEKAEALEDLVGFKELFQTPGHTEESMTDDKITEVSCKSPQPESFKTSRSSKQRLKIPLVKVDMKEEPLAVSKLTRTSGETTQTHTEPTGDSKSIKAFKESPKQILDPAASVTGSRRQLRTRKEKARALEDLVDFKELFSAPGHTEESMTIDKNTKIPCKSPPPELTDTATSTKRCPKTRPRKEVKEELSAVERLTQTSGQSTHTHKEPASGDEGIKVLKQRAKKKPNPVEEEPSRRRPRAPKEKAQPLEDLAGFTELSETSGHTQESLTAGKATKIPCESPPLEVVDTTASTKRHLRTRVQKVQVKEEPSAVKFTQTSGETTDADKEPAGEDKGIKALKESAKQTPAPAASVTGSRRRPRAPRESAQAIEDLAGFKDPAAGHTEESMTDDKTTKIPCKSSPELEDTATSSKRRPRTRAQKVEVKEELLAVGKLTQTSGETTHTDKEPVGEGKGTKAFKQPAKRKLDAEDVIGSRRQPRAPKEKAQPLEDLASFQELSQTPGHTEELANGAADSFTSAPKQTPDSGKPLKISRRVLRAPKVEPVGDVVSTRDPVKSQSKSNTSLPPLPFKRGGGKDGSVTGTKRLRCMPAPEEIVEELPASKKQRVAPRARGKSSEPVVIMKRSLRTSAKRIEPAEELNSNDMKTNKEEHKLQDSVPENKGISLRSRRQNKTEAEQQITEVFVLAERIEINRNEKKPMKTSPEMDIQNPDDGARKPIPRDKVTENKRCLRSARQNESSQPKVAEESGGQKSAKVLMQNQKGKGEAGNSDSMCLRSRKTKSQPAASTLESKSVQRVTRSVKRCAENPKKAEDNVCVKKIRTRSHRDSEDI
NP_000917.3 1 513 0.652880311890838 PF02161.15:Prog_receptor:1:513 Progesterone receptor; PR; Nuclear receptor subfamily 3 group C member 3 933 513 665 513 0 Homo sapiens (Human) SwissProt::P06401 1 SwissProt MTELKAKGPRAPHVAGGPPSPEVGSPLLCRPAAGPFPGSQTSDTLPEVSAIPISLDGLLFPRPCQGQDPSDEKTQDQQSLSDVEGAYSRAEATRGAGGSSSSPPEKDSGLLDSVLDTLLAPSGPGQSQPSPPACEVTSSWCLFGPELPEDPPAAPATQRVLSPLMSRSGCKVGDSSGTAAAHKVLPRGLSPARQLLLPASESPHWSGAPVKPSPQAAAVEVEEEDGSESEESAGPLLKGKPRALGGAAAGGGAAAVPPGAAAGGVALVPKEDSRFSAPRVALVEQDAPMAPGRSPLATTVMDFIHVPILPLNHALLAARTRQLLEDESYDGGAGAASAFAPPRSSPCASSTPVAVGDFPDCAYPPDAEPKDDAYPLYSDFQPPALKIKEEEEGAEASARSPRSYLVAGANPAAFPDFPLGPPPPLPPRATPSRPGEAAVTAAPASASVSSASSSGSTLECILYKAEGAPPQQGPFAPPPCKAPGASGCLLPRDGLPSTSASAAAAGAAPALYP
O00300 198 401 0.214096568627451 PF00531.22:Death:82:167 Tumor necrosis factor receptor superfamily member 11B; Osteoclastogenesis inhibitory factor; Osteoprotegerin 401 86 659 204 0 Homo sapiens (Human) SwissProt::O00300 1 SwissProt DVTLCEEAFFRFAVPTKFTPNWLSVLVDNLPGTKVNAESVERIKRQHSSQEQTFQLLKLWKHQNKDQDIVKKIIQDIDLCENSVQRHIGHANLTFEQLRSLMESLPGKKVGAEDIEKTIKACKPSDQILKLLSLWRIKNGDQDTLKGLMHALKHSKTYHFPKTVTQSLKKTIRFLHSFTMYKLYQKLFLEMIGNQVQSVKISCL
P22309 1 284 0.0685073943661972 PF00201.18:UDPGT:28:283 UDP-glucuronosyltransferase 1-1; UDPGT 1-1; UGT1*1; UGT1-01; UGT1.1; Bilirubin-specific UDPGT isozyme 1; hUG-BR1; UDP-glucuronosyltransferase 1-A; UGT-1A; UGT1A; UDP-glucuronosyltransferase 1A1; EC 2.4.1.17 533 256 659 261 1 Homo sapiens (Human) SwissProt::P22309 1 SwissProt MAVESQGGRPLVLGLLLCVLGPVVSHAGKILLIPVDGSHWLSMLGAIQQLQQRGHEIVVLAPDASLYIRDGAFYTLKTYPVPFQREDVKESFVSLGHNVFENDSFLQRVIKTYKKIKKDSAMLLSGCSHLLHNKELMASLAESSFDVMLTDPFLPCSPIVAQYLSLPTVFFLHALPCSLEFEATQCPNPFSYVPRPLSSHSDHMTFLQRVKNMLIAFSQNFLCDVVYSPYATLASEFLQREVTVQDLLSSASVWLFRSDFVKDYPRPIMPNMVFVGGINCLHQN
NP_004295.2 1 1057 0.303333491012299 PF00629.23:MAM:266:425,PF00629.23:MAM:480:634,PF12810.7:Gly_rich:731:961 ALK tyrosine kinase receptor; Anaplastic lymphoma kinase; CD246 antigen; EC 2.7.10.1 1620 546 607 1034 1 Homo sapiens (Human) SwissProt::Q9UM73 1 SwissProt MGAIGLLWLLPLLLSTAAVGSGMGTGQRAGSPAAGPPLQPREPLSYSRLQRKSLAVDFVVPSLFRVYARDLLLPPSSSELKAGRPEARGSLALDCAPLLRLLGPAPGVSWTAGSPAPAEARTLSRVLKGGSVRKLRRAKQLVLELGEEAILEGCVGPPGEAAVGLLQFNLSELFSWWIRQGEGRLRIRLMPEKKASEVGREGRLSAAIRASQPRLLFQIFGTGHSSLESPTNMPSPSPDYFTWNLTWIMKDSFPFLSHRSRYGLECSFDFPCELEYSPPLHDLRNQSWSWRRIPSEEASQMDLLDGPGAERSKEMPRGSFLLLNTSADSKHTILSPWMRSSSEHCTLAVSVHRHLQPSGRYIAQLLPHNEAAREILLMPTPGKHGWTVLQGRIGRPDNPFRVALEYISSGNRSLSAVDFFALKNCSEGTSPGSKMALQSSFTCWNGTVLQLGQACDFHQDCAQGEDESQMCRKLPVGFYCNFEDGFCGWTQGTLSPHTPQWQVRTLKDARFQDHQDHALLLSTTDVPASESATVTSATFPAPIKSSPCELRMSWLIRGVLRGNVSLVLVENKTGKEQGRMVWHVAAYEGLSLWQWMVLPLLDVSDRFWLQMVAWWGQGSRAIVAFDNISISLDCYLTISGEDKILQNTAPKSRNLFERNPNKELKPGENSPRQTPIFDPTVHWLFTTCGASGPHGPTQAQCNNAYQNSNLSVEVGSEGPLKGIQIWKVPATDTYSISGYGAAGGKGGKNTMMRSHGVSVLGIFNLEKDDMLYILVGQQGEDACPSTNQLIQKVCIGENNVIEEEIRVNRSVHEWAGGGGGGGGATYVFKMKDGVPVPLIIAAGGGGRAYGAKTDTFHPERLENNSSVLGLNGNSGAAGGGGGWNDNTSLLWAGKSLQEGATGGHSCPQAMKKWGWETRGGFGGGGGGCSSGGGGGGYIGGNAASNNDPEMDGEDGVSFISPLGILYTPALKVMEGHGEVNIKHYLNCSHCEVDECHMDPESHKVICFCDHGTVLAEDGVSCIVSPTPEPHLPLSLILSVVTSALVAALVLAFSGIMI
NP_031566.4 1 138 0.356469565217391 brain-derived neurotrophic factor isoform 1 precursor 257 0 607 138 0 Mus musculus NP_031566.4 1 RefSeq MFHQVRRVMTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTSLADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRR
NP_114024.2 1 197 0.6825730964467 vascular endothelial growth factor A isoform 1 393 0 542 197 0 Rattus norvegicus NP_114024.2 1 RefSeq MTDRQTDTAPSPSAHLLAGGQPTVDAAASREQEPKPAPGGGVEGVGARGIARKLFVQLLGSSLSGVAVVCAAGGKPIGAGRSASSGLEKPGPEKRGEKEKEEERGPQWALGSREPGSWTGEAAVCADSAPAARAPQAPARASVPEGRGARQGAQESGLPRSPSRRGSASRAGPGRASETMNFLLSWVHWTLALLLYL
NP_002006.2 273 655 0.644481201044387 PF16675.5:FOXO_KIX_bdg:151:232,PF16676.5:FOXO-TAD:323:361 Forkhead box protein O1; Forkhead box protein O1A; Forkhead in rhabdomyosarcoma 655 121 531 383 0 Homo sapiens (Human) SwissProt::Q12778 1 SwissProt KKASLQSGQEGAGDSPGSQFSKWPASPGSHSNDDFDNWSTFRPRTSSNASTISGRLSPIMTEQDDLGEGDVHSMVYPPSAAKMASTLPSLSEISNPENMENLLDNLNLLSSPTSLTVSTQSSPGTMMQQTPCYSFAPPNTSLNSPSPNYQKYTYGQSSMSPLPQMPIQTLQDNKSSYGGMSQYNCAPGLLKELLTSDSPPHNDIMTPVDPGVAQPNSRVLGQNVMMGPNSVMSTYGSQASHNKMMNPSSHTHPGHAQQTSAVNGRPLPHTVSTMPHTSGMNRLTQVKTPVQVPLPHPMQMSALGGYSSVSSCNGYGRMGLLHQEKLPSDLDGMFIERLDCDMESIIRNDLMDGDTLDFNFDNVLPNQSFPHSVKTTTHSWVSG
NP_003097.1 130 317 0.545098936170213 PF12336.8:SOXp:1:71 Transcription factor SOX-2 317 71 527 188 0 Homo sapiens (Human) SwissProt::P48431 1 SwissProt GLLAPGGNSMASGVGVGAGLGAGVNQRMDSYAHMNGWSNGSYSMMQDQLGYPQHPGLNAHGAAQMQPMHRYDVSALQYNSMTSSQTYMNGSPTYSMSYSQQGTPGMALGSMGSVVKSEASSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPEPAAPSRLHMSQHYQSGPVPGTAINGTLPLSHM
P35869 381 848 0.48436858974359 Aryl hydrocarbon receptor; Ah receptor; AhR; Class E basic helix-loop-helix protein 76; bHLHe76 848 0 491 468 0 Homo sapiens (Human) SwissProt::P35869 1 SwissProt VTQRPLTDEEGTEHLRKRNTKLPFMFTTGEAVLYEATNPFPAIMDPLPLRTKNGTSGKDSATTSTLSKDSLNPSSLLAAMMQQDESIYLYPASSTSSTAPFENNFFNESMNECRNWQDNTAPMGNDTILKHEQIDQPQDVNSFAGGHPGLFQDSKNSDLYSIMKNLGIDFEDIRHMQNEKFFRNDFSGEVDFRDIDLTDEILTYVQDSLSKSPFIPSDYQQQQSLALNSSCMVQEHLHLEQQQQHHQKQVVVEPQQQLCQKMKHMQVNGMFENWNSNQFVPFNCPQQDPQQYNVFTDLHGISQEFPYKSEMDSMPYTQNFISCNQPVLPQHSKCTELDYPMGSFEPSPYPTTSSLEDFVTCLQLPENQKHGLNPQSAIITPQTCYAGAVSMYQCQPEPQHTHVGQMQYNPVLPGQQAFLNKFQNGVLNETYPAELNNINNTQTTTHLQPLHHPSEARPFPDLTSSGFL
XP_005248253.1 1 825 0.151904484848485 PF05478.11:Prominin:20:811 prominin-1 isoform X7 825 792 490 710 5 Homo sapiens XP_005248253.1 1 RefSeq MALVLGSLLLLGLCGNSFSGGQPSSTDAPKAWNYELPATNYETQDSHKAGPIGILFELVHIFLYVVQPRDFPEDTLRKFLQKAYESKIDYDKIVYYEAGIILCCVLGLLFIILMPLVGYFFCMCRCCNKCGGEMHQRQKENGPFLRKCFAISLLVICIIISIGIFYGFVANHQVRTRIKRSRKLADSNFKDLRTLLNETPEQIKYILAQYNTTKDKAFTDLNSINSVLGGGILDRLRPNIIPVLDEIKSMATAIKETKEALENMNSTLKSLHQQSTQLSSSLTSVKTSLRSSLNDPLCLVHPSSETCNSIRLSLSQLNSNPELRQLPPVDAELDNVNNVLRTDLDGLVQQGYQSLNDIPDRVQRQTTTVVAGIKRVLNSIGSDIDNVTQRLPIQDILSAFSVYVNNTESYIHRNLPTLEEYDSYWWLGGLVICSLLTLIVIFYYLGLLCGVCGYDRHATPTTRGCVSNTGGVFLMVGVGLSFLFCWILMIIVVLTFVFGANVEKLICEPYTSKELFRVLDTPYLLNEDWEYYLSGKLFNKSKMKLTFEQVYSDCKKNRGTYGTLHLQNSFNISEHLNINEHTGSISSELESLKVNLNIFLLGAAGRKNLQDFAACGIDRMNYDSYLAQTGKSPAGVNLLSFAYDLEAKANSLPPGNLRNSLKRDAQTIKTIHQQRVLPIEQSLSTLYQSVKILQRTGNGLLERVTRILASLDFAQNFITNNTSSVIIEETKKYGRTIIGYFEHYLQWIEFSISEKVASCKPVATALDTAVDVFLCSYIIDPLNLFWFGIGKATVFLLPALIFAVKLAKYYRRMDSEDVYDDPSQH
NP_001892.1 1 197 0.0896868020304568 PF00093.18:VWC:103:166,PF00219.18:IGFBP:29:82 connective tissue growth factor 349 118 486 197 0 Homo sapiens NP_001892.1 1 RefSeq MTAASMGPVRVAFVVLLALCSRPAVGQNCSGPCRCPDEPAPRCPAGVSLVLDGCGCCRVCAKQLGELCTERDPCDPHKGLFCDFGSPANRKIGVCTAKDGAPCIFGGTVYRSGESFQSSCKYQCTCLDGAVGCMPLCSMDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKDQTVVGPALAAYRLEDTFGPDPTMIRA
NP_031642.1 1 178 0.125598876404494 PF01146.17:Caveolin:43:174 Caveolin-1 178 132 450 155 1 Mus musculus (Mouse) SwissProt::P49817 1 SwissProt MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMADEVTEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSTIFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTFCDPLFEAIGKIFSNIRISTQKEI
O43542 1 346 0.235726011560694 PF08423.11:Rad51:63:338,PF13481.6:AAA_25:87:256 DNA repair protein XRCC3; X-ray repair cross-complementing protein 3 346 276 443 346 0 Homo sapiens (Human) SwissProt::O43542 1 SwissProt MDLDLLDLNPRIIAAIKKAKLKSVKEVLHFSGPDLKRLTNLSSPEVWHLLRTASLHLRGSSILTALQLHQQKERFPTQHQRLSLGCPVLDALLRGGLPLDGITELAGRSSAGKTQLALQLCLAVQFPRQHGGLEAGAVYICTEDAFPHKRLQQLMAQQPRLRTDVPGELLQKLRFGSQIFIEHVADVDTLLECVNKKVPVLLSRGMARLVVIDSVAAPFRCEFDSQASAPRARHLQSLGATLRELSSAFQSPVLCINQVTEAMEEQGAAHGPLGFWDERVSPALGITWANQLLVRLLADRLREEEAALGCPARTLRVLSAPHLPPSSCSYTISAEGVRGTPGTQSH
NP_062713.2 270 652 0.629235770234987 PF16675.5:FOXO_KIX_bdg:151:232,PF16676.5:FOXO-TAD:323:361 Forkhead box protein O1; Forkhead box protein O1A; Forkhead in rhabdomyosarcoma 652 121 437 383 0 Mus musculus (Mouse) SwissProt::Q9R1E0 1 SwissProt KKASLQSGQEGPGDSPGSQFSKWPASPGSHSNDDFDNWSTFRPRTSSNASTISGRLSPIMTEQDDLGDGDVHSLVYPPSAAKMASTLPSLSEISNPENMENLLDNLNLLSSPTSLTVSTQSSPGSMMQQTPCYSFAPPNTSLNSPSPNYSKYTYGQSSMSPLPQMPMQTLQDSKSSYGGLNQYNCAPGLLKELLTSDSPPHNDIMSPVDPGVAQPNSRVLGQNVMMGPNSVMPAYGSQASHNKMMNPSSHTHPGHAQQTASVNGRTLPHVVNTMPHTSAMNRLTPVKTPLQVPLSHPMQMSALGSYSSVSSCNGYGRMGVLHQEKLPSDLDGMFIERLDCDMESIIRNDLMDGDTLDFNFDNVLPNQSFPHSVKTTTHSWVSG
P10909 1 449 0.321741202672606 PF01093.17:Clusterin:30:447 Clusterin; Aging-associated gene 4 protein; Apolipoprotein J; Apo-J; Complement cytolysis inhibitor; CLI; Complement-associated protein SP-40,40; Ku70-binding protein 1; NA1/NA2; Sulfated glycoprotein 2; SGP-2; Testosterone-repressed prostate message 2; TRPM-2 449 418 431 449 0 Homo sapiens (Human) SwissProt::P10909 1 SwissProt MMKTLLLFVGLLLTWESGQVLGDQTVSDNELQEMSNQGSKYVNKEIQNAVNGVKQIKTLIEKTNEERKTLLSNLEEAKKKKEDALNETRESETKLKELPGVCNETMMALWEECKPCLKQTCMKFYARVCRSGSGLVGRQLEEFLNQSSPFYFWMNGDRIDSLLENDRQQTHMLDVMQDHFSRASSIIDELFQDRFFTREPQDTYHYLPFSLPHRRPHFFFPKSRIVRSLMPFSPYEPLNFHAMFQPFLEMIHEAQQAMDIHFHSPAFQHPPTEFIREGDDDRTVCREIRHNSTGCLRMKDQCDKCREILSVDCSTNNPSQAKLRRELDESLQVAERLTRKYNELLKSYQWKMLNTSSLLEQLNEQFNWVSRLANLTQGEDQYYLRVTTVASHTSDSDVPSGVTEVVVKLFDSDPITVTVPVEVSRKNPKFMETVAEKALQEYRKKHREE
NP_001153471.1 209 624 0.667255528846154 PF02158.15:Neuregulin:43:398 pro-neuregulin-1, membrane-bound isoform isoform HRG-beta1b 624 356 404 393 1 Homo sapiens NP_001153471.1 1 RefSeq YKHLGIEFMEAEELYQKRVLTITGICIALLVVGIMCVVAYCKTKKQRKKLHDRLRQSLRSERNNMMNIANGPHHPNPPPENVQLVNQYVSKNVISSEHIVEREAETSFSTSHYTSTAHHSTTVTQTPSHSWSNGHTESILSESHSVIVMSSVENSRHSSPTGGPRGRLNGTGGPRECNSFLRHARETPDSYRDSPHSERYVSAMTTPARMSPVDFHTPSSPKSPPSEMSPPVSSMTVSMPSMAVSPFMEEERPLLLVTPPRLREKKFDHHPQQFSSFHHNPAHDSNSLPASPLRIVEDEEYETTQEYEPAQEPVKKLANSRRAKRTKPNGHIANRLEVDSNTSSQSSNSESETEDERVGEDTPFLGIQNPLAASLEATPAFRLADSRTNPAGRFSTQEEIQARLSSVIANQDPIAV
NP_005535.1 268 1242 0.738745435897437 Insulin receptor substrate 1; IRS-1 1242 0 403 975 0 Homo sapiens (Human) SwissProt::P35568 1 SwissProt SKSQSSSNCSNPISVPLRRHHLNNPPPSQVGLTRRSRTESITATSPASMVGGKPGSFRVRASSDGEGTMSRPASVDGSPVSPSTNRTHAHRHRGSARLHPPLNHSRSIPMPASRCSPSATSPVSLSSSSTSGHGSTSDCLFPRRSSASVSGSPSDGGFISSDEYGSSPCDFRSSFRSVTPDSLGHTPPARGEEELSNYICMGGKGPSTLTAPNGHYILSRGGNGHRCTPGTGLGTSPALAGDEAASAADLDNRFRKRTHSAGTSPTITHQKTPSQSSVASIEEYTEMMPAYPPGGGSGGRLPGHRHSAFVPTRSYPEEGLEMHPLERRGGHHRPDSSTLHTDDGYMPMSPGVAPVPSGRKGSGDYMPMSPKSVSAPQQIINPIRRHPQRVDPNGYMMMSPSGGCSPDIGGGPSSSSSSSNAVPSGTSYGKLWTNGVGGHHSHVLPHPKPPVESSGGKLLPCTGDYMNMSPVGDSNTSSPSDCYYGPEDPQHKPVLSYYSLPRSFKHTQRPGEPEEGARHQHLRLSTSSGRLLYAATADDSSSSTSSDSLGGGYCGARLEPSLPHPHHQVLQPHLPRKVDTAAQTNSRLARPTRLSLGDPKASTLPRAREQQQQQQPLLHPPEPKSPGEYVNIEFGSDQSGYLSGPVAFHSSPSVRCPSQLQPAPREEETGTEEYMKMDLGPGRRAAWQESTGVEMGRLGPAPPGAASICRPTRAVPSSRGDYMTMQMSCPRQSYVDTSPAAPVSYADMRTGIAAEEVSLPRATMAAASSSSAASASPTGPQGAAELAAHSSLLGGPQGPGGMSAFTRVNLSPNRNQSAKVIRADPQGCRRRHSSETFSSTPSATRVGNTVPFGAGAAVGGGGGSSSSSEDVKRHSSASFENVWLRPGELGGAPKEPAKLCGAAGGLENGLNYIDLDLVKDFKQCPQECTPEPQPPPPPPPHQPLGSGESSSTRRSSEDLSAYASISFQKQPEDRQ
NP_066998.1 1 59 0.228450847457627 Hepcidin; Liver-expressed antimicrobial peptide 1; LEAP-1; Putative liver tumor regressor; PLTR 84 0 400 36 1 Homo sapiens (Human) SwissProt::P81172 0 SwissProt MALSSQIWAACLLLLLLLASLTSGSVFPQQTGQLAELQPQDRAGARASWMPMFQRRRRR
Q6Q788 175 366 0.534920833333333 PF01442.18:Apolipoprotein:1:86,PF01442.18:Apolipoprotein:97:142 Apolipoprotein A-V; Apo-AV; ApoA-V; Apolipoprotein A5; Regeneration-associated protein 3 366 132 395 192 0 Homo sapiens (Human) SwissProt::Q6Q788 1 SwissProt GLQSRVVHHTGRFKELFHPYAESLVSGIGRHVQELHRSVAPHAPASPARLSRCVQVLSRKLTLKAKALHARIQQNLDQLREELSRAFAGTGTEEGAGPDPQMLSEEVRQRLQAFRQDTYLQIAAFTRAIDQETEEVQQQLAPPPPGHSAFAPEFQQTDSGKVLSKLQARLDDLWEDITHSLHDQGHSHLGDP
XP_011540362.1 206 484 0.633022939068101 tumor necrosis factor receptor superfamily member 1B isoform X2 484 0 385 256 1 Homo sapiens XP_011540362.1 1 RefSeq TRSMAPGAVHLPQPVSTRSQHTQPTPEPSTAPSTSFLLPMGPSPPAEGSTGDFALPVGLIVGVTALGLLIIGVVNCVIMTQVKKKPLCLQREAKVPHLPADKARGTQGPEQQHLLITAPSSSSSSLESSASALDRRAPTRNQPQAPGVEASGAGEARASTGSSGLSDCMYRHCLLLSPTPGCGTPADSSPGGHGTQVNVTCIVNVCSSSDHSSQCSSQASSTMGDTDSSPSESPKDEQVPFSKEECAFRSQLETPETLLGSTEEKPLPLGVPDAGMKPS
NP_996560.1 1 92 0.0468304347826087 PF07884.14:VKOR:10:58 vitamin K epoxide reductase complex subunit 1 isoform 2 precursor 92 49 381 72 1 Homo sapiens NP_996560.1 1 RefSeq MGSTWGSPGWVRLALCLTGLVLSLYALHVKAARARDRDYRALCDVGTAISCSRVFSSRLPADTLGLCPDAAELPGVSRWFCLPGLDPVLRAL
YP_009173867.1 1 110 0.307463636363636 PF00739.19:X:1:110 X protein 154 110 377 110 0 Hepatitis B virus YP_009173867.1 1 RefSeq MAARLCCQLDPARDVLCLRPVGAESCGRPFSGSLGTLSSPSPSAVPTDHGAHLSLRGLPVCAFSSAGPCALRFTSARRMETTVNAHQILPKVLHKRTLGLSAMSTTDLEA
P18146 1 326 0.6564254601227 PF11928.8:DUF3446:135:219 Early growth response protein 1; EGR-1; AT225; Nerve growth factor-induced protein A; NGFI-A; Transcription factor ETR103; Transcription factor Zif268; Zinc finger protein 225; Zinc finger protein Krox-24 543 85 375 326 0 Homo sapiens (Human) SwissProt::P18146 1 SwissProt MAAAKAEMQLMSPLQISDPFGSFPHSPTMDNYPKLEEMMLLSNGAPQFLGAAGAPEGSGSNSSSSSSGGGGGGGGGSNSSSSSSTFNPQADTGEQPYEHLTAESFPDISLNNEKVLVETSYPSQTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPASSSSAPSPAASSASASQSPPLSCAVPSNDSSPIYSAAPTFPTPNTDIFPEPQSQAFPGSAGTALQYPPPAYPAAKGGFQVPMIPDYLFPQQQGDLGLGTPDQKPFQGLESRTQQPSLTPLSTIKAFATQSGSQDLKALNTSYQSQLIKPSRMRKYPN
NP_001242983.1 1 481 0.151559459459459 PF15019.6:C9orf72-like:61:324 Guanine nucleotide exchange C9orf72 481 264 374 481 0 Homo sapiens (Human) SwissProt::Q96LT7 1 SwissProt MSTLCPPPSPAVAKTEIALSGKSPLLAATFAYWDNILGPRVRHIWAPKTEQVLLSDGEITFLANHTLNGEILRNAESGAIDVKFFVLSEKGVIIVSLIFDGNWNGDRSTYGLSIILPQTELSFYLPLHRVCVDRLTHIIRKGRIWMHKERQENVQKIILEGTERMEDQGQSIIPMLTGEVIPVMELLSSMKSHSVPEEIDIADTVLNDDDIGDSCHEGFLLNAISSHLQTCGCSVVVGSSAEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLLKDSTGSFVLPFRQVMYAPYPTTHIDVDVNTVKQMPPCHEHIYNQRRYMRSELTAFWRATSEEDMAQDTIIYTDESFTPDLNIFQDVLHRDTLVKAFLDQVFQLKPGLSLRSTFLAQFLLVLHRKALTLIKYIEDDTQKGKKPFKSLRNLKIDLDLTAEGDLNIIMALAEKIKPGLHSFIFGRPFYTSVQERDVLMTF
P24394 233 825 0.507508094435075 Interleukin-4 receptor subunit alpha; IL-4 receptor subunit alpha; IL-4R subunit alpha; IL-4R-alpha; IL-4RA; CD124 antigen 825 0 373 570 1 Homo sapiens (Human) SwissProt::P24394 1 SwissProt LLLGVSVSCIVILAVCLLCYVSITKIKKEWWDQIPNPARSRLVAIIIQDAQGSQWEKRSRGQEPAKCPHWKNCLTKLLPCFLEHNMKRDEDPHKAAKEMPFQGSGKSAWCPVEISKTVLWPESISVVRCVELFEAPVECEEEEEVEEEKGSFCASPESSRDDFQEGREGIVARLTESLFLDLLGEENGGFCQQDMGESCLLPPSGSTSAHMPWDEFPSAGPKEAPPWGKEQPLHLEPSPPASPTQSPDNLTCTETPLVIAGNPAYRSFSNSLSQSPCPRELGPDPLLARHLEEVEPEMPCVPQLSEPTTVPQPEPETWEQILRRNVLQHGAAAAPVSAPTSGYQEFVHAVEQGGTQASAVVGLGPPGEAGYKAFSSLLASSAVSPEKCGFGASSGEEGYKPFQDLIPGCPGDPAPVPVPLFTFGLDREPPRSPQSSHLPSSSPEHLGLEPGEKVEDMPKPPLPQEQATDPLVDSLGSGIVYSALTCHLCGHLKQCHGQEDGGQTPVMASPCCGCCCGDRSSPPTTPLRAPDPSPGGVPLEASLCPASLAPSGISEKSKSSSSFHPAPGNAQSSSQTPKIVNFVSVGPTYMRVS
P49715 76 272 0.773490862944163 CCAAT/enhancer-binding protein alpha; C/EBP alpha 358 0 373 197 0 Homo sapiens (Human) SwissProt::P49715 1 SwissProt EFLADLFQHSRQQEKAKAAVGPTGGGGGGDFDYPGAPAGPGGAVMPGGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPSHPHPHPPPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHPAPALGAAGLPGPGSALKGLGAAHPDLRASGGSGAG
XP_016873772.1 1 84 0.377288095238095 PF00214.19:Calc_CGRP_IAPP:1:83 Calcitonin 141 83 369 84 0 Homo sapiens P01258 1 SwissProt/TReMBL MGFQKFSPFLALSILVLLQAGSLHAAPFRSALESSPADPATLSEDEARLLLAALVQDYVQMKASELEQEQEREGSSLDSPRSKR
XP_016883310.1 1 245 0.761752244897959 PF06390.12:NESP55:1:245 Neuroendocrine secretory protein 55; NESP55 245 245 369 245 0 Homo sapiens (Human) SwissProt::O95467 1 SwissProt MDRRSRAQQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALATSNARAQQRAAAQQRRSFLNAHHRSGAQVFPESPESESDHEHEEADLELSLPECLEYEEEFDYETESETESEIESETDFETEPETAPTTEPETEPEDDRGPVVPKHSTFGQSLTQRLHALKLRSPDASPSRAPPSTQEPQSPREGEELKPEDKDPRDPEESKEPKEEKQRRRCKPKKPTRRDASPESPSKKGPIPIRRH
NP_001191130.1 138 311 0.728968965517242 PF00865.18:Osteopontin:4:174 osteopontin isoform 1 311 171 365 174 0 Mus musculus NP_001191130.1 1 RefSeq TVTASTQADTFTPIVPTVDVPNGRGDSLAYGLRSKSRSFQVSDEQYPDATDEDLTSHMKSGESKESLDVIPVAQLLSMPSDQDNNGKGSHESSQLDEPSLETHRLEHSKESQESADQSDVIDSQASSKASLEHQSHKFHSHKDKLVLDPKSKEDDRYLKFRISHELESSSSEVN
NP_619530.1 1 135 0.747653333333334 PF06773.11:Bim_N:4:40 bcl-2-like protein 11 isoform 4 135 37 360 135 0 Homo sapiens NP_619530.1 1 RefSeq MAKQPSDVSSECDREGRQLQPAERPPQLRPGAPTSLQTEPQGNPEGNHGGEGDSCPHGSPQGPLAPPASPGPFATRSPLFIFMRRSSLLSRSSSGYFSFDTDRSPAPMSCDKSTQTPSPPCQAFNHYLSAMANWD
NP_004226.3 1 378 0.63762328042328 Krueppel-like factor 4 isoform 2 479 0 353 378 0 Homo sapiens NP_004226.3 1 RefSeq MRQPPGESDMAVSDALLPSFSTFASGPAGREKTLRQAGAPNNRWREELSHMKRLPPVLPGRPYDLAAATVATDLESGGAGAACGGSNLAPLPRRETEEFNDLLDLDFILSNSLTHPPESVAATVSSSASASSSSSPSSSGPASAPSTCSFTYPIRAGNDPGVAPGGTGGGLLYGRESAPPPTAPFNLADINDVSPSGGFVAELLRPELDPVYIPPQQPQPPGGGLMGKFVLKASLSAPGSEYGSPSVISVSKGSPDGSHPVVVAPYNGGPPRTCPKIKQEAVSSCTHLGAGPPLSNGHRPAAHDFPLGRQLPSRTTPTLGLEEVLSSRDCHPALPLPPGFHPHPGPNYPSFLPDQMQPQVPPLHYQELMPPGSCMPEE
P48436 190 509 0.81704875 Transcription factor SOX-9 509 0 350 320 0 Homo sapiens (Human) SwissProt::P48436 1 SwissProt EEATEQTHISPNAIFKALQADSPHSSSGMSEVHSPGEHSGQSQGPPTPPTTPKTDVQPGKADLKREGRPLPEGGRQPPIDFRDVDIGELSSDVISNIETFDVNEFDQYLPPNGHPGVPATHGQVTYTGSYGISSTAATPASAGHVWMSKQQAPPPPPQQPPQAPPAPQAPPQPQAAPPQQPAAPPQQPQAHTLTTLSSEPGQSQRTHIKTEQLSPSHYSEQQQHSPQQIAYSPFNLPHYSPSYPPITRSQYDYTDHQNSSSYYSHAAGQGTGLYSTFTYMNPAQRPMYTPIADTSGVPSIPQTHSPQHWEQPVYTQLTRP
NP_034721.1 1 251 0.587458964143426 PF03957.13:Jun:5:244 Transcription factor AP-1; AH119; Activator protein 1; AP1; Proto-oncogene c-Jun; V-jun avian sarcoma virus 17 oncogene homolog; Jun A 334 240 349 251 0 Mus musculus (Mouse) SwissProt::P05627 1 SwissProt MTAKMETTFYDDALNASFLQSESGAYGYSNPKILKQSMTLNLADPVGSLKPHLRAKNSDLLTSPDVGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAELHSQNTLPSVTSAAQPVSGAGMVAPAVASVAGAGGGGGYSASLHSEPPVYANLSNFNPGALSSGGGAPSYGAAGLAFPSQPQQQQQPPQPPHHLPQQIPVQHPRLQALKEEPQTVPEMPGETPPLSPIDME
NP_001135770.1 97 301 0.814452682926829 sequestosome-1 isoform 2 356 0 344 205 0 Homo sapiens NP_001135770.1 1 RefSeq HSRWLRKVKHGHFGWPGWEMGPPGNWSPRPPRAGEARPGPTAESASGPSEDPSVNFLKNVGESVAAALSPLGIEVDIDVEHGGKRSRLTPVSPESSSTEEKSSSQPSSCCSDPSKPGGNVEGATQSLAEQMRKIALESEGRPEEQMESDNCSGGDDDWTHLSSKEVDPSTGELQSLQMPESEGPSSLDPSQEGPTGLKEAALYPH
Q99988 1 196 0.459519897959184 Growth/differentiation factor 15; GDF-15; Macrophage inhibitory cytokine 1; MIC-1; NSAID-activated gene 1 protein; NAG-1; NSAID-regulated gene 1 protein; NRG-1; Placental TGF-beta; Placental bone morphogenetic protein; Prostate differentiation factor 308 0 343 196 0 Homo sapiens (Human) SwissProt::Q99988 1 SwissProt MPGQELRTVNGSQMLLVLLVLSWLPHGGALSLAEASRASFPGPSELHSEDSRFRELRKRYEDLLTRLRANQSWEDSNTDLVPAPAVRILTPEVRLGSGGHLHLRISRAALPEGLPEASRLHRALFRLSPTASRSWDVTRPLRRQLSLARPQAPALHLRLSPPPSQSDQLLAESSSARPQLELHLRPQAARGRRRAR
NP_001019859.1 245 672 0.611692289719626 PF08599.10:Nbs1_C:357:420 nibrin isoform 2 672 64 342 428 0 Homo sapiens NP_001019859.1 1 RefSeq GHPSTGLKTTTPGPSLSQGVSVDEKLMPSAPVNTTTYVADTESEQADTWDLSERPKEIKVSKMEQKFRMLSQDAPTVKESCKTSSNNNSMVSNTLAKMRIPNYQLSPTKLPSINKSKDRASQQQQTNSIRNYFQPSTKKRERDEENQEMSSCKSARIETSCSLLEQTQPATPSLWKNKEQHLSENEPVDTNSDNNLFTDTDLKSIVKNSASKSHAAEKLRSNKKREMDDVAIEDEVLEQLFKDTKPELEIDVKVQKQEEDVNVRKRPRMDIETNDTFSDEAVPESSKISQENEIGKKRELKEDSLWSAKEISNNDKLQDDSEMLPKKLLLTEFRSLVIKNSTSRNPSGINDDYGQLKNFKKFKKVTYPGAGKLPHIIGGSDLIAHHARKNTELEEWLRQEMEVQNQHAKEESLADDLFRYNPYLKRRR
P21274 1 258 0.341913953488372 PF00688.18:TGFb_propeptide:42:251 Bone morphogenetic protein 2; BMP-2; Bone morphogenetic protein 2A; BMP-2A 394 210 339 235 1 Mus musculus (Mouse) SwissProt::P21274 1 SwissProt MVAGTRCLLVLLLPQVLLGGAAGLIPELGRKKFAAASSRPLSRPSEDVLSEFELRLLSMFGLKQRPTPSKDVVVPPYMLDLYRRHSGQPGAPAPDHRLERAASRANTVRSFHHEEAVEELPEMSGKTARRFFFNLSSVPSDEFLTSAELQIFREQIQEALGNSSFQHRINIYEIIKPAAANLKFPVTRLLDTRLVNQNTSQWESFDVTPAVMRWTTQGHTNHGFVVEVAHLEENPGVSKRHVRISRSLHQDEHSWSQI
P04198 90 376 0.74412543554007 PF01056.18:Myc_N:2:283 N-myc proto-oncogene protein; Class E basic helix-loop-helix protein 37; bHLHe37 464 282 337 287 0 Homo sapiens (Human) SwissProt::P04198 1 SwissProt SPAEEDAFGLGGLGGLTPNPVILQDCMWSGFSAREKLERAVSEKLQHGRGPPTAGSTAQSPGAGAASPAGRGHGGAAGAGRAGAALPAELAHPAAECVDPAVVFPFPVNKREPAPVPAAPASAPAAGPAVASGAGIAAPAGAPGVAPPRPGGRQTSGGDHKALSTSGEDTLSDSDDEDDEEEDEEEEIDVVTVEKRRSSSNTKAVTTFTITVRPKNAALGPGRAQSSELILKRCLPIHQQHNYAAPSPYVESEDAPPQKKIKSEASPRPLKSVIPPKAKSLSPRNSD
NP_002843.2 1 381 0.262211023622048 PF00354.17:Pentaxin:183:375,PF13385.6:Laminin_G_3:201:349 Pentraxin-related protein PTX3 381 193 336 381 0 Homo sapiens P26022 1 SwissProt/TReMBL MHLLAILFCALWSAVLAENSDDYDLMYVNLDNEIDNGLHPTEDPTPCACGQEHSEWDKLFIMLENSQMRERMLLQATDDVLRGELQRLREELGRLAESLARPCAPGAPAEARLTSALDELLQATRDAGRRLARMEGAEAQRPEEAGRALAAVLEELRQTRADLHAVQGWAARSWLPAGCETAILFPMRSKKIFGSVHPVRPMRLESFSACIWVKATDVLNKTILFSYGTKRNPYEIQLYLSYQSIVFVVGGEENKLVAEAMVSLGRWTHLCGTWNSEEGLTSLWVNGELAATTVEMATGHIVPEGGILQIGQEKNGCCVGGGFDETLAFSGRLTGFNIWDSVLSNEEIRETGGAESCHIRGNIVGWGVTEIQPHGGAQYVS
P12644 1 286 0.405984615384616 PF00688.18:TGFb_propeptide:46:275 Bone morphogenetic protein 4; BMP-4; Bone morphogenetic protein 2B; BMP-2B 408 230 336 286 0 Homo sapiens (Human) SwissProt::P12644 1 SwissProt MIPGNRMLMVVLLCQVLLGGASHASLIPETGKKKVAEIQGHAGGRRSGQSHELLRDFEATLLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEQIHSTGLEYPERPASRANTVRSFHHEEHLENIPGTSENSAFRFLFNLSSIPENEVISSAELRLFREQVDQGPDWERGFHRINIYEVMKPPAEVVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPNYGLAIEVTHLHQTRTHQGQHVRISRSLPQGSGNWAQLRPLLVTFGHDGRGHALTR
NP_034007.1 38 169 0.333466666666667 Tumor suppressor ARF; Alternative reading frame; ARF; Cyclin-dependent kinase inhibitor 2A; p19ARF 169 0 334 114 1 Mus musculus (Mouse) SwissProt::Q64364 1 SwissProt CALAFVNMLLRLERILRRGPHRNPGPGDDDGQRSRSSSSAQLRCRFELRGPHYLLPPGARRSAGRLPGHAGGAARVRGSAGCARCLGSPAARLGPRAGTSRHRAIFAFRWVLFVFRWVVFVYRWERRPDRRA
NP_001300956.1 258 688 0.465522505800464 aryl hydrocarbon receptor isoform 2 688 0 331 431 0 Mus musculus NP_001300956.1 1 RefSeq ATQRPLTDEEGREHLQKRSTSLPFMFATGEAVLYEISSPFSPIMDPLPIRTKSNTSRKDWAPQSTPSKDSFHPSSLMSALIQQDESIYLCPPSSPALLDSHFLMGSVSKCGSWQDSFAAAGSEAALKHEQIGHAQDVNLALSGGPSELFPDNKNNDLYSIMRNLGIDFEDIRSMQNEEFFRTDSTAAGEVDFKDIDITDEILTYVQDSLNNSTLLNSACQQQPVTQHLSCMLQERLQLEQQQQLQQPPPQALEPQQQLCQMVCPQQDLGPKHTQINGTFASWNPTPPVSFNCPQQELKHYQLFSSLQGTAQEFPYKPEVDSVPYTQNFAPCNQPLLPEHSKSVQLDFPGRDFEPSLHPTTSNLDFVSCLQVPENQSHGINSQSAMVSPQAYYAGAMSMYQCQPGPQRTPVDQTQYSSEIPGSQAFLSKVQS
XP_017455942.1 76 202 0.397706299212599 endothelin-1 isoform X1 202 0 324 127 0 Rattus norvegicus XP_017455942.1 1 RefSeq TPERVVPYGLGSPSRSKRSLKDLLPTKTTDQGNRCQCAHQKDKKCWNFCQADKELRAQSTMQKGVKDFKKGKPCPKLGKKCIYQQLVEGRKLRRLEAISNSIKTSFRVAKLKAELYRDQKLIHNRAH
XP_005252500.1 1 258 0.613896511627907 trans-acting T-cell-specific transcription factor GATA-3 isoform X1 444 0 323 258 0 Homo sapiens XP_005252500.1 1 RefSeq MEVTADQPRWVSHHHPAVLNGQHPDTHHPGLSHSYMDAAQYPLPEEVDVLFNIDGQGNHVPPYYGNSVRATVQRYPPTHHGSQVCRPPLLHGSLPWLDGGKALGSHHTASPWNLSPFSKTSIHHGSPGPLSVYPPASSSSLSGGHASPHLFTFPPTPPKDVSPDPSLSTPGSAGSARQDEKECLKYQVPLPDSMKLESSHSRGSMTALGGASSSTHHPITTYPPYVPEYSSGLFPPSSLLGGSPTGFGCKSRPKARSS
NP_001274667.1 1 189 0.415112698412698 CCAAT/enhancer-binding protein beta isoform b 275 0 318 189 0 Mus musculus NP_001274667.1 1 RefSeq MEVANFYYEPDCLAYGAKAARAAPRAPAAEPAIGEHERAIDFSPYLEPLAPAADFAAPAPAHHDFLSDLFADDYGAKPSKKPADYGYVSLGRAGAKAAPPACFPPPPPAALKAEPGFEPADCKRADDAPAMAAGFPFALRAYLGYQATPSGSSGSLSTSSSSSPPGTPSPADAKAAPAACFAGPPAAPA
NP_004619.3 157 940 0.41574987244898 PF10405.9:BHD_3:595:667,PF03835.15:Rad4:345:468,PF10403.9:BHD_1:476:525,PF10404.9:BHD_2:529:588 DNA repair protein complementing XP-C cells; Xeroderma pigmentosum group C-complementing protein; p125 940 307 316 784 0 Homo sapiens (Human) SwissProt::Q01831 1 SwissProt LLPVKPVEIEIETPEQAKTRERSEKIKLEFETYLRRAMKRFNKGVHEDTHKVHLLCLLANGFYRNNICSQPDLHAIGLSIIPARFTRVLPRDVDTYYLSNLVKWFIGTFTVNAELSASEQDNLQTTLERRFAIYSARDDEELVHIFLLILRALQLLTRLVLSLQPIPLKSATAKGKKPSKERLTADPGGSSETSSQVLENHTKPKTSKGTKQEETFAKGTCRPSAKGKRNKGGRKKRSKPSSSEEDEGPGDKQEKATQRRPHGRERRVASRVSYKEESGSDEAGSGSDFELSSGEASDPSDEDSEPGPPKQRKAPAPQRTKAGSKSASRTHRGSHRKDPSLPAASSSSSSSKRGKKMCSDGEKAEKRSIAGIDQWLEVFCEQEEKWVCVDCVHGVVGQPLTCYKYATKPMTYVVGIDSDGWVRDVTQRYDPVWMTVTRKCRVDAEWWAETLRPYQSPFMDREKKEDLEFQAKHMDQPLPTAIGLYKNHPLYALKRHLLKYEAIYPETAAILGYCRGEAVYSRDCVHTLHSRDTWLKKARVVRLGEVPYKMVKGFSNRARKARLAEPQLREENDLGLFGYWQTEEYQPPVAVDGKVPRNEFGNVYLFLPSMMPIGCVQLNLPNLHRVARKLDIDCVQAITGFDFHGGYSHPVTDGYIVCEEFKDVLLTAWENEQAVIERKEKEKKEKRALGNWKLLAKGLLIRERLKRRYGPKSEAAAPHTDAGGGLSSDEEEGTSSQAEAARILAASWPQNREDEEKQKLKGGPKKTKREKKAAASHLFPFEQL
NP_001102651.1 132 319 0.53968829787234 PF12336.8:SOXp:1:71 transcription factor SOX-2 319 71 313 188 0 Rattus norvegicus NP_001102651.1 1 RefSeq GLLAPGGNSMASGVGVGAGLGAGVNQRMDSYAHMNGWSNGSYSMMQEQLGYPQHPGLNAHGAAQMQPMHRYDVSALQYNSMTSSQTYMNGSPTYSMSYSQQGTPGMALGSMGSVVKSEASSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPEPAAPSRLHMAQHYQSGPVPGTAINGTLPLSHM
NP_001153517.1 268 978 0.720281293952178 zinc finger protein GLI1 isoform 2 978 0 311 711 0 Homo sapiens NP_001153517.1 1 RefSeq RHRGDGPLPRAPSISTVEPKREREGGPIREESRLTVPEGAMKPQPSPGAQSSCSSDHSPAGSAANTDSGVEMTGNAGGSTEDLSSLDEGPCIAGTGLSTLRRLENLRLDQLHQLRPIGTRGLKLPSLSHTGTTVSRRVGPPVSLERRSSSSSSISSAYTVSRRSSLASPFPPGSPPENGASSLPGLMPAQHYLLRARYASARGGGTSPTAASSLDRIGGLPMPPWRSRAEYPGYNPNAGVTRRASDPAQAADRPAPARVQRFKSLGCVHTPPTVAGGGQNFDPYLPTSVYSPQPPSITENAAMDARGLQEEPEVGTSMVGSGLNPYMDFPPTDTLGYGGPEGAAAEPYGARGPGSLPLGPGPPTNYGPNPCPQQASYPDPTQETWGEFPSHSGLYPGPKALGGTYSQCPRLEHYGQVQVKPEQGCPVGSDSTGLAPCLNAHPSEGPPHPQPLFSHYPQPSPPQYLQSGPYTQPPPDYLPSEPRPCLDFDSPTHSTGQLKAQLVCNYVQSQQELLWEGGGREDAPAQEPSYQSPKFLGGSQVSPSRAKAPVNTYGPGFGPNLPNHKSGSYPTPSPCHENFVVGANRASHRAAAPPRLLPPLPTCYGPLKVGGTNPSCGHPEVGRLGGGPALYPPPEGQVCNPLDSLDLDNTQLDFVAILDEPQGLSPPPSHDQRGSSGHTPPPSGPPNMAVGNMSVLLRSLPGETEFLNSSA
P19091 1 529 0.661863137996219 PF02166.16:Androgen_recep:6:442 Androgen receptor; Dihydrotestosterone receptor; Nuclear receptor subfamily 3 group C member 4 899 437 305 529 0 Mus musculus (Mouse) SwissProt::P19091 1 SwissProt MEVQLGLGRVYPRPPSKTYRGAFQNLFQSVREAIQNPGPRHPEAANIAPPGACLQQRQETSPRRRRRQQHTEDGSPQAHIRGPTGYLALEEEQQPSQQQAASEGHPESSCLPEPGAATAPGKGLPQQPPAPPDQDDSAAPSTLSLLGPTFPGLSSCSADIKDILNEAGTMQLLQQQQQQQQHQQQHQQHQQQQEVISEGSSARAREATGAPSSSKDSYLGGNSTISDSAKELCKAVSVSMGLGVEALEHLSPGEQLRGDCMYASLLGGPPAVRPTPCAPLPECKGLPLDEGPGKSTEETAEYSSFKGGYAKGLEGESLGCSGSSEAGSSGTLEIPSSLSLYKSGALDEAAAYQNRDYYNFPLALSGPPHPPPPTHPHARIKLENPLDYGSAWAAAAAQCRYGDLGSLHGGSVAGPSTGSPPATTSSSWHTLFTAEEGQLYGPGGGGGSSSPSDAGPVAPYGYTRPPQGLTSQESDYSASEVWYPGGVVNRVPYPSPNCVKSEMGPWMENYSGPYGDMRLDSTRDHVLPI
P17676 1 258 0.576122093023256 CCAAT/enhancer-binding protein beta; C/EBP beta; Liver activator protein; LAP; Liver-enriched inhibitory protein; LIP; Nuclear factor NF-IL6; Transcription factor 5; TCF-5 345 0 301 258 0 Homo sapiens (Human) SwissProt::P17676 1 SwissProt MQRLVAWDPACLPLPPPPPAFKSMEVANFYYEADCLAAAYGGKAAPAAPPAARPGPRPPAGELGSIGDHERAIDFSPYLEPLGAPQAPAPATATDTFEAAPPAPAPAPASSGQHHDFLSDLFSDDYGGKNCKKPAEYGYVSLGRLGAAKGALHPGCFAPLHPPPPPPPPPAELKAEPGFEPADCKRKEEAGAPGGGAGMAAGFPYALRAYLGYQAVPSGSSGSLSTSSSSSPPGTPSPADAKAPPTACYAGAAPAPSQ
P36956 401 1147 0.306361311914323 Sterol regulatory element-binding protein 1; SREBP-1; Class D basic helix-loop-helix protein 1; bHLHd1; Sterol regulatory element-binding transcription factor 1 1147 0 300 747 0 Homo sapiens (Human) SwissProt::P36956 1 SwissProt VSACGSGGNTDVLMEGVKTEVEDTLTPPPSDAGSPFQSSPLSLGSRGSGSGGSGSDSEPDSPVFEDSKAKPEQRPSLHSRGMLDRSRLALCTLVFLCLSCNPLASLLGARGLPSPSDTTSVYHSPGRNVLGTESRDGPGWAQWLLPPVVWLLNGLLVLVSLVLLFVYGEPVTRPHSGPAVYFWRHRKQADLDLARGDFAQAAQQLWLALRALGRPLPTSHLDLACSLLWNLIRHLLQRLWVGRWLAGRAGGLQQDCALRVDASASARDAALVYHKLHQLHTMGKHTGGHLTATNLALSALNLAECAGDAVSVATLAEIYVAAALRVKTSLPRALHFLTRFFLSSARQACLAQSGSVPPAMQWLCHPVGHRFFVDGDWSVLSTPWESLYSLAGNPVDPLAQVTQLFREHLLERALNCVTQPNPSPGSADGDKEFSDALGYLQLLNSCSDAAGAPAYSFSISSSMATTTGVDPVAKWWASLTAVVIHWLRRDEEAAERLCPLVEHLPRVLQESERPLPRAALHSFKAARALLGCAKAESGPASLTICEKASGYLQDSLATTPASSSIDKAVQLFLCDLLLVVRTSLWRQQQPPAPAPAAQGTSSRPQASALELRGFQRDLSSLRRLAQSFRPAMRRVFLHEATARLMAGASPTRTHQLLDRSLRRRAGPGGKGGAVAELEPRPTRREHAEALLLASCYLPPGFLSAPGQRVGMLAEAARTLEKLGDRRLLHDCQQMLMRLGGGTTVTSS
NP_001192172.1 1 131 0.430137404580153 tumor necrosis factor ligand superfamily member 6 isoform 2 131 0 299 108 1 Mus musculus NP_001192172.1 1 RefSeq MQQPMNYPCPQIFWVDSSATSSWAPPGSVFPCPSCGPRGPDQRRPPPPPPPVSPLPPPSQPLPLPPLTPLKKKDHNTNLWLPVVFFMVLVALVGMGLGMYQLFHLQKELAELREPTPVHPLKKKSRGVWPI
NP_031580.2 1 286 0.430730769230769 PF00688.18:TGFb_propeptide:46:276 Bone morphogenetic protein 4; BMP-4; Bone morphogenetic protein 2B; BMP-2B 408 231 299 286 0 Mus musculus (Mouse) SwissProt::P21275 1 SwissProt MIPGNRMLMVVLLCQVLLGGASHASLIPETGKKKVAEIQGHAGGRRSGQSHELLRDFEATLLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEEQSQGTGLEYPERPASRANTVRSFHHEEHLENIPGTSESSAFRFLFNLSSIPENEVISSAELRLFREQVDQGPDWEQGFHRINIYEVMKPPAEMVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPNYGLAIEVTHLHQTRTHQGQHVRISRSLPQGSGDWAQLRPLLVTFGHDGRGHTLT
NP_001697.2 130 503 0.597038502673797 B-cell lymphoma 6 protein; BCL-6; B-cell lymphoma 5 protein; BCL-5; Protein LAZ-3; Zinc finger and BTB domain-containing protein 27; Zinc finger protein 51 706 0 296 374 0 Homo sapiens (Human) SwissProt::P41182 1 SwissProt AEMVSAIKPPREEFLNSRMLMPQDIMAYRGREVVENNLPLRSAPGCESRAFAPSLYSGLSTPPASYSMYSHLPVSSLLFSDEEFRDVRMPVANPFPKERALPCDSARPVPGEYSRPTLEVSPNVCHSNIYSPKETIPEEARSDMHYSVAEGLKPAAPSARNAPYFPCDKASKEEERPSSEDEIALHFEPPNAPLNRKGLVSPQSPQKSDCQPNSPTESCSSKNACILQASGSPPAKSPTDPKACNWKKYKFIVLNSLNQNAKPEGPEQAELGRLSPRAYTAPPACQPPMEPENLDLQSPTKLSASGEDSTIPQASRLNNIVNRSMTGSPRSSSESHSPLYMHPPKCTSCGSQSPQHAEMCLHTAGPTFPEEMGE
NP_006437.3 1 691 0.090342981186686 PF03137.20:OATP:29:621,PF07690.16:MFS_1:170:428,PF07648.15:Kazal_2:460:506 Solute carrier organic anion transporter family member 1B1; Liver-specific organic anion transporter 1; LST-1; OATP-C; Sodium-independent organic anion-transporting polypeptide 2; OATP-2; Solute carrier family 21 member 6 691 593 296 438 11 Homo sapiens (Human) SwissProt::Q9Y6L6 1 SwissProt MDQNQHLNKTAEAQPSENKKTRYCNGLKMFLAALSLSFIAKTLGAIIMKSSIIHIERRFEISSSLVGFIDGSFEIGNLLVIVFVSYFGSKLHRPKLIGIGCFIMGIGGVLTALPHFFMGYYRYSKETNINSSENSTSTLSTCLINQILSLNRASPEIVGKGCLKESGSYMWIYVFMGNMLRGIGETPIVPLGLSYIDDFAKEGHSSLYLGILNAIAMIGPIIGFTLGSLFSKMYVDIGYVDLSTIRITPTDSRWVGAWWLNFLVSGLFSIISSIPFFFLPQTPNKPQKERKASLSLHVLETNDEKDQTANLTNQGKNITKNVTGFFQSFKSILTNPLYVMFVLLTLLQVSSYIGAFTYVFKYVEQQYGQPSSKANILLGVITIPIFASGMFLGGYIIKKFKLNTVGIAKFSCFTAVMSLSFYLLYFFILCENKSVAGLTMTYDGNNPVTSHRDVPLSYCNSDCNCDESQWEPVCGNNGITYISPCLAGCKSSSGNKKPIVFYNCSCLEVTGLQNRNYSAHLGECPRDDACTRKFYFFVAIQVLNLFFSALGGTSHVMLIVKIVQPELKSLALGFHSMVIRALGGILAPIYFGALIDTTCIKWSTNNCGTRGSCRTYNSTSFSRVYLGLSSMLRVSSLVLYIILIYAMKKKYQEKDINASENGSVMDEANLESLNKNKHFVPSAGADSETHC
NP_002377.4 1 242 0.036897520661157 PF00001.21:7tm_1:55:226,PF13853.6:7tm_4:48:179,PF10328.9:7TM_GPCR_Srx:48:182,PF10320.9:7TM_GPCR_Srsx:51:198 Melanocyte-stimulating hormone receptor; MSH-R; Melanocortin receptor 1; MC1-R 317 179 294 201 2 Homo sapiens (Human) SwissProt::Q01726 1 SwissProt MAVQGSQRRLLGSLNSTPTAIPQLGLAANQTGARCLEVSISDGLFLSLGLVSLVENALVVATIAKNRNLHSPMYCFICCLALSDLLVSGSNVLETAVILLLEAGALVARAAVLQQLDNVIDVITCSSMLSSLCFLGAIAVDRYISIFYALRYHSIVTLPRARRAVAAIWVASVVFSTLFIAYYDHVAVLLCLVVFFLAMLVLMAVLYVHMLARACQHAQGIARLHKRQRPVHQGFGLKGAVT
NP_001020280.1 1 385 0.475357142857142 PF06365.12:CD34_antigen:190:385 Hematopoietic progenitor cell antigen CD34; CD34 antigen 385 196 291 362 1 Homo sapiens (Human) SwissProt::P28906 1 SwissProt MLVRRGARAGPRMPRGWTALCLLSLLPSGFMSLDNNGTATPELPTQGTFSNVSTNVSYQETTTPSTLGSTSLHPVSQHGNEATTNITETTVKFTSTSVITSVYGNTNSSVQSQTSVISTVFTTPANVSTPETTLKPSLSPGNVSDLSTTSTSLATSPTKPYTSSSPILSDIKAEIKCSGIREVKLTQGICLEQNKTSSCAEFKKDRGEGLARVLCGEEQADADAGAQVCSLLLAQSEVRPQCLLLVLANRTEISSKLQLMKKHQSDLKKLGILDFTEQDVASHQSYSQKTLIALVTSGALLAVLGITGYFLMNRRSWSPTGERLGEDPYYTENGGGQGYSSGPGTSPEAQGKASVNRGAQENGTGQATSRNGHSARQHVVADTEL
NP_000092.2 1 148 0.0966898648648649 PF05038.13:Cytochrom_B558a:2:148 Cytochrome b-245 light chain; Cytochrome b(558) alpha chain; Cytochrome b558 subunit alpha; Neutrophil cytochrome b 22 kDa polypeptide; Superoxide-generating NADPH oxidase light chain subunit; p22 phagocyte B-cytochrome; p22-phox; p22phox 195 147 287 79 3 Homo sapiens (Human) SwissProt::P13498 0 SwissProt MGQIEWAMWANEQALASGLILITGGIVATAGRFTQWYFGAYSIVAGVFVCLLEYPRGKRKKGSTMERWGQKYMTAVVKLFGPFTRNYYVRAVLHLLLSVPAGFLLATILGTACLAIASGIYLLAAVRGEQWTPIEPKPRERPQIGGTI
NP_004550.2 143 324 0.933713186813187 Y-box-binding protein 1; YB-1; CCAAT-binding transcription factor I subunit A; CBF-A; DNA-binding protein B; DBPB; Enhancer factor I subunit A; EFI-A; Nuclease-sensitive element-binding protein 1; Y-box transcription factor 324 0 287 182 0 Homo sapiens (Human) SwissProt::P67809 1 SwissProt NHYRRYPRRRGPPRNYQQNYQNSESGEKNEGSESAPEGQAQQRRPYRRRRFPPYYMRRPYGRRPQYSNPPVQGEVMEGADNQGAGEQGRPVRQNMYRGYRPRFRRGPPRQRQPREDGNEEDKENQGDETQGQQPPQRRYRRNFNYRRRRPENPKPQDGKETKAADPPAENSSAPEAEQGGAE
NP_067701.1 1 75 0.535026666666667 PF04644.12:Motilin_ghrelin:24:51 Appetite-regulating hormone; Growth hormone secretagogue; Growth hormone-releasing peptide; Motilin-related peptide 117 28 287 75 0 Rattus norvegicus (Rat) SwissProt::Q9QYH7 1 SwissProt MVSSATICSLLLLSMLWMDMAMAGSSFLSPEHQKAQQRKESKKPPAKLQPRALEGWLHPEDRGQAEEAEEELEIR
XP_011533177.1 1 177 0.556666666666667 PF04731.12:Caudal_act:13:176 homeobox protein CDX-2 isoform X1 321 164 283 177 0 Homo sapiens XP_011533177.1 1 RefSeq MYVSYLLDKDVSMYPSSVRHSGGLNLAPQNFVSPPQYPDYGGYHVAAAAAAAANLDSAQSPGPSWPAAYGAPLREDWNGYAPGGAAAAANAVAHGLNGGSPAAAMGYSSPADYHPHHHPHHHPHHPAAAPSCASGLLQTLNPGPPGPAATAAAEQLSPGGQRRNLCEWMRKPAQQSL
NP_116171.3 135 301 0.259676646706587 Hepatitis A virus cellular receptor 2; HAVcr-2; T-cell immunoglobulin and mucin domain-containing protein 3; TIMD-3; T-cell immunoglobulin mucin receptor 3; TIM-3; T-cell membrane protein 3; CD366 antigen 301 0 277 144 1 Homo sapiens (Human) SwissProt::Q8TDQ0 1 SwissProt TPAPTRQRDFTAAFPRMLTTRGHGPAETQTLGSLPDINLTQISTLANELRDSRLANDLRDSGATIRIGIYIGAGICAGLALALIFGALIFKWYSHSKEKIQNLSLISLANLPPSGLANAVAEGIRSEENIYTIEENVYEVEEPNEYYCYVSSRQQPSQPLGCRFAMP
NP_001137309.2 1 381 0.110181889763779 PF01794.19:Ferric_reduct:35:180,PF08022.12:FAD_binding_8:285:363 NADPH oxidase 4 isoform c 554 225 274 289 4 Homo sapiens NP_001137309.2 1 RefSeq MNVLLFWKTFLLYNQGPEYHYLHQMLGLGLCLSRASASVLNLNCSLILLPMCRTLLAYLRGSQKVPSRRTRRLLDKSRTFHITCGVTICIFSGVHVAAHLVNALNFSVNYSEDFVELNAARYRDEDPRKLLFTTVPGLTGVCMVVVLFLMITASTYAIRVSNYDIFWYTHNLFFVFYMLLTLHVSGGLLKYQTNLDTHPPGCISLNRTSSQNISLPEYFSEHFHEPFPEGFSKPAEFTQHKFVKICMEEPRFQANFPQTWLWISGPLCLYCAERLYRYIRSNKPVTIISVMSHPSDVMEIRMVKENFKARPGQYITLHCPSVSALENHPFTLTMCPTETKATFGVHLKIVGDWTERFRDLLLPPSSQDSEILPFIQSRNYP
XP_011520940.2 1 1687 0.309744101956135 PF03542.16:Tuberin:610:957,PF11864.8:DUF3384:172:523,PF02145.15:Rap_GAP:1633:1686 tuberin isoform X4 1879 754 274 1687 0 Homo sapiens XP_011520940.2 1 RefSeq MPDSCGLHIPAAHAAPSRDARIAGLSARGRHRTTTPSKLPRRPSAQCRYRKCGSRFRRRPGARGVRLSPRRGGPERGGAAREGFSGASWSTMAKPTSKDSGLKEKFKILLGLGTPRPNPRSAEGKQTEFIITAEILRELSMECGLNNRIRMIGQICEVAKTKKFEEGERLGVLRALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELADFVLQWMDVGLSSEFLLVLVNLVKFNSCYLDEYIARMVQMICLLCVRTASSVDIEVSLQVLDAVVCYNCLPAESLPLFIVTLCRTINVKELCEPCWKLMRNLLGTHLGHSAIYNMCHLMEDRAYMEDAPLLRGAVFFVGMALWGAHRLYSLRNSPTSVLPSFYQAMACPNEVVSYEIVLSITRLIKKYRKELQVVAWDILLNIIERLLQQLQTLDSPELRTIVHDLLTTVEELCDQNEFHGSQERYFELVERCADQRPESSLLNLISYRAQSIHPAKDGWIQNLQALMERFFRSESRGAVRIKVLDVLSFVLLINRQFYEEELINSVVISQLSHIPEDKDHQVRKLATQLLVDLAEGCHTHHFNSLLDIIEKVMARSLSPPPELEERDVAAYSASLEDVKTAVLGLLVILQTKLYTLPASHATRVYEMLVSHIQLHYKHSYTLPIASSIRLQAFDFLLLLRADSLHRLGLPNKDGVVRFSPYCVCDYMEPERGSEKKTSGPLSPPTGPPGPAPAGPAVRLGSVPYSLLFRVLLQCLKQESDWKVLKLVLGRLPESLRYKVLIFTSPCSVDQLCSALCSMLSGPKTLERLRGAPEGFSRTDLHLAVVPVLTALISYHNYLDKTKQREMVYCLEQGLIHRCASQCVVALSICSVEMPDIIIKALPVLVVKLTHISATASMAVPLLEFLSTLARLPHLYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRCRLPFRKDFVPFITKGLRSNVLLSFDDTPEKDSFRARSTSLNERPKSLRIARPPKQGLNNSPPVKEFKESSAAEAFRCRSISVSEHVVRSRIQTSLTSASLGSADENSVAQADDSLKNLHLELTETCLDMMARYVFSNFTAVPKRSPVGEFLLAGGRTKTWLVGNKLVTVTTSVGTGTRSLLGLDSGELQSGPESSSSPGVHVRQTKEAPAKLESQAGQQVSRGARDRVRSMSGGHGLRVGALDVPASQFLGSATSPGPRTAPAAKPEKASAGTRVPVQEKTNLAAYVPLLTQGWAEILVRRPTGNTSWLMSLENPLSPFSSDINNMPLQELSNALMAAERFKEHRDTALYKSLSVPAASTAKPPPLPRSNTVASFSSLYQSSCQGQLHRSVSWAGIASQREAVGCRAPLCLIDSAVVMEEGSPGEVPVLVEPPGLEDVEAALGMDRRTDAYSRSSSVSSQEEKSLHAEELVGRGIPIERVVSSEGGRPSVDLSFQPSQPLSKSSSSPELQTLQDILGDPGDKADVGRLSPEVKARSQSGTLDGESAAWSASGEDSRGQPEGPLPSSSPRSPSGLRPRGYTISDSAPSRRGKRVERDALKSRATASNAEKVPGINPSFVFLQLYHSPFFGDESNKPILLPNESQSFERSVQLLDQIPSYDTHKIAVLYVGEGQSNSELAILSNEHGSYRYTEFLTGLGRLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIM
Q00613 124 529 0.578924630541872 PF06546.11:Vert_HS_TF:124:406 Heat shock factor protein 1; HSF 1; Heat shock transcription factor 1; HSTF 1 529 283 272 406 0 Homo sapiens (Human) SwissProt::Q00613 1 SwissProt TLKSEDIKIRQDSVTKLLTDVQLMKGKQECMDSKLLAMKHENEALWREVASLRQKHAQQQKVVNKLIQFLISLVQSNRILGVKRKIPLMLNDSGSAHSMPKYSRQFSLEHVHGSGPYSAPSPAYSSSSLYAPDAVASSGPIISDITELAPASPMASPGGSIDERPLSSSPLVRVKEEPPSPPQSPRVEEASPGRPSSVDTLLSPTALIDSILRESEPAPASVTALTDARGHTDTEGRPPSPPPTSTPEKCLSVACLDKNELSDHLDAMDSNLDNLQTMLSSHGFSVDTSALLDLFSPSVTVPDMSLPDLDSSLASIQELLSPQEPPRPPEAENSSPDSGKQLVHYTAQPLFLLDPGSVDTGSNDLPVLFELGEGSYFSEGDGFAEDPTISLLTGSEPPKAKDPTVS
NP_079501.2 1 481 0.142239085239085 PF01734.22:Patatin:10:177 1-acylglycerol-3-phosphate O-acyltransferase PNPLA3; Acylglycerol transacylase; Adiponutrin; ADPN; Calcium-independent phospholipase A2-epsilon; iPLA2-epsilon; Lysophosphatidic acid acyltransferase; Patatin-like phospholipase domain-containing protein 3; EC 2.3.1.51; EC 3.1.1.3 481 168 268 481 0 Homo sapiens (Human) SwissProt::Q9NST1 1 SwissProt MYDAERGWSLSFAGCGFLGFYHVGATRCLSEHAPHLLRDARMLFGASAGALHCVGVLSGIPLEQTLQVLSDLVRKARSRNIGIFHPSFNLSKFLRQGLCKCLPANVHQLISGKIGISLTRVSDGENVLVSDFRSKDEVVDALVCSCFIPFYSGLIPPSFRGVRYVDGGVSDNVPFIDAKTTITVSPFYGEYDICPKVKSTNFLHVDITKLSLRLCTGNLYLLSRAFVPPDLKVLGEICLRGYLDAFRFLEEKGICNRPQPGLKSSSEGMDPEVAMPSWANMSLDSSPESAALAVRLEGDELLDHLRLSILPWDESILDTLSPRLATALSEEMKDKGGYMSKICNLLPIRIMSYVMLPCTLPVESAIAIVQRLVTWLPDMPDDVLWLQWVTSQVFTRVLMCLLPASRSQMPVSSQQASPCTPEQDWPCWTPCSPKGCPAETKAEATPRSILRSSLNFFLGNKVPAGAEGLSTFPSFSLEKSL
O54968 52 433 0.55961387434555 Nuclear factor erythroid 2-related factor 2; NF-E2-related factor 2; NFE2-related factor 2; Nuclear factor, erythroid derived 2, like 2 604 0 268 382 0 Rattus norvegicus (Rat) SwissProt::O54968 1 SwissProt KKLEKERQEQLQKEQEKAFFAQLQLDEETGEFLPIQPAQHIQTDTSGSVSYSQVAHIPKQDALYFEDCMQLLAETFPFVDDHEVSSPTFQSLALDIPSHVESSVFTTPDQAQSLDSSLETAMTDLSSIQQDMEQVWQELFSIPELQCLNTENKQQAETTTVPSPEATLTEMDSNYHFYSSIPSLEKEVDSCSPHFLHGFEDSFSSILSTDDASQLNSLDSNPTLNTDFGDEFYSAFLAEPSGGGSMPSSAAISQSLSELLGGPIEGCDLSLCKAFNQKHTEGTVEFNDSDSGISLNTSPSRASPEHSVESSIYGDPPPGFSDSEMEELDSAPGSVKQNGPKAQPTHSSGDTVQPLSPAQGHSAAVHESQCENTTKKEVPVSP
NP_035578.3 190 507 0.81248144654088 Transcription factor SOX-9 507 0 264 318 0 Mus musculus (Mouse) SwissProt::Q04887 1 SwissProt EEATEQTHISPNAIFKALQADSPHSSSGMSEVHSPGEHSGQSQGPPTPPTTPKTDVQAGKVDLKREGRPLAEGGRQPPIDFRDVDIGELSSDVISNIETFDVNEFDQYLPPNGHPGVPATHGQVTYTGSYGISSTAPTPATAGHVWMSKQQAPPPPPQQPPQAPQAPQAPPQQQAPPQQPQAPQQQQAHTLTTLSSEPGQSQRTHIKTEQLSPSHYSEQQQHSPQQISYSPFNLPHYSPSYPPITRSQYDYADHQNSGSYYSHAAGQGSGLYSTFTYMNPAQRPMYTPIADTSGVPSIPQTHSPQHWEQPVYTQLTRP
NP_001006947.1 1 310 0.724071290322581 PF01034.20:Syndecan:246:308 syndecan-1 precursor 310 63 263 287 1 Homo sapiens NP_001006947.1 1 RefSeq MRRAALWLWLCALALSLQPALPQIVATNLPPEDQDGSGDDSDNFSGSGAGALQDITLSQQTPSTWKDTQLLTAIPTSPEPTGLEATAASTSTLPAGEGPKEGEAVVLPEVEPGLTAREQEATPRPRETTQLPTTHQASTTTATTAQEPATSHPHRDMQPGHHETSTPAGPSQADLHTPHTEDGGPSATERAAEDGASSQLPAAEGSGEQDFTFETSGENTAVVAVEPDRRNQSPVDQGATGASQGLLDRKEVLGGVIAGGLVGLIFAVCLVGFMLYRMKKKDEGSYSLEEPKQANGGAYQKPTKQEEFYA
NP_001186788.1 1 277 0.40821119133574 angiopoietin-1 isoform 2 precursor 497 0 261 277 0 Homo sapiens NP_001186788.1 1 RefSeq MTVFLSFAFLAAILTHIGCSNQRRSPENSGRRYNRIQHGQCAYTFILPEHDGNCRESTTDQYNTNALQRDAPHVEPDFSSQKLQHLEHVMENYTQWLQKLENYIVENMKSEMAQIQQNAVQNHTATMLEIGTSLLSQTAEQTRKLTDVETQVLNQTSRLEIQLLENSLSTYKLEKQLLQQTNEILKIHEKNSLLEHKILEMEGKHKEELDTLKEEKENLQGLVTRQTYIIQELEKQLNRATTNNSVLQKQQLELMDTVHNLVNLCTKEVLLKGGKRE
NP_000433.4 233 684 0.280977433628319 PF13895.6:Ig_2:95:172,PF13927.6:Ig_3:277:344,PF00047.25:ig:279:350,PF17736.1:Ig_C17orf99:183:253 Platelet endothelial cell adhesion molecule; PECAM-1; EndoCAM; GPIIA'; PECA1; CD31 antigen 738 223 260 429 1 Homo sapiens (Human) SwissProt::P16284 1 SwissProt FSTPKFHISPTGMIMEGAQLHIKCTIQVTHLAQEFPEIIIQKDKAIVAHNRHGNKAVYSVMAMVEHSGNYTCKVESSRISKVSSIVVNITELFSKPELESSFTHLDQGERLNLSCSIPGAPPANFTIQKEDTIVSQTQDFTKIASKSDSGTYICTAGIDKVVKKSNTVQIVVCEMLSQPRISYDAQFEVIKGQTIEVRCESISGTLPISYQLLKTSKVLENSTKNSNDPAVFKDNPTEDVEYQCVADNCHSHAKMLSEVLRVKVIAPVDEVQISILSSKVVESGEDIVLQCAVNEGSGPITYKFYREKEGKPFYQMTSNATQAFWTKQKASKEQEGEYYCTAFNRANHASSVPRSKILTVRVILAPWKKGLIAVVIIGVIIALLIIAAKCYFLRKAKAKQMPVEMSRPAVPLLNSNNEKMSDPNMEANSHYGHNDDVRNHAMKPINDNKEPL
XP_011531036.1 290 618 0.0462668693009118 PF00001.21:7tm_1:13:260 follicle-stimulating hormone receptor isoform X2 618 248 256 173 7 Homo sapiens XP_011531036.1 1 RefSeq VLIWFISILAITGNIIVLVILTTSQYKLTVPRFLMCNLAFADLCIGIYLLLIASVDIHTKSQYHNYAIDWQTGAGCDAAGFFTVFASELSVYTLTAITLERWHTITHAMQLDCKVQLRHAASVMVMGWIFAFAAALFPIFGISSYMKVSICLPMDIDSPLSQLYVMSLLVLNVLAFVVICGCYIHIYLTVRNPNIVSSSSDTRIAKRMAMLIFTDFLCMAPISFFAISASLKVPLITVSKAKILLVLFHPINSCANPFLYAIFTKNFRRDFFILLSKCGCYEMQAQIYRTETSSTVHNTHPRNGHCSSAPRVTSGSTYILVPLSHLAQN
XP_006498712.1 184 469 0.705295104895105 CD44 antigen isoform X5 540 0 255 263 1 Mus musculus XP_006498712.1 1 RefSeq SSGSTIEKSTPESYILHTYLPTEQPTGDQDDSFFIRSTLATTSAHNNHPSQRITTQSQEDVSWTDFFDPISHPMGQGHQTESKDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTTPQSHSQNFSTLHGEPEEDENHPTTSILPSSTKSGAKDARRGGSLPTDTTTSVEGYTFQYPDTMENGTLFPVTPAKTEVFGETEVTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSELAGHSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALILAVCIAVN
NP_002987.1 103 397 0.703904067796609 Fractalkine; C-X3-C motif chemokine 1; CX3C membrane-anchored chemokine; Neurotactin; Small-inducible cytokine D1 397 0 253 272 1 Homo sapiens (Human) SwissProt::P78423 1 SwissProt FEKQIGEVKPRTTPAAGGMDESVVLEPEATGESSSLEPTPSSQEAQRALGTSPELPTGVTGSSGTRLPPTPKAQDGGPVGTELFRVPPVSTAATWQSSAPHQPGPSLWAEAKTSEAPSTQDPSTQASTASSPAPEENAPSEGQRVWGQGQSPRPENSLEREEMGPVPAHTDAFQDWGPGSMAHVSVVPVSSEGTPSREPVASGSWTPKAEEPIHATMDPQRLGVLITPVPDAQAATRRQAVGLLAFLGLLFCLGVAMFTYQSLQGCPRKMAGEMAEGLRYIPRSCGSNSYVLVPV
P10914 114 325 0.591105188679245 Interferon regulatory factor 1; IRF-1 325 0 249 212 0 Homo sapiens (Human) SwissProt::P10914 1 SwissProt PLTKNQRKERKSKSSRDAKSKAKRKSCGDSSPDTFSDGLSSSTLPDDHSSYTVPGYMQDLEVEQALTPALSPCAVSSTLPDWHIPVEVVPDSTSDLYNFQVSPMPSTSEATTDEDEEGKLPEDIMKLLEQSEWQPTNVDGKGYLLNEPGVQPTSVYGDFSCKEEPEIDSPGGDIGLSLQRVFTDLKNMDATWLDSLLTPVRLPSIQAIPCAP
XP_011515671.1 1 414 0.576666666666666 PF15686.5:LYRIC:6:414 protein LYRIC isoform X3 565 409 248 391 1 Homo sapiens XP_011515671.1 1 RefSeq MAARSWQDELAQQAEEGSARLREMLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALGLLLLFLLGYGWAAACAGARKKRRSPPRKREEAAAVPAAAPDDLALLKNLRSEEQKKKNRKKLSEKPKPNGRTVEVAEGEAVRTPQSVTAKQPPEIDKKNEKSKKNKKKSKSDAKAVQNSSRHDGKEVDEGAWETKISHREKRQQRKRDKVLTDSGSLDSTIPGIENTITVTTEQLTTASFPVGSKKNKGDSHLNVQVSNFKSGKGDSTLQVSSGLNENLTVNGGGWNEKSVKLSSQISAGEEKWNSVSPASAGKRKTEPSAWSQDTGDANTNGKDWGRSWSDRSIFSGIAAWSSVDRGMNTSEQNSASFASLTLNSAVSGSTAEPVSQSTTSDYQWDVSRNQPYIDDEWSGLNG
NP_060098.3 1 1165 0.64088618025751 methylcytosine dioxygenase TET2 isoform b 1165 0 245 1165 0 Homo sapiens NP_060098.3 1 RefSeq MEQDRTNHVEGNRLSPFLIPSPPICQTEPLATKLQNGSPLPERAHPEVNGDTKWHSFKSYYGIPCMKGSQNSRVSPDFTQESRGYSKCLQNGGIKRTVSEPSLSGLLQIKKLKQDQKANGERRNFGVSQERNPGESSQPNVSDLSDKKESVSSVAQENAVKDFTSFSTHNCSGPENPELQILNEQEGKSANYHDKNIVLLKNKAVLMPNGATVSASSVEHTHGELLEKTLSQYYPDCVSIAVQKTTSHINAINSQATNELSCEITHPSHTSGQINSAQTSNSELPPKPAAVVSEACDADDADNASKLAAMLNTCSFQKPEQLQQQKSVFEICPSPAENNIQGTTKLASGEEFCSGSSSNLQAPGGSSERYLKQNEMNGAYFKQSSVFTKDSFSATTTPPPPSQLLLSPPPPLPQVPQLPSEGKSTLNGGVLEEHHHYPNQSNTTLLREVKIEGKPEAPPSQSPNPSTHVCSPSPMLSERPQNNCVNRNDIQTAGTMTVPLCSEKTRPMSEHLKHNPPIFGSSGELQDNCQQLMRNKEQEILKGRDKEQTRDLVPPTQHYLKPGWIELKAPRFHQAESHLKRNEASLPSILQYQPNLSNQMTSKQYTGNSNMPGGLPRQAYTQKTTQLEHKSQMYQVEMNQGQSQGTVDQHLQFQKPSHQVHFSKTDHLPKAHVQSLCGTRFHFQQRADSQTEKLMSPVLKQHLNQQASETEPFSNSHLLQHKPHKQAAQTQPSQSSHLPQNQQQQQKLQIKNKEEILQTFPHPQSNNDQQREGSFFGQTKVEECFHGENQYSKSSEFETHNVQMGLEEVQNINRRNSPYSQTMKSSACKIQVSCSNNTHLVSENKEQTTHPELFAGNKTQNLHHMQYFPNNVIPKQDLLHRCFQEQEQKSQQASVLQGYKNRNQDMSGQQAAQLAQQRYLIHNHANVFPVPDQGGSHTQTPPQKDTQKHAALRWHLLQKQEQQQTQQPQTESCHSQMHRPIKVEPGCKPHACMHTAPPENKTWKKVTKQENPPASCDNVQQKSIIETMEQHLKQFHAKSLFDHKALTLKSQKQVKVEMSGPVTVLTRQTTAAELDSHTPALEQQTTSSEKTPTKRTAASVLNNFIESPSKLLDTPIKNLLDTPVKTQYDFPSCRCVGKCQKCTETHGVYPELANLSSDMGFSFFF
NP_001166103.1 1 181 0.354230939226519 oxidized low-density lipoprotein receptor 1 isoform 2 181 0 244 158 1 Homo sapiens NP_001166103.1 1 RefSeq MTFDDLKIQTVKDQPDEKSNGKKAKGLQFLYSPWWCLAAATLGVLCLGLVVTIMVLGMQLSQVSDLLTQEQANLTHQKKKLEGQISARQQAEEASQESENELKEMIETLARKLNEKSKEQMELHHQNLNLQETLKRVANCSGLHPASNFLFQFSILDGAVSEEPQLPMALGGRFSFDAPLI
NP_031939.1 1 324 0.679342592592593 PF11928.8:DUF3446:132:217 Early growth response protein 1; EGR-1; Nerve growth factor-induced protein A; NGFI-A; Transcription factor Zif268; Zinc finger protein Krox-24 533 86 244 324 0 Mus musculus (Mouse) SwissProt::P08046 1 SwissProt MAAAKAEMQLMSPLQISDPFGSFPHSPTMDNYPKLEEMMLLSNGAPQFLGAAGTPEGSGGNSSSSTSSGGGGGGGSNSGSSAFNPQGEPSEQPYEHLTTESFSDIALNNEKAMVETSYPSQTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPTSSSSAPSPAASSSSSASQSPPLSCAVPSNDSSPIYSAAPTFPTPNTDIFPEPQSQAFPGSAGTALQYPPPAYPATKGGFQVPMIPDYLFPQQQGDLSLGTPDQKPFQGLENRTQQPSLTPLSTIKAFATQSGSQDLKALNTTYQSQLIKPSRMRKYPN
NP_000587.1 1 165 0.276213939393939 PF00219.18:IGFBP:30:84 Insulin-like growth factor-binding protein 1; IBP-1; IGF-binding protein 1; IGFBP-1; Placental protein 12; PP12 259 55 242 165 0 Homo sapiens (Human) SwissProt::P08833 1 SwissProt MSEVPVARVWLVLLLLTVQVGVTAGAPWQCAPCSAEKLALCPPVSASCSEVTRSAGCGCCPMCALPLGAACGVATARCARGLSCRALPGEQQPLHALTRGQGACVQESDASAPHAAEAGSPESPESTEITEEELLDNFHLMAPSEEDHSILWDAISTYDGSKALH
NP_937802.1 1 284 0.595773591549296 PF15951.5:MITF_TFEB_C_3_N:56:194 microphthalmia-associated transcription factor isoform 1 520 139 241 284 0 Homo sapiens NP_937802.1 1 RefSeq MQSESGIVPDFEVGEEFHEEPKTYYELKSQPLKSSSSAEHPGASKPPISSSSMTSRILLRQQLMREQMQEQERREQQQKLQAAQFMQQRVPVSQTPAINVSVPTTLPSATQVPMEVLKVQTHLENPTKYHIQQAQRQQVKQYLSTTLANKHANQVLSLPCPNQPGDHVMPPVPGSSAPNSPMAMLTLNSNCEKEGFYKFEEQNRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLYGNQGLPPPGLTISNSCPAN
NP_000154.1 295 638 0.518253488372093 PF12772.7:GHBP:22:323 Growth hormone receptor; GH receptor; Somatotropin receptor 638 302 240 344 0 Homo sapiens (Human) SwissProt::P10912 1 SwissProt MLILPPVPVPKIKGIDPDLLKEGKLEEVNTILAIHDSYKPEFHSDDSWVEFIELDIDEPDEKTEESDTDRLLSSDHEKSHSNLGVKDGDSGRTSCCEPDILETDFNANDIHEGTSEVAQPQRLKGEADLLCLDQKNQNNSPYHDACPATQQPSVIQAEKNKPQPLPTEGAESTHQAAHIQLSNPSSLSNIDFYAQVSDITPAGSVVLSPGQKNKAGMSQCDMHPEMVSLCQENFLMDNAYFCEADAKKCIPVAPHIKVESHIQPSLNQEDIYITTESLTTAAGRPGTGEHVPGSEMPVPDYTSIHIVQSPQGLILNATALPLPDKEFLSSCGYVSTDQLNKIMP
XP_016883934.1 1 541 0.177405360443623 PF01770.18:Folate_carrier:121:528 reduced folate transporter isoform X7 541 408 240 311 10 Homo sapiens XP_016883934.1 1 RefSeq MPVSLPSHLRLAAASRLQLPSAVGGRSPTSPLRSSSCRKPGPCVAGELPGLLPRRGTRGQLGQAPRGRGRIRSATPDRRDRHSVTFVPSGAARGLSRMVPSSPAVEKQVPVEPGPDPELRSWRHLVCYLCFYGFMAQIRPGESFITPYLLGPDKNFTREQVTNEITPVLSYSYLAVLVPVFLLTDYLRYTPVLLLQGLSFVSVWLLLLLGHSVAHMQLMELFYSVTMAARIAYSSYIFSLVRPARYQRVAGYSRAAVLLGVFTSSVLGQLLVTVGRVSFSTLNYISLAFLTFSVVLALFLKRPKRSLFFNRDDRGRCETSASELERMNPGPGGKLGHALRVACGDSVLARMLRELGDSLRRPQLRLWSLWWVFNSAGYYLVVYYVHILWNEVDPTTNSARVYNGAADAASTLLGAITSFAAGFVKIRWARWSKLLIAGVTATQAGLVFLLAHTRHPSSIWLCYAAFVLFRGSYQFLVPIATFQIASSLSKELCALVFGVNTFFATIVKTIITFIVSDVRGLGLPVRKQDSVVVFLDCPSIW
NP_001129071.1 77 306 0.273375652173913 PF00688.18:TGFb_propeptide:77:204 transforming growth factor beta-2 proprotein isoform 1 precursor 442 128 237 230 0 Homo sapiens NP_001129071.1 1 RefSeq LLQEKASRRAAACERERSDEEYYAKEVYKIDMPPFFPSETVCPVVTTPSGSVGSLCSRQSQVLCGYLDAIPPTFYRPYFRIVRFDVSAMEKNASNLVKAEFRVFRLQNPKARVPEQRIELYQILKSKDLTSPTQRYIDSKVVKTRAEGEWLSFDVTDAVHEWLHHKDRNLGFKISLHCPCCTFVPSNNYIIPNKSEELEARFAGIDGTSTYTSGDQKTIKSTRKKNSGKT
P03230 1 239 0.141839330543933 PF05297.11:Herpes_LMP1:1:239 Latent membrane protein 1; LMP-1; Protein p63 386 239 236 101 6 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03230 1 SwissProt MEHDLERGPPGPRRPPRGPPLSSSLGLALLLLLLALLFWLYIVMSDWTGGALLVLYSFALMLIIIILIIFIFRRDLLCPLGALCILLLMITLLLIALWNLHGQALFLGIVLFIFGCLLVLGIWIYLLEMLWRLGATIWQLLAFFLAFFLDLILLIIALYLQQNWWTLLVDLLWLLLFLAILIWMYYHGQRHSDEHHHDDSLPHPQQATDDSGHESDSNSNEGRHHLLVSGAGDGPPLCS
NP_001278667.1 1 80 0.32213625 PF14984.6:CD24:26:79 Signal transducer CD24; Small cell lung carcinoma cluster 4 antigen; CD24 antigen 80 54 234 34 2 Homo sapiens (Human) SwissProt::P25063 0 SwissProt MGRAMVARLGLGLLLLALLLPTQIYSSETTTGTSSNSSQSTSNSGLAPNPTNATTKAAGGALQSTASLFVVSLSLLHLYS
XP_011544082.1 1 245 0.226981632653061 interleukin-27 subunit alpha isoform X1 245 0 232 245 0 Homo sapiens XP_011544082.1 1 RefSeq MGPYPQVLAGTNRLSLLLLPLLLVQAGVWGFPRPPGRPQLSLQELRREFTVSLHLARKLLSEVRGQAHRFAESHLPGVNLYLLPLGEQLPDVSLTFQAWRRLSDPERLCFISTTLQPFHALLGGLGTQGRWTNMERMQLWAMRLDLRDLQRHLRFQVLAAGFNLPEEEEEEEEEEEEERKGLLPGALGSALQGPAQVSWPQLLSTYRLLHSLELVLSRAVRELLLLSKAGHSVWPLGFPTLSPQP
Q15726 1 138 0.628128985507247 PF15152.6:Kisspeptin:48:122 Metastasis-suppressor KiSS-1; Kisspeptin-1 138 75 229 138 0 Homo sapiens (Human) SwissProt::Q15726 1 SwissProt MNSLVSWQLLLFLCATHFGEPLEKVASVGNSRPTGQQLESLGLLAPGEQSLPCTERKPAATARLSRRGTSLSPPPESSGSPQQPGLSAPHSRQIPAPQGAVLVQREKDLPNYNWNSFGLRFGKREAAPGNHGRSAGRG
NP_031704.2 76 273 0.741537373737373 CCAAT/enhancer-binding protein alpha; C/EBP alpha 359 0 227 198 0 Mus musculus (Mouse) SwissProt::P53566 1 SwissProt EFLADLFQHSRQQEKAKAAAGPAGGGGDFDYPGAPAGPGGAVMSAGAHGPPPGYGCAAAGYLDGRLEPLYERVGAPALRPLVIKQEPREEDEAKQLALAGLFPYQPPPPPPPPHPHASPAHLAAPHLQFQIAHCGQTTMHLQPGHPTPPPTPVPSPHAAPALGAAGLPGPGSALKGLAGAHPDLRTGGGGGGSGAGAG
O54918 1 138 0.778126811594203 PF06773.11:Bim_N:4:40 Bcl-2-like protein 11; Bcl2-L-11; Bcl2-interacting mediator of cell death 196 37 227 138 0 Mus musculus (Mouse) SwissProt::O54918 1 SwissProt MAKQPSDVSSECDREGGQLQPAERPPQLRPGAPTSLQTEPQGNPDGEGDRCPHGSPQGPLAPPASPGPFATRSPLFIFVRRSSLLSRSSSGYFSFDTDRSPAPMSCDKSTQTPSPPCQAFNHYLSAMASIRQSQEEPE
NP_001545.2 1 228 0.146287280701754 PF00219.18:IGFBP:26:78,PF00093.18:VWC:100:163 CCN family member 1; Cellular communication network factor 1; Cysteine-rich angiogenic inducer 61; Insulin-like growth factor-binding protein 10; IBP-10; IGF-binding protein 10; IGFBP-10; Protein CYR61; Protein GIG1 381 117 225 228 0 Homo sapiens (Human) SwissProt::O00622 1 SwissProt MSSRIARALALVVTLLHLTRLALSTCPAACHCPLEAPKCAPGVGLVRDGCGCCKVCAKQLNEDCSKTQPCDHTKGLECNFGASSTALKGICRAQSEGRPCEYNSRIYQNGESFQPNCKHQCTCIDGAVGCIPLCPQELSLPNLGCPNPRLVKVTGQCCEEWVCDEDSIKDPMEDQDGLLGKELGFDASEVELTRNNELIAVGKGSSLKRLPVFGMEPRILYNPLQGQK
XP_011534672.1 82 369 0.891215277777778 PF01271.17:Granin:6:285 Chromogranin-A; CgA; Pituitary secretory protein I; SP-I 457 280 225 288 0 Homo sapiens (Human) SwissProt::P10645 1 SwissProt LALQGAKERAHQQKKHSGFEDELSEVLENQSSQAELKEAVEEPSSKDVMEKREDSKEAEKSGEATDGARPQALPEPMQESKAEGNNQAPGEEEEEEEEATNTHPPASLPSQKYPGPQAEGDSEGLSQGLVDREKGLSAEPGWQAKREEEEEEEEEAEAGEEAVPEEEGPTVVLNPHPSLGYKEIRKGESRSEALAVDGAGKPGAEEAQDPEGKGEQEHSQQKEEEEEMAVVPQGLFRGGKSGELEQEEERLSKEWEDSKRWSKMDQLAKELTAEKRLEGQEEEEDNRD
NP_006163.1 1 123 0.4622 natriuretic peptides A preproprotein 151 0 222 105 1 Homo sapiens NP_006163.1 1 RefSeq MSSFSTTTVSFLLLLAFQLLGQTRANPMYNAVSNADLMDFKNLLDHLEEKMPLEDEVVPPQVLSEPNEEAGAALSPLPEVPPWTGEVSPAQRDGGALGRGPWDSSDRSALLKSKLRALLTAPR
P01189 1 267 0.569811610486892 PF00976.18:ACTH_domain:75:91,PF00976.18:ACTH_domain:136:155,PF00976.18:ACTH_domain:219:236,PF08384.10:NPP:27:70,PF08035.11:Op_neuropeptide:237:264 Pro-opiomelanocortin; POMC; Corticotropin-lipotropin 267 127 222 267 0 Homo sapiens (Human) SwissProt::P01189 1 SwissProt MPRSCCSRSGALLLALLLQASMEVRGWCLESSQCQDLTTESNLLECIRACKPDLSAETPMFPGNGDEQPLTENPRKYVMGHFRWDRFGRRNSSSSGSSGAGQKREDVSAGEDCGPLPEGGPEPRSDGAKPGPREGKRSYSMEHFRWGKPVGKKRRPVKVYPNGAEDESAEAFPLEFKRELTGQRLREGDGPDGPADDGAGAQADLEHSLLVAAEKKDEGPYRMEHFRWGSPPKDKRYGGFMTSEKSQTPLVTLFKNAIIKNAYKKGE
NP_001710.1 1 292 0.212873630136986 PF00688.18:TGFb_propeptide:34:280 Bone morphogenetic protein 7; BMP-7; Osteogenic protein 1; OP-1; Eptotermin alfa 431 247 218 292 0 Homo sapiens (Human) SwissProt::P18075 1 SwissProt MHVRSLRAAAPHSFVALWAPLFLLRSALADFSLDNEVHSSFIHRRLRSQERREMQREILSILGLPHRPRPHLQGKHNSAPMFMLDLYNAMAVEEGGGPGGQGFSYPYKAVFSTQGPPLASLQDSHFLTDADMVMSFVNLVEHDKEFFHPRYHHREFRFDLSKIPEGEAVTAAEFRIYKDYIRERFDNETFRISVYQVLQEHLGRESDLFLLDSRTLWASEEGWLVFDITATSNHWVVNPRHNLGLQLSVETLDGQSINPKLAGLIGRHGPQNKQPFMVAFFKATEVHFRSIR
Q60793 1 382 0.630684031413612 Krueppel-like factor 4; Epithelial zinc finger protein EZF; Gut-enriched krueppel-like factor 483 0 217 382 0 Mus musculus (Mouse) SwissProt::Q60793 1 SwissProt MRQPPGESDMAVSDALLPSFSTFASGPAGREKTLRPAGAPTNRWREELSHMKRLPPLPGRPYDLAATVATDLESGGAGAACSSNNPALLARRETEEFNDLLDLDFILSNSLTHQESVAATVTTSASASSSSSPASSGPASAPSTCSFSYPIRAGGDPGVAASNTGGGLLYSRESAPPPTAPFNLADINDVSPSGGFVAELLRPELDPVYIPPQQPQPPGGGLMGKFVLKASLTTPGSEYSSPSVISVSKGSPDGSHPVVVAPYSGGPPRMCPKIKQEAVPSCTVSRSLEAHLSAGPQLSNGHRPNTHDFPLGRQLPTRTTPTLSPEELLNSRDCHPGLPLPPGFHPHPGPNYPPFLPDQMQSQVPSLHYQELMPPGSCLPEE
NP_059109.3 1 77 0.473538961038961 PF15360.6:Apelin:25:77 Apelin; APJ endogenous ligand 77 53 211 54 1 Homo sapiens (Human) SwissProt::Q9ULZ1 0 SwissProt MNLRLCVQALLLLWLSLTAVCGGSLMPLPDGNGLEDGNVRHLVQPRGSRNGPGPWQGGRRKFRRQRPRLSHKGPMPF
P02818 1 51 0.319037254901961 Osteocalcin; Bone Gla protein; BGP; Gamma-carboxyglutamic acid-containing protein 100 0 211 51 0 Homo sapiens (Human) SwissProt::P02818 1 SwissProt MRALTLLALLALAALCIAGQAGAKPSGAESSKGAAFVSKQEGSEVVKRPRR
XP_011531374.1 1 169 0.0102502958579882 PF02544.16:Steroid_dh:21:169,PF06966.12:DUF1295:62:126 3-oxo-5-alpha-steroid 4-dehydrogenase 2 isoform X2 169 149 211 77 4 Homo sapiens XP_011531374.1 0 RefSeq MRSWSLTYRTFVYSLLNRGRPYPAILILRGTAFCTGNGVLQGYYLIYCAEYPDGWYTDIRFSLGVFLFILGMGINIHSDYILRQLRKPGEISYRIPQGGLFTYVSGANFLGEIIEWIGYALATWSLPALAFAFFSLCFLGLRAFHHHRFYLKMFEDYPKSRKALIPFIF
NP_003830.1 207 616 0.549147073170732 Tumor necrosis factor receptor superfamily member 11A; Osteoclast differentiation factor receptor; ODFR; Receptor activator of NF-KB; CD265 antigen 616 0 210 387 1 Homo sapiens (Human) SwissProt::Q9Y6Q6 1 SwissProt PHVYLPGLIILLLFASVALVAAIIFGVCYRKKGKALTANLWHWINEACGRLSGDKESSGDSCVSTHTANFGQQGACEGVLLLTLEEKTFPEDMCYPDQGGVCQGTCVGGGPYAQGEDARMLSLVSKTEIEEDSFRQMPTEDEYMDRPSQPTDQLLFLTEPGSKSTPPFSEPLEVGENDSLSQCFTGTQSTVGSESCNCTEPLCRTDWTPMSSENYLQKEVDSGHCPHWAASPSPNWADVCTGCRNPPGEDCEPLVGSPKRGPLPQCAYGMGLPPEEEASRTEARDQPEDGADGRLPSSARAGAGSGSSPGGQSPASGNVTGNSNSTFISSGQVMNFKGDIIVVYVSQTSQEGAAAAAEPMGRPVQEETLARRDSFAGNGPRFPDPCGGPEGLREPEKASRPVQEQGGAKA
P29268 1 196 0.0853877551020408 PF00219.18:IGFBP:28:81,PF00093.18:VWC:102:165 CCN family member 2; Cellular communication network factor 2; Connective tissue growth factor; Hypertrophic chondrocyte-specific protein 24; Protein FISP-12 348 118 210 196 0 Mus musculus (Mouse) SwissProt::P29268 1 SwissProt MLASVAGPISLALVLLALCTRPAMGQDCSAQCQCAAEAAPHCPAGVSLVLDGCGCCRVCAKQLGELCTERDPCDPHKGLFCDFGSPANRKIGVCTAKDGAPCVFGGSVYRSGESFQSSCKYQCTCLDGAVGCVPLCSMDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKDRTAVGPALAAYRLEDTFGPDPTMMRA
NP_001123645.1 316 761 0.541805156950672 PF09316.10:Cmyb_C:203:366 transcriptional activator Myb isoform 1 761 164 209 446 0 Homo sapiens NP_001123645.1 1 RefSeq PTQNHTCSYPGWHSTTIADHTRPHGDSAPVSCLGEHHSTPSLPADPGSLPEESASPARCMIVHQGTILDNVKNLLEFAETLQFIDSDSSSWCDLSSFEFFEEADFSPSQHHTGKALQLQQREGNGTKPAGEPSPRVNKRMLSESSLDPPKVLPPARHSTIPLVILRKKRGQASPLATGDCSSFIFADVSSSTPKRSPVKSLPFSPSQFLNTSSNHENSDLEMPSLTSTPLIGHKLTVTTPFHRDQTVKTQKENTVFRTPAIKRSILESSPRTPTPFKHALAAQEIKYGPLKMLPQTPSHLVEDLQDVIKQESDESGIVAEFQENGPPLLKKIKQEVESPTDKSGNFFCSHHWEGDSLNTQLFTQTSPVADAPNILTSSVLMAPASEDEDNVLKAFTVPKNRSLASPLQPCSSTWEPASCGKMEEQMTSSSQARKYVNAFSARTLVM
XP_011513380.1 147 364 0.515811009174312 DNA-binding protein Ikaros isoform X15 404 0 209 218 0 Homo sapiens XP_011513380.1 1 RefSeq DLCKIGSERSLVLDRLASNVAKRDKGLSDTPYDSSASYEKENEMMKSHVMDQAINNAINYLGAESLRPLVQTPPGGSEVVPVISPMYQLHKPLAEGTPRSNHSAQDSAVENLLLLSKAKLVPSEREASPSNSCQDSTDTESNNEEQRSGLIYLTNHIAPHARNGLSLKEEHRAYDLLRAASENSQDALRVVSTSGEQMKVYKCEHCRVLFLDHVMYTI
NP_006465.3 1 238 0.435439915966387 PF05808.11:Podoplanin:77:237 podoplanin isoform a 238 161 208 215 1 Homo sapiens NP_006465.3 1 RefSeq MLTPLGKFSTAKFAVRLPRVWEARAPSLSGAPAPTPPAPPPSRSSRLGLWPRCFLIFPQLRILLLGPQESNNSTGTMWKVSALLFVLGSASLWVLAEGASTGQPEDDTETTGLEGGVAMPGAEDDVVTPGTSEDRYKSGLTTLVATSVNSVTGIRIEDLPTSESTVHAQEQSPSATASNVATSHSTEKVDGDTQTTVEKDGLSTVTLVGIIVGVLLAIGFIGAIIVVVMRKMSGRYSP
NP_001073007.1 123 376 0.447340551181103 X-box-binding protein 1 isoform XBP1(S) 376 0 207 254 0 Homo sapiens NP_001073007.1 1 RefSeq THGLVVENQELRQRLGMDALVAEEEAEAKGNEVRPVAGSAESAAGAGPVVTPPEHLPMDSGGIDSSDSESDILLGILDNLDPVMFFKCPSPEPASLEELPEVYPEGPSSLPASLSLSVGTSSAKLEAINELIRFDHIYTKPLVLEIPSETESQANVVVKIEEAPLSPSENDHPEFIVSVKEEPVEDDLVPELGISNLLSSSHCPKPSSCLLDAYSDCGYGGSLSPFSDMSSLLGVNHSWEDTFANELFPQLISV
NP_067463.2 1 75 0.557696 PF04644.12:Motilin_ghrelin:24:51 Appetite-regulating hormone; Growth hormone secretagogue; Growth hormone-releasing peptide; Motilin-related peptide; Protein M46 117 28 206 75 0 Mus musculus (Mouse) SwissProt::Q9EQX0 1 SwissProt MLSSGTICSLLLLSMLWMDMAMAGSSFLSPEHQKAQQRKESKKPPAKLQPRALEGWLHPEDRGQAEETEEELEIR
NP_001093137.1 1 107 0.438831775700935 Age-related maculopathy susceptibility protein 2 107 0 205 107 0 Homo sapiens (Human) SwissProt::P0C7Q2 1 SwissProt MLRLYPGPMVTEAEGKGGPEMASLSSSVVPVSFISTLRESVLDPGVGGEGASDKQRSKLSLSHSMIPAAKIHTELCLPAFFSPAGTQRRFQQPQHHLTLSIIHTAAR
NP_001300908.1 389 1129 0.286071659919028 sterol regulatory element-binding protein 1 isoform b 1129 0 205 695 2 Mus musculus NP_001300908.1 1 RefSeq VSACGSGGGTDVSMEGMKPEVVETLTPPPSDAGSPSQSSPLSFGSRASSSGGSDSEPDSPAFEDSQVKAQRLPSHSRGMLDRSRLALCVLAFLCLTCNPLASLFGWGILTPSDATGTHRSSGRSMLEAESRDGSNWTQWLLPPLVWLANGLLVLACLALLFVYGEPVTRPHSGPAVHFWRHRKQADLDLARGDFPQAAQQLWLALQALGRPLPTSNLDLACSLLWNLIRHLLQRLWVGRWLAGQAGGLLRDRGLRKDARASARDAAVVYHKLHQLHAMGKYTGGHLAASNLALSALNLAECAGDAISMATLAEIYVAAALRVKTSLPRALHFLTRFFLSSARQACLAQSGSVPLAMQWLCHPVGHRFFVDGDWAVHGAPPESLYSVAGNPVDPLAQVTRLFREHLLERALNCIAQPSPGAADGDREFSDALGYLQLLNSCSDAAGAPACSFSVSSSMAATTGPDPVAKWWASLTAVVIHWLRRDEEAAERLYPLVEHIPQVLQDTERPLPRAALYSFKAARALLDHRKVESSPASLAICEKASGYLRDSLASTPTGSSIDKAMQLLLCDLLLVARTSLWQRQQSPASVQVAHGTSNGPQASALELRGFQHDLSSLRRLAQSFRPAMRRVFLHEATARLMAGASPARTHQLLDRSLRRRAGSSGKGGTTAELEPRPTWREHTEALLLASCYLPPAFLSAPGQRMSMLAEAARTVEKLGDHRLLLDCQQMLLRLGGGTTVTSS
NP_000114.2 124 746 0.685708667736757 DNA repair protein complementing XP-G cells 1186 0 204 623 0 Homo sapiens NP_000114.2 1 RefSeq RSKRDEALPSLTQVRRENDLYVLPPLQEEEKHSSEEEDEKEWQERMNQKQALQEEFFHNPQAIDIESEDFSSLPPEVKHEILTDMKEFTKRRRTLFEAMPEESDDFSQYQLKGLLKKNYLNQHIEHVQKEMNQQHSGHIRRQYEDEGGFLKEVESRRVVSEDTSHYILIKGIQAKTVAEVDSESLPSSSKMHGMSFDVKSSPCEKLKTEKEPDATPPSPRTLLAMQAALLGSSSEEELESENRRQARGRNAPAAVDEGSISPRTLSAIKRALDDDEDVKVCAGDDVQTGGPGAEEMRINSSTENSDEGLKVRDGKGIPFTATLASSSVNSAEEHVASTNEGREPTDSVPKEQMSLVHVGTEAFPISDESMIKDRKDRLPLESAVVRHSDAPGLPNGRELTPASPTCTNSVSKNETHAEVLEQQNELCPYESKFDSSLLSSDDETKCKPNSASEVIGPVSLQETSSIVSVPSEAVDNVENVVSFNAKEHENFLETIQEQQTTESAGQDLISIPKAVEPMEIDSEESESDGSFIEVQSVISDEELQAEFPETSKPPSEQGEEELVGTREGEAPAESESLLRDNSERDDVDGEPQEAEKDAEDSLHEWQDINLEELETLESNLLAQ
NP_112281.1 1 144 0.526730555555555 Corticoliberin; Corticotropin-releasing factor; CRF; Corticotropin-releasing hormone 187 0 204 144 0 Rattus norvegicus (Rat) SwissProt::P01143 1 SwissProt MRLRLLVSAGMLLVALSPCLPCRALLSRGSVSGAPRAPQPLNFLQPEQPQQPQPILIRMGEEYFLRLGNLNRSPAARLSPNSTPLTAGRGSRPSHDQAAANFFRVLLQQLQMPQRPLDSSTELAERGAEDALGGHQGALERERR
NP_006608.1 314 1621 0.804351452599387 Nestin 1621 0 202 1308 0 Homo sapiens P48681 1 SwissProt/TReMBL QTPGGGSKTSLSFQDPKLELQFPRTPEGRRLGSLLPVLSPTSLPSPLPATLETPVPAFLKNQEFLQARTPTLASTPIPPTPQAPSPAVDAEIRAQDAPLSLLQTQGGRKQAPEPLRAEARVAIPASVLPGPEEPGGQRQEASTGQSPEDHASLAPPLSPDHSSLEAKDGESGGSRVFSICRGEGEGQIWGLVEKETAIEGKVVSSLQQEIWEEEDLNRKEIQDSQVPLEKETLKSLGEEIQESLKTLENQSHETLERENQECPRSLEEDLETLKSLEKENKELLKDVEVVRPLEKEAVGQLKPTGKEDTQTLQSLQKENQELMKSLEGNLETFLFPGTENQELVSSLQENLESLTALEKENQEPLRSPEVGDEEALRPLTKENQEPLRSLEDENKEAFRSLEKENQEPLKTLEEEDQSIVRPLETENHKSLRSLEEQDQETLRTLEKETQQRRRSLGEQDQMTLRPPEKVDLEPLKSLDQEIARPLENENQEFLKSLKEESVEAVKSLETEILESLKSAGQENLETLKSPETQAPLWTPEEINQGAMNPLEKEIQEPLESVEVNQETFRLLEEENQESLRSLGAWNLENLRSPEEVDKESQRNLEEEENLGKGEYQESLRSLEEEGQELPQSADVQRWEDTVEKDQELAQESPPGMAGVENEDEAELNLREQDGFTGKEEVVEQGELNATEEVWIPGEGHPESPEPKEQRGLVEGASVKGGAEGLQDPEGQSQQVGAPGLQAPQGLPEAIEPLVEDDVAPGGDQASPEVMLGSEPAMGESAAGAEPGPGQGVGGLGDPGHLTREEVMEPPLEEESLEAKRVQGLEGPRKDLEEAGGLGTEFSELPGKSRDPWEPPREGREESEAEAPRGAEEAFPAETLGHTGSDAPSPWPLGSEEAEEDVPPVLVSPSPTYTPILEDAPGPQPQAEGSQEASWGVQGRAEALGKVESEQEELGSGEIPEGPQEEGEESREESEEDELGETLPDSTPLGFYLRSPTSPRWDPTGEQRPPPQGETGKEGWDPAVLASEGLEAPPSEKEEGEEGEEECGRDSDLSEEFEDLGTEAPFLPGVPGEVAEPLGQVPQLLLDPAAWDRDGESDGFADEEESGEEGEEDQEEGREPGAGRWGPGSSVGSLQALSSSQRGEFLESDSVSVSVPWDDSLRGAVAGAPKTALETESQDSAEPSGSEEESDPVSLEREDKVPGPLEIPSGMEDAGPGADIIGVNGQGPNLEGKSQHVNGGVMNGLEQSEEVGQGMPLVSEGDRGSPFQEEEGSALKTSWAGAPVHLGQGQFLKFTQREGDRESWSSGED
P03129 1 51 0.85783137254902 PF00527.18:E7:3:50 Protein E7 98 48 201 51 0 Human papillomavirus type 16 SwissProt::P03129 1 SwissProt MHGDTPTLHEYMLDLQPETTDLYCYEQLNDSSEEEDEIDGPAGQAEPDRAH
XP_011520287.1 1 1413 0.755818612880395 TP53-binding protein 1 isoform X1 1927 0 200 1413 0 Homo sapiens XP_011520287.1 1 RefSeq MPGEQMDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEDDSGSHFSMLSRHLPNLQTHKENPVLDVVSNPEQTAGEERGDGNSGFNEHLKENKVADPVDSSNLDTCGSISQVIEQLPQPNRTSSVLGMSVESAPAVEEEKGEELEQKEKEKEEDTSGNTTHSLGAEDTASSQLGFGVLELSQSQDVEENTVPYEVDKEQLQSVTTNSGYTRLSDVDANTAIKHEEQSNEDIPIAEQSSKDIPVTAQPSKDVHVVKEQNPPPARSEDMPFSPKASVAAMEAKEQLSAQELMESGLQIQKSPEPEVLSTQEDLFDQSNKTVSSDGCSTPSREEGGCSLASTPATTLHLLQLSGQRSLVQDSLSTNSSDLVAPSPDAFRSTPFIVPSSPTEQEGRQDKPMDTSVLSEEGGEPFQKKLQSGEPVELENPPLLPESTVSPQASTPISQSTPVFPPGSLPIPSQPQFSHDIFIPSPSLEEQSNDGKKDGDMHSSSLTVECSKTSEIEPKNSPEDLGLSLTGDSCKLMLSTSEYSQSPKMESLSSHRIDEDGENTQIEDTEPMSPVLNSKFVPAENDSILMNPAQDGEVQLSQNDDKTKGDDTDTRDDISILATGCKGREETVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMEIKEHHPEEGSSGSEVEEIPETPCESQGEELKEENMESVPLHLSLTETQSQGLCLQKEMPKKECSEAMEVETSVISIDSPQKLAILDQELEHKEQEAWEEATSEDSSVVIVDVKEPSPRVDVSCEPLEGVEKCSDSQSWEDIAPEIEPCAENRLDTKEEKSVEYEGDLKSGTAETEPVEQDSSQPSLPLVRADDPLRLDQELQQPQTQEKTSNSLTEDSKMANAKQLSSDAEAQKLGKPSAHASQSFCESSSETPFHFTLPKEGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTIATSDVMSESMVETHDPILGSGKGDSGAAPDVDDKLCLRMKLVSPETEASEESLQFNLEKPATGERKNGSTAVAESVASPQKTMSVLSCICEARQENEARSEDPPTTPIRGNLLHFPSSQGEEEKEKLEGDHTIRQSQQPMKPISPVKDPVSPASQKMVIQGPSSPQGEAMVTDVLEDQKEGRSTNKENPSKALIERPSQNNIGIQTMECSLRVPETVSAATQTIKNVCEQGTSTVDQNFGKQDATVQTERGSGEKPVSAPGDDTESLHSQGEEEFDMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGTEVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSLHRTSSGTSLSAMHSSGSSGKGAGPLRGKTSGTEPADFALPSSRGGPGKLRETAVPGPLGIEDISPNLSPDDKSFSRVVPRVPDSTRRTDVGAGALR
XP_006527831.1 968 2973 0.366724875373879 PF00435.21:Spectrin:75:180,PF00435.21:Spectrin:189:290,PF00435.21:Spectrin:599:702,PF00435.21:Spectrin:706:803,PF00435.21:Spectrin:904:1006,PF00435.21:Spectrin:1132:1235,PF00435.21:Spectrin:1240:1342,PF00435.21:Spectrin:1491:1595,PF00435.21:Spectrin:1602:1704,PF00435.21:Spectrin:1708:1818 dystrophin isoform X2 3688 1039 196 2006 0 Mus musculus XP_006527831.1 1 RefSeq IMEERLGKLQALQSSLKEQQNGFNYLSDTVKEMAKKAPSEICQKYLSEFEEIEGHWKKLSSQLVESCQKLEEHMNKLRKFQNHIKTLQKWMAEVDVFLKEEWPALGDAEILKKQLKQCRLLVGDIQTIQPSLNSVNEGGQKIKSEAELEFASRLETELRELNTQWDHICRQVYTRKEALKAGLDKTVSLQKDLSEMHEWMTQAEEEYLERDFEYKTPDELQTAVEEMKRAKEEALQKETKVKLLTETVNSVIAHAPPSAQEALKKELETLTTNYQWLCTRLNGKCKTLEEVWACWHELLSYLEKANKWLNEVELKLKTMENVPAGPEEITEVLESLENLMHHSEENPNQIRLLAQTLTDGGVMDELINEELETFNSRWRELHEEAVRKQKLLEQSIQSAQEIEKSLHLIQESLEFIDKQLAAYITDKVDAAQMPQEAQKIQSDLTSHEISLEEMKKHNQGKDANQRVLSQIDVAQKKLQDVSMKFRLFQKPANFEQRLEESKMILDEVKMHLPALETKSVEQEVIQSQLSHCVNLYKSLSEVKSEVEMVIKTGRQIVQKKQTENPKELDERVTALKLHYNELGAKVTERKQQLEKCLKLSRKMRKEMNVLTEWLAATDTELTKRSAVEGMPSNLDSEVAWGKATQKEIEKQKAHLKSVTELGESLKMVLGKKETLVEDKLSLLNSNWIAVTSRVEEWLNLLLEYQKHMETFDQNIEQITKWIIHADELLDESEKKKPQQKEDILKRLKAEMNDMRPKVDSTRDQAAKLMANRGDHCRKVVEPQISELNRRFAAISHRIKTGKASIPLKELEQFNSDIQKLLEPLEAEIQQGVNLKEEDFNKDMSEDNEGTVNELLQRGDNLQQRITDERKREEIKIKQQLLQTKHNALKDLRSQRRKKALEISHQWYQYKRQADDLLKCLDEIEKKLASLPEPRDERKLKEIDRELQKKKEELNAVRRQAEGLSENGAAMAVEPTQIQLSKRWRQIESNFAQFRRLNFAQIHTLHEETMVVTTEDMPLDVSYVPSTYLTEISHILQALSEVDHLLNTPELCAKDFEDLFKQEESLKNIKDNLQQISGRIDIIHKKKTAALQSATSMEKVKVQEAVAQMDFQGEKLHRMYKERQGRFDRSVEKWRHFHYDMKVFNQWLNEVEQFFKKTQNPENWEHAKYKWYLKELQDGIGQRQAVVRTLNATGEEIIQQSSKTDVNILQEKLGSLSLRWHDICKELAERRKRIEEQKNVLSEFQRDLNEFVLWLEEADNIAITPLGDEQQLKEQLEQVKLLAEELPLRQGILKQLNETGGAVLVSAPIRPEEQDKLEKKLKQTNLQWIKVSRALPEKQGELEVHLKDFRQLEEQLDHLLLWLSPIRNQLEIYNQPSQAGPFDIKEIEVTVHGKQADVERLLSKGQHLYKEKPSTQPVKRKLEDLRSEWEAVNHLLRELRTKQPDRAPGLSTTGASASQTVTLVTQSVVTKETVISKLEMPSSLLLEVPALADFNRAWTELTDWLSLLDRVIKSQRVMVGDLEDINEMIIKQKATLQDLEQRRPQLEELITAAQNLKNKTSNQEARTIITDRIERIQIQWDEVQEQLQNRRQQLNEMLKDSTQWLEAKEEAEQVIGQVRGKLDSWKEGPHTVDAIQKKITETKQLAKDLRQRQISVDVANDLALKLLRDYSADDTRKVHMITENINTSWGNIHKRVSEQEAALEETHRLLQQFPLDLEKFLSWITEAETTANVLQDASRKEKLLEDSRGVRELMKPWQDLQGEIETHTDIYHNLDENGQKILRSLEGSDEAPLLQRRLDNMNFKWSELQKKSLNIRSHLEASSDQWKRLHLSLQELLVWLQLKDDELSRQAPIGGDFPAVQKQNDIHRAFKRELKTKEPVIMSTLETVRIFLTEQPLEGLEKLYQEPRELPPEERAQNVTRLLRKQAEEVNAEWDKLNLRSADWQRKIDEALERLQELQEAADELDLKLRQAEVIKGSWQPVGDLLIDSLQDHLEKVKALRGEIAPL
XP_011237699.1 1 201 0.462094029850746 transcription factor PU.1 isoform X1 311 0 196 201 0 Mus musculus XP_011237699.1 1 RefSeq MTRTTATRIPMGLKSNTKDPASFLYPFSVVKINYEASLGYIVRSRLTRLAWSQLPSDDLVTYDSELYQRPMHDYYSFVGSDGESHSDHYWDFSAHHVHNNEFENFPENHFTELQSVQPPQLQQLYRHMELEQMHVLDTPMVPPHTGLSHQVSYMPRMCFPYQTLSPAHQQSSDEEEGERQSPPLEVSDGEADGLEPGPGLL
NP_001181986.1 1 169 0.754305325443787 PF07716.15:bZIP_2:103:151 DNA damage-inducible transcript 3 protein; DDIT-3; C/EBP zeta; C/EBP-homologous protein; CHOP; C/EBP-homologous protein 10; CHOP-10; CCAAT/enhancer-binding protein homologous protein; Growth arrest and DNA damage-inducible protein GADD153 169 49 195 169 0 Homo sapiens (Human) SwissProt::P35638 1 SwissProt MAAESLPFSFGTLSSWELEAWYEDLQEVLSSDENGGTYVSPPGNEEEESKIFTTLDPASLAWLTEEEPEPAEVTSTSQSPHSPDSSQSSLAQEEEEEDQGRTRKRKQSGHSPARAGKQRMKEKEQENERKVAQLAEENERLKQEIERLTREVEATRRALIDRMVNLHQA
XP_016870273.1 1 1589 0.254877155443676 PF00084.20:Sushi:1247:1301,PF00084.20:Sushi:1308:1370,PF00084.20:Sushi:1377:1433,PF13385.6:Laminin_G_3:103:268,PF05572.13:Peptidase_M43:523:659 pappalysin-1 isoform X1 1589 478 194 1589 0 Homo sapiens XP_016870273.1 1 RefSeq MRLWSWVLHLGLLSAALGCGLAERPRRARRDPRAGRPPRPAAGPATCATRAARGRRASPPPPPPPGGAWEAVRVPRRRQQREARGATEEPSPPSRALYFSGRGEQLRLRADLELPRDAFTLQVWLRAEGGQRSPAVITGLYDKCSYISRDRGWVVGIHTISDQDNKDPRYFFSLKTDRARQVTTINAHRSYLPGQWVYLAATYDGQFMKLYVNGAQVATSGEQVGGIFSPLTQKCKVLMLGGSALNHNYRGYIEHFSLWKVARTQREILSDMETHGAHTALPQLLLQENWDNVKHAWSPMKDGSSPKVEFSNAHGFLLDTSLEPPLCGQTLCDNTEVIASYNQLSSFRQPKVVRYRVVNLYEDDHKNPTVTREQVDFQHHQLAEAFKQYNISWELDVLEVSNSSLRRRLILANCDISKIGDENCDPECNHTLTGHDGGDCRHLRHPAFVKKQHNGVCDMDCNYERFNFDGGECCDPEITNVTQTCFDPDSPHRAYLDVNELKNILKLDGSTHLNIFFAKSSEEELAGVATWPWDKEALMHLGGIVLNPSFYGMPGHTHTMIHEIGHSLGLYHVFRGISEIQSCSDPCMETEPSFETGDLCNDTNPAPKHKSCGDPGPGNDTCGFHSFFNTPYNNFMSYADDDCTDSFTPNQVARMHCYLDLVYQGWQPSRKPAPVALAPQVLGHTTDSVTLEWFPPIDGHFFERELGSACHLCLEGRILVQYASNASSPMPCSPSGHWSPREAEGHPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEPQGCYLELEFLYPLVPESLTIWVTFVSTDWDSSGAVNDIKLLAVSGKNISLGPQNVFCDVPLTIRLWDVGEEVYGIQIYTLDEHLEIDAAMLTSTADTPLCLQCKPLKYKVVRDPPLQMDVASILHLNRKFVDMDLNLGSVYQYWVITISGTEESEPSPAVTYIHGSGYCGDGIIQKDQGEQCDDMNKINGDGCSLFCRQEVSFNCIDEPSRCYFHDGDGVCEEFEQKTSIKDCGVYTPQGFLDQWASNASVSHQDQQCPGWVIIGQPAASQAYFSQPMVAAAVIVHLVTDGTYYGDQKQETISVQLLDTKDQSHDLGLHVLSCRNNPLIIPVVHDLSQPFYHSQAVRVSFSSPLVAISGVALRSFDNFDPVTLSSCQRGETYSPAEQSCVHFACEKTDCPELAVENASLNCSSSDRYHGAQCTVSCRTGYVLQIRRDDELIKSQTGPSVTVTCTEGKWNKQVACEPVDCSIPDHHQVYAASFSCPEGTTFGSQCSFQCRHPAQLKGNNSLLTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSFCKYKCKPGYHVPGSSRKSKKRAFKTQCTQDGSWQEGACVPVTCDPPPPKFHGLYQCTNGFQFNSECRIKCEDSDASQGLGSNVIHCRKDGTWNGSFHVCQEMQGQCSVPNELNSNLKLQCPDGYAIGSECATSCLDHNSESIILPMNVTVRDIPHWLNPTRVERVVCTAGLKWYPHPALIHCVKGCEPFMGDNYCDAINNRAFCNYDGGDCCTSTVKTKKVTPFPMSCDLQGDCACRDPQAQEHSRKDLRGYSHG
NP_005222.2 1 484 0.595638429752066 PF02218.15:HS1_rep:83:117,PF02218.15:HS1_rep:120:155,PF02218.15:HS1_rep:157:192,PF02218.15:HS1_rep:194:228,PF02218.15:HS1_rep:231:265,PF02218.15:HS1_rep:268:303,PF02218.15:HS1_rep:305:331 Src substrate cortactin; Amplaxin; Oncogene EMS1 550 240 193 484 0 Homo sapiens (Human) SwissProt::Q14247 1 SwissProt MWKASAGHAVSIAQDDAGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRENVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDKSAVGHEYQSKLSKHCSQVDSVRGFGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQADRVDKSAVGFDYQGKTEKHESQRDYSKGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYVKGFGGKFGVQTDRQDKCALGWDHQEKLQLHESQKDYKTGFGGKFGVQSERQDSAAVGFDYKEKLAKHESQQDYSKGFGGKYGVQKDRMDKNASTFEDVTQVSSAYQKTVPVEAVTSKTSNIRANFENLAKEKEQEDRRKAEAERAQRMAKERQEQEEARRKLEEQARAKTQTPPVSPAPQPTEERLPSSPVYEDAASFKAELSYRGPVSGTEPEPVYSMEAADYREASSQQGLAYATEAVYESAEAPGHYPAEDS 1
NP_001165412.1 56 153 0.393272448979592 PF16066.5:DUF4808:20:67 fibronectin type III domain-containing protein 5 isoform 1 153 48 192 75 1 Homo sapiens NP_001165412.1 1 RefSeq MASKNKDEVTMKEMGRNQQLRTGEVLIIVVVLFMWAGVIALFCRQYDIIKDNEPNNNKEKTKSASETSTPEHQGGGLLRSKVRARPGPGWATLCLMLW
NP_477311.1 1 470 0.51694914893617 PF00688.18:TGFb_propeptide:221:444 Protein decapentaplegic; Protein DPP-C 588 224 192 470 0 Drosophila melanogaster (Fruit fly) SwissProt::P07713 1 SwissProt MRAWLLLLAVLATFQTIVRVASTEDISQRFIAAIAPVAAHIPLASASGSGSGRSGSRSVGASTSTALAKAFNPFSEPASFSDSDKSHRSKTNKKPSKSDANRQFNEVHKPRTDQLENSKNKSKQLVNKPNHNKMAVKEQRSHHKKSHHHRSHQPKQASASTESHQSSSIESIFVEEPTLVLDREVASINVPANAKAIIAEQGPSTYSKEALIKDKLKPDPSTLVEIEKSLLSLFNMKRPPKIDRSKIIIPEPMKKLYAEIMGHELDSVNIPKPGLLTKSANTVRSFTHKDSKIDDRFPHHHRFRLHFDVKSIPADEKLKAAELQLTRDALSQQVVASRSSANRTRYQVLVYDITRVGVRGQREPSYLLLDTKTVRLNSTDTVSLDVQPAVDRWLASPQRNYGLLVEVRTVRSLKPAPHHHVRLRRSADEAHERWQHKQPLLFTYTDDGRHKARSIRDVSGGEGGGKGGRN
NP_001166282.1 1 320 0.05337375 PF01545.21:Cation_efflux:28:222 zinc transporter 8 isoform b 320 195 185 185 6 Homo sapiens NP_001166282.1 1 RefSeq MYHCHSGSKPTEKGANEYAYAKWKLCSASAICFIFMIAEVVGGHIAGSLAVVTDAAHLLIDLTSFLLSLFSLWLSSKPPSKRLTFGWHRAEILGALLSILCIWVVTGVLVYLACERLLYPDYQIQATVMIIVSSCAVAANIVLTVVLHQRCLGHNHKEVQANASVRAAFVHALGDLFQSISVLISALIIYFKPEYKIADPICTFIFSILVLASTITILKDFSILLMEGVPKSLNYSGVKELILAVDGVLSVHSLHIWSLTMNQVILSAHVATAASRDSQVVRREIAKALSKSFTMHSLTIQMESPVDQDPDCLFCEDPCD
O95997 1 202 0.493484653465347 PF04856.13:Securin:1:177 Securin; Esp1-associated protein; Pituitary tumor-transforming gene 1 protein; Tumor-transforming protein 1; hPTTG 202 177 184 202 0 Homo sapiens (Human) SwissProt::O95997 1 SwissProt MATLIYVDKENGEPGTRVVAKDGLKLGSGPSIKALDGRSQVSTPRFGKTFDAPPALPKATRKALGTVNRATEKSVKTKGPLKQKQPSFSAKKMTEKTVKAKSSVPASDDAYPEIEKFFPFNPLDFESFDLPEEHQIAHLPLSGVPLMILDEERELEKLFQLGPPSPVKMPSPPWESNLLQSPSSILSTLDVELPPVCCDIDI
NP_036683.1 1 299 0.685141137123746 PF11928.8:DUF3446:107:192 Early growth response protein 1; EGR-1; Nerve growth factor-induced protein A; NGFI-A; Transcription factor Zif268; Zinc finger protein Krox-24 508 86 183 299 0 Rattus norvegicus (Rat) SwissProt::P08154 1 SwissProt MDNYPKLEEMMLLSNGAPQFLGAAGTPEGSGGNNSSSSSSSSSGGGGGGGSNSGSSAFNPQGEPSEQPYEHLTTESFSDIALNNEKALVETSYPSQTTRLPPITYTGRFSLEPAPNSGNTLWPEPLFSLVSGLVSMTNPPTSSSSAPSPAASSSSSASQSPPLSCAVPSNDSSPIYSAAPTFPTPNTDIFPEPQSQAFPGSAGTALQYPPPAYPATKGGFQVPMIPDYLFPQQQGDLSLGTPDQKPFQGLENRTQQPSLTPLSTIKAFATQSGSQDLKALNNTYQSQLIKPSRMRKYPN
NP_034700.2 263 1231 0.753702063983486 insulin receptor substrate 1 1231 0 182 969 0 Mus musculus NP_034700.2 1 RefSeq SKSQSSSSCSNPISVPLRRHHLNNPPPSQVGLTRRSRTESITATSPASMVGGKPGSFRVRASSDGEGTMSRPASVDGSPVSPSTNRTHAHRHRGSSRLHPPLNHSRSIPMPSSRCSPSATSPVSLSSSSTSGHGSTSDCLFPRRSSASVSGSPSDGGFISSDEYGSSPCDFRSSFRSVTPDSLGHTPPARGEEELSNYICMGGKGASTLAAPNGHYILSRGGNGHRYIPGANLGTSPALPGDEAAGAADLDNRFRKRTHSAGTSPTISHQKTPSQSSVASIEEYTEMMPAAYPPGGGSGGRLPGYRHSAFVPTHSYPEEGLEMHHLERRGGHHRPDTSNLHTDDGYMPMSPGVAPVPSNRKGNGDYMPMSPKSVSAPQQIINPIRRHPQRVDPNGYMMMSPSGSCSPDIGGGSSSSSSISAAPSGSSYGKPWTNGVGGHHTHALPHAKPPVESGGGKLLPCTGDYMNMSPVGDSNTSSPSECYYGPEDPQHKPVLSYYSLPRSFKHTQRPGEPEEGARHQHLRLSSSSGRLRYTATAEDSSSSTSSDSLGGGYCGARPESSLTHPHHHVLQPHLPRKVDTAAQTNSRLARPTRLSLGDPKASTLPRVREQQQQQQSSLHPPEPKSPGEYVNIEFGSGQPGYLAGPATSRSSPSVRCPPQLHPAPREETGSEEYMNMDLGPGRRATWQESGGVELGRIGPAPPGSATVCRPTRSVPNSRGDYMTMQIGCPRQSYVDTSPVAPVSYADMRTGIAAEKASLPRPTGAAPPPSSTASSSVTPQGATAEQATHSSLLGGPQGPGGMSAFTRVNLSPNHNQSAKVIRADTQGCRRRHSSETFSAPTRAGNTVPFGAGAAVGGSGGGGGGGSEDVKRHSSASFENVWLRPGDLGGVSKESAPVCGAAGGLEKSLNYIDLDLAKERSQDCPSQQQSLPPPPPHQPLGSNEGNSPRRSSEDLSNYASISFQKQPEDRQ
XP_011540743.1 1 594 0.406000841750842 PF00020.18:TNFR_c6:108:149 tumor necrosis factor receptor superfamily member 8 isoform X1 594 42 181 571 1 Homo sapiens XP_011540743.1 1 RefSeq MRVLLAALGLLFLGALRAFPQDRPFEDTCHGNPSHYYDKAVRRCCYRCPMGLFPTQQCPQRPTDCRKQCEPDYYLDEADRCTACVTCSRDDLVEKTPCAWNSSRVCECRPGMFCSTSAVNSCARCFFHSVCPAGMIVKFPGTAQKNTVCEPASPGVSPACASPENCKEPSSGTIPQAKPTPVSPATSSASTMPVRGGTRLAQEAASKLTRAPDSPSSVGRPSSDPGLSPTQPCPEGSGDCRKQCEPDYYLDEAGRCTACVSCSRDDLVEKTPCAWNSSRTCECRPGMICATSATNSCARCVPYPICAAETVTKPQDMAEKDTTFEAPPLGTQPDCNPTPENGEAPASTSPTQSLLVDSQASKTLPIPTSAPVALSSTGKPVLDAGPVLFWVILVLVVVVGSSAFLLCHRRACRKRIRQKLHLCYPVQTSQPKLELVDSRPRRSSTLRSGASVTEPVAEERGLMSQPLMETCHSVGAAYLESLPLQDASPAGGPSSPRDLPEPRVSTEHTNNKIEKIYIMKADTVIVGTVKAELPEGRGLAGPAEPELEEELEADHTPHYPEQETEPPLGSCSDVMLSVEEEGKEDPLPTAASGK
O43918 1 287 0.5189 PF03172.13:HSR:4:102,PF01342.21:SAND:204:244 Autoimmune regulator; Autoimmune polyendocrinopathy candidiasis ectodermal dystrophy protein; APECED protein 545 140 177 287 0 Homo sapiens (Human) SwissProt::O43918 1 SwissProt MATDAALRRLLRLHRTEIAVAVDSAFPLLHALADHDVVPEDKFQETLHLKEKEGCPQAFHALLSWLLTQDSTAILDFWRVLFKDYNLERYGRLQPILDSFPKDVDLSQPRKGRKPPAVPKALVPPPRLPTKRKASEEARAAAPAALTPRGTASPGSQLKAKPPKKPESSAEQQRLPLGNGIQTMSASVQRAVAMSSGDVPGARGAVEGILIQQVFESGGSKKCIQVGGEFYTPSKFEDSGSGKNKARSSSGPKPLVRAKGAQGAAPGGGEARLGQQGSVPAPLALPS
P18848 1 279 0.510905734767025 Cyclic AMP-dependent transcription factor ATF-4; cAMP-dependent transcription factor ATF-4; Activating transcription factor 4; Cyclic AMP-responsive element-binding protein 2; CREB-2; cAMP-responsive element-binding protein 2; DNA-binding protein TAXREB67; Tax-responsive enhancer element-binding protein 67; TaxREB67 351 0 177 279 0 Homo sapiens (Human) SwissProt::P18848 1 SwissProt MTEMSFLSSEVLVGDLMSPFDQSGLGAEESLGLLDDYLEVAKHFKPHGFSSDKAKAGSSEWLAVDGLVSPSNNSKEDAFSGTDWMLEKMDLKEFDLDALLGIDDLETMPDDLLTTLDDTCDLFAPLVQETNKQPPQTVNPIGHLPESLTKPDQVAPFTFLQPLPLSPGVLSSTPDHSFSLELGSEVDITEGDRKPDYTAYVAMIPQCIKEEDTPSDNDSGICMSPESYLGSPQHSPSTRGSPNRSLPSPGVLCGSARPKPYDPPGEKMVAAKVKGEKLD
XP_006723204.1 1 126 0.702512698412699 PF15826.5:PUMA:2:126 Bcl-2-binding component 3, isoforms 1/2; JFY-1; p53 up-regulated modulator of apoptosis 193 125 177 126 0 Homo sapiens (Human) SwissProt::Q9BXH1 1 SwissProt MARARQEGSSPEPVEGLARDGPRPFPLGRLVPSAVSCGLCEPGLAAAPAAPTLLPAAYLCAPTAPPAVTAALGGSRWPGGPRSRPRGPRPDGPQPSLSLAEQHLESPVPSAPGALAGGPTQAAPGV
NP_034234.1 76 202 0.383866141732283 Endothelin-1; ET-1; Preproendothelin-1; PPET1 202 0 176 127 0 Mus musculus (Mouse) SwissProt::P22387 1 SwissProt TPERVVPYGLGGSSRSKRSLKDLLPNKATDQAVRCQCAHQKDKKCWNFCQAGKELRAQSTMQKSLKDSKKGKPCSKLGKKCIYQQLVEGRKLRRLEAISNSIKASFRVAKLKAELYRDQKLTHNRAH
XP_006508075.1 1 427 0.0689325526932084 PF01794.19:Ferric_reduct:59:204,PF08022.12:FAD_binding_8:309:359 NADPH oxidase 4 isoform X5 617 197 176 312 5 Mus musculus XP_006508075.1 1 RefSeq MAVSWRSWLANEGVKHLCLLIWLSLNVLLFWKTFLLYNQGPEYYYIHQMLGLGLCLSRASASVLNLNCSLILLPMCRTVLAYLRGSQKVPSRRTRRLLDKSKTLHITCGVTICIFSGVHVAAHLVNALNFSVNYSEDFLELNAARYQNEDPRKLLFTTIPGLTGVCMVVVLFLMVTASTYAIRVSNYDIFWYTHNLFFVFYMLLLLHVSGGLLKYQTNVDTHPPGCISLNQTSSQNMSIPDYVSEHFHGSLPRGFSKLEDRYQKTLVKICLEEPKFQAHFPQTWIWISGPLCLYCAERLYRCIRSNKPVTIISVINHPSDVMELRMIKENFKARPGQYIILHCPSVSALENHPFTLTMLCQKRWQPWHSRVWIYSFFVCSDAACHEDTSKMNHAFLLCPTETKATFGVHFKVVGDWTERFRDLLLPP
XP_016856809.1 1 692 0.316652312138728 PF09067.10:EpoR_lig-bind:85:185 thrombopoietin receptor isoform X1 692 101 175 669 1 Homo sapiens XP_016856809.1 1 RefSeq MPSWALFMVTSCLLLAPQNLAQVSSQGEVHRGWRSPMPRKREPWEVMQGPGRGGRVRGSPAGPLPFHINMPGRTQGQLTSCSLDVSLLASDSEPLKCFSRTFEDLTCFWDEEEAAPSGTYQLLYAYPREKPRACPLSSQSMPHFGTRYVCQFPDQEEVRLFFPLHLWVKNVFLNQTRTQRVLFVDSVGLPAPPSIIKAMGGSQPGELQISWEEPAPEISDFLRYELRYGPRDPKNSTGPTVIQLIATETCCPALQRPHSASALDQSPCAQPTMPWQDGPKQTSPSREASALTAEGGSCLISGLQPGNSYWLQLRSEPDGISLGGSWGSWSLPVTVDLPGDAVALGLQCFTLDLKNVTCQWQQQDHASSQGFFYHSRARCCPRDRYPIWENCEEEEKTNPGLQTPQFSRCHFKSRNDSIIHILVEVTTAPGTVHSYLGSPFWIHQAVRLPTPNLHWREISSGHLELEWQHPSSWAAQETCYQLRYTGEGHQDWKVLEPPLGARGGTLELRPRSRYRLQLRARLNGPTYQGPWSSWSDPTRVETATETAWISLVTALHLVLGLSAVLGLLLLRWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAALSPPKATVSDTCEEVEPSLLEILPKSSERTPLPLCSSQAQMDYRRLQPSCLGTMPLSVCPPMAESGSCCTTHIANHSYLPLSYWQQP
NP_002880.1 1 163 0.248258895705521 Retinoic acid receptor responder protein 2; Chemerin; RAR-responsive protein TIG2; Tazarotene-induced gene 2 protein 163 0 174 163 0 Homo sapiens (Human) SwissProt::Q99969 1 SwissProt MRRLLIPLALWLGAVGVGVAELTEAQRRGLQVALEEFHKHPPVQWAFQETSVESAVDTPFPAGIFVRLEFKLQQTSCRKRDWKKPECKVRPNGRKRKCLACIKLGSEDKVLGRLVHCPIETQVLREAEEHQETQCLRVQRAGEDPHSFYFPGQFAFSKALPRS
NP_663318.1 1 414 0.285671014492754 PF05461.11:ApoL:94:408 apolipoprotein L1 isoform b precursor 414 315 174 391 1 Homo sapiens NP_663318.1 1 RefSeq MRFKSHTVELRRPCSDMEGAALLRVSVLCIWMSALFLGVGVRAEEAGARVQQNVPSGTDTGDPQSKPLGDWAAGTMDPESSIFIEDAIKYFKEKVSTQNLLLLLTDNEAWNGFVAAAELPRNEADELRKALDNLARQMIMKDKNWHDKGQQYRNWFLKEFPRLKSELEDNIRRLRALADGVQKVHKGTTIANVVSGSLSISSGILTLVGMGLAPFTEGGSLVLLEPGMELGITAALTGITSSTMDYGKKWWTQAQAHDLVIKSLDKLKEVREFLGENISNFLSLAGNTYQLTRGIGKDIRALRRARANLQSVPHASASRPRVTEPISAESGEQVERVNEPSILEMSRGVKLTDVAPVSFFLVLDVVYLVYESKHLHEGAKSETAEELKKVAQELEEKLNILNNNYKILQADQEL
P17947 1 161 0.593329192546583 Transcription factor PU.1; 31 kDa-transforming protein 270 0 174 161 0 Homo sapiens (Human) SwissProt::P17947 1 SwissProt MLQACKMEGFPLVPPPSEDLVPYDTDLYQRQTHEYYPYLSSDGESHSDHYWDFHPHHVHSEFESFAENNFTELQSVQPPQLQQLYRHMELEQMHVLDTPMVPPHPSLGHQVSYLPRMCLQYPSLSPAQPSSDEEEGERQSPPLEVSDGEADGLEPGPGLLP
XP_016855858.1 141 438 0.862469127516778 macrophage colony-stimulating factor 1 isoform X1 513 0 173 298 0 Homo sapiens XP_016855858.1 1 RefSeq DVVTKPDCNCLYPKAIPSSDPASVSPHQPLAPSMAPVAGLTWEDSEGTEGSSLLPGEQPLHTVDPGSAKQRPPRSTCQSFEPPETPVVKDSTIGGSPQPRPSVGAFNPGMEDILDSAMGTNWVPEEASGEASEIPVPQGTELSPSRPGGGSMQTEPARPSNFLSASSPLPASAKGQQPADVTGTALPRVGPVRPTGQDWNHTPQKTDHPSALLRDPPEPGSPRISSLRPQGLSNPSTLSAQPQLSRSHSSGSVLPLGELEGRRSTRDRRSPAEPEGGPASEGAARPLPRFNSVPLTDT
NP_065797.2 101 449 0.783521776504298 Mitochondrial antiviral-signaling protein; MAVS; CARD adapter inducing interferon beta; Cardif; Interferon beta promoter stimulator protein 1; IPS-1; Putative NF-kappa-B-activating protein 031N; Virus-induced-signaling adapter; VISA 540 0 172 349 0 Homo sapiens (Human) SwissProt::Q7Z434 1 SwissProt DRPPDPLEPPSLPAERPGPPTPAAAHSIPYNSCREKEPSYPMPVQETQAPESPGENSEQALQTLSPRAIPRNPDGGPLESSSDLAALSPLTSSGHQEQDTELGSTHTAGATSSLTPSRGPVSPSVSFQPLARSTPRASRLPGPTGSVVSTGTSFSSSSPGLASAGAAEGKQGAESDQAEPIICSSGAEAPANSLPSKVPTTLMPVNTVALKVPANPASVSTVPSKLPTSSKPPGAVPSNALTNPAPSKLPINSTRAGMVPSKVPTSMVLTKVSASTVPTDGSSRNEETPAAPTPAGATGGSSAWLDSSSENRGLGSELSKPGVLASQVDSPFSGCFEDLAISASTSLGM
NP_000747.1 1 153 0.566416993464052 Corticoliberin; Corticotropin-releasing factor; CRF; Corticotropin-releasing hormone 196 0 171 153 0 Homo sapiens (Human) SwissProt::P06850 1 SwissProt MRLPLLVSAGVLLVALLPCPPCRALLSRGPVPGARQAPQHPQPLDFFQPPPQSEQPQQPQARPVLLRMGEEYFLRLGNLNKSPAAPLSPASSLLAGGSGSRPSPEQATANFFRVLLQQLLLPRRSLDSPAALAERGARNALGGHQEAPERERR
P58012 149 376 0.575290350877193 Forkhead box protein L2 376 0 170 228 0 Homo sapiens (Human) SwissProt::P58012 1 SwissProt MKRPFRPPPAHFQPGKGLFGAGGAAGGCGVAGAGADGYGYLAPPKYLQSGFLNNSWPLPQPPSPMPYASCQMAAAAAAAAAAAAAAGPGSPGAAAVVKGLAGPAASYGPYTRVQSMALPPGVVNSYNGLGGPPAAPPPPPHPHPHPHAHHLHAAAAPPPAPPHHGAAAPPPGQLSPASPATAAPPAPAPTSAPGLQFACARQPELAMMHCSYWDHDSKTGALHSRLDL
NP_001155899.1 1 886 0.465842325056434 PF04388.12:Hamartin:7:70,PF04388.12:Hamartin:70:668 hamartin isoform 4 1113 662 169 886 0 Homo sapiens NP_001155899.1 1 RefSeq MAQQANVGELLAMLDSPMLGVRDDVTAVFKENLNSDRGPMLVNTLVDYYLETSSQPALHILTTLQEPHDKMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVAEVYLVHLHASVYALFHRLYGMYPCNFVSFLRSHYSMKENLETFEEVVKPMMEHVRIHPELVTGSKDHELDPRRWKRLETHDVVIECAKISLDPTEASYEDGYSVSHQISARFPHRSADVTTSPYADTQNSYGCATSTPYSTSRLMLLNMPGQLPQTLSSPSTRLITEPPQATLWSPSMVCGMTTPPTSPGNVPPDLSHPYSKVFGTTAGGKGTPLGTPATSPPPAPLCHSDDYVHISLPQATVTPPRKEERMDSARPCLHRQHHLLNDRGSEEPPGSKGSVTLSDLPGFLGDLASEEDSIEKDKEEAAISRELSEITTAEAEPVVPRGGFDSPFYRDSLPGSQRKTHSAASSSQGASVNPEPLHSSLDKLGPDTPKQAFTPIDLPCGSADESPAGDRECQTSLETSIFTPSPCKIPPPTRVGFGSGQPPPYDHLFEVALPKTAHHFVIRKTEELLKKAKGNTEEDGVPSTSPMEVLDRLIQQGADAHSKELNKLPLPSKSVDWTHFGGSPPSDEIRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKVIKAAALEEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYNQLQEQRDTMVTKLHSQIRQLQHDREEFYNQSQELQTKLEDCRNMIAELRIELKKANNKVCHTELLLSQVSQKLSNSESVQQQMEFLNRQLLVLGEVNELYLEQLQNKHSDTTKEVEMMKAAYRKELEKNRSHVLQQTQRLDTSQKRILELESHLAKKDHLLLEQKKYLEDVKLQA
NP_038700.2 1 604 0.594180629139072 transcription factor Sp1 781 0 169 604 0 Mus musculus NP_038700.2 1 RefSeq MSDQDHSMDEVTAVVKIEKDVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQPSPLALLAATCSRIESPNENSNNSQGPSQSGGTGELDLTAAQLSQGANGWQIISSSSGATPTSKEQSGNSTNGSESSKNRTVSGGQYVVAATPNLQNQQVLTGLPGVMPNIQYQVIPQFQTVDGQQLQFAATGAQVQQDGSGQIQIIPGANQQIIPNRGSGGNIIAAMPNLLQQAVPLQGLANNVLSGQTQYVTNVPVALNGNITLLPVNSVSAATLTPSSQAGTISSSGSQESSSQPVTSGTAISSASLVSSQASSSSFFTNANSYSTTTTTSNMGIMNFTSSGSSGTSSQGQTPQRVGGLQGSDSLNIQQNQTSGGSLQGSQQKEGEQSQQTQQQQILIQPQLVQGGQALQALQAAPLSGQTFTTQAISQETLQNLQLQAVQNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQAQTITLAPMQGVSLGQTSSSNTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGTSGIQVHQLPGLPLAIANTPGDHGTQLGLHGSGGDGIHDETAGGEGENSSDLQPQAGRRTRREACTC
NP_116027.2 1 289 0.650543252595156 Endothelial transcription factor GATA-2; GATA-binding protein 2 480 0 169 289 0 Homo sapiens (Human) SwissProt::P23769 1 SwissProt MEVAPEQPRWMAHPAVLNAQHPDSHHPGLAHNYMEPAQLLPPDEVDVFFNHLDSQGNPYYANPAHARARVSYSPAHARLTGGQMCRPHLLHSPGLPWLDGGKAALSAAAAHHHNPWTVSPFSKTPLHPSAAGGPGGPLSVYPGAGGGSGGGSGSSVASLTPTAAHSGSHLFGFPPTPPKEVSPDPSTTGAASPASSSAGGSAARGEDKDGVKYQVSLTESMKMESGSPLRPGLATMGTQPATHHPIPTYPSYVPAAAHDYSSGLFHPGGFLGGPASSFTPKQRSKARSC
NP_001158091.1 1 526 0.196053992395437 PF01153.19:Glypican:59:523 glypican-3 isoform 4 precursor 526 465 168 526 0 Homo sapiens NP_001158091.1 1 RefSeq MAGTVRTACLVVAMLLSLDFPGQAQPPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPEAFEIVVRHAKNYTNAMFKNNYPSLTPQAFEFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYTQLMNPGLPDSALDINECLRGARRDLKVFGNFPKLIMTQVSKSLQVTRIFLQALNLGIEVINTTDHLKFSKDCGRMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYILSLEELVNGMYRIYDMENVLLGLFSTIHDSIQYVQKNAGKLTTTIGKLCAHSQQRQYRSAYYPEDLFIDKKVLKVAHVEHEETLSSRRRELIQKLKSFISFYSALPGYICSHSPVAENDTLCWNGQELVERYSQKAARNGMKNQFNLHELKMKGPEPVVSQIIDKLKHINQLLRTMSMPKGRVLDKNLDEEGFESGDCGDDEDECIGGSGDGMIKVKNQLRFLAELAYDLDVDDAPGNSQQATPKDNEISTFHNLGNVHSPLKLLTSMAISVVCFFFLVH
NP_001244064.1 1 88 0.958645454545455 leukemia inhibitory factor isoform 2 88 0 167 88 0 Homo sapiens NP_001244064.1 1 RefSeq MKVLAAVHSPGGAVPQQPGQAMWPQRDGLPALPRQRHGEGQAGGAVPHSRVPWHLPGQHHPGPEDPQPQCPQPPQQAQRHRRHPARPP
NP_002308.2 1 212 0.598927358490566 Protein-lysine 6-oxidase; Lysyl oxidase; EC 1.4.3.13 417 0 167 212 0 Homo sapiens (Human) SwissProt::P28300 1 SwissProt MRFAWTVLLLGPLQLCALVHCAPPAAGQQQPPREPPAAPGAWRQQIQWENNGQVFSLLSLGSQYQPQRRRDPGAAVPGAANASAQQPRTPILLIRDNRTAAARTRTAGSSGVTAGRPRPTARHWFQAGYSTSRAREAGASRAENQTAPGEVPALSNLRPPSRVDGMVGDDPYNPYKYSDDNPYYNYYDTYERPRPGGRYRPGYGTGYFQYGL
NP_003173.1 1 71 0.143215492957747 PF02202.16:Tachykinin:58:68 Protachykinin-1; PPT 129 11 167 71 0 Homo sapiens (Human) SwissProt::P20366 1 SwissProt MKILVALAVFFLVSTQLFAEEIGANDDLNYWSDWYDSDQIKEELPEPFEHLLQRIARRPKPQQFFGLMGKR
NP_115498.2 1 351 0.515721937321937 PF04440.16:Dysbindin:175:320 Dysbindin; Biogenesis of lysosome-related organelles complex 1 subunit 8; BLOC-1 subunit 8; Dysbindin-1; Dystrobrevin-binding protein 1; Hermansky-Pudlak syndrome 7 protein; HPS7 protein 351 146 167 351 0 Homo sapiens (Human) SwissProt::Q96EV8 1 SwissProt MLETLRERLLSVQQDFTSGLKTLSDKSREAKVKSKPRTVPFLPKYSAGLELLSRYEDTWAALHRRAKDCASAGELVDSEVVMLSAHWEKKKTSLVELQEQLQQLPALIADLESMTANLTHLEASFEEVENNLLHLEDLCGQCELERCKHMQSQQLENYKKNKRKELETFKAELDAEHAQKVLEMEHTQQMKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPIGSMSSMEVNVDMLEQMDLMDISDQEALDVFLNSGGEENTVLSPALGPESSTCQNEITLQVPNPSELRAKPPSSSSTCTDSATRDISEGGESPVVQSDEEEVQVDTALATSHTDREATPDGGEDSDS
Q92934 1 99 0.940436363636363 PF10514.9:Bcl-2_BAD:1:99 Bcl2-associated agonist of cell death; BAD; Bcl-2-binding component 6; Bcl-2-like protein 8; Bcl2-L-8; Bcl-xL/Bcl-2-associated death promoter; Bcl2 antagonist of cell death 168 99 167 99 0 Homo sapiens (Human) SwissProt::Q92934 1 SwissProt MFQIPEFEPSEQEDSSSAERGLGPSPAGDGPSGSGKHHRQAPGLLWDASHQQEQPTSSSHHGGAGAVEIRSRHSSYPAGTEDDEGMGEEPSPFRGRSRS
Q92831 1 477 0.386534381551363 PF06466.11:PCAF_N:74:326 Histone acetyltransferase KAT2B; Histone acetyltransferase PCAF; Histone acetylase PCAF; Lysine acetyltransferase 2B; P300/CBP-associated factor; P/CAF; Spermidine acetyltransferase KAT2B; EC 2.3.1.48; EC 2.3.1.57 832 253 166 477 0 Homo sapiens (Human) SwissProt::Q92831 1 SwissProt MSEAGGAGPGGCGAGAGAGAGPGALPPQPAALPPAPPQGSPCAAAAGGSGACGPATAVAAAGTAEGPGGGGSARIAVKKAQLRSAPRAKKLEKLGVYSACKAEESCKCNGWKNPNPSPTPPRADLQQIIVSLTESCRSCSHALAAHVSHLENVSEEEMNRLLGIVLDVEYLFTCVHKEEDADTKQVYFYLFKLLRKSILQRGKPVVEGSLEKKPPFEKPSIEQGVNNFVQYKFSHLPAKERQTIVELAKMFLNRINYWHLEAPSQRRLRSPNDDISGYKENYTRWLCYCNVPQFCDSLPRYETTQVFGRTLLRSVFTVMRRQLLEQARQEKDKLPLEKRTLILTHFPKFLSMLEEEVYSQNSPIWDQDFLSASSRTSQLGIQTVINPPPVAGTISYNSTSSSLEQPNAGSSSPACKASSGLEANPGEKRKMTDSHVLEEAKKPRVMGDIPMELINEVMSTITDPAAMLGPETNFLSA
NP_113744.2 1 178 0.12755 PF01146.17:Caveolin:43:174 caveolin-1 isoform alpha 178 132 165 155 1 Rattus norvegicus NP_113744.2 1 RefSeq MSGGKYVDSEGHLYTVPIREQGNIYKPNNKAMADEVNEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSTIFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTFCDPLFEAIGKIFSNIRISTQKEI
XP_016879161.1 50 834 0.587046369426751 partner and localizer of BRCA2 isoform X3 1107 0 165 785 0 Homo sapiens XP_016879161.1 1 RefSeq KTVEEQDCLSQQDLSPQLKHSEPKNKICVYDKLHIKTHLDEETGEKTSITLDVGPESFNPGDGPGGLPIQRTDDTQEHFPHRVSDPSGEQKQKLPSRRKKQQKRTFISQERDCVFGTDSLRLSGKRLKEQEEISSKNPARSPVTEIRTHLLSLKSELPDSPEPVTEINEDSVLIPPTAQPEKGVDTFLRRPNFTRATTVPLQTLSDSGSSQHLEHIPPKGSSELTTHDLKNIRFTSPVSLEAQGKKMTVSTDNLLVNKAISKSGQLPTSSNLEANISCSLNELTYNNLPANENQNLKEQNQTEKSLKSPSDTLDGRNENLQESEILSQPKSLSLEATSPLSAEKHSCTVPEGLLFPAEYYVRTTRSMSNCQRKVAVEAVIQSHLDVKKKGFKNKNKDASKNLNLSNEETDQSEIRMSGTCTGQPSSRTSQKLLSLTKVSSPAGPTEDNDLSRKAVAQAPGRRYTGKRKSACTPASDHCEPLLPTSSLSIVNRSKEEVTSHKYQHEKLFIQVKGKKSRHQKEDSLSWSNSAYLSLDDDAFTAPFHRDGMLSLKQLLSFLSITDFQLPDEDFGPLKLEKVKSCSEKPVEPFESKMFGERHLKEGSCIFPEELSPKRMDTEMEDLEEDLIVLPGKSHPKRPNSQSQHTKTGLSSSILLYTPLNTVAPDDNDRPTTDMCSPAFPILGTTPAFGPQGSYEKASTEVAGRTCCTPQLAHLKDSVCLASDTKQFDSSGSPAKPHTTLQVSGRQGQPTCDCDSVPPGTPPPIESFTFKENQLCRNTCQELHKH
Q13127 413 1097 0.766393576642336 RE1-silencing transcription factor; Neural-restrictive silencer factor; X2 box repressor 1097 0 164 685 0 Homo sapiens (Human) SwissProt::Q13127 1 SwissProt PTCPNKTMDVSKVKLKKTKKREADLPDNITNEKTEIEQTKIKGDVAGKKNEKSVKAEKRDVSKEKKPSNNVSVIQVTTRTRKSVTEVKEMDVHTGSNSEKFSKTKKSKRKLEVDSHSLHGPVNDEESSTKKKKKVESKSKNNSQEVPKGDSKVEENKKQNTCMKKSTKKKTLKNKSSKKSSKPPQKEPVEKGSAQMDPPQMGPAPTEAVQKGPVQVEPPPPMEHAQMEGAQIRPAPDEPVQMEVVQEGPAQKELLPPVEPAQMVGAQIVLAHMELPPPMETAQTEVAQMGPAPMEPAQMEVAQVESAPMQVVQKEPVQMELSPPMEVVQKEPVQIELSPPMEVVQKEPVKIELSPPIEVVQKEPVQMELSPPMGVVQKEPAQREPPPPREPPLHMEPISKKPPLRKDKKEKSNMQSERARKEQVLIEVGLVPVKDSWLLKESVSTEDLSPPSPPLPKENLREEASGDQKLLNTGEGNKEAPLQKVGAEEADESLPGLAANINESTHISSSGQNLNTPEGETLNGKHQTDSIVCEMKMDTDQNTRENLTGINSTVEEPVSPMLPPSAVEEREAVSKTALASPPATMAANESQEIDEDEGIHSHEGSDLSDNMSEGSDDSGLHGARPVPQESSRKNAKEALAVKAAKGDFVCIFCDRSFRKGKDYSKHLNRHLVNVYYLEEAAQGQE
NP_031863.3 1 168 0.752610119047619 DNA damage-inducible transcript 3 protein; DDIT-3; C/EBP zeta; C/EBP-homologous protein; CHOP; C/EBP-homologous protein 10; CHOP-10; CCAAT/enhancer-binding protein homologous protein; Growth arrest and DNA-damage-inducible protein GADD153 168 0 163 168 0 Mus musculus (Mouse) SwissProt::P35639 1 SwissProt MAAESLPFTLETVSSWELEAWYEDLQEVLSSDEIGGTYISSPGNEEEESKTFTTLDPASLAWLTEEPGPTEVTRTSQSPRSPDSSQSSMAQEEEEEEQGRTRKRKQSGQCPARPGKQRMKEKEQENERKVAQLAEENERLKQEIERLTREVETTRRALIDRMVSLHQA
Q14242 69 412 0.675875 P-selectin glycoprotein ligand 1; PSGL-1; Selectin P ligand; CD162 antigen 412 0 162 321 1 Homo sapiens (Human) SwissProt::Q14242 1 SwissProt TTPLTGPGTPESTTVEPAARRSTGLDAGGAVTELTTELANMGNLSTDSAAMEIQTTQPAATEAQTTQPVPTEAQTTPLAATEAQTTRLTATEAQTTPLAATEAQTTPPAATEAQTTQPTGLEAQTTAPAAMEAQTTAPAAMEAQTTPPAAMEAQTTQTTAMEAQTTAPEATEAQTTQPTATEAQTTPLAAMEALSTEPSATEALSMEPTTKRGLFIPFSVSSVTHKGIPMAASNLSVNYPVGAPDHISVKQCLLAILILALVATIFFVCTVVLAVRLSRKGHMYPVRNYSPTEMVCISSLLPDGGEGPSATANGGLSKAKSPGLTPEPREDREGDDLTLHSFLP
NP_057864.1 1 353 0.187665722379603 PF02959.16:Tax:3:224 Protein Tax-1; Protein X-LOR; Protein PX; Trans-activating transcriptional regulatory protein of HTLV-1 353 222 161 353 0 Human T-cell leukemia virus 1 (isolate Caribbea HS-35 subtype A) (HTLV-1) SwissProt::P14079 1 SwissProt MAHFPGFGQSLLFGYPVYVFGDCVQGDWCPISGGLCSARLHRHALLATCPEHQITWDPIDGRVIGSALQFLIPRLPSFPTQRTSKTLKVLTPPITHTTPNIPPSFLQAMRKYSPFRNGYMEPTLGQHLPTLSFPDPGLRPQNLYTLWGGSVVCMYLYQLSPPITWPLLPHVIFCHPGQLGAFLTNVPYKRIEKLLYKISLTTGALIILPEDCLPTTLFQPARAPVTLTAWQNGLLPFHSTLTTPGLIWTFTDGTPMISGPCPKDGQPSLVLQSSSFIFHKFQTKAYHPSFLLSHGLIQYSSFHNLHLLFEEYTNIPISLLFNEKEADDNDHEPQISPGGLEPLSEKHFRETEV
O14497 1124 2285 0.599831497418244 PF12031.8:BAF250_C:853:1108 AT-rich interactive domain-containing protein 1A; ARID domain-containing protein 1A; B120; BRG1-associated factor 250; BAF250; BRG1-associated factor 250a; BAF250A; Osa homolog 1; hOSA1; SWI-like protein; SWI/SNF complex protein p270; SWI/SNF-related, matrix-associated, actin-dependent regulator of chromatin subfamily F member 1; hELD 2285 256 161 1162 0 Homo sapiens (Human) SwissProt::O14497 1 SwissProt KKSQPKIQPPSPAGSGSMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMSRSNSVGIQDAFNDGSDSTFQKRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMGDPYSRAAGPGLGNVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMSTGAPQPNLMPSNPDSGMYSPSRYPPQQQQQQQQRHDSYGNQFSTQGTPSGSPFPSQQTTMYQQQQQNYKRPMDGTYGPPAKRHEGEMYSVPYSTGQGQPQQQQLPPAQPQPASQQQAAQPSPQQDVYNQYGNAYPATATAATERRPAGGPQNQFPFQFGRDRVSAPPGTNAQQNMPPQMMGGPIQASAEVAQQGTMWQGRNDMTYNYANRQSTGSAPQGPAYHGVNRTDEMLHTDQRANHEGSWPSHGTRQPPYGPSAPVPPMTRPPPSNYQPPPSMQNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGPPVPASHIAPAPVQPPMIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKSGLLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGDPGQRTLLDPGRFSKVSSPAPMEGGEEEEELLGPKLEEEEEEEVVENDEEIAFSGKDKPASENSEEKLISKFDKLPVKIVQKNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHFESKTELLPSRPHAPCPPAPRKHVTTAEGTPGTTDQEGPPPDGPPEKRITATMDDMLSTRSSTLTEDGAKSSEAIKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAKRCVCVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQGVSCNKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCPSAEAQDPFSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRKNPVCREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQNPPFEPTSVDMMRRAARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVLFLIGQS
NP_112552.1 1 374 0.534852673796791 PF08067.11:ROKNT:1:43,PF00013.29:KH_1:45:102,PF00013.29:KH_1:147:210 Heterogeneous nuclear ribonucleoprotein K; hnRNP K; Transformation up-regulated nuclear protein; TUNP 463 165 160 374 0 Homo sapiens (Human) SwissProt::P61978 1 SwissProt METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGKGGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTATSQLPLESDAVECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDRMPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPLPPPPPPRGGDLMAYDRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGYDYSYA
P25119 208 474 0.616055805243445 Tumor necrosis factor receptor superfamily member 1B 474 0 160 244 1 Mus musculus P25119 1 SwissProt/TReMBL TLSAIPRTLYVSQPEPTRSQPLDQEPGPSQTPSILTSLGSTPIIEQSTKGGISLPIGLIVGVTSLGLLMLGLVNCIILVQRKKKPSCLQRDAKVPHVPDEKSQDAVGLEQQHLLTTAPSSSSSSLESSASAGDRRAPPGGHPQARVMAEAQGFQEARASSRISDSSHGSHGTHVNVTCIVNVCSSSDHSSQCSSQASATVGDPDAKPSASPKDEQVPFSQEECPSQSPCETTETLQSHEKPLPLGVPDMGMKPSQAGWFDQIAVKVA
NP_001012649.1 1 188 0.320078191489362 PF15225.6:IL32:68:164 interleukin-32 isoform B 188 97 159 188 0 Homo sapiens NP_001012649.1 1 RefSeq MCFPKVLSDDMKKLKARMHQAIERFYDKMQNAESGRGQVMSSLAELEDDFKEGYLETVAAYYEEQHPELTPLLEKERDGLRCRGNRSPVPDVEDPATEEPGESFCDKVMRWFQAMLQRLQTWWHGVLAWVKEKVVALVHAVQALWKQFQSFCCSLSELFMSSFQSYGAPRGDKEELTPQKCSEPQSSK
NP_001178785.1 1 291 0.223086941580756 PF00688.18:TGFb_propeptide:34:279 Bone morphogenetic protein 7; BMP-7; Osteogenic protein 1; OP-1 430 246 159 291 0 Mus musculus (Mouse) SwissProt::P23359 1 SwissProt MHVRSLRAAAPHSFVALWAPLFLLRSALADFSLDNEVHSSFIHRRLRSQERREMQREILSILGLPHRPRPHLQGKHNSAPMFMLDLYNAMAVEESGPDGQGFSYPYKAVFSTQGPPLASLQDSHFLTDADMVMSFVNLVEHDKEFFHPRYHHREFRFDLSKIPEGEAVTAAEFRIYKDYIRERFDNETFQITVYQVLQEHSGRESDLFLLDSRTIWASEEGWLVFDITATSNHWVVNPRHNLGLQLSVETLDGQSINPKLAGLIGRHGPQNKQPFMVAFFKATEVHLRSIR
NP_006094.3 1 73 0.0335630136986301 PF00095.21:WAP:32:73 WAP four-disulfide core domain protein 2; Epididymal secretory protein E4; Major epididymis-specific protein E4; Putative protease inhibitor WAP5 124 42 159 50 1 Homo sapiens (Human) SwissProt::Q14508 0 SwissProt MPACRLGPLAAALLLSLLLFGFTLVSGTGAEKTGVCPELQADQNCTQECVSDSECADNLKCCSAGCATFCSLP
NP_115930.1 1 58 0.38816724137931 Hepcidin 83 0 159 58 0 Mus musculus (Mouse) SwissProt::Q9EQ21 1 SwissProt MALSTRTQAACLLLLLLASLSSTTYLHQQMRQTTELQPLHGEESRADIAIPMQKRRKR
XP_011520788.1 1 1201 0.309002747710241 PF05729.12:NACHT:513:682,PF13516.6:LRR_6:1093:1107,PF13516.6:LRR_6:1114:1135,PF17776.1:NLRC4_HD2:812:920 MHC class II transactivator isoform X3 1201 316 159 1201 0 Homo sapiens XP_011520788.1 1 RefSeq MLGERRFQALARAAALTPRAAMNNFQAILTQVRMLLSSHQPSLVQALLDNLLKEDLLSREYHCTLLHEPDSEALARKISLTLLEKGDLDLALLGWARSGLQPPAAERGPGHSDHGGSSQCATMELGPLEGGYLELLNSDADPLCLYHFYDQMDLAGEEEIELYSEPDTDTINCDQFSRLLCDMEGDEETREAYANIAELDQYVFQDSQLEGLSKDIFIEHIGPDEVIGESMEMPAEVGQKSQKRPFPEELPADLKHWKPAEPPTVVTGSLLVGPVSDCSTLPCLPLPALFNQEPASGQMRLEKTDQIPMPFSSSSLSCLNLPEGPIQFVPTISTLPHGLWQISEAGTGVSSIFIYHGEVPQASQVPPPSGFTVHGLPTSPDRPGSTSPFAPSATDLPSMPEPALTSRANMTEHKTSPTQCPAAGEVSNKLPKWPEPVEQFYRSLQDTYGAEPAGPDGILVEVDLVQARLERSSSKSLERELATPDWAERQLAQGGLAEVLLAAKEHRRPRETRVIAVLGKAGQGKSYWAGAVSRAWACGRLPQYDFVFSVPCHCLNRPGDAYGLQDLLFSLGPQPLVAADEVFSHILKRPDRVLLILDGFEELEAQDGFLHSTCGPAPAEPCSLRGLLAGLFQKKLLRGCTLLLTARPRGRLVQSLSKADALFELSGFSMEQAQAYVMRYFESSGMTEHQDRALTLLRDRPLLLSHSHSPTLCRAVCQLSEALLELGEDAKLPSTLTGLYVGLLGRAALDSPPGALAELAKLAWELGRRHQSTLQEDQFPSADVRTWAMAKGLVQHPPRAAESELAFPSFLLQCFLGALWLALSGEIKDKELPQYLALTPRKKRPYDNWLEGVPRFLAGLIFQPPARCLGALLGPSAAASVDRKQKVLARYLKRLQPGTLRARQLLELLHCAHEAEEAGIWQHVVQELPGRLSFLGTRLTPPDAHVLGKALEAAGQDFSLDLRSTGICPSGLGSLVGLSCVTRFRAALSDTVALWESLQQHGETKLLQAAEEKFTIEPFKAKSLKDVEDLGKLVQTQRTRSSSEDTAGELPAVRDLKKLEFALGPVSGPQAFPKLVRILTAFSSLQHLDLDALSENKIGDEGVSQLSATFPQLKSLETLNLSQNNITDLGAYKLAEALPSLAASLLRLRDGGTRLFPEPRGGGFWKANHVRQLLPALSLTCRSVFHCHLLVGLGIAPLAFE
XP_011520726.1 1 729 0.264630178326474 DNA repair endonuclease XPF isoform X1 962 0 158 729 0 Homo sapiens XP_011520726.1 1 RefSeq MESGQPARRIAMAPLLEYERQLVLELLDTDGLVVCARGLGADRLLYHFLQLHCHPACLVLVLNTQPAEEEYFINQLKIEGVEHLPRRVTNEITSNSRYEVYTQGGVIFATSRILVVDFLTDRIPSDLITEILPLTCQKHPTPGPVPSLSPQPGSLELSWPRWSQGSIQPLFRYHLGILVYRAHRIIESCQEAFILRLFRQKNKRGFIKAFTDNAVAFDTGFCHVERVMRNLFVRKLYLWPRFHVAVNSFLEQHKPEVVEIHVSMTPTMLAIQTAILDILNACLKELKCHNPSLEVEDLSLENAIGKPFDKTIRHYLDPLWHQLGAKTKSLVQDLKILRTLLQYLSQYDCVTFLNLLESLRATEKAFGQNSGWLFLDSSTSMFINARARVYHLPDAKMSKKEKISEKMEIKEGEETKKELVLESNPKWEALTEVLKEIEAENKESEALGGPGQVLICASDDRTCSQLRDYITLGAEAFLLRLYRKTFEKDSKAEEVWMKFRKEDSSKRIRKSHKRPKDPQNKERASTKERTLKKKKRKLTLTQMVGKPEELEEEGDVEEGYRREISSSPESCPEEIKHEEFDVNLSSDAAFGILKEPLTIIHPLLGCSDPYALTRVLHEVEPRYVVLYDAELTFVRQLEIYRASRPGKPLRVYFLIYGGSTEEQRYLTALRKEKEAFEKLIREKASMVVPEEREGRDETNLDLVRGTASADVSTDTRKAGGQEQNGTQQS
NP_001008212.1 104 413 0.57953064516129 Optineurin; E3-14.7K-interacting protein; FIP-2; Huntingtin yeast partner L; Huntingtin-interacting protein 7; HIP-7; Huntingtin-interacting protein L; NEMO-related protein; Optic neuropathy-inducing protein; Transcription factor IIIA-interacting protein; TFIIIA-IntP 577 0 156 310 0 Homo sapiens (Human) SwissProt::Q96CV9 1 SwissProt NEKLKEELGKLKGKSERSSEDPTDDSRLPRAEAEQEKDQLRTQVVRLQAEKADLLGIVSELQLKLNSSGSSEDSFVEIRMAEGEAEGSVKEIKHSPGPTRTVSTGTALSKYRSRSADGAKNYFEHEELTVSQLLLCLREGNQKVERLEVALKEAKERVSDFEKKTSNRSEIETQTEGSTEKENDEEKGPETVGSEVEALNLQVTSLFKELQEAHTKLSEAELMKKRLQEKCQALERKNSAIPSELNEKQELVYTNKKLELQVESMLSEIKMEQAKTEDEKSKLTVLQMTHNKLLQEHNNALKTIEELTRK
NP_001029128.1 1 82 0.30560243902439 PF00214.19:Calc_CGRP_IAPP:1:82 calcitonin gene-related peptide 1 isoform Cgrp preproprotein 128 82 154 82 0 Rattus norvegicus NP_001029128.1 1 RefSeq MGFLKFSPFLVVSILLLYQACGLQAVPLRSTLESSPGMATLSEEEARLLAALVQNYMQMKVRELEQEEEQEAEGSSVTAQKR
NP_002222.1 118 267 0.215300666666667 PF00335.20:Tetraspanin:10:138 CD82 antigen; C33 antigen; IA4; Inducible membrane protein R2; Metastasis suppressor Kangai-1; Suppressor of tumorigenicity 6 protein; Tetraspanin-27; Tspan-27; CD82 antigen 267 129 154 127 1 Homo sapiens (Human) SwissProt::P27701 1 SwissProt GGIVTELIRDYNSSREDSLQDAWDYVQAQVKCCGWVSFYNWTDNAELMNRPEVTYPCSCEVKGEEDNSLSVRKGFCEAPGNRTQSGNHPEDWPVYQEGCMEKVQAWLQENLGIILGVGVGVAIIELLGMVLSICLCRHVHSEDYSKVPKY
NP_001265510.1 1 235 0.441650212765957 PF08384.10:NPP:27:70,PF00976.18:ACTH_domain:75:90,PF00976.18:ACTH_domain:122:141,PF00976.18:ACTH_domain:186:204,PF08035.11:Op_neuropeptide:205:232 Pro-opiomelanocortin; POMC; Corticotropin-lipotropin 235 127 152 235 0 Mus musculus (Mouse) SwissProt::P01193 1 SwissProt MPRFCYSRSGALLLALLLQTSIDVWSWCLESSQCQDLTTESNLLACIRACKLDLSLETPVFPGNGDEQPLTENPRKYVMGHFRWDRFGPRNSSSAGSAAQRRAEEEAVWGDGSPEPSPREGKRSYSMEHFRWGKPVGKKRRPVKVYPNVAENESAEAFPLEFKRELEGERPLGLEQVLESDAEKDDGPYRVEHFRWSNPPKDKRYGGFMTSEKSQTPLVTLFKNAIIKNAHKKGQ
XP_016864075.1 1 893 0.224046024636058 wolframin isoform X1 893 0 152 691 9 Homo sapiens XP_016864075.1 1 RefSeq MGRMDSNTAPLGPSCPQPPPAPQPQARSRLNATASLEQERSERPRAPGPQAGPGPGVRDAAAPAEPQAQHTRSRERADGTGPTKGDMEIPFEEVLERAKAGDPKAQTEVGKHYLQLAGDTDEELNSCTAVDWLVLAAKQGRREAVKLLRRCLADRRGITSENEREVRQLSSETDLERAVRKAALVMYWKLNPKKKKQVAVAELLENVGQVNEHDGGAQPGPVPKSLQKQRRMLERLVSSESKNYIALDDFVEITKKYAKGVIPSSLFLQDDEDDDELAGKSPEDLPLRLKVVKYPLHAIMEIKEYLIDMASRAGMHWLSTIIPTHHINALIFFFIVSNLTIDFFAFFIPLVIFYLSFISMVICTLKVFQDSKAWENFRTLTDLLLRFEPNLDVEQAEVNFGWNHLEPYAHFLLSVFFVIFSFPIASKDCIPCSELAVITGFFTVTSYLSLSTHAEPYTRRALATEVTAGLLSLLPSMPLNWPYLKVLGQTFITVPVGHLVVLNVSVPCLLYVYLLYLFFRMAQLRNFKGTYCYLVPYLVCFMWCELSVVILLESTGLGLLRASIGYFLFLFALPILVAGLALVGVLQFARWFTSLELTKIAVTVAVCSVPLLLRWWTKASFSVVGMVKSLTRSSMVKLILVWLTAIVLFCWFYVYRSEGMKVYNSTLTWQQYGALCGPRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTDIDNSAESAINMLPFFIGDWMRCLYGEAYPACSPGNTSTAEEELCRLKLLAKHPCHIKKFDRYKFEITVGMPFSSGADGSRSREEDDVTKDIVLRASSEFKSVLLSLRQGSLIEFSTILEGRLGSKWPVFELKAISCLNCMAQLSPTRRHVKIEHDWRSTVHGAVKFAFDFFFFPFLSAA
NP_006491.2 281 646 0.431535519125683 PF13927.6:Ig_3:68:130,PF00047.25:ig:74:132,PF00047.25:ig:163:229 Cell surface glycoprotein MUC18; Cell surface glycoprotein P1H12; Melanoma cell adhesion molecule; Melanoma-associated antigen A32; Melanoma-associated antigen MUC18; S-endo 1 endothelial-associated antigen; CD146 antigen 646 132 151 343 1 Homo sapiens (Human) SwissProt::P43121 1 SwissProt HFSISKQNPSTREAEEETTNDNGVLVLEPARKEHSGRYECQGLDLDTMISLLSEPQELLVNYVSDVRVSPAAPERQEGSSLTLTCEAESSQDLEFQWLREETGQVLERGPVLQLHDLKREAGGGYRCVASVPSIPGLNRTQLVNVAIFGPPWMAFKERKVWVKENMVLNLSCEASGHPRPTISWNVNGTASEQDQDPQRVLSTLNVLVTPELLETGVECTASNDLGKNTSILFLELVNLTTLTPDSNTTTGLSTSTASPHTRANSTSTERKLPEPESRGVVIVAVIVCILVLAVLGAVLYFLYKKGKLPCRRSGKQEITLPPSRKSELVVEVKSDKLPEEMGLLQGSSGDKRAPGDQGEKYIDLRH
XP_006507920.1 1 262 0.238809541984733 interleukin-27 subunit alpha isoform X1 262 0 150 262 0 Mus musculus XP_006507920.1 1 RefSeq MGQVTGDLGWRLSLLLLPLLLVQAGSWGFPTDPLSLQELRREFTVSLYLARKLLSEVQGYVHSFVSLLSAIGSGGEDTPKSHWPSLTEFNPQAESRLPGVNLDLLPLGYHLPNVSLTFQAWHHLSDSERLCFLATTLRPFPAMLGGLGTQGTWTSSEREQLWAMRLDLRDLHRHLRFQVLAAGFKCSKEEEDKEEEEEEEEEEKKLPLGALGGPNQVSSQVSWPQLLYTYQLLHSLELVLSRAVRDLLLLSLPRRPGSAWDS
NP_612154.2 1 1125 0.181157511111111 PF00094.25:VWD:396:567,PF06119.14:NIDO:181:263 mucin-4 isoform e precursor 1125 255 147 1079 2 Homo sapiens NP_612154.2 1 RefSeq MKGARWRRVPWVSLSCLCLCLLPHVVPGVSLFPYGAGAGDLEFVRRTVDFTSPLFKPATGFPLGSSLRDSLYFTDNGQIIFPESDYQIFSYPNPLPTGFTGRDPVALVAPFWDDADFSTGRGTTFYQEYETFYGEHSLLVQQAESWIRKMTNNGGYKARWALKVTWVNAHAYPAQWTLGSNTYQAILSTDGSRSYALFLYQSGGMQWDVAQRSGNPVLMGFSSGDGYFENSPLMSQPVWERYRPDRFLNSNSGLQGLQFYRLHREERPNYRLECLQWLKSQPRWPSWGWNQVSCPCSWQQGRRDLRFQPVSIGRWGLGSRQLCSFTSWRGGVCCSYGPWGEFREGWHVQRPWQLAQELEPQSWCCRWNDKPYLCALYQQRRPHVGCATYRPPQPAWMFGDPHITTLDGVSYTFNGLGDFLLVGAQDGNSSFLLQGRTAQTGSAQATNFIAFAAQYRSSSLGPVTVQWLLEPHDAIRVLLDNQTVTFQPDHEDGGGQETFNATGVLLSRNGSEVSASFDGWATVSVIALSNILHASASLPPEYQNRTEGLLGVWNNNPEDDFRMPNGSTIPPGSPEEMLFHFGMTWQINGTGLLGKRNDQLPSNFTPVFYSQLQKNSSWAEHLISNCDGDSSCIYDTLALRNASIGLHTREVSKNYEQANATLNQYPPSINGGRVIEAYKGQTTLIQYTSNAEDANFTLRDSCTDLELFENGTLLWTPKSLEPFTLEILARSAKIGLASALQPRTVVCHCNAESQCLYNQTSRVGNSSLEVAGCKCDGGTFGRYCEGSEDACEEPCFPSVHCVPGKGCEACPPNLTGDGRHCAALGSSFLCQNQSCPVNYCYNQGHCYISQTLGCQPMCTCPPAFTDSRCFLAGNNFSPTVNLELPLRVIQLLLSEEENASMAEVNASVAYRLGTLDMRAFLRNSQVERIDSAAPASGSPIQHWMVISEFQYRPRGPVIDFLNNQLLAAVVEAFLYHVPRRSEEPRNDVVFQPISGEDVRDVTALNVSTLKAYFRCDGYKGYDLVYSPQSGFTCVSPCSRGYCDHGGQCQHLPSGPRCSCVSFSIYTAWGEHCEHLSMKLDAFFGIFFGALGGLLLLGVGTFVVLRFWGCSGARFSYFLNSAEALP
XP_017202835.1 105 271 0.346195808383233 PF03826.17:OAR:125:142 pituitary homeobox 2 isoform X2 271 18 147 167 0 Oryctolagus cuniculus XP_017202835.1 1 RefSeq LCKNGFGPQFNGLMQPYDDMYPGYSYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVTGVPGSSLNSLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSSFGYASVQNPASNLSACQYAVDRPV
NP_001268385.1 1 160 0.017409375 PF00822.20:PMP22_Claudin:1:153 Peripheral myelin protein 22; PMP-22; Growth arrest-specific protein 3; GAS-3 160 153 146 68 4 Homo sapiens (Human) SwissProt::Q01453 0 SwissProt MLLLLLSIIVLHVAVLVLLFVSTIVSQWIVGNGHATDLWQNCSTSSSGNVHHCFSSSPNEWLQSVQATMILSIIFSILSLFLFFCQLFTLTKGGRFYITGIFQILAGLCVMSAAAIYTVRHPEWHLNSDYSYGFAYILAWVAFPLALLSGVIYVILRKRE
O60656 1 280 0.031145 PF00201.18:UDPGT:26:279 UDP-glucuronosyltransferase 1-9; UDPGT 1-9; UGT1*9; UGT1-09; UGT1.9; UDP-glucuronosyltransferase 1-I; UGT-1I; UGT1I; UDP-glucuronosyltransferase 1A9; lugP4; EC 2.4.1.17 530 254 146 280 0 Homo sapiens (Human) SwissProt::O60656 1 SwissProt MACTGWTSPLPLCVCLLLTCGFAEAGKLLVVPMDGSHWFTMRSVVEKLILRGHEVVVVMPEVSWQLGRSLNCTVKTYSTSYTLEDLDREFKAFAHAQWKAQVRSIYSLLMGSYNDIFDLFFSNCRSLFKDKKLVEYLKESSFDAVFLDPFDNCGLIVAKYFSLPSVVFARGILCHYLEEGAQCPAPLSYVPRILLGFSDAMTFKERVRNHIMHLEEHLLCHRFFKNALEIASEILQTPVTEYDLYSHTSIWLLRTDFVLDYPKPVMPNMIFIGGINCHQG
NP_008872.1 190 466 0.701707942238267 Transcription factor SOX-10 466 0 145 277 0 Homo sapiens (Human) SwissProt::P56693 1 SwissProt CPGGEAEQGGTAAIQAHYKSAHLDHRHPGEGSPMSDGNPEHPSGQSHGPPTPPTTPKTELQSGKADPKRDGRSMGEGGKPHIDFGNVDIGEISHEVMSNMETFDVAELDQYLPPNGHPGHVSSYSAAGYGLGSALAVASGHSAWISKPPGVALPTVSPPGVDAKAQVKTETAGPQGPPHYTDQPSTSQIAYTSLSLPHYGSAFPSISRPQFDYSDHQPSGPYYGHSGQASGLYSAFSYMGPSQRPLYTAISDPSPSGPQSHSPTHWEQPVYTTLSRP
P10070 606 1586 0.715665239551477 Zinc finger protein GLI2; GLI family zinc finger protein 2; Tax helper protein 1586 0 144 981 0 Homo sapiens (Human) SwissProt::P10070 1 SwissProt RTPLLKENGDSEAGTEPGGPESTEASSTSQAVEDCLHVRAIKTESSGLCQSSPGAQSSCSSEPSPLGSAPNNDSGVEMPGTGPGSLGDLTALDDTPPGADTSALAAPSAGGLQLRKHMTTMHRFEQLKKEKLKSLKDSCSWAGPTPHTRNTKLPPLPGSGSILENFSGSGGGGPAGLLPNPRLSELSASEVTMLSQLQERRDSSTSTVSSAYTVSRRSSGISPYFSSRRSSEASPLGAGRPHNASSADSYDPISTDASRRSSEASQCSGGSGLLNLTPAQQYSLRAKYAAATGGPPPTPLPGLERMSLRTRLALLDAPERTLPAGCPRPLGPRRGSDGPTYGHGHAGAAPAFPHEAPGGGARRASDPVRRPDALSLPRVQRFHSTHNVNPGPLPPCADRRGLRLQSHPSTDGGLARGAYSPRPPSISENVAMEAVAAGVDGAGPEADLGLPEDDLVLPDDVVQYIKAHASGALDEGTGQVYPTESTGFSDNPRLPSPGLHGQRRMVAADSNVGPSAPMLGGCQLGFGAPSSLNKNNMPVQWNEVSSGTVDALASQVKPPPFPQGNLAVVQQKPAFGQYPGYSPQGLQASPGGLDSTQPHLQPRSGAPSQGIPRVNYMQQLRQPVAGSQCPGMTTTMSPHACYGQVHPQLSPSTISGALNQFPQSCSNMPAKPGHLGHPQQTEVAPDPTTMGNRHRELGVPDSALAGVPPPHPVQSYPQQSHHLAASMSQEGYHQVPSLLPARQPGFMEPQTGPMGVATAGFGLVQPRPPLEPSPTGRHRGVRAVQQQLAYARATGHAMAAMPSSQETAEAVPKGAMGNMGSVPPQPPPQDAGGAPDHSMLYYYGQIHMYEQDGGLENLGSCQVMRSQPPQPQACQDSIQPQPLPSPGVNQVSSTVDSQLLEAPQIDFDAIMDDGDHSSLFSGALSPSLLHSLSQNSSRLTTPRNSLTLPSIPAGISNMAVGDMSSMLTSLAEESKFLNMMT
XP_006532127.1 111 1540 0.649295384615385 PF12820.7:BRCT_assoc:232:393 breast cancer type 1 susceptibility protein homolog isoform X2 1767 162 144 1430 0 Mus musculus XP_006532127.1 1 RefSeq RNNSCERLNEEASIIQSVGYRNRVRRLPQVEPGNATLKDSLGVQLSNLGIVRSVKKNRQTQPRKKSVYIELDSDSSEETVTKPGDCSVRDQELLQTAPQEAGDEGKLHSAEEAACEFSEGIRNIEHHQCSDDLNPTENHATERHPEKCQSISISNVCVEPCGTDAHASSLQPETSSLLLIEDRMNAEKAEFCNKSKQPGIAVSQQSRWAASKGTCNDRQVPSTGEKVGPNADSLSDREKWTHPQSLCPENSGATTDVPWITLNSSVQKVNEWFSRTGEMLTSDSASARRHESNAEAAVVLEVSNEVDGGFSSSRKTDLVTPDPHHTLMCKSGRDFSKPVEDNISDKIFGKSYQRKGSRPHLNHVTEIIGTFITEPQITQEQPFTNKLKRKRSTSLQPEDFIKKADSAGVQRTPDNINQGTDLMEPNEQAVSTTSNCQENKIAGSNLQKEKSAHPTESLRKEPASTAGAKSISNSVSDLEVELNVHSSKAPKKNRLRRKSSIRCALPLEPISRNPSPPTCAELQIDSCGSSEETKKNHSNQQPAGHLREPQLIEDTEPAADAKKNEPNEHIRKRRASDAFPEEKLMNKAGLLTSCSSPRKSQGPVNPSPQRTGTEQLETRQMSDSAKELGDRVLGGEPSGKTTDRSEESTSVSLVSDTDYDTQNSVSVLDAHTVRYARTGSAQCMTQFVASENPKELVHGSNNAGSGTEGLKPPLRHALNLSQEKVEMEDSELDTQYLQNTFQVSKRQSFALFSKPRSPQKDCAHSVPSKELSPKVTAKGKQKERQGQEEFEISHVQAVAATVGLPVPCQEVSPIRSSIKTDNRKPLTEGRFERHTSSTEMAVGNENILQSTVHTVSLNNRGNACQEAGSGSIHEVCSTGDSFPGQLGRNRGPKVNTVPPLDSMQPGVCQQSVPVSDKYLEIKKQEGEAVCADFSPCLFSDHLEQSMSGKVFQVCSETPDDLLDDVEIQGHTSFGEGDIMERSAVFNGSILRRESSRSPSPVTHASKSQSLHRASRKLESSEESDSTEDEDLPCFQHLLSRISNTPELTRCSSAVTQRMPEKAEGTQAPWKGSSSDCNNEVIMIEASQEHQFSEDPRCSGSMFSSQHSAAQGSTANANSQDSNFIPPSKQRSHQCGNEEAFLSDKELISDNEEMATCLEEDNDQEEDSIIPDSEASGYESETNLSEDCSQSDILTTQQRATMKYNLIKLQQEMAHLEAVLEQRGNQPSGHSPSLLADPCALEDLPDLEPNMSGAAILTSKNINENPVSQNLKSACDDKFQLQHLEGPTSGDDESGMGRPSPFKSPLAGSRGSAHGCSRHLQKRNSPSQEELLQPAGSEASSEPHNSTGQSCLPRRELEGTPYLGSGISLFSSRDPESESPKEPAHIGTTPASTSALKIPQGQVAFRSAAAAGADKAVVGIVSKIKPELTSS
P03138 135 389 0.071296862745098 PF00695.19:vMSA:1:255 Large envelope protein; L glycoprotein; L-HBsAg; LHB; Large S protein; Large surface protein; Major surface antigen 389 255 142 167 4 Hepatitis B virus genotype D subtype ayw (isolate France/Tiollais/1979) (HBV-D) SwissProt::P03138 1 SwissProt SSSGTVNPVLTTASPLSSIFSRIGDPALNMENITSGFLGPLLVLQAGFFLLTRILTIPQSLDSWWTSLNFLGGTTVCLGQNSQSPTSNHSPTSCPPTCPGYRWMCLRRFIIFLFILLLCLIFLLVLLDYQGMLPVCPLIPGSSTTSTGPCRTCMTTAQGTSMYPSCCCTKPSDGNCTCIPIPSSWAFGKFLWEWASARFSWLSLLVPFVQWFVGLSPTVWLSVIWMMWYWGPSLYSILSPFLPLLPIFFCLWVYI
P35428 96 282 0.558552941176471 PF07527.13:Hairy_orange:14:52 Transcription factor HES-1 282 39 142 187 0 Mus musculus P35428 1 SwissProt/TReMBL MTAALSTDPSVLGKYRAGFSECMNEVTRFLSTCEGVNTEVRTRLLGHLANCMTQINAMTYPGQAHPALQAPPPPPPSGPAGPQHAPFAPPPPPLVPIPGGAAPPPGSAPCKLGSQAGEAAKVFGGFQVVPAPDGQFAFLIPNGAFAHSGPVIPVYTSNSGTSVGPNAVSPSSGSSLTSDSMWRPWRN
Q12772 408 1141 0.228205040871935 Sterol regulatory element-binding protein 2; SREBP-2; Class D basic helix-loop-helix protein 2; bHLHd2; Sterol regulatory element-binding transcription factor 2 1141 0 142 734 0 Homo sapiens (Human) SwissProt::Q12772 1 SwissProt DLGSLVDNEVDLKIEDFNQNVLLMSPPASDSGSQAGFSPYSIDSEPGSPLLDDAKVKDEPDSPPVALGMVDRSRILLCVLTFLCLSFNPLTSLLQWGGAHDSDQHPHSGSGRSVLSFESGSGGWFDWMMPTLLLWLVNGVIVLSVFVKLLVHGEPVIRPHSRSSVTFWRHRKQADLDLARGDFAAAAGNLQTCLAVLGRALPTSRLDLACSLSWNVIRYSLQKLRLVRWLLKKVFQCRRATPATEAGFEDEAKTSARDAALAYHRLHQLHITGKLPAGSACSDVHMALCAVNLAECAEEKIPPSTLVEIHLTAAMGLKTRCGGKLGFLASYFLSRAQSLCGPEHSAVPDSLRWLCHPLGQKFFMERSWSVKSAAKESLYCAQRNPADPIAQVHQAFCKNLLERAIESLVKPQAKKKAGDQEEESCEFSSALEYLKLLHSFVDSVGVMSPPLSRSSVLKSALGPDIICRWWTSAITVAISWLQGDDAAVRSHFTKVERIPKALEVTESPLVKAIFHACRAMHASLPGKADGQQSSFCHCERASGHLWSSLNVSGATSDPALNHVVQLLTCDLLLSLRTALWQKQASASQAVGETYHASGAELAGFQRDLGSLRRLAHSFRPAYRKVFLHEATVRLMAGASPTRTHQLLEHSLRRRTTQSTKHGEVDAWPGQRERATAILLACRHLPLSFLSSPGQRAVLLAEAARTLEKVGDRRSCNDCQQMIVKLGGGTAIAAS
NP_001153596.1 1 174 0.403298850574713 Krueppel-like factor 6 isoform B 241 0 141 174 0 Homo sapiens NP_001153596.1 1 RefSeq MDVLPMCSIFQELQIVHETGYFSALPSLEEYWQQTCLELERYLQSEPCYVSASEIKFDSQEDLWTKIILAREKKEESELKISSSPPEDTLISPSFCYNLETNSLNSDVSSESSDSSEELSPTAKFTSDPIGEVLVSSGKLSSSVTSTPPSSPELSREPSQLWGCVPGELPSPGK
NP_942088.1 143 305 0.0267331288343558 PF04549.14:CD47:2:150 leukocyte surface antigen CD47 isoform 2 precursor 305 149 141 52 5 Homo sapiens NP_942088.1 0 RefSeq ILIVIFPIFAILLFWGQFGIKTLKYRSGGMDEKTIALLVAGLVITVIVIVGAILFVPGEYSLKNATGLGLIVTSTGILILLHYYVFSTAIGLTSFVIAILVIQVIAYILAVVGLSLCIAACIPMHGPLLISGLSILALAQLLGLVYMKFVASNQKTIQPPRNN
NP_001094282.1 1 273 0.424000732600733 C-X-C motif chemokine 16 precursor 273 0 140 250 1 Homo sapiens NP_001094282.1 1 RefSeq MSGSQSEVAPSPQSPRSPEMGRDLRPGSRVLLLLLLLLLVYLTQPGNGNEGSVTGSCYCGKRISSDSPPSVQFMNRLRKHLRAYHRCLYYTRFQLLSWSVCGGNKDPWVQELMSCLDLKECGHAYSGIVAHQKHLLPTSPPISQASEGASSDIHTPAQMLLSTLQSTQRPTLPVGSLSSDKELTRPNETTIHTAGHSLAAGPEAGENQKQPEKNAGPTARTSATVPVLCLLAIIFILTAALSYVLCKRRRGQSPQSSPDLPVHYIPVAPDSNT
NP_037534.5 1 501 0.177124950099801 PF00487.24:FA_desaturase:213:474,PF00173.28:Cyt-b5:78:150 acyl-CoA (8-3)-desaturase 501 335 140 432 3 Homo sapiens NP_037534.5 1 RefSeq MGTRAARPAGLPCGAENPARRRLALGARQQIHSWSPRTPSTRLTAPAGPARGVARPAMAPDPVAAETAAQGPTPRYFTWDEVAQRSGCEERWLVIDRKVYNISEFTRRHPGGSRVISHYAGQDATDPFVAFHINKGLVKKYMNSLLIGELSPEQPSFEPTKNKELTDEFRELRATVERMGLMKANHVFFLLYLLHILLLDGAAWLTLWVFGTSFLPFLLCAVLLSAVQAQAGWLQHDFGHLSVFSTSKWNHLLHHFVIGHLKGAPASWWNHMHFQHHAKPNCFRKDPDINMHPFFFALGKILSVELGKQKKKYMPYNHQHKYFFLIGPPALLPLYFQWYIFYFVIQRKKWVDLAWMITFYVRFFLTYVPLLGLKAFLGLFFIVRFLESNWFVWVTQMNHIPMHIDHDRNMDWVSTQLQATCNVHKSAFNDWFSGHLNFQIEHHLFPTMPRHNYHKVAPLVQSLCAKHGIEYQSKPLLSAFADIIHSLKESGQLWLDAYLHQ
NP_055400.1 1 571 0.106310157618214 PF06963.12:FPN1:23:531 Solute carrier family 40 member 1; Ferroportin-1; Iron-regulated transporter 1 571 509 139 341 10 Homo sapiens (Human) SwissProt::Q9NP59 1 SwissProt MTRAGDHNRQRGCCGSLADYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLLTAVYGLVVAGSVLVLGAIIGDWVDKNARLKVAQTSLVVQNVSVILCGIILMMVFLHKHELLTMYHGWVLTSCYILIITIANIANLASTATAITIQRDWIVVVAGEDRSKLANMNATIRRIDQLTNILAPMAVGQIMTFGSPVIGCGFISGWNLVSMCVEYVLLWKVYQKTPALAVKAGLKEEETELKQLNLHKDTEPKPLEGTHLMGVKDSNIHELEHEQEPTCASQMAEPFRTFRDGWVSYYNQPVFLAGMGLAFLYMTVLGFDCITTGYAYTQGLSGSILSILMGASAITGIMGTVAFTWLRRKCGLVRTGLISGLAQLSCLILCVISVFMPGSPLDLSVSPFEDIRSRFIQGESITPTKIPEITTEIYMSNGSNSANIVPETSPESVPIISVSLLFAGVIAARIGLWSFDLTVTQLLQENVIESERGIINGVQNSMNYLLDLLHFIMVILAPNPEAFGLLVLISVSFVAMGHIMYFRFAQNTLGNKLFACGPDAKEVRKENQANTSVV
NP_071602.1 1 195 0.0845215384615384 PF00219.18:IGFBP:27:80,PF00093.18:VWC:101:164 connective tissue growth factor precursor 347 118 139 195 0 Rattus norvegicus NP_071602.1 1 RefSeq MLASVAGPVSLALVLLLCTRPATGQDCSAQCQCAAEAAPRCPAGVSLVLDGCGCCRVCAKQLGELCTERDPCDPHKGLFCDFGSPANRKIGVCTAKDGAPCVFGGSVYRSGESFQSSCKYQCTCLDGAVGCVPLCSMDVRLPSPDCPFPRRVKLPGKCCEEWVCDEPKDRTVVGPALAAYRLEDTFGPDPTMMRA
VIMSS10104952 71 196 0.462233333333333 PF01486.17:K-box:20:94 MADS-box protein FLOWERING LOCUS C; MADS-box protein FLOWERING LOCUS F 196 75 139 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7Q7 1 SwissProt GKQHADDLKALDHQSKALNYGSHYELLELVDSKLVGSNVKNVSIDALVQLEEHLETALSVTRAKKTELMLKLVENLKEKEKMLKEENQVLASQMENNHHVGAEAEMEMSPAGQISDNLPVTLPLLN
XP_016866721.1 1 519 0.548997880539498 PF03299.14:TF_AP-2:293:487 transcription factor AP-2-alpha isoform X1 519 195 139 519 0 Homo sapiens XP_016866721.1 1 RefSeq MGRACRCPTTGVRKHTHTRIAPSTSSSQSASVPGPGTDRESGLGLLGKAGADGKIAAAINPSLPRSALCGAGTAAGGVNSWASAHASAGSNPALYDQDRHDGTSNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPPPYQPIYPQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSGLDPRRDYRRHEDLLHGPHALSSGLGDLSIHSLPHAIEEVPHVEDPGINIPDQTVIKKGPVSLSKSNSNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAVAEFLNRQHSDPNEQVTRKNMLLATKQICKEFTDLLAQDRSPLGNSRPNPILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNSHTDNNAKSSDKEEKHRK
NP_001124186.1 66 269 0.786993137254903 PF08347.11:CTNNB1_binding:5:148 lymphoid enhancer-binding factor 1 isoform 3 386 144 138 204 0 Homo sapiens NP_001124186.1 1 RefSeq ASNGHEVARQAQTSQEPYHDKAREHPDDGKHPDGGLYNKGPSYSSYSGYIMMPNMNNDPYMSNGSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQGMSRHPPAPDIPTFYPLSPGGVGQITPPLGWFSHHMIPGPPGPHTTGIPHPAIVTPQVKQEHPHTDSDLMHVKPQHEQRKEQEPKRP
NP_001166183.1 1 600 0.569276833333334 transcription factor Sp3 isoform 3 778 0 138 600 0 Homo sapiens NP_001166183.1 1 RefSeq MTAPEKPVKQEEMAALDVDSGGGGGGGGGHGEYLQQQQQHGNGAVAAAAAAQPSPLALLAATCSKIGPPSPGDDEEEAAAAAGAPAAAGATGDLASAQLGGAPNRWEVLSATPTTIKDEAGNLVQIPSAATSSGQYVLPLQNLQNQQIFSVAPGSDSSNGTVSSVQYQVIPQIQSADGQQVQIGFTGSSDNGGINQESSQIQIIPGSNQTLLASGTPSANIQNLIPQTGQVQVQGVAIGGSSFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGSSQTMTAGINADGHLINTGQAMDSSDNSERTGERVSPDINETNTDTDLFVPTSSSSQLPVTIDSTGILQQNTNSLTTSSGQVHSSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQESQQPTSQAQIVQGITPQTIHGVQASGQNISQQALQNLQLQLNPGTFLIQAQTVTPSGQVTWQTFQVQGVQNLQNLQIQNTAAQQITLTPVQTLTLGQVAAGGAFTSTPVSLSTGQLPNLQTVTVNSIDSAGIQLHPGENADSPADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVDEEGDQQHQEGKRLRRVACTCP
NP_032171.1 1 90 0.332176666666667 PF00446.17:GnRH:22:31 progonadoliberin-1 preproprotein 90 10 137 90 0 Mus musculus NP_032171.1 1 RefSeq MILKLMAGILLLTVCLEGCSSQHWSYGLRPGGKRNTEHLVESFQEMGKEVDQMAEPQHFECTVHWPRSPLRDLRGALESLIEEEARQKKM
P13611 1 3062 0.572234062704114 PF00193.17:Xlink:151:244,PF00193.17:Xlink:252:346,PF07686.17:V-set:29:147 Versican core protein; Chondroitin sulfate proteoglycan core protein 2; Chondroitin sulfate proteoglycan 2; Glial hyaluronate-binding protein; GHAP; Large fibroblast proteoglycan; PG-M 3396 308 137 3062 0 Homo sapiens (Human) SwissProt::P13611 1 SwissProt MFINIKSILWMCSTLIVTHALHKVKVGKSPPVRGSLSGKVSLPCHFSTMPTLPPSYNTSEFLRIKWSKIEVDKNGKDLKETTVLVAQNGNIKIGQDYKGRVSVPTHPEAVGDASLTVVKLLASDAGLYRCDVMYGIEDTQDTVSLTVDGVVFHYRAATSRYTLNFEAAQKACLDVGAVIATPEQLFAAYEDGFEQCDAGWLADQTVRYPIRAPRVGCYGDKMGKAGVRTYGFRSPQETYDVYCYVDHLDGDVFHLTVPSKFTFEEAAKECENQDARLATVGELQAAWRNGFDQCDYGWLSDASVRHPVTVARAQCGGGLLGVRTLYRFENQTGFPPPDSRFDAYCFKPKEATTIDLSILAETASPSLSKEPQMVSDRTTPIIPLVDELPVIPTEFPPVGNIVSFEQKATVQPQAITDSLATKLPTPTGSTKKPWDMDDYSPSASGPLGKLDISEIKEEVLQSTTGVSHYATDSWDGVVEDKQTQESVTQIEQIEVGPLVTSMEILKHIPSKEFPVTETPLVTARMILESKTEKKMVSTVSELVTTGHYGFTLGEEDDEDRTLTVGSDESTLIFDQIPEVITVSKTSEDTIHTHLEDLESVSASTTVSPLIMPDNNGSSMDDWEERQTSGRITEEFLGKYLSTTPFPSQHRTEIELFPYSGDKILVEGISTVIYPSLQTEMTHRRERTETLIPEMRTDTYTDEIQEEITKSPFMGKTEEEVFSGMKLSTSLSEPIHVTESSVEMTKSFDFPTLITKLSAEPTEVRDMEEDFTATPGTTKYDENITTVLLAHGTLSVEAATVSKWSWDEDNTTSKPLESTEPSASSKLPPALLTTVGMNGKDKDIPSFTEDGADEFTLIPDSTQKQLEEVTDEDIAAHGKFTIRFQPTTSTGIAEKSTLRDSTTEEKVPPITSTEGQVYATMEGSALGEVEDVDLSKPVSTVPQFAHTSEVEGLAFVSYSSTQEPTTYVDSSHTIPLSVIPKTDWGVLVPSVPSEDEVLGEPSQDILVIDQTRLEATISPETMRTTKITEGTTQEEFPWKEQTAEKPVPALSSTAWTPKEAVTPLDEQEGDGSAYTVSEDELLTGSERVPVLETTPVGKIDHSVSYPPGAVTEHKVKTDEVVTLTPRIGPKVSLSPGPEQKYETEGSSTTGFTSSLSPFSTHITQLMEETTTEKTSLEDIDLGSGLFEKPKATELIEFSTIKVTVPSDITTAFSSVDRLHTTSAFKPSSAITKKPPLIDREPGEETTSDMVIIGESTSHVPPTTLEDIVAKETETDIDREYFTTSSPPATQPTRPPTVEDKEAFGPQALSTPQPPASTKFHPDINVYIIEVRENKTGRMSDLSVIGHPIDSESKEDEPCSEETDPVHDLMAEILPEFPDIIEIDLYHSEENEEEEEECANATDVTTTPSVQYINGKHLVTTVPKDPEAAEARRGQFESVAPSQNFSDSSESDTHPFVIAKTELSTAVQPNESTETTESLEVTWKPETYPETSEHFSGGEPDVFPTVPFHEEFESGTAKKGAESVTERDTEVGHQAHEHTEPVSLFPEESSGEIAIDQESQKIAFARATEVTFGEEVEKSTSVTYTPTIVPSSASAYVSEEEAVTLIGNPWPDDLLSTKESWVEATPRQVVELSGSSSIPITEGSGEAEEDEDTMFTMVTDLSQRNTTDTLITLDTSRIITESFFEVPATTIYPVSEQPSAKVVPTKFVSETDTSEWISSTTVEEKKRKEEEGTTGTASTFEVYSSTQRSDQLILPFELESPNVATSSDSGTRKSFMSLTTPTQSEREMTDSTPVFTETNTLENLGAQTTEHSSIHQPGVQEGLTTLPRSPASVFMEQGSGEAAADPETTTVSSFSLNVEYAIQAEKEVAGTLSPHVETTFSTEPTGLVLSTVMDRVVAENITQTSREIVISERLGEPNYGAEIRGFSTGFPLEEDFSGDFREYSTVSHPIAKEETVMMEGSGDAAFRDTQTSPSTVPTSVHISHISDSEGPSSTMVSTSAFPWEEFTSSAEGSGEQLVTVSSSVVPVLPSAVQKFSGTASSIIDEGLGEVGTVNEIDRRSTILPTAEVEGTKAPVEKEEVKVSGTVSTNFPQTIEPAKLWSRQEVNPVRQEIESETTSEEQIQEEKSFESPQNSPATEQTIFDSQTFTETELKTTDYSVLTTKKTYSDDKEMKEEDTSLVNMSTPDPDANGLESYTTLPEATEKSHFFLATALVTESIPAEHVVTDSPIKKEESTKHFPKGMRPTIQESDTELLFSGLGSGEEVLPTLPTESVNFTEVEQINNTLYPHTSQVESTSSDKIEDFNRMENVAKEVGPLVSQTDIFEGSGSVTSTTLIEILSDTGAEGPTVAPLPFSTDIGHPQNQTVRWAEEIQTSRPQTITEQDSNKNSSTAEINETTTSSTDFLARAYGFEMAKEFVTSAPKPSDLYYEPSGEGSGEVDIVDSFHTSATTQATRQESSTTFVSDGSLEKHPEVPSAKAVTADGFPTVSVMLPLHSEQNKSSPDPTSTLSNTVSYERSTDGSFQDRFREFEDSTLKPNRKKPTENIIIDLDKEDKDLILTITESTILEILPELTSDKNTIIDIDHTKPVYEDILGMQTDIDTEVPSEPHDSNDESNDDSTQVQEIYEAAVNLSLTEETFEGSADVLASYTQATHDESMTYEDRSQLDHMGFHFTTGIPAPSTETELDVLLPTATSLPIPRKSATVIPEIEGIKAEAKALDDMFESSTLSDGQAIADQSEIIPTLGQFERTQEEYEDKKHAGPSFQPEFSSGAEEALVDHTPYLSIATTHLMDQSVTEVPDVMEGSNPPYYTDTTLAVSTFAKLSSQTPSSPLTIYSGSEASGHTEIPQPSALPGIDVGSSVMSPQDSFKEIHVNIEATFKPSSEEYLHITEPPSLSPDTKLEPSEDDGKPELLEEMEASPTELIAVEGTEILQDFQNKTDGQVSGEAIKMFPTIKTPEAGTVITTADEIELEGATQWPHSTSASATYGVEAGVVPWLSPQTSERPTLSSSPEINPETQAALIRGQDSTIAASEQQVAARILDSNDQATVNPVEFNTEVATPPFSL
XP_006505748.1 1 284 0.587189084507042 PF15951.5:MITF_TFEB_C_3_N:56:194 microphthalmia-associated transcription factor isoform X2 520 139 137 284 0 Mus musculus XP_006505748.1 1 RefSeq MQSESGIVADFEVGEEFHEEPKTYYELKSQPLKSSSSAEHSGASKPPLSSSTMTSRILLRQQLMREQMQEQERREQQQKLQAAQFMQQRVAVSQTPAINVSVPTTLPSATQVPMEVLKVQTHLENPTKYHIQQAQRHQVKQYLSTTLANKHASQVLSSPCPNQPGDHAMPPVPGSSAPNSPMAMLTLNSNCEKEAFYKFEEQSRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLYSNQGLPPPGLTISNSCPAN
NP_001268426.1 1 155 0.0823987096774193 PF01145.25:Band_7:29:140 prohibitin isoform 2 155 112 136 155 0 Homo sapiens NP_001268426.1 1 RefSeq MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPWVQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIFTSIGEDYDERVLPSITTEILKSVVARFDAGELITYLPAGQSVLLQLPQ
NP_003042.3 1 500 0.133327 PF07690.16:MFS_1:27:406,PF07690.16:MFS_1:333:460 Monocarboxylate transporter 1; MCT 1; Solute carrier family 16 member 1 500 434 136 255 11 Homo sapiens (Human) SwissProt::P53985 1 SwissProt MPPAVGGPVGYTPPDGGWGWAVVIGAFISIGFSYAFPKSITVFFKEIEGIFHATTSEVSWISSIMLAVMYGGGPISSILVNKYGSRIVMIVGGCLSGCGLIAASFCNTVQQLYVCIGVIGGLGLAFNLNPALTMIGKYFYKRRPLANGLAMAGSPVFLCTLAPLNQVFFGIFGWRGSFLILGGLLLNCCVAGALMRPIGPKPTKAGKDKSKASLEKAGKSGVKKDLHDANTDLIGRHPKQEKRSVFQTINQFLDLTLFTHRGFLLYLSGNVIMFFGLFAPLVFLSSYGKSQHYSSEKSAFLLSILAFVDMVARPSMGLVANTKPIRPRIQYFFAASVVANGVCHMLAPLSTTYVGFCVYAGFFGFAFGWLSSVLFETLMDLVGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVVLIISGIYLFIGMGINYRLLAKEQKANEQKKESKEEETSIDVAGKPNEVTKAAESPDQKDTDGGPKEEESPV
NP_032156.2 642 1583 0.615175477707006 Transcriptional activator GLI3; GLI3 form of 190 kDa; GLI3-190; GLI3 full-length protein; GLI3FL 1583 0 135 942 0 Mus musculus (Mouse) SwissProt::Q61602 1 SwissProt QRGDMHPRPPPPRDSGSHSQSRSPGRPTQGAFGEQKELSNTTSKREECLQVKTVKAEKPMTSQPSPGGQSSCSSQQSPISNYSNSGLELPLTDGGSVADLSAIDETPIMDSTISTATTALALQARRNPAGTKWMEHIKLERLKQVNGMFPRLNPILPSKAPAVSPLIGNGTQSNNNYSSGGPGTLLPSRSDLSGVDFTVLNTLNRRDSNTSTISSAYLSSRRSSGISPCFSSRRSSEASQAEGRPQNVSVADSYDPISTDASRRSSEASQGDGLPSLLSLTPVQQYRLKAKYAAATGGPPPTPLPHMERLSLKTKMALLGEGRDSGVTLPPVHPPRRCSDGGGHTYRGRHLMPHDALANSVRRASDPVRTVSENMSLARVQRFSSLNSFNPPNLPPSVEKRSLVLQNYTRQESSQPRYFQASPCPPSITENVALEALTMDADANLNDEDLLPDDVVQYLNSQNQTGYGQQLQSGISEDSKVAHEPEDLDLAGLPDSHVGQEYPALEQPCSEGSKTDLPIQWNEVSSGTSDLSSSKLKCGQQRPSAQQPRGFGLYNNMVVHPHNLWKVGTGPAGGYQTLGENSSTYNGPEHFAIHSGDGLGTNGNTFHEQPFKTQQYGSQLNRQPLTSSALDHACGTGIQGSKLKGNSLQENGGLLDFSLSVAPNELAGNTVNGMQTQDQMGQGYIAHQLLSGSMQHQGPSRPGQQVLGQVGATSHINIYQGTESCLPGTQDNSSQPSSMAAIRGYQPCASYGGNRRQAMPRGNLTLQQGQLSDMSQSSRVNSIKMEAQGQSQQLCSTVQNYSGQFYDQTMGFSQQDRKAGSFSLSDANCLLQGNGTENSELLSPGANQVTSTVDSFESHDLEGVQIDFDAIIDDGDHTSLMSGALSPSIIQNLSHSSSRLTTPRASLPFPSLSMGTTNMAIGDMSSLLTSLAEESKFLAVMQ
NP_033846.2 1 277 0.546130685920578 Cyclic AMP-dependent transcription factor ATF-4; cAMP-dependent transcription factor ATF-4; Activating transcription factor 4; C/EBP-related ATF; C/ATF; Tax-responsive enhancer element-binding protein 67 homolog; TaxREB67 homolog 349 0 135 277 0 Mus musculus (Mouse) SwissProt::Q06507 1 SwissProt MTEMSFLNSEVLAGDLMSPFDQSGLGAEESLGLLDDYLEVAKHLKPHGFSSDKAGSSEWPAMDDGLASASDTGKEDAFSGTDWMLEKMDLKEFDFDALFRMDDLETMPDELLTTLDDTCDLFAPLVQETNKEPPQTVNPIGHLPESLIKVDQVAPFTFLQPFPCSPGVLSSTPEHSFSLELGSEVDISEGDRKPDSAAYITLIPPCVKEEDTPSDNDSGICMSPESYLGSPQHSPSTSRAPPDNLPSPGGSRGSPRPKPYDPPGVSLTAKVKTEKLD
NP_001164008.1 96 466 0.707324258760108 PF12347.8:HJURP_C:2:59 myocyte-specific enhancer factor 2C isoform 1 466 58 134 371 0 Mus musculus NP_001164008.1 1 RefSeq CDSPDPDADDSVGHSPESEDKYRKINEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGACTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT
XP_011239962.1 1 82 0.273706097560976 PF00214.19:Calc_CGRP_IAPP:1:82 Calcitonin gene-related peptide 1; Alpha-type CGRP; Calcitonin gene-related peptide I; CGRP-I 128 82 134 82 0 Mus musculus (Mouse) SwissProt::Q99JA0 1 SwissProt MGFLKFSPFLVVSILLLYQACSLQAVPLRSILESSPGMATLSEEEVRLLAALVQDYMQMKARELEQEEEQEAEGSSVTAQKR
NP_000581.1 1 144 0.12328125 PF01415.16:IL7:15:141 Interleukin-9 144 127 133 144 0 Homo sapiens P15248 1 SwissProt/TReMBL MLLAMVLTSALLLCSVAGQGCPTLAGILDINFLINKMQEDPASKCHCSANVTSCLCLGIPSDNCTRPCFSERLSQMTNTTMQTRYPLIFSRVKKSVEVLKNNKCPYFSCEQPCNQTTAGNALTFLKSLLEIFQKEKMRGMRGKI
NP_004637.1 135 848 0.355110644257703 PF08205.12:C2-set_2:7:93,PF08205.12:C2-set_2:124:188,PF08205.12:C2-set_2:213:292,PF08205.12:C2-set_2:312:397,PF08205.12:C2-set_2:419:498,PF13927.6:Ig_3:108:187,PF13927.6:Ig_3:313:396,PF13927.6:Ig_3:606:686,PF13895.6:Ig_2:119:202,PF07679.16:I-set:119:202,PF00047.25:ig:118:191 Nephrin; Renal glomerulus-specific cell adhesion receptor 1241 509 133 714 0 Homo sapiens (Human) SwissProt::O60500 1 SwissProt PKLLLLTPEAGTMVTWVAGQEYVVNCVSGDAKPAPDITILLSGQTISDISANVNEGSQQKLFTVEATARVTPRSSDNRQLLVCEASSPALEAPIKASFTVNVLFPPGPPVIEWPGLDEGHVRAGQSLELPCVARGGNPLATLQWLKNGQPVSTAWGTEHTQAVARSVLVMTVRPEDHGAQLSCEAHNSVSAGTQEHGITLQVTFPPSAIIILGSASQTENKNVTLSCVSKSSRPRVLLRWWLGWRQLLPMEETVMDGLHGGHISMSNLTFLARREDNGLTLTCEAFSEAFTKETFKKSLILNVKYPAQKLWIEGPPEGQKLRAGTRVRLVCLAIGGNPEPSLMWYKDSRTVTESRLPQESRRVHLGSVEKSGSTFSRELVLVTGPSDNQAKFTCKAGQLSASTQLAVQFPPTNVTILANASALRPGDALNLTCVSVSSNPPVNLSWDKEGERLEGVAAPPRRAPFKGSAAARSVLLQVSSRDHGQRVTCRAHSAELRETVSSFYRLNVLYRPEFLGEQVLVVTAVEQGEALLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSSGALHLWNVTRADDGLYQLHCQNSEGTAEARLRLDVHYAPTIRALQDPTEVNVGGSVDIVCTVDANPILPGMFNWERLGEDEEDQSLDDMEKISRGPTGRLRIHHAKLAQAGAYQCIVDNGVAPPARRLLRLVVRFAPQVEHPTPLTK
NP_036224.1 1 199 0.0327638190954774 PF15910.5:V-set_2:23:134 Inducible T-cell costimulator; Activation-inducible lymphocyte immunomediatory molecule; CD278 antigen 199 112 133 176 1 Homo sapiens (Human) SwissProt::Q9Y6W8 1 SwissProt MKSGLWYFFLFCLRIKVLTGEINGSANYEMFIFHNGGVQILCKYPDIVQQFKMQLLKGGQILCDLTKTKGSGNTVSIKSLKFCHSQLSNNSVSFFLYNLDHSHANYYFCNLSIFDPPPFKVTLTGGYLHIYESQLCCQLKFWLPIGCAAFVVVCILGCILICWLTKKKYSSSVHDPNGEYMFMRAVNTAKKSRLTDVTL
NP_689952.1 1 189 0.519965608465609 PF04617.13:Hox9_act:1:189 Homeobox protein Hox-A9; Homeobox protein Hox-1G 272 189 133 189 0 Homo sapiens (Human) SwissProt::P31269 1 SwissProt MATTGALGNYYVDSFLLGADAADELSVGRYAPGTLGQPPRQAATLAEHPDFSPCSFQSKATVFGASWNPVHAAGANAVPAAVYHHHHHHPYVHPQAPVAAAAPDGRYMRSWLEPTPGALSFAGLPSSRPYGIKPEPLSARRGDCPTLDTHTLSLTDYACGSPPVDREKQPSEGAFSENNAENESGGDKP
XP_006498284.1 1 948 0.437188080168777 PF04388.12:Hamartin:7:729 hamartin isoform X1 1174 723 133 948 0 Mus musculus XP_006498284.1 1 RefSeq MAQLANIGELLSMLDSSTLGVRDDVTAIFKESLNSERGPMLVNTLVDYYLETNSQPVLHILTTLQEPHDKHLLDKINEYVGKAATRLSILSLLGHVVRLQPSWKHKLSQAPLLPSLLKCLKMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVTEVYLVHLHASVYALFHRLYGMYPCNFVSFLRSHYSMKENVETFEEVVKPMMEHVRIHPELVTGSKDHELDPRRWKTLETHDVVIECAKISLDPTEASYEDGYSVSHQLSACFPYRSADVTTSPYVDTQNSYGGSTSTPSSSSRLMLFSPPGQLPQSLSSPSTRLLPEPLQVRCHSACLHLLYLPASLWSPSAVCGMTTPPTSPGNVPADLSHPYSKAFGTTGGKGTPSGTPATSPPPAPPCPQDDCVHGSAAQASATAPRKEERADSSRPYLHRQSNDRGLEDPPGSKGSVTLRNLPDFLGDLASEEDSIEKDKEEAAISKELSEITTAEADPVVPRGGFDSPFYRDSLSGSQRKTHSAASGTQGSSVNPEPLHSSLDKHGPDTPKQAFTPIDPPSGSADVSPAGDRDRQTSLETSILTPSPCKIPPQRGVSFGSGQLPPYDHLFEVALPKTACHFVSKKTEELLKKVKGNPEEDCVPSTSPMEVLDRLIEQGAGAHSKELSRLSLPSKSVDWTHFGGSPPSDELRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKVIRAAALEEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYSQLQEQRDTMVTQLHSQIRQLQHDREEFYNQSQELQTKLEDCRNMIAELRVELKKANNKVCHTELLLSQVSQKLSNSESVQQQMEFLNRQLLVLGEVNELYLEQLQSKHPDTTKEVEMMKTAYRKELEKNRSHLLQQNQRLDASQRRVLELESLLAKKDHLLLEQKKYLEDVKSQAS
P02652 1 100 0.170822 PF04711.13:ApoA-II:24:98 Apolipoprotein A-II; Apo-AII; ApoA-II; Apolipoprotein A2 100 75 132 100 0 Homo sapiens (Human) SwissProt::P02652 1 SwissProt MKLLAATVLLLTICSLEGALVRRQAKEPCVESLVSQYFQTVTDYGKDLMEKVKSPELQAEAKSYFEKSKEQLTPLIKKAGTELVNFLSYFVELGTQPATQ
NP_001258488.1 1 278 0.479912949640288 PF03172.13:HSR:7:103,PF01342.21:SAND:205:247 autoimmune regulator isoform 12 404 140 131 278 0 Mus musculus NP_001258488.1 1 RefSeq MAGGDGMLRRLLRLHRTEIAVAIDSAFPLLHALADHDVVPEDKFQETLRLKEKEGCPQAFHALLSWLLTRDSGAILDFWRILFKDYNLERYSRLHSILDGFPKDVDLNQSRKGRKPLAGPKAAVLPPRPPTKRKALEEPRATPPATLASKSVSSPGSHLKTKPPKKPDGNLESQHLPLGNGIQTMAASVQRAVTVASGDVPGTRGAVEGILIQQVFESGRSKKCIQVGGEFYTPNKFEDPSGNLKNKARSGSSLKPVVRAKGAQGRDEQKVGQQCGVP
NP_001304960.1 1 420 0.541030238095238 sal-like protein 4 isoform 2 616 0 131 420 0 Homo sapiens NP_001304960.1 1 RefSeq MSRRKQAKPQHINSEEDQGEQQPQQQTPEFADAAPAAPAAGELGAPVNHPGNDEVASEDEATVKRLRREETHVCEKCCAEFFSISEFLEHKKNCTKNPPVLIMNDSEGPVPSEDFSGAVLSHQPTSPGSKDCHRENGGSSEDMKEKPDAESVVYLKTETALPPTPQDISYLAKGKVANTNVTLQALRGTKVAVNQRSADALPAPVPGANSIPWVLEQILCLQQQQLQQIQLTEQIRIQVNMWASHALHSSGAGADTLKTLGSHMSQQVSAAVALLSQKAGSQGLSLDALKQAKLPHANIPSATSSLSPGLAPFTLKPDGTRVLPNVMSRLPSALLPQAPGSVLFQSPFSTVALDTSKKGKGKPPNISAVDVKPKDEAALYKHKCRSSLPSTFIRAPPTYVKVEVPGTFVGPSTLSPGMTP
Q16625 1 382 0.195794502617801 PF01284.23:MARVEL:57:263 Occludin 522 207 131 267 5 Homo sapiens (Human) SwissProt::Q16625 1 SwissProt MSSRPLESPPPYRPDEFKPNHYAPSNDIYGGEMHVRPMLSQPAYSFYPEDEILHFYKWTSPPGVIRILSMLIIVMCIAIFACVASTLAWDRGYGTSLLGGSVGYPYGGSGFGSYGSGYGYGYGYGYGYGGYTDPRAAKGFMLAMAAFCFIAALVIFVTSVIRSEMSRTRRYYLSVIIVSAILGIMVFIATIVYIMGVNPTAQSSGSLYGSQIYALCNQFYTPAATGLYVDQYLYHYCVVDPQEAIAIVLGFMIIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVSAGTQDVPSPPSDYVERVDSPMAYSSNGKVNDKRFYPESSYKSTPVPEVVQELPLTSPVDDFRQPRYSSGGNFETPSKRAP
NP_001273747.1 1 262 0.557394656488549 Krueppel-like factor 5 isoform 2 366 0 130 262 0 Homo sapiens NP_001273747.1 1 RefSeq MEKYLTPQLPPVPIIPEHKKYRRDSASVVDQFFTDTEGLPYSINMNVFLPDITHLRTGLYKSQRPCVTHIKTEPVAIFSHQSETTAPPPAPTQALPEFTSIFSSHQTAAPEVNNIFIKQELPTPDLHLSVPTQQGHLYQLLNTPDLDMPSSTNQTAAMDTLNVSMSAAMAGLNTHTSAVPQTAVKQFQGMPPCTYTMPSQFLPQQATYFPPSPPSSEPGSPDRQAEMLQNLTPPPSYAATIASKLAIHNPNLPTTLPVNSQN
NP_057354.1 1 257 0.712200389105058 Krueppel-like factor 2; Lung krueppel-like factor 355 0 130 257 0 Homo sapiens (Human) SwissProt::Q9Y5W3 1 SwissProt MALSEPILPSFSTFASPCRERGLQERWPRAEPESGGTDDDLNSVLDFILSMGLDGLGAEAAPEPPPPPPPPAFYYPEPGAPPPYSAPAGGLVSELLRPELDAPLGPALHGRFLLAPPGRLVKAEPPEADGGGGYGCAPGLTRGPRGLKREGAPGPAASCMRGPGGRPPPPPDTPPLSPDGPARLPAPGPRASFPPPFGGPGFGAPGPGLHYAPPAPPAFGLFDDAAAAAAALGLAPPAARGLLTPPASPLELLEAKP
O43597 140 315 0.0756017045454545 PF05210.13:Sprouty:44:152 Protein sprouty homolog 2; Spry-2 315 109 130 176 0 Homo sapiens (Human) SwissProt::O43597 1 SwissProt FSSGPVADGIIRVQPKSELKPGELKPLSKEDLGLHAYRCEDCGKCKCKECTYPRPLPSDWICDKQCLCSAQNVIDYGTCVCCVKGLFYHCSNDDEDNCADNPCSCSQSHCCTRWSAMGVMSLFLPCLWCYLPAKGCLKLCQGCYDRVNRPGCRCKNSNTVCCKVPTVPPRNFEKPT
XP_016870697.1 1 843 0.102390747330961 PF07648.15:Kazal_2:504:543,PF07648.15:Kazal_2:588:613,PF07648.15:Kazal_2:622:645 reversion-inducing cysteine-rich protein with Kazal motifs isoform X2 843 90 130 843 0 Homo sapiens XP_016870697.1 1 RefSeq MKDKTGKNALFSCISRNEMGSVCCSYAGHHTNCREYCQAIFRTDSSPGPSQIKAVENYCASISPQLIHCVNNYTQSYPMRNPTDSLYCCDRAEDHACQNACKRILMSKKTEMEIVDGLIEGCKTQPLPQDPLWQCFLESSQSVHPGVTVHPPPSTGLDGAKLHCCSKANTSTCRELCTKLYSMSWGNTQSWQEFDRFCEYNPVEVSMLTCLADVREPCQLGCRNLTYCTNFNNRPTELFRSCNAQSDQGAMNDMKLWEKGSIKMPFINIPVLDIKKCQPEMWKAIACSLQIKPCHSKSRGSIICKSDCVEILKKCGDQNKFPEDHTAESICELLSPTDDLKNCIPLDTYLRPSTLGNIVEEVTHPCNPNPCPANELCEVNRKGCPSGDPCLPYFCVQGCKLGEASDFIVRQGTLIQVPSSAGEVGCYKICSCGQSGLLENCMEMHCIDLQKSCIVGGKRKSHGTSFSIDCNVCSCFAGNLVCSTRLCLSEHSSEDDRRTFTGLPCNCADQFVPVCGQNGRTYPSACIARCVGLQDHQFEFGSCMSKDPCNPNPCQKNQRCIPKPQVCLTTFDKFGCSQYECVPRQLACDQVQDPVCDTDHMEHNNLCTLYQRGKSLSYKGPCQPFCRATEPVCGHNGETYSSVCAAYSDRVAVDYYGDCQAVGVLSEHSSVAECASVKCPSLLAAGCKPIIPPGACCPLCAGMLRVLFDKEKLDTIAKVTNKKPITVLEILQKIRMHVSVPQCDVFGYFSIESEIVILIIPVDHYPKALQIEACNKEAEKIESLINSDSPTLASHVPLSALIISQVQVSSSVPSAGVRARPSCHSLLLPLSLGLALHLLWTYN
XP_017450553.1 1 199 0.399287437185929 Activity-regulated cytoskeleton-associated protein; Activity-regulated gene 3.1 protein; ARC/ARG3.1; Arg3.1 396 0 130 199 0 Rattus norvegicus (Rat) SwissProt::Q63053 1 SwissProt MELDHMTTGGLHAYPAPRGGPAAKPNVILQIGKCRAEMLEHVRRTHRHLLTEVSKQVERELKGLHRSVGKLENNLDGYVPTGDSQRWKKSIKACLCRCQETIANLERWVKREMHVWREVFYRLERWADRLESMGGKYPVGSEPARHTVSVGVGGPEPYCQEADGYDYTVSPYAITPPPAAGELPEQESVGAQQYQSWVP
P05111 1 257 0.402477821011674 Inhibin alpha chain 366 0 128 257 0 Homo sapiens (Human) SwissProt::P05111 1 SwissProt MVLHLLLFLLLTPQGGHSCQGLELARELVLAKVRALFLDALGPPAVTREGGDPGVRRLPRRHALGGFTHRGSEPEEEEDVSQAILFPATDASCEDKSAARGLAQEAEEGLFRYMFRPSQHTRSRQVTSAQLWFHTGLDRQGTAASNSSEPLLGLLALSPGGPVAVPMSLGHAPPHWAVLHLATSALSLLTHPVLVLLLRCPLCTCSARPEATPFLVAHTRTRPPSGGERARRSTPLMSWPWSPSALRLLQRPPEEPA
Q9P212 1 1346 0.334658766716196 PF00617.19:RasGEF:540:716 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase epsilon-1; Pancreas-enriched phospholipase C; Phosphoinositide phospholipase C-epsilon-1; Phospholipase C-epsilon-1; PLC-epsilon-1; EC 3.1.4.11 2302 177 128 1346 0 Homo sapiens (Human) SwissProt::Q9P212 1 SwissProt MTSEEMTASVLIPVTQRKVVSAQSAADESSEKVSDINISKAHTVRRSGETSHTISQLNKLKEEPSGSNLPKILSIAREKIVSDENSNEKCWEKIMPDSAKNLNINCNNILRNHQHGLPQRQFYEMYNSVAEEDLCLETGIPSPLERKVFPGIQLELDRPSMGISPLGNQSVIIETGRAHPDSRRAVFHFHYEVDRRMSDTFCTLSENLILDDCGNCVPLPGGEEKQKKNYVAYTCKLMELAKNCDNKNEQLQCDHCDTLNDKYFCFEGSCEKVDMVYSGDSFCRKDFTDSQAAKTFLSHFEDFPDNCDDVEEDAFKSKKERSTLLVRRFCKNDREVKKSVYTGTRAIVRTLPSGHIGLTAWSYIDQKRNGPLLPCGRVMEPPSTVEIRQDGSQRLSEAQWYPIYNAVRREETENTVGSLLHFLTKLPASETAHGRISVGPCLKQCVRDTVCEYRATLQRTSISQYITGSLLEATTSLGARSGLLSTFGGSTGRMMLKERQPGPSVANSNALPSSSAGISKELIDLQPLIQFPEEVASILMEQEQTIYRRVLPVDYLCFLTRDLGTPECQSSLPCLKASISASILTTQNGEHNALEDLVMRFNEVSSWVTWLILTAGSMEEKREVFSYLVHVAKCCWNMGNYNAVMEFLAGLRSRKVLKMWQFMDQSDIETMRSLKDAMAQHESSCEYRKVVTRALHIPGCKVVPFCGVFLKELCEVLDGASGLMKLCPRYNSQEETLEFVADYSGQDNFLQRVGQNGLKNSEKESTVNSIFQVIRSCNRSLETDEEDSPSEGNSSRKSSLKDKSRWQFIIGDLLDSDNDIFEQSKEYDSHGSEDSQKAFDHGTELIPWYVLSIQADVHQFLLQGATVIHYDQDTHLSARCFLQLQPDNSTLTWVKPTTASPASSKAKLGVLNNTAEPGKFPLLGNAGLSSLTEGVLDLFAVKAVYMGHPGIDIHTVCVQNKLGSMFLSETGVTLLYGLQTTDNRLLHFVAPKHTAKMLFSGLLELTRAVRKMRKFPDQRQQWLRKQYVSLYQEDGRYEGPTLAHAVELFGGRRWSARNPSPGTSAKNAEKPNMQRNNTLGISTTKKKKKILMRGESGEVTDDEMATRKAKMHKECRSRSGSDPQDINEQEESEVNAIANPPNPLPSRRAHSLTTAGSPNLAAGTSSPIRPVSSPVLSSSNKSPSSAWSSSSWHGRIKGGMKGFQSFMVSDSNMSFVEFVELFKSFSVRSRKDLKDLFDVYAVPCNRSGSESAPLYTNLTIDENTSDLQPDLDLLTRNVSDLGLFIKSKQQLSDNQRQISDAIAAASIVTNGTGIESTSLGIFGVGILQLNDFLVNCQGEHCTYDEI
NP_004043.3 1 210 0.729957619047619 PF06553.12:BNIP3:74:210 BCL2/adenovirus E1B 19 kDa protein-interacting protein 3 259 137 127 210 0 Homo sapiens (Human) SwissProt::Q12983 1 SwissProt MGDAAADPPGPALPCEFLRPGCGAPLSPGAQLGRGAPTSAFPPPAAEAHPAARRGLRSPQLPSGAMSQNGAPGMQEESLQGSWVELHFSNNGNGGSVPASVSIYNGDMEKILLDAQHESGRSSSKSSHCDSPPRSQTPQDTNRASETDTHSIGEKNSSQSEEDDIERRKEVESILKKNSDWIWDWSSRPENIPPKEFLFKHPKRTATLSM
XP_006523561.1 1 149 0.322330201342282 PF00123.20:Hormone_2:102:128 pituitary adenylate cyclase-activating polypeptide isoform X1 194 27 127 149 0 Mus musculus XP_006523561.1 1 RefSeq MTMCSGARLALLVYGIIMHSSVSCSPAAGLSFPGIRPEDEAYDQDGNPLQDFYDWDPPGVGSPASALRDAYALYYPADRRYNPPGFRLWLGPNLAEGTGDVAHEILNEAYRKVLDQLSARKYLQSVVARGAGENLGGSAVDDPAPLTKR
XP_011519857.1 1 445 0.653764943820225 lysyl oxidase homolog 1 isoform X1 445 0 127 445 0 Homo sapiens XP_011519857.1 1 RefSeq MALARGSRQLGALVWGACLCVLVHGQQAQPGQGSDPARWRQLIQWENNGQVYSLLNSGSEYVPAGPQRSESSSRVLLAGAPQAQQRRSHGSPRRRQAPSLPLPGRVGSDTVRGQARHPFGFGQVPDNWREVAVGDSTGMARARTSVSQQRHGGSASSVSASAFASTYRQQPSYPQQFPYPQAPFVSQYENYDPASRTYDQGFVYYRPAGGGVGAGAAAVASAGVIYPYQPRARYEEYGGGEELPEYPPQGFYPAPERPYVPPPPPPPDGLDRRYSHSLYSEGTPGFEQAYPDPGPEAAQAHGGDPRLGWYPPYANPPPEAYGPPRALEPPYLPVRSSDTPPPGGERNGAQQGRLSVGSVYRPNQNGRVTPAQTPACPGRKVEGQEQDGQAPGSGTSWGTRDHKDGGSLQTSPGRLTPGLAGERARASLRSLFMLFSLPWTPFPAL
NP_038658.2 355 3040 0.217995681310498 PF00801.20:PKD:664:756,PF00801.20:PKD:773:842,PF00801.20:PKD:862:925,PF00801.20:PKD:942:1008,PF00801.20:PKD:1026:1095,PF00801.20:PKD:1116:1177,PF00801.20:PKD:1194:1261,PF00801.20:PKD:1283:1351,PF00801.20:PKD:1366:1435,PF00801.20:PKD:1458:1519,PF00801.20:PKD:1535:1602,PF00801.20:PKD:1625:1692,PF00801.20:PKD:1712:1774,PF02010.15:REJ:1813:2256,PF00059.21:Lectin_C:72:176 Polycystin-1; Autosomal dominant polycystic kidney disease 1 protein homolog 4293 1443 126 2686 0 Mus musculus (Mouse) SwissProt::O08852 1 SwissProt TPTVLELVCPSFVHSNESLELGIRHRGGSALEVTYSILALDKEPAQVVHPLCPLDTEIFPGNGHCYRLVAEKAPWLQAQEQCRTWAGAALAMVDSPAIQHFLVSKVTRSLDVWIGFSSVEGTEGLDPRGEAFSLESCQNWLPGEPHPATAEHCVRLGPAGQCNTDLCSAPHSYVCELRPGGPVWDTENFVMGMSGGGLSGPLHPLAQQETVQGPLRPVEVMVFPGLSPSREAFLTAAEFSTQKLEEPAQMRLQVYRPSGGAAAVPEGSSEPDNRTEPAPKCVPEELWCPGANVCIPFDASCNSHVCINGSVSRLGLSRASYTLWKEFFFSVPAGPPTQYLVTLHSQDVPMLPGDLIGLQHDAGPGTLLQCPLASSCPGQALYLSTNASDWMTNLPVHLEEAWAGPVCSLQLLLVTERLTPLLGLGPNPGLQHPGHYEVRATVGNSVSRQNLSCSFSVVSPIAGLRVIHPIPLDGHIYVPTNGSVLVLQVDSGANATATAQWFGGNISAPFEDACPPEVDFLKQDCTEEANGTLFSVLMLPRLKEGDHTVEIVAQNGASQANLSLRVTAEEPICGLRAVPSPEARVLQGILVRYSPMVEAGSDVAFRWTIDDKQSLTFHNTVFNVIYQSAAIFKLSLTASNHVSNITVNYNVTVERMNKMHGLWVSAVPTVLPPNATLALTGGVLVDSAVEVAFLWNFGDGEQVLRQFKPPYDESFQVPDPTVAQVLVEHNTTHIYTTPGEYNLTVLVSNTYENLTQQVTVSVRTVLPNVAIGMSSNVLVAGQPITFSPYPLPSTDGVLYTWDFGDGSPVLIQSQPVLNHTYSMTGAYRITLEVNNTVSSVTAHADIRVFQELHGLTVYLSPSVEQGAPMVVSASVESGDNITWTFDMGDGTVFTGPEATVQHVYLRAQNFTVTVEAANPAGHLSQSLHVQVFVLEVLHIEPSTCIPTQPSAQLMAHVTGDPVHYLFDWTFGDGSSNVTVHGHPSVTHNFTRSGIFPLALVLSSHVNKAHYFTSICVEPEIRNITLQPERQFVKLGDEARLVAYSWPPFPYRYTWDFGTEDTTHTQTGGSEVKFIYREPGSYLVIVTVSNNISSTNDSAFVEVQEPVLVTGIRINGSHVLELQQPYLLSAMGSGSPATYLWELGDGSQSEGPEVTHIYSSTGDFTVRVSGWNEVSRSEAQLNITVKQRVRGLTINASRTVVPLNGSVSFSTLLEVGSDVHYSWVLCDRCTPIPGGPTISYTFRSVGTFNIIVTAENEVGSAQDSIFIYVLQFIEGLQVAGGDNGCCFPTNYTLQLQAAVRDGTNISYSWTAQQEGSLITLFGSGKCFSLTSLKASTYYVHLRATNMLGSAAANRTIDFVEPVESLILSASPNPAAVNMSLTLCAELAGGSGVVYTWYLEEGLSWKTSMPSTTHTFAAPGLHLVRVTAENQLGSVNATVEVAIQVPVGGLSIRTSEPDSIFVAAGSTLPFWGQLAEGTNVTWCWTLPGGSKDSQYIAVRFSTAGSFSLQLNASNAVSWVSAMYNLTVEEPIVNLMLWASSKVVAPGQPVHFEILLAAGSALTFRLQVGGSVPEVLPSPHFSHSFFRVGDHLVNVQAENHVSHAQAQVRILVLEAVVGLQVPNCCEPGMATGTEKNFTARVQRGSRVAYAWYFSLQKVQGDSLVILSGRDVTYTPVAAGLLEIHVRAFNELGGVNLTLMVEVQDIIQYVTLQSGRCFTNRSARFEAATSPSPRRVTYHWDFGDGTPVQKTEEFWADHYYLRPGDYHVEVNATNLVSFFVAQATVTVQVLACREPEVEVALPLQVLMRRSQRNYLEAHVDLRNCVSYQTEYRWEIYRTASCQRPGRMAQMVLPGVDVSRPQLVVPRLALPVGHYCFVFVVSFGDTPLARSIQANVTVAAERLVPIIEGGSYRVWSDTQDLVLDGSKSYDPNLEDGDQTPLNFHWACVASTQSETGGCVLNFGPRGSSVVTIPLERLEAGVEYTFNLIVWKAGRKEEATNQTVLIRSGRVPIVSLECVSCKAQAVYEVSRSSYVYLEGHCHNCSRGYKQGCWAARTFSNKTLVLNETTTSTGSTGMNLVVRPGALRDGEGYIFTLTVLGHSGEEEGCASIRLSPNRPPLGGSCRLFPLDSVRGLTTKVHFECTGWRDAEDGGAPLVYALLLKRCRQSYCENFCIYKGSLSTYGAVLPPGFQPLFVVSLAVVVQDQLGAAVVALNRSLTIVLPEPSGNPADLVPWLHSLTASVLPGLLKQADPQHVIEYSLALITVLNEYEQAPDVSEPNVEQQLRAQMRKNITETLISLRVNTVDDIQQITAALAQCMVSSRELMCRSCLKKMLQKLEGMMRILQAETTEGTLTPTTIADSILNITGDLIHLASLDMQGPQPLELGVEPPSLMVASKAYNLSSALMRILMRSRVLNEEPLTLAGEEIVALGKRSDPLSLLCYGKALGPSCHFSIPEAFSGALSNLSDVVQLIFLVDSNPFPFGYISNYTVSTKVASMAFQTQTGTQIPIEQLAAERAITVKVPNNSDQAAQSSHNPVGSTIVQPQTSVSAVVTADNSNPQAGLHLRITYTVLNERYLSAEPEPYLAVYLHSVSQPNEYNCSASRRISLEVLEGADHRLYTFFIAPGTGTLDRSYYLNLTSHFHWSALEVSVGLYTSLCQYFSEEMMMWRTEGIVPLEETSPSQAVCLTRHL 1
NP_033874.1 130 504 0.617674133333333 B-cell lymphoma 6 protein homolog 707 0 125 375 0 Mus musculus (Mouse) SwissProt::P41183 1 SwissProt AEMAPALKPPREEFLNSRMLMPHDIMAYRGREVVENNMPLRNTPGCESRAFAPPLYSGLSTPPASYPMYSHLPLSTFLFSDEELRDAPRMPVANPFPKERALPCDSARQVPNEYSRPAMEVSPSLCHSNIYSPKEAVPEEARSDIHYSVPEGPKPAVPSARNAPYFPCDKASKEEERPSSEDEIALHFEPPNAPLNRKGLVSPQSPQKSDCQPNSPTESCSSKNACILQASGSPPAKSPTDPKACNWKKYKFIVLNSLNQNAKPEGSEQAELGRLSPRAYPAPPACQPPMEPANLDLQSPTKLSASGEDSTIPQASRLNNLVNRSLAGSPRSSSESHSPLYMHPPKCTSCGSQSPQHTEMCLHTAGPTFPEEMGE
NP_659032.3 1 377 0.610383819628647 PF02165.15:WT1:69:377 Wilms tumor protein homolog 517 309 125 377 0 Mus musculus NP_659032.3 1 RefSeq MDFLLSQEPASTCVPEPASQHTLRREPGCVQQPEQPGDRGPRSAWAKSSAENPQDRRSGEPSASEPHLMGSDVRDLNALLPAVSSLGGGGGGCGLPVSGAAQWAPVLDFAPPGASAYGSLGGPAPPPAPPPPPPPPHSFIKQEPSWGGAEPHEEQCLSAFTLHFSGQFTGTAGACRYGPFGPPPPSQASSGQARMFPNAPYLPSCLESQPTIRNQGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKGMAAGSSSSVKWTEGQSNHGTGYESENHTAPILCGAQYRIHTHGVFRGIQDVRRVSGVAPT
NP_001268430.1 1 422 0.0847646919431279 PF00487.24:FA_desaturase:135:395 acyl-CoA 6-desaturase isoform 2 precursor 422 261 124 330 4 Homo sapiens NP_001268430.1 1 RefSeq MHGREAGPFVCVCVLLASIPTPQTPLLQASLPPFHPASAGHPITGQQDAFRAFHPDLEFVGKFLKPLLIGELAPEEPSQDHGKNSKITEDFRALRKTAEDMNLFKTNHVFFLLLLAHIIALESIAWFTVFYFGNGWIPTLITAFVLATSQAQAGWLQHDYGHLSVYRKPKWNHLVHKFVIGHLKGASANWWNHRHFQHHAKPNIFHKDPDVNMLHVFVLGEWQPIEYGKKKLKYLPYNHQHEYFFLIGPPLLIPMYFQYQIIMTMIVHKNWVDLAWAVSYYIRFFITYIPFYGILGALLFLNFIRFLESHWFVWVTQMNHIVMEIDQEAYRDWFSSQLTATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNLHKIAPLVKSLCAKHGIEYQEKPLLRALLDIIRSLKKSGKLWLDAYLHK
NP_033241.1 1 116 0.357131896551724 PF03002.15:Somatostatin:99:116 Somatostatin 116 18 124 116 0 Mus musculus (Mouse) SwissProt::P60041 1 SwissProt MLSCRLQCALAALCIVLALGGVTGAPSDPRLRQFLQKSLAAATGKQELAKYFLAELLSEPNQTENDALEPEDLPQAAEQDEMRLELQRSANSNPAMAPRERKAGCKNFFWKTFTSC
NP_569725.1 1 278 0.629963309352518 Transcription factor Sp7; C22; Zinc finger protein osterix 428 0 124 278 0 Mus musculus (Mouse) SwissProt::Q8VI67 1 SwissProt MASSLLEEEAHYGSSPLAMLTAACSKFGGSSPLRDSTTLGKGGTKKPYADLSAPKTMGDAYPAPFSSTNGLLSPAGSPPAPASGYANDYPPFPHSFPGPTGAQDPGLLVPKGHSSSDCLPSVYTSLDMTHPYGSWYKAGIHAGISPGPGNTPTPWWDMHPGGNWLGGGQGQGDGLQGTLSTGPAQPPLNPQLPTYPSDFAPLNPAPYPAPHLLQPGPQHVLPQDVYKPKAVGNSGQLEGSGAAKPPRGAGTGGSGGYAGSGAGRSTCDCPNCQELERL
Q14469 96 280 0.566624324324325 PF07527.13:Hairy_orange:14:52 Transcription factor HES-1; Class B basic helix-loop-helix protein 39; bHLHb39; Hairy and enhancer of split 1; Hairy homolog; Hairy-like protein; hHL 280 39 124 185 0 Homo sapiens (Human) SwissProt::Q14469 1 SwissProt MTAALSTDPSVLGKYRAGFSECMNEVTRFLSTCEGVNTEVRTRLLGHLANCMTQINAMTYPGQPHPALQAPPPPPPGPGGPQHAPFAPPPPLVPIPGGAAPPPGGAPCKLGSQAGEAAKVFGGFQVVPAPDGQFAFLIPNGAFAHSGPVIPVYTSNSGTSVGPNAVSPSSGPSLTADSMWRPWRN
XP_006501151.1 1 143 0.535965734265734 induced myeloid leukemia cell differentiation protein Mcl-1 homolog isoform X1 251 0 124 143 0 Mus musculus XP_006501151.1 1 RefSeq MFGLRRNAVIGLNLYCGGASLGAGGGSPAGARLVAEEAKARREGGGEAALLPGARVVARPPPVGAEDPDVTASAERRLHKSPGLLAVPPEEMAASAAAAIVSPEEELDGCEPEAIGKRPAVLPLLERVSEAAKSSGADGSLPS
NP_001074681.1 299 1321 0.733162561094818 Insulin receptor substrate 2; IRS-2; 4PS 1321 0 123 1023 0 Mus musculus (Mouse) SwissProt::P81122 1 SwissProt PRSKSQSSGSSATHPISVPGARRHHHLVNLPPSQTGLVRRSRTDSLAATPPAAKCTSCRVRTASEGDGGAAGGAGTAGGRPMSVAGSPLSPGPVRAPLSRSHTLSAGCGGRPSKVTLAPAGGALQHSRSMSMPVAHSPPAATSPGSLSSSSGHGSGSYPLPPGSHPHLPHPLHHPQGQRPSSGSASASGSPSDPGFMSLDEYGSSPGDLRAFSSHRSNTPESIAETPPARDGSGGELYGYMSMDRPLSHCGRPYRRVSGDGAQDLDRGLRKRTYSLTTPARQRQVPQPSSASLDEYTLMRATFSGSSGRLCPSFPASSPKVAYNPYPEDYGDIEIGSHKSSSSNLGADDGYMPMTPGAALRSGGPNSCKSDDYMPMSPTSVSAPKQILQPRLAAALPPSGAAVPAPPSGVGRTFPVNGGGYKASSPAESSPEDSGYMRMWCGSKLSMENPDPKLLPNGDYLNMSPSEAGTAGTPPDFSAALRGGSEGLKGIPGHCYSSLPRSYKAPCSCSGDNDQYVLMSSPVGRILEEERLEPQATPGAGTFGAAGGSHTQPHHSAVPSSMRPSAIGGRPEGFLGQRCRAVRPTRLSLEGLQTLPSMQEYPLPTEPKSPGEYINIDFGEAGTRLSPPAPPLLASAASSSSLLSASSPASSLGSGTPGTSSDSRQRSPLSDYMNLDFSSPKSPKPSTRSGDTVGSMDGLLSPEASSPYPPLPPRPSTSPSSLQQPLPPAPGDLYRLPPASAATSQGPTAGSSMSSEPGDNGDYTEMAFGVAATPPQPIVAPPKPEGARVASPTSGLKRLSLMDQVSGVEAFLQVSQPPDPHRGAKVIRADPQGGRRRHSSETFSSTTTVTPVSPSFAHNSKRHNSASVENVSLRKSSEGSSTLGGGDEPPTSPGQAQPLVAVPPVPQARPWNPGQPGALIGCPGGSSSPMRRETSVGFQNGLNYIAIDVRGEQGSLAQSQPQPGDKNSWSRTRSLGGLLGTVGGSGASGVCGGPGTGALPSASTYASIDFLSHHLKEATVVKE
NP_003556.2 291 1050 0.577864736842105 PF12063.8:DUF3543:585:755 Serine/threonine-protein kinase ULK1; Autophagy-related protein 1 homolog; ATG1; hATG1; Unc-51-like kinase 1; EC 2.7.11.1 1050 171 123 760 0 Homo sapiens (Human) SwissProt::O75385 1 SwissProt PVPSYPSSGSGSSSSSSSTSHLASPPSLGEMQQLQKTLASPADTAGFLHSSRDSGGSKDSSCDTDDFVMVPAQFPGDLVAEAPSAKPPPDSLMCSGSSLVASAGLESHGRTPSPSPPCSSSPSPSGRAGPFSSSRCGASVPIPVPTQVQNYQRIERNLQSPTQFQTPRSSAIRRSGSTSPLGFARASPSPPAHAEHGGVLARKMSLGGGRPYTPSPQVGTIPERPGWSGTPSPQGAEMRGGRSPRPGSSAPEHSPRTSGLGCRLHSAPNLSDLHVVRPKLPKPPTDPLGAVFSPPQASPPQPSHGLQSCRNLRGSPKLPDFLQRNPLPPILGSPTKAVPSFDFPKTPSSQNLLALLARQGVVMTPPRNRTLPDLSEVGPFHGQPLGPGLRPGEDPKGPFGRSFSTSRLTDLLLKAAFGTQAPDPGSTESLQEKPMEIAPSAGFGGSLHPGARAGGTSSPSPVVFTVGSPPSGSTPPQGPRTRMFSAGPTGSASSSARHLVPGPCSEAPAPELPAPGHGCSFADPITANLEGAVTFEAPDLPEETLMEQEHTEILRGLRFTLLFVQHVLEIAALKGSASEAAGGPEYQLQESVVADQISLLSREWGFAEQLVLYLKVAELLSSGLQSAIDQIRAGKLCLSSTVKQVVRRLNELYKASVVSCQGLSLRLQRFFLDKQRLLDRIHSITAERLIFSHAVQMVQSAALDEMFQHREGCVPRYHKALLLLEGLQHMLSDQADIENVTKCKLCIERRLSALLTGICA
XP_008765640.1 1 130 0.293004615384615 PF00123.20:Hormone_2:83:108 Pituitary adenylate cyclase-activating polypeptide; PACAP 175 26 123 130 0 Rattus norvegicus (Rat) SwissProt::P13589 1 SwissProt MTMCSGARLALLVYGIIMHNSVSCSPAAGLSFPGIRPEEEAYDQDGNPLQDFYDWDPPGAGSPASALRDAYALYYPADRRDVAHEILNEAYRKVLDQLSARKYLQSMVARGMGENLAAAAVDDRAPLTKR
XP_016857787.1 1 154 0.470312337662338 podocin isoform X2 272 0 123 131 1 Homo sapiens XP_016857787.1 1 RefSeq MERRARSSSRESRGRGGRTPHKENKRAKAERSGGGRGRQEAGPEPSGSGRAGTPGEPRAPAATVVDVDEVRGSGEEGTEVVALLESERPEEGTKSSGLGACEWLLVLISLLFIIMTFPFSIWFCVKVFSFFCPAWIPTTRLTFVSKLWRYLFMS
NP_037101.1 263 1235 0.744399177800616 Insulin receptor substrate 1; IRS-1; pp185 1235 0 122 973 0 Rattus norvegicus (Rat) SwissProt::P35570 1 SwissProt TKSQSSSSCSNPISVPLRRHHLNNPPPSQVGLTRRSRTESITATSPASMVGGKPGSFRVRASSDGEGTMSRPASVDGSPVSPSTNRTHAHRHRGSSRLHPPLNHSRSIPMPSSRCSPSATSPVSLSSSSTSGHGSTSDCLFPRRSSASVSGSPSDGGFISSDEYGSSPCDFRSSFRSVTPDSLGHTPPARGEEELSNYICMGGKGASTLTAPNGHYILSRGGNGHRYIPGATMGTSPALTGDEAAGAADLDNRFRKRTHSAGTSPTISHQKTPSQSSVVSIEEYTEMMPAAYPPGGGSGGRLPGYRHSAFVPTHSYPEEGLEMHHLERRGGHHRPDSSNLHTDDGYMPMSPGVAPVPSNRKGNGDYMPMSPKSVSAPQQIINPIRRHPQRVDPNGYMMMSPSGSCSPDIGGGSCSSSSISAAPSGSSYGKPWTNGVGGHHTHALPHAKPPVESGGGKLLPCTGDYMNMSPVGDSNTSSPSECYYGPEDPQHKPVLSYYSLPRSFKHTQRPGEPEEGARHQHLRLSSSSGRLRYTATAEDSSSSTSSDSLGGGYCGARPESSVTHPHHHALQPHLPRKVDTAAQTNSRLARPTRLSLGDPKASTLPRVREQQQQQQQQQQSSLHPPEPKSPGEYVNIEFGSGQPGYLAGPATSRSSPSVRCLPQLHPAPREETGSEEYMNMDLGPGRRATWQESGGVELGRVGPAPPGAASICRPTRSVPNSRGDYMTMQIGCPRQSYVDTSPVAPVSYADMRTGIAAEKVSLPRTTGAAPPPSSTASASASVTPQGAAEQAAHSSLLGGPQGPGGMSAFTRVNLSPNHNQSAKVIRADTQGCRRRHSSETFSAPTRAANTVSFGAGAAGGGSGGGSEDVKRHSSASFENVWLRPGDLGGASKESAPGCGAAGGLEKSLNYIDLDLVKDVKQHPQDCPSQQQSLPPPPPHQPLGSNEGSSPRRSSEDLSTYASINFQKQPEDRQ
NP_942140.3 1 200 0.2559595 PF02199.15:SapA:32:60,PF03489.17:SapB_2:111:143,PF05184.15:SapB_1:67:104 Pulmonary surfactant-associated protein B; SP-B; 18 kDa pulmonary-surfactant protein; 6 kDa protein; Pulmonary surfactant-associated proteolipid SPL(Phe) 381 100 121 200 0 Homo sapiens (Human) SwissProt::P07988 1 SwissProt MAESHLLQWLLLLLPTLCGPGTAAWTTSSLACAQGPEFWCQSLEQALQCRALGHCLQEVWGHVGADDLCQECEDIVHILNKMAKEAIFQDTMRKFLEQECNVLPLKLLMPQCNQVLDDYFPLVIDYFQNQTDSNGICMHLGLCKSRQPEPEQEPGMSDPLPKPLRDPLPDPLLDKLVLPVLPGALQARPGPHTQDLSEQQ
O43543 1 280 0.127519285714286 PF08423.11:Rad51:41:277 DNA repair protein XRCC2; X-ray repair cross-complementing protein 2 280 237 121 280 0 Homo sapiens (Human) SwissProt::O43543 1 SwissProt MCSAFHRAESGTELLARLEGRSSLKEIEPNLFADEDSPVHGDILEFHGPEGTGKTEMLYHLTARCILPKSEGGLEVEVLFIDTDYHFDMLRLVTILEHRLSQSSEEIIKYCLGRFFLVYCSSSTHLLLTLYSLESMFCSHPSLCLLILDSLSAFYWIDRVNGGESVNLQESTLRKCSQCLEKLVNDYRLVLFATTQTIMQKASSSSEEPSHASRRLCDVDIDYRPYLCKAWQQLVKHRMFFSKQDDSQSSNQFSLVSRCLKSNSLKKHFFIIGESGVEFC
P60203 1 277 0.0380667870036101 PF01275.19:Myelin_PLP:4:273 Myelin proteolipid protein; PLP; Lipophilin 277 270 121 185 4 Homo sapiens (Human) SwissProt::P60201 1 SwissProt MGLLECCARCLVGAPFASLVATGLCFFGVALFCGCGHEALTGTEKLIETYFSKNYQDYEYLINVIHAFQYVIYGTASFFFLYGALLLAEGFYTTGAVRQIFGDYKTTICGKGLSATVTGGQKGRGSRGQHQAHSLERVCHCLGKWLGHPDKFVGITYALTVVWLLVFACSAVPVYIYFNTWTTCQSIAFPSKTSASIGSLCADARMYGVLPWNAFPGKVCGSNLLSICKTAEFQMTFHLFIAAFVGAAATLVSLLTFMIAATYNFAVLKLMGRGTKF
NP_062818.1 1 702 0.0952737891737892 PF03137.20:OATP:29:618,PF07690.16:MFS_1:168:426,PF07648.15:Kazal_2:460:506 Solute carrier organic anion transporter family member 1B3; Liver-specific organic anion transporter 2; LST-2; Organic anion transporter 8; Organic anion-transporting polypeptide 8; OATP-8; Solute carrier family 21 member 8 702 590 120 452 11 Homo sapiens (Human) SwissProt::Q9NPD5 1 SwissProt MDQHQHLNKTAESASSEKKKTRRCNGFKMFLAALSFSYIAKALGGIIMKISITQIERRFDISSSLAGLIDGSFEIGNLLVIVFVSYFGSKLHRPKLIGIGCLLMGTGSILTSLPHFFMGYYRYSKETHINPSENSTSSLSTCLINQTLSFNGTSPEIVEKDCVKESGSHMWIYVFMGNMLRGIGETPIVPLGISYIDDFAKEGHSSLYLGSLNAIGMIGPVIGFALGSLFAKMYVDIGYVDLSTIRITPKDSRWVGAWWLGFLVSGLFSIISSIPFFFLPKNPNKPQKERKISLSLHVLKTNDDRNQTANLTNQGKNVTKNVTGFFQSLKSILTNPLYVIFLLLTLLQVSSFIGSFTYVFKYMEQQYGQSASHANFLLGIITIPTVATGMFLGGFIIKKFKLSLVGIAKFSFLTSMISFLFQLLYFPLICESKSVAGLTLTYDGNNSVASHVDVPLSYCNSECNCDESQWEPVCGNNGITYLSPCLAGCKSSSGIKKHTVFYNCSCVEVTGLQNRNYSAHLGECPRDNTCTRKFFIYVAIQVINSLFSATGGTTFILLTVKIVQPELKALAMGFQSMVIRTLGGILAPIYFGALIDKTCMKWSTNSCGAQGACRIYNSVFFGRVYLGLSIALRFPALVLYIVFIFAMKKKFQGKDTKASDNERKVMDEANLEFLNNGEHFVPSAGTDSKTCNLDMQDNAAAN
XP_016883195.1 630 1490 0.635557259001162 PF13922.6:PHD_3:820:859 putative Polycomb group protein ASXL1 isoform X5 1490 40 120 861 0 Homo sapiens XP_016883195.1 1 RefSeq PSTPGKCTSDLQRTQLLPPYPLNGEHTQAGTAMSRARREDLPSLRKEESCLLQRATVGLTDGLGDASQLPVAPTGDQPCQALPLLSSQTSVAERLVEQPQLHPDVRTECESGTTSWESDDEEQGPTVPADNGPIPSLVGDDTLEKGTGQALDSHPTMKDPVNVTPSSTPESSPTDCLQNRAFDDELGLGGSCPPMRESDTRQENLKTKALVSNSSLHWIPIPSNDEVVKQPKPESREHIPSVEPQVGEEWEKAAPTPPALPGDLTAEEGLDPLDSLTSLWTVPSRGGSDSNGSYCQQVDIEKLKINGDSEALSPHGESTDTASDFEGHLTEDSSEADTREAAVTKGSSVDKDEKPNWNQSAPLSKVNGDMRLVTRTDGMVAPQSWVSRVCAVRQKIPDSLLLASTEYQPRAVCLSMPGSSVEATNPLVMQLLQGSLPLEKVLPPAHDDSMSESPQVPLTKDQSHGSLRMGSLHGLGKNSGMVDGSSPSSLRALKEPLLPDSCETGTGLARIEATQAPGAPQKNCKAVPSFDSLHPVTNPITSSRKLEEMDSKEQFSSFSCEDQKEVRAMSQDSNSNAAPGKSPGDLTTSRTPRFSSPNVISFGPEQTGRALGDQSNVTGQGKKLFGSGNVAATLQRPRPADPMPLPAEIPPVFPSGKLGPSTNSMSGGVQTPREDWAPKPHAFVGSVKNEKTFVGGPLKANAENRKATGHSPLELVGHLEGMPFVMDLPFWKLPREPGKGLSEPLEPSSLPSQLSIKQAFYGKLSKLQLSSTSFNYSSSSPTFPKGLAGSVVQLSHKANFGASHSASLSLQMFTDSSTVESISLQCACSLKAMIMCQGCGAFCHDDCIGPSKLCVLCLVVR
NP_000502.4 1 343 0.100253935860058 PF01531.16:Glyco_transf_11:21:334 Galactoside 2-alpha-L-fucosyltransferase 2; Alpha(1,2)FT 2; Fucosyltransferase 2; GDP-L-fucose:beta-D-galactoside 2-alpha-L-fucosyltransferase 2; SE2; Secretor blood group alpha-2-fucosyltransferase; Secretor factor; Se; EC 2.4.1.344; EC 2.4.1.69 343 314 119 320 1 Homo sapiens (Human) SwissProt::Q10981 1 SwissProt MLVVQMPFSFPMAHFILFVFTVSTIFHVQQRLAKIQAMWELPVQIPVLASTSKALGPSQLRGMWTINAIGRLGNQMGEYATLYALAKMNGRPAFIPAQMHSTLAPIFRITLPVLHSATASRIPWQNYHLNDWMEEEYRHIPGEYVRFTGYPCSWTFYHHLRQEILQEFTLHDHVREEAQKFLRGLQVNGSRPGTFVGVHVRRGDYVHVMPKVWKGVVADRRYLQQALDWFRARYSSLIFVVTSNGMAWCRENIDTSHGDVVFAGDGIEGSPAKDFALLTQCNHTIMTIGTFGIWAAYLTGGDTIYLANYTLPDSPFLKIFKPEAAFLPEWTGIAADLSPLLKH
NP_004514.2 403 1056 0.370955657492355 PF13931.6:Microtub_bind:514:651 Kinesin-like protein KIF11; Kinesin-like protein 1; Kinesin-like spindle protein HKSP; Kinesin-related motor protein Eg5; Thyroid receptor-interacting protein 5; TR-interacting protein 5; TRIP-5 1056 138 119 654 0 Homo sapiens (Human) SwissProt::P52732 1 SwissProt ENFRVMSGKLTVQEEQIVELIEKIGAVEEELNRVTELFMDNKNELDQCKSDLQNKTQELETTQKHLQETKLQLVKEEYITSALESTEEKLHDAASKLLNTVEETTKDVSGLHSKLDRKKAVDQHNAEAQDIFGKNLNSLFNNMEELIKDGSSKQKAMLEVHKTLFGNLLSSSVSALDTITTVALGSLTSIPENVSTHVSQIFNMILKEQSLAAESKTVLQELINVLKTDLLSSLEMILSPTVVSILKINSQLKHIFKTSLTVADKIEDQKKELDGFLSILCNNLHELQENTICSLVESQKQCGNLTEDLKTIKQTHSQELCKLMNLWTERFCALEEKCENIQKPLSSVQENIQQKSKDIVNKMTFHSQKFCADSDGFSQELRNFNQEGTKLVEESVKHSDKLNGNLEKISQETEQRCESLNTRTVYFSEQWVSSLNEREQELHNLLEVVSQCCEASSSDITEKSDGRKAAHEKQHNIFLDQMTIDEDKLIAQNLELNETIKIGLTKLNCFLEQDLKLDIPTGTTPQRKSYLYPSTLVRTEPREHLLDQLKRKQPELLMMLNCSENNKEETIPDVDVEEAVLGQYTEEPLSQEPSVDAGVDCSSIGGVPFFQHKKSHGKDKENRGINTLERSKVEETTEHLVTKSRLPLRAQINL
P56720 394 1134 0.269007692307692 Sterol regulatory element-binding protein 1; SREBP-1; Adipocyte determination- and differentiation-dependent factor 1; ADD1; Sterol regulatory element-binding transcription factor 1 1134 0 119 695 2 Rattus norvegicus (Rat) SwissProt::P56720 1 SwissProt VSACGSGGGTDVSMEGMKPEVVETLTPPPSDAGSPSQSSPLSLGSRGSSSGGSDSEPDSPAFEDNQVKAQRLPSHSRGMLDRSRLALCVLVFLCLTCNPLASLFGWGILTPSDASGVHRSSGRSMLEAESRDGSNWTQWLLPPLVWLANGLLVLACLALLFVYGEPVTRPHSGPAVHFWRHRKQADLDLARGDFAQAAQQLWLALQALGRPLPTSNLDLACSLLWNLVRHLLQRLWVGRWLAGQAGGLQRDYRLRKDARASARDAAVVYHKLHQLHAMGKYTGGHLVASNLALSALNLAECAGDAISMATLAEIYVAAALRVKTSLPRALHFLTRFFLSSARQACLAQSGAVPLAMQWLCHPVGHRFFVDGDWAVHGAPQESLYSVAGNPVDPLAQVTRLFCEHLLERALNCIAQPSPGAADGDREFSDALGYLQLLNSCSDAVGAPACSFSVSSSMATTTGTDPVAKWWASLTAVVIHWLRRDEEAAERLYPLVEHIPQVLQETERPLPRAALYSFKAARALLDHRKVESSPASLAICEKASGYLRDSLASTSTASSIDKAMQLLLCDLLLVARTSLWRRQQSAASAQGAHGTSNGPQASALELRGFQHDLSSLRRLAQSFRPAMRRVFLHEATARLMAGASPARTHQLLDRSLRRRAGSSGKGGAAAELEPRPTWREHTEALLLASCYLPPAFLSAPGQRVSMLAEAARTVEKLGDHRLLLDCQQMLLRLGGGTTVTSS
XP_011526494.1 216 654 0.157120273348519 PF00474.17:SSF:28:248 sodium/iodide cotransporter isoform X1 654 221 119 281 7 Homo sapiens XP_011526494.1 1 RefSeq PRQVLTLAQNHSRINLMDFNPDPRSRYTFWTFVVGGTLVWLSMYGVNQAQVQRYVACRTEKQAKLALLINQVGLFLIVSSAACCGIVMFVFYTDCDPLLLGRISAPDQLSGRLTPSSPQYMPLLVLDIFEDLPGVPGLFLACAYSGTLSTASTSINAMAAVTVEDLIKPRLRSLAPRKLVIISKGLSLIYGSACLTVAALSSLLGGGVLQGSFTVMGVISGPLLGAFILGMFLPACNTPGVLAGLGAGLALSLWVALGATLYPPSEQTMRVLPSSAARCVALSVNASGLLDPALLPANDSSRAPSSGMDASRPALADSFYAISYLYYGALGTLTTVLCGALISCLTGPTKRSTLAPGLLWWDLARQTASVAPKEEVAILDDNLVKGPEELPTGNKKPPGFLPTNEDRLFFLGQKELEGAGSWTPCVGHDGGRDQQETNL
XP_016866662.1 313 1894 0.142763084702908 PF00041.21:fn3:1342:1412 proto-oncogene tyrosine-protein kinase ROS isoform X7 2333 71 119 1559 1 Homo sapiens XP_016866662.1 1 RefSeq AHCLRLDAIYHNITGISVDVHQQIVYFSEGTLIWAKKAANMSDVSDLRIFYRGSGLISSISIDWLYQRMYFIMDELVCVCDLENCSNIEEITPPSISAPQKIVADSYNGYVFYLLRDGIYRADLPVPSGRCAEAVRIVESCTLKDFAIKPQAKRIIYFNDTAQVFMSTFLDGSASHLILPRIPFADVKSFACENNDFLVTDGKVIFQQDALSFNEFIVGCDLSHIEEFGFGNLVIFGSSSQLHPLPGRPQELSVLFGSHQALVQWKPPALAIGASPSAWQNWTYEVKVSTQDPPEVTHIFLNISGTMLNVPELQSAMKYKVSVRASSPKRPGPWSEPSVGTTLVPASEPPFIMAVKEDGLWSKPLNSFGPGEFLSSDIGNVSDMDWYNNSLYYSDTKGDVFVWLLNGTDISENYHLPSIAGAGALAFEWLGHFLYWAGKTYVIQRQSVLTGHTDIVTHVKLLVNDMVVDSVGGYLYWTTLYSVESTRLNGESSLVLQTQPWFSGKKVIALTLDLSDGLLYWLVQDSQCIHLYTAVLRGQSTGDTTITEFAAWSTSEISQNALMYYSGRLFWINGFRIITTQEIGQKTSVSVLEPARFNQFTIIQTSLKPLPGNFSFTPKVIPDSVQESSFRIEGNASSFQILWNGPPAVDWGVVFYSVEFSAHSKFLASEQHSLPVFTVEGLEPYALFNLSVTPYTYWGKGPKTSLSLRAPETVPSAPENPRIFILPSGKCCNKNEVVVEFRWNKPKHENGVLTKFEIFYNISNQSITNKTCEDWIAVNVTPSVMSFQLEGMSPRCFIAFQVRAFTSKGPGPYADVVKSTTSEINPFPHLITLLGNKIVFLDMDQNQVVWTFSAERVISAVCYTADNEMGYYAEGDSLFLLHLHNRSSSELFQDSLVFDITVITIDWISRHLYFALKESQNGMQVFDVDLEHKVKYPREVKIHNRNSTIISFSVYPLLSRLYWTEVSNFGYQMFYYSIISHTLHRILQPTATNQQNKRNQCSCNVTEFELSGAMAIDTSNLEKPLIYFAKAQEIWAMDLEGCQCWRVITVPAMLAGKTLVSLTVDGDLIYWIITAKDSTQIYQAKKGNGAIVSQVKALRSRHILAYSSVMQPFPDKAFLSLASDTVEPTILNATNTSLTIRLPLAKTNLTWYGITSPTPTYLVYYAEVNDRKNSSDLKYRILEFQDSIALIEDLQPFSTYMIQIAVKNYYSDPLEHLPPGKEIWGKTKNGVPEAVQLINTTVRSDTSLIISWRESHKPNGPKESVRYQLAISHLALIPETPLRQSEFPNGRLTLLVTRLSGGNIYVLKVLACHSEEMWCTESHPVTVEMFNTPEKPYSLVPENTSLQFNWKAPLNVNLIRFWVELQKWKYNEFYHVKTSCSQGPAYVCNITNLQPYTSYNVRVVVVYKTGENSTSLPESFKTKAGVPNKPGIPKLLEGSKNSIQWEKAEDNGCRITYYILEIRKSTSNNLQNQNLRWKMTFNGSCSSVCTWKSKNLKGIFQFRVVAANNLGFGEYSGISENIILVGDDFWIPETSFILTIIVGIFLVVTIPLTFVWHRRLKNQKSAKEGVTVLINEDKELAE
NP_035678.3 39 531 0.671230223123732 transcription factor E2-alpha isoform 8 648 0 118 493 0 Mus musculus NP_035678.3 1 RefSeq ASLGGTQFAGSGLEDRPSSGSWGSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGTLSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPGLPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPMLGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGTSGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQGLPGTSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGHGALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDSYSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSSTDEV
P06767 1 71 0.19407323943662 PF02202.16:Tachykinin:58:68 Protachykinin-1 130 11 118 71 0 Rattus norvegicus P06767 1 SwissProt/TReMBL MKILVAVAVFFLVSTQLFAEEIGANDDLNYWSDWSDSDQIKEAMPEPFEHLLQRIARRPKPQQFFGLMGKR
NP_005242.1 173 501 0.679881458966565 Forkhead box protein C2; Forkhead-related protein FKHL14; Mesenchyme fork head protein 1; MFH-1 protein; Transcription factor FKH-14 501 0 117 329 0 Homo sapiens (Human) SwissProt::Q99958 1 SwissProt VSKEKEERAHLKEPPPAASKGAPATPHLADAPKEAEKKVVIKSEAASPALPVITKVETLSPESALQGSPRSAASTPAGSPDGSLPEHHAAAPNGLPGFSVENIMTLRTSPPGGELSPGAGRAGLVVPPLALPYAAAPPAAYGQPCAQGLEAGAAGGYQCSMRAMSLYTGAERPAHMCVPPALDEALSDHPSGPTSPLSALNLAAGQEGALAATGHHHQHHGHHHPQAPPPPPAPQPQPTPQPGAAAAQAASWYLNHSGDLNHLPGHTFAAQQQTFPNVREMFNSHRLGIENSTLGESQVSGNASCQLPYRSTPPLYRHAAPYSYDCTKY
NP_037385.2 1 350 0.349572571428571 PF04706.12:Dickkopf_N:146:196 Dickkopf-related protein 3; Dickkopf-3; Dkk-3; hDkk-3 350 51 117 350 0 Homo sapiens (Human) SwissProt::Q9UBP4 1 SwissProt MQRLGATLLCLLLAAAVPTAPAPAPTATSAPVKPGPALSYPQEEATLNEMFREVEELMEDTQHKLRSAVEEMEAEEAAAKASSEVNLANLPPSYHNETNTDTKVGNNTIHVHREIHKITNNQTGQMVFSETVITSVGDEEGRRSHECIIDEDCGPSMYCQFASFQYTCQPCRGQRMLCTRDSECCGDQLCVWGHCTKMATRGSNGTICDNQRDCQPGLCCAFQRGLLFPVCTPLPVEGELCHDPASRLLDLITWELEPDGALDRCPCASGLLCQPHSHSLVYVCKPTFVGSRDQDGEILLPREVPDEYEVGSFMEEVRQELEDLERSLTEEMALREPAAAAAALLGGEEI
XP_016858224.1 243 1034 0.598440025252525 PF12114.8:Period_C:636:778 period circadian protein homolog 3 isoform X17 1034 143 117 792 0 Homo sapiens XP_016858224.1 1 RefSeq VSVSSGYGSLGSSGSQEQLVSIASSSEASGHRVEETKAEQMTLQQVYASVNKIKNLGQQLYIESMTKSSFKPVTGTRTEPNGGGESANGGGECKTFTSFHQTLKNNSVYTEPCEDLRNDEHSPSYQQINCIDSVIRYLKSYNIPALKRKCISCTNTTSSSSEEDKQNHKADDVQALQAGLQIPAIPKSEMPTNGRSIDTGGGAPQILSTAMLSLGSGISQCGYSSTIVHVPPPETARDATLFCEPWTLNMQPAPLTSEEFKHVGLTAAVLSAHTQKEEQNYVDKFREKILSSPYSSYLQQESRSKAKYSYFQGDSTSKQTRSAGCRKGKHKRKKLPEPPDSSSSNTGSGPRRGAHQNAQPCCPSAASSPHTSSPTFPPAAMVPSQAPYLVPAFPLPAATSPGREYAAPGTAPEGLHGLPLSEGLQPYPAFPFPYLDTFMTVFLPDPPVCPLLSPSFLPCPFLGATASSAISPSMSSAMSPTLDPPPSVTSQRREEEKWEAQSEGHPFITSRSSSPLQLNLLQEEMPRPSESPDQMRRNTCPQTEYCVTGNNGSESSPATTGALSTGSPPRENPSHPTASALSTGSPPMKNPSHPTASALSTGSPPMKNPSHPTASTLSMGLPPSRTPSHPTATVLSTGSPPSESPSRTGSAASGSSDSSIYLTSSVYSSKISQNGQQSQDVQKKETFPNVAEEPIWRMIRQTPERILMTYQVPERVKEVVLKEDLEKLESMRQQQPQFSHGQKEELAKVYNWIQSQTVTQEIDIQACVTCENEDSADGAATSCGQVLVEDSC
NP_001155286.1 1 82 0.319731707317073 PF15199.6:DAOA:1:82 D-amino acid oxidase activator isoform 3 82 82 116 82 0 Homo sapiens NP_001155286.1 1 RefSeq MAQRHLQRSLCPWVSYLPQPYAELEEVSSHVGKVFMARNYEFLAYEASKDRRQPLERMWTCNYNQQKDQSCNHKEITSTKAE
NP_005248.2 1 301 0.584704983388705 PF05349.12:GATA-N:147:292 Transcription factor GATA-6; GATA-binding factor 6 595 146 116 301 0 Homo sapiens (Human) SwissProt::Q92908 1 SwissProt MALTDGGWCLPKRFGAAGADASDSRAFPAREPSTPPSPISSSSSSCSRGGERGPGGASNCGTPQLDTEAAAGPPARSLLLSSYASHPFGAPHGPSAPGVAGPGGNLSSWEDLLLFTDLDQAATASKLLWSSRGAKLSPFAPEQPEEMYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAAAAASSPVYVPTTRVGSMLPGLPYHLQGSGSGPANHAGGAGAHPGWPQASADSPPYGSGGGAAGGGAAGPGGAGSAAAHVSARFPYSPSPPMANGAAREPGGYAAAGSGGAGGVSGGGSSLA
XP_017454186.1 1 130 0.523943846153846 PF15152.6:Kisspeptin:46:120 Metastasis-suppressor KiSS-1; Kisspeptin-1 130 75 116 130 0 Rattus norvegicus (Rat) SwissProt::Q7TSB7 1 SwissProt MISLASWQLLLLLCVASFGEPLAKMAPVVNPEPTGQQSGPQELVNAWQKGPRYAESKPGAAGLRARRTSPCPPVENPTGHQRPPCATRSRLIPAPRGSVLVQREKDMSAYNWNSFGLRYGRRQVARAARG
NP_877439.3 1 852 0.20081338028169 PF00791.20:ZU5:217:300 Metastasis-associated in colon cancer protein 1; SH3 domain-containing protein 7a5 852 84 115 852 0 Homo sapiens (Human) SwissProt::Q6ZN28 1 SwissProt MLITERKHFRSGRIAQSMSEANLIDMEAGKLSKSCNITECQDPDLLHNWPDAFTLRGNNASKVANPFWNQLSASNPFLDDITQLRNNRKRNNISILKEDPFLFCREIENGNSFDSSGDELDVHQLLRQTSSRNSGRSKSVSELLDILDDTAHAHQSIHNSDQILLHDLEWLKNDREAYKMAWLSQRQLARSCLDLNTISQSPGWAQTQLAEVTIACKVNHQGGSVQLPESDITVHVPQGHVAVGEFQEVSLRAFLDPPHMLNHDLSCTVSPLLEIMLGNLNTMEALLLEMKIGAEVRKDPFSQVMTEMVCLHSLGKEGPFKVLSNCYIYKDTIQVKLIDLSQVMYLVVAAQAKALPSPAATIWDYIHKTTSIGIYGPKYIHPSFTVVLTVCGHNYMPGQLTISDIKKGGKNISPVVFQLWGKQSFLLDKPQDLSISIFSCDPDFEVKTEGERKEIKQKQLEAGEVVHQQFLFSLVEHREMHLFDFCVQVEPPNGEPVAQFSITTPDPTPNLKRLSNLPGYLQKKEEIKSAPLSPKILVKYPTFQDKTLNFSNYGVTLKAVLRQSKIDYFLEYFKGDTIALLGEGKVKAIGQSKVKEWYVGVLRGKIGLVHCKNVKVISKEQVMFMSDSVFTTRNLLEQIVLPLKKLTYIYSVVLTLVSEKVYDWKVLADVLGYSHLSLEDFDQIQADKESEKVSYVIKKLKEDCHTERNTRKFLYELIVALLKMDCQELVARLIQEAAVLTSAVKLGKGWRELAEKLVRLTKQQMEAYEIPHRGNTGDVAVEMMWKPAYDFLYTWSAHYGNNYRDVLQDLQSALDRMKNPVTKHWRELTGVLILVNSLEVLRVTAFSTSEEV
Q93074 605 2005 0.412120413990007 PF12144.8:Med12-PQL:1215:1401,PF12145.8:Med12-LCEWAV:1:153 Mediator of RNA polymerase II transcription subunit 12; Activator-recruited cofactor 240 kDa component; ARC240; CAG repeat protein 45; Mediator complex subunit 12; OPA-containing protein; Thyroid hormone receptor-associated protein complex 230 kDa component; Trap230; Trinucleotide repeat-containing gene 11 protein 2177 340 115 1401 0 Homo sapiens (Human) SwissProt::Q93074 1 SwissProt RHDVFSHNMYTCTLISRGDLAFGAPGPRPPSPFDDPADDPEHKEAEGSSSSKLEDPGLSESMDIDPSSSVLFEDMEKPDFSLFSPTMPCEGKGSPSPEKPDVEKEVKPPPKEKIEGTLGVLYDQPRHVQYATHFPIPQEESCSHECNQRLVVLFGVGKQRDDARHAIKKITKDILKVLNRKGTAETDQLAPIVPLNPGDLTFLGGEDGQKRRRNRPEAFPTAEDIFAKFQHLSHYDQHQVTAQVSRNVLEQITSFALGMSYHLPLVQHVQFIFDLMEYSLSISGLIDFAIQLLNELSVVEAELLLKSSDLVGSYTTSLCLCIVAVLRHYHACLILNQDQMAQVFEGLCGVVKHGMNRSDGSSAERCILAYLYDLYTSCSHLKNKFGELFSDFCSKVKNTIYCNVEPSESNMRWAPEFMIDTLENPAAHTFTYTGLGKSLSENPANRYSFVCNALMHVCVGHHDPDRVNDIAILCAELTGYCKSLSAEWLGVLKALCCSSNNGTCGFNDLLCNVDVSDLSFHDSLATFVAILIARQCLLLEDLIRCAAIPSLLNAACSEQDSEPGARLTCRILLHLFKTPQLNPCQSDGNKPTVGIRSSCDRHLLAASQNRIVDGAVFAVLKAVFVLGDAELKGSGFTVTGGTEELPEEEGGGGSGGRRQGGRNISVETASLDVYAKYVLRSICQQEWVGERCLKSLCEDSNDLQDPVLSSAQAQRLMQLICYPHRLLDNEDGENPQRQRIKRILQNLDQWTMRQSSLELQLMIKQTPNNEMNSLLENIAKATIEVFQQSAETGSSSGSTASNMPSSSKTKPVLSSLERSGVWLVAPLIAKLPTSVQGHVLKAAGEELEKGQHLGSSSRKERDRQKQKSMSLLSQQPFLSLVLTCLKGQDEQREGLLTSLYSQVHQIVNNWRDDQYLDDCKPKQLMHEALKLRLNLVGGMFDTVQRSTQQTTEWAMLLLEIIISGTVDMQSNNELFTTVLDMLSVLINGTLAADMSSISQGSMEENKRAYMNLAKKLQKELGERQSDSLEKVRQLLPLPKQTRDVITCEPQGSLIDTKGNKIAGFDSIFKKEGLQVSTKQKISPWDLFEGLKPSAPLSWGWFGTVRVDRRVARGEEQQRLLLYHTHLRPRPRAYYLEPLPLPPEDEEPPAPTLLEPEKKAPEPPKTDKPGAAPPSTEERKKKSTKGKKRSQPATKTEDYGMGPGRSGPYGVTVPPDLLHHPNPGSITHLNYRQGSIGLYTQNQPLPAGGPRVDPYRPVRLPMQKLPTRPTYPGVLPTTMTGVMGLEPSSYKTSVYRQQQPAVPQGQRLRQQLQQSQGMLGQSSVHQMTPSSSYGLQTSQGYTPYVSHVGLQQHTGPAGTMVPPSYSSQPYQSTHPSTNPTLVDPTRHLQQRPSGYVHQQAPT
XP_006539641.1 1 126 0.740955555555556 PF15826.5:PUMA:2:126 Bcl-2-binding component 3; p53 up-regulated modulator of apoptosis 193 125 115 126 0 Mus musculus (Mouse) SwissProt::Q99ML1 1 SwissProt MARARQEGSSPEPVEGLARDSPRPFPLGRLMPSAVSCSLCEPGLPAAPAAPALLPAAYLCAPTAPPAVTAALGGPRWPGGHRSRPRGPRPDGPQPSLSPAQQHLESPVPSAPEALAGGPTQAAPGV
NP_001292087.1 126 574 0.291235412026726 PF13895.6:Ig_2:90:167,PF13895.6:Ig_2:272:348,PF13927.6:Ig_3:272:339,PF17736.1:Ig_C17orf99:186:246,PF00047.25:ig:274:343 platelet endothelial cell adhesion molecule isoform 4 precursor 626 216 114 426 1 Mus musculus NP_001292087.1 1 RefSeq FEIKPPGMIIEGDQLHIRCIVQVTHLVQEFTEIIIQKDKAIVATSKQSSEAVYSVMAMVEYSGHYTCKVESNRISKASSIMVNITELFPKPKLEFSSSRLDQGELLDLSCSVSGTPVANFTIQKEETVLSQYQNFSKIAEESDSGEYSCTAGIGKVVKRSGLVPIQVCEMLSKPSIFHDAKSEIIKGHAIGISCQSENGTAPITYHLMKAKSDFQTLEVTSNDPATFTDKPTRDMEYQCRADNCHSHPAVFSEILRVRVIAPVDEVVISILSSNEVQSGSEMVLRCSVKEGTSPITFQFYKEKEDRPFHQAVVNDTQAFWHNKQASKKQEGQYYCTASNRASSMRTSPRSSTLAVRVFLAPWKKGLIAVVVIGVVIATLIVAAKCYFLRKAKAKQKPVEMSRPAAPLLNSNSEKISEPSVEANSHYGYDDVSGNDAVKPINQNKDPQNM
NP_062261.3 135 309 0.377991428571429 T-lymphocyte activation antigen CD86 precursor 309 0 114 157 1 Mus musculus NP_062261.3 1 RefSeq NFSEPEIKLAQNVTGNSGINLTCTSKQGHPKPKKMYFLITNSTNEYGDNMQISQDNVTELFSISNSLSLSFPDGVWHMTVVCVLETESMKISSKPLNFTQEFPSPQTYWKEITASVTVALLLVMLLIIVCHKKPNQPSRPSNTASKLERDSNADRETINLKELEPQIASAKPNAE
XP_008768218.1 131 317 0.779406951871658 PF00865.18:Osteopontin:2:121,PF00865.18:Osteopontin:126:187 Osteopontin 317 182 114 187 0 Rattus norvegicus P08721 1 SwissProt/TReMBL LTPIAPTVDVPDGRGDSLAYGLRSKSRSFPVSDEQYPDATDEDLTSRMKSQESDEAIKVIPVAQRLSVPSDQDSNGKTSHESSQLDEPSVETHSLEQSKEYKQRASHESTEQSDAIDSAEKPDAIDSAERSDAIDSQASSKASLEHQSHEFHSHEDKLVLDPKSKEDDRYLKFRISHELESSSSEVN
NP_001152865.1 114 304 0.52490942408377 interferon regulatory factor 1 isoform b 304 0 113 191 0 Mus musculus NP_001152865.1 1 RefSeq PLTRNQRKERKSKSSRDTKSKTKRKLCGDVSPDTFSDGLSSSTLPDDHSSYTTQGYLGQDLDMERDITPALSPCVVSSSLSEWHMQMDIIPDSTTDLYNLQVSPMPSTSEAATDEDEEGKIAEDLMKLFEQSEWQPTHIDGKGYLLNEPGTQLSSVYGDFSCKEEPEIDSPRGNLLMGVFCWLSAWASAEH
NP_058806.1 1 88 0.216130681818182 PF06373.11:CART:67:88 Cocaine- and amphetamine-regulated transcript protein 129 22 113 88 0 Rattus norvegicus P49192 1 SwissProt/TReMBL MESSRLRLLPVLGAALLLLLPLLGAGAQEDAELQPRALDIYSAVDDASHEKELPRRQLRAPGAVLQIEALQEVLKKLKSKRIPIYEKK
NP_991338.1 1 144 0.545318055555555 Corticoliberin; Corticotropin-releasing factor; CRF; Corticotropin-releasing hormone 187 0 113 121 1 Mus musculus (Mouse) SwissProt::Q8CIT0 1 SwissProt MRLRLLVSAGMLLVALSSCLPCRALLSRGSVPRAPRAPQPLNFLQPEQPQQPQPVLIRMGEEYFLRLGNLNRSPAARLSPNSTPLTAGRGSRPSHDQAAANFFRVLLQQLQMPQRSLDSRAEPAERGAEDALGGHQGALERERR
XP_011541891.1 1 459 0.0978429193899781 PF00083.24:Sugar_tr:183:425 solute carrier family 22 member 4 isoform X1 459 243 113 301 7 Homo sapiens XP_011541891.1 1 RefSeq MRDYDEVIAFLGEWGPFQRLIFFLLSASIIPNGFNGMSVVFLAGTPEHRCRVPDAANLSSAWRNNSVPLRLRDGREVPHSCSRYRLATIANFSALGLEPGRDVDLGQLEQESCLDGWEFSQDVYLSTVVTEVWQEERSLRNHGCTDWLQLPADFLHQLGDVHCVICHRGHGPDLQLCGSLHTRFIPESPRWLISQRRFREAEDIIQKAAKMNNIAVPAVIFDSVEELNPLKQQKAFILDLFRTRNIAIMTIMSLLLWMLTSVGYFALSLDAPNLHGDAYLNCFLSALIEIPAYITAWLLLRTLPRRYIIAAVLFWGGGVLLFIQLVPVDYYFLSIGLVMLGKFGITSAFSMLYVFTAELYPTLVRNMAVGVTSTASRVGSIIAPYFVYLGAYNRMLPYIVMGSLTVLIGILTLFFPESLGMTLPETLEQMQKVKWFRSGKKTRDSMETEENPKVLITAF
XP_005267159.1 306 610 0.0636885245901639 solute carrier family 22 member 1 isoform X1 610 0 112 190 5 Homo sapiens XP_005267159.1 1 RefSeq AQKNGKLPPADLKMLSLEEDVTEKLSPSFADLFRTPRLRKRTFILMYLWFTDSVLYQGLILHMGATSGNLYLDFLYSALVEIPGAFIALITIDRVGRIYPMAMSNLLAGAACLVMIFISPDLHWLNIIIMCVGRMGITIAIQMICLVNAELYPTFVRNLGVMVCSSLCDIGGIITPFIVFRLREVWQALPLILFEKQSPKKTRFTLRSKPQNPRAPERDVLRRCRVGGMKMELSSAEIPRRLHFSVFFLILAYPQINISPKEWFVWALSYFVFFLLSSPKPWLSSTCAFDLGKAVGAIGIGYLII
NP_001157161.1 1 486 0.254240740740741 PF01734.22:Patatin:11:176 Patatin-like phospholipase domain-containing protein 2; Adipose triglyceride lipase; Desnutrin; EC 3.1.1.3 486 166 111 486 0 Mus musculus (Mouse) SwissProt::Q8BJ56 1 SwissProt MFPRETKWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGACLGEAGANIIEVSKEARKRFLGPLHPSFNLVKTIRGCLLKTLPADCHERANGRLGISLTRVSDGENVIISHFSSKDELIQANVCSTFIPVYCGLIPPTLQGVRYVDGGISDNLPLYELKNTITVSPFSGESDICPQDSSTNIHELRVTNTSIQFNLRNLYRLSKALFPPEPMVLREMCKQGYRDGLRFLRRNGLLNQPNPLLALPPVVPQEEDAEEAAVVEERAGEEDQLQPYRKDRILEHLPARLNEALLEACVEPKDLMTTLSNMLPVRLATAMMVPYTLPLESAVSFTIRLLEWLPDVPEDIRWMKEQTGSICQYLVMRAKRKLGDHLPSRLSEQVELRRAQSLPSVPLSCATYSEALPNWVRNNLSLGDALAKWEECQRQLLLGLFCTNVAFPPDALRMRAPASPTAADPATPQDPPGLPPC
NP_002027.2 44 336 0.0200259385665529 Atypical chemokine receptor 1 336 0 111 132 7 Homo sapiens Q16570 0 SwissProt/TReMBL NLEAAAPCHSCNLLDDSALPFFILTSVLGILASSTVLFMLFRPLFRWQLCPGWPVLAQLAVGSALFSIVVPVLAPGLGSTRSSALCSLGYCVWYGSAFAQALLLGCHASLGHRLGAGQVPGLTLGLTVGIWGVAALLTLPVTLASGASGGLCTLIYSTELKALQATHTVACLAIFVLLPLGLFGAKGLKKALGMGPGPWMNILWAWFIFWWPHGVVLGLDFLVRSKLLLLSTCLAQQALDLLLNLAEALAILHCVATPLLLALFCHQATRTLLPSLPLPEGWSSHLDTLGSKS
NP_003122.1 236 508 0.574607692307693 Serum response factor; SRF 508 0 111 273 0 Homo sapiens (Human) SwissProt::P11831 1 SwissProt MSATGFEETDLTYQVSESDSSGETKDTLKPAFTVTNLPGTTSTIQTAPSTSTTMQVSSGPSFPITNYLAPVSASVSPSAVSSANGTVLKSTGSGPVSSGGLMQLPTSFTLMPGGAVAQQVPVQAIQVHQAPQQASPSRDSSTDLTQTSSSGTVTLPATIMTSSVPTTVGGHMMYPSPHAVMYAPTSGLGDGSLTVLNAFSQAPSTMQVSHSQVQEPGGVPQVFLTASSGTVQIPVSAVQLHQMAVIGQQAGSSSNLTELQVVNLDTAHSTKSE
NP_004348.2 1 253 0.0727581027667984 PF00335.20:Tetraspanin:16:246 CD151 antigen; GP27; Membrane glycoprotein SFA-1; Platelet-endothelial tetraspan antigen 3; PETA-3; Tetraspanin-24; Tspan-24; CD151 antigen 253 231 111 161 4 Homo sapiens (Human) SwissProt::P48509 1 SwissProt MGEFNEKKTTCGTVCLKYLLFTYNCCFWLAGLAVMAVGIWTLALKSDYISLLASGTYLATAYILVVAGTVVMVTGVLGCCATFKERRNLLRLYFILLLIIFLLEIIAGILAYAYYQQLNTELKENLKDTMTKRYHQPGHEAVTSAVDQLQQEFHCCGSNNSQDWRDSEWIRSQEAGGRVVPDSCCKTVVALCGQRDHASNIYKVEGGCITKLETFIQEHLRVIGAVGIGIACVQVFGMIFTCCLYRSLKLEHY
NP_062355.2 1 426 0.0960460093896713 PF00876.18:Innexin:47:235 Pannexin-1 426 189 111 334 4 Mus musculus (Mouse) SwissProt::Q9JIP4 1 SwissProt MAIAHLATEYVFSDFLLKEPTEPKFKGLRLELAVDKMVTCIAVGLPLLLISLAFAQEISIGTQISCFSPSSFSWRQAAFVDSYCWAAVQQKSSLQSESGNLPLWLHKFFPYILLLFAILLYLPALFWRFSAAPHLCSDLKFIMEELDKVYNRAIKAAKSARDLDLRDGPGPPGVTENVGQSLWEISESHFKYPIVEQYLKTKKNSSHLIMKYISCRLVTFVVILLACIYLSYYFSLSSLSDEFLCSIKSGVLKNDSTIPDRFQCKLIAVGIFQLLSLINLIVYALLIPVVVYTFFIPFRQKTDILKVYEILPTFDVLHFKSEGYNDLSLYNLFLEENISELKSYKCLKVLENIKSNGQGIDPMLLLTNLGMIKMDIIDGKIPTSLQTKGEDQGSQRVEFKDLDLSSEAAANNGEKNSRQRLLNPSC
XP_011511359.1 247 591 0.44834231884058 protein CIP2A isoform X3 591 0 111 345 0 Homo sapiens XP_011511359.1 1 RefSeq TEHIPRKMPWQSSNHSFPTSIKCLTPHLKDGVPGLNIEELIEKLQSGMVVKDQICDVRISDIMDVYEMKLSTLASKESRLQDLLETKALALAQADRLIAQHRCQRTQAETEARTLASMLREVERKNEELSVLLKAQQVESERAQSDIEHLFQHNRKLESVAEEHEILTKSYMELLQRNESTEKKNKDLQITCDSLNKQIETVKKLNESLKEQNEKSIAQLIEKEEQRKEVQNQLVDREHKLANLHQKTKVQEEKIKTLQKEREDKEETIDILRKELSRTEQIRKELSIKASSLEVQKAQLEGRLEEKESLVKLQQEELNKHSHMIAMIHSLSGGKINPETVNLSI
NP_001306067.1 1 381 0.516342257217847 PF00688.18:TGFb_propeptide:152:329 growth/differentiation factor 5 preproprotein 501 178 110 381 0 Homo sapiens NP_001306067.1 1 RefSeq MRLPKLLTFLLWYLAWLDLEFICTVLGAPDLGQRPQGTRPGLAKAEAKERPPLARNVFRPGGHSYGGGATNANARAKGGTGQTGGLTQPKKDEPKKLPPRPGGPEPKPGHPPQTRQATARTVTPKGQLPGGKAPPKAGSVPSSFLLKKAREPGPPREPKEPFRPPPITPHEYMLSLYRTLSDADRKGGNSSVKLEAGLANTITSFIDKGQDDRGPVVRKQRYVFDISALEKDGLLGAELRILRKKPSDTAKPAAPGGGRAAQLKLSSCPSGRQPAALLDVRSVPGLDGSGWEVFDIWKLFRNFKNSAQLCLELEAWERGRAVDLRGLGFDRAARQVHEKALFLVFGRTKKRDLFFNEIKARSGQDDKTVYEYLFSQRRKRR
NP_058891.1 58 226 0.969516568047339 PF06614.11:Neuromodulin:11:169 Neuromodulin; Axonal membrane protein GAP-43; Growth-associated protein 43; Protein F1 226 159 110 169 0 Rattus norvegicus (Rat) SwissProt::P07936 1 SwissProt DAPAAEAEAKEKDDAPVADGVEKKEGDGSATTDAAPATSPKAEEPSKAGDAPSEEKKGEGDAAPSEEKAGSAETESAAKATTDNSPSSKAEDGPAKEEPKQADVPAAVTDAAATTPAAEDAAKAAQPPTETAESSQAEEEKEAVDEAKPKESARQDEGKEDPEADQEHA
P11836 1 162 0.121732098765432 PF04103.15:CD20:51:154 B-lymphocyte antigen CD20; B-lymphocyte surface antigen B1; Bp35; Leukocyte surface antigen Leu-16; Membrane-spanning 4-domains subfamily A member 1; CD20 antigen 297 104 110 93 3 Homo sapiens (Human) SwissProt::P11836 1 SwissProt MTTPRNSVNGTFPAEPMKGPIAMQSGPKPLFRRMSSLVGPTQSFFMRESKTLGAVQIMNGLFHIALGGLLMIPAGIYAPICVTVWYPLWGGIMYIISGSLLAATEKNSRKCLVKGKMIMNSLSLFAAISGMILSIMDILNIKISHFLKMESLNFIRAHTPYI
NP_666103.1 112 531 0.414127857142857 PF16050.5:CDC73_N:1:186,PF05179.14:CDC73_C:246:409 Parafibromin; Cell division cycle protein 73 homolog; Hyperparathyroidism 2 protein 531 350 109 420 0 Homo sapiens (Human) SwissProt::Q6P1J9 1 SwissProt APLEIGLQRSTQVKRAADEVLAEAKKPRIEDEECVRLDKERLAARLEGHKEGIVQTEQIRSLSEAMSVEKIAAIKAKIMAKKRSTIKTDLDDDITALKQRSFVDAEVDVTRDIVSRERVWRTRTTILQSTGKNFSKNIFAILQSVKAREEGRAPEQRPAPNAAPVDPTLRTKQPIPAAYNRYDQERFKGKEETEGFKIDTMGTYHGMTLKSVTEGASARKTQTPAAQPVPRPVSQARPPPNQKKGSRTPIIIIPAATTSLITMLNAKDLLQDLKFVPSDEKKKQGCQRENETLIQRRKDQMQPGGTAISVTVPYRVVDQPLKLMPQDWDRVVAVFVQGPAWQFKGWPWLLPDGSPVDIFAKIKAFHLKYDEVRLDPNVQKWDVTVLELSYHKRHLDRPVFLRFWETLDRYMVKHKSHLRF
NP_996888.1 1 136 0.646827205882353 upstream stimulatory factor 1 isoform 2 251 0 109 136 0 Homo sapiens NP_996888.1 1 RefSeq MYRVIQVSEGQLDGQTEGTGAISGYPATQSMTQAVIQGAFTSDDAVDTEGTAAETHYTYFPSTAVGDGAGGTTSGSTAAVVTTQGSEALLGQATPPGTGQFFVMMSPQEVLQGGSQRSIAPRTHPYSPKSEAPRTT
NP_001243021.1 1 295 0.683735254237288 cyclic AMP-dependent transcription factor ATF-2 isoform 3 447 0 108 295 0 Homo sapiens NP_001243021.1 1 RefSeq MYCAWMWPDQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPLPHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQSTSGRRRRAANEDPDE
NP_001273645.1 1 1742 0.286093800229621 PF03542.16:Tuberin:556:903,PF11864.8:DUF3384:54:469,PF02145.15:Rap_GAP:1497:1681 tuberin isoform 2 1742 949 108 1742 0 Mus musculus NP_001273645.1 1 RefSeq MAKPTSKDSGLKEKFKILLGLGTSRPNPRCAEGKQTEFIITSEILRELSGECGLNNRIRMIGQICDVAKTKKLEEHAVEALWKAVSDLLQPERPPEARHAVLTLLKAIVQGQGDRLGVLRALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELAEFVLQWMDVGLSSEFLLVLVNLVKFNSCYLDEYIASMVHMICLLCIRTVSSVDIEVSLQVLDAVVCYNCLPAESLPLFIITLCRTINVKELCEPCWKLMRNLLGTHLGHSAIYNMCRIMEDRSYMEDAPLLRGAVFFVGMALWGAHRLYSLKNSPTSVLPSFYEAMTCPNEVVSYEIVLSITRLIKKYRKELQAVTWDILLDIIERLLQQLQNLDSPELKTIVHDLLTTVEELCDQNEFHGSQERYYELVESYADQRPESSLLNLISYRAQSIHPAKDGWIQNLQLLMERFFRNECRSAVRIKVLDVLSFVLLINRQFYEEELINSVVISQLSHIPEDKDHQVRKLATQLLVDLAEGCHTHHFNSLLDIIEKVMARSLSPPPELEERDLAMHSASLEDVKTAVLGLLVILQTKLYTLPASHATRVYESLISHIQLHYKHGYSLPIASSIRLQAFDFLLLLRADSLHRLGLPNKDGVVRFSPYCLCDCMELDRASEKKASGPLSPPTGPPSPVPMGPAVRLGYLPYSLLFRVLLQCLKQESDWKVLKLVLSRLPESLRYKVLIFTSPCSVDQLSSALCSMLSAPKTLERLRGTPEGFSRTDLHLAVVPVLTALISYHNYLDKTRQREMVYCLEQGLIYRCASQCVVALAICSVEMPDIIIKALPVLVVKLTHISATASMAIPLLEFLSTLARLPHLYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRCRLPFRKDFVPYITKGLRSNVLLSFDDTPEKDSFRARSTSLNERPKSRIQTSLTSASLGSADENSMAQADDNLKNLHLELTETCLDMMARYVFSNFTAVPKRSPVGEFLLAGGRTKTWLVGNKLVTVTTSVGTGTRSLLGLDSGDLQGGSDSSSDPSTHVRQTKEAPAKLESQAGQQVSRGARDRVRSMSGGHGLRVGVLDTSAPYSPGGSASLGPQTAVAAKPEKPPAGAQLPTAEKTNLAAYVPLLTQGWAEILVRRPTGNTSWLMSLENPLSPFSSDINNMPLQELSNALMAAERFKEHRDTALYKSLSVPAAGTAKPPTLPRSNTDSAMVLEEGSPGETQVPVEPPELEDFEAALGTDRHCQRPDTYSRSSSASSQEEKSHLEELAAGGIPIERAISSEGARPAVDLSFQPSQPLSKSSSSPELQTLQDILGDLGDKIDIGRLSPEAKVRSQSGILDGEAATWSATGEESRITVPPEGPLPSSSPRSPSGLRPRGYTISDSAPSRRGKRVERDNFKSRAAASSAEKVPGINPSFVFLQLYHSPFFGDESNKPILLPNESFERSVQLLDQIPSYDTHKIAVLYVGEGQSSSELAILSNEHGSYRYTEFLTGLGRLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIMQAVFHIATLMPTKDVDKHRCDKKRHLGNDFVSIIYNDSGEDFKLGTIKGQFNFVHVIITPLDYKCNLLTLQCRKDMEGLVDTSVAKIVSDRNLSFVARQMALHANMASQVHHSRSNPTDIYPSKWIARLRHIKRLRQRIREEVHYSNPSLPLMHPPAHTKAPAQAPEATPTYETGQRKRLISSVDDFTEFV
NP_004020.1 135 474 0.450060882352941 PF10401.9:IRF-3:126:300 interferon regulatory factor 7 isoform b 474 175 108 340 0 Homo sapiens NP_004020.1 1 RefSeq GTDQTEAEAPAAVPPPQGGPPGPFLAHTHAGLQAPGPLPAPAGDKGDLLLQAVQQSCLADHLLTASWGADPVPTKAPGEGQEGLPLTGACAGGEAAAPESPHQAEPYLSPSPSACTAVQEPSPGALDVTIMYKGRTVLQKVVGHPSCTFLYGPPDPAVRATDPQQVAFPSPAELPDQKQLRYTEELLRHVAPGLHLELRGPQLWARRMGKCKVYWEVGGPPGSASPSTPACLLPRNCDTPIFDFRVFFQELVEFRARQRRGSPRYTIYLGFGQDLSAGRPKEKSLVLVKLEPWLCRVHLEGTQREGVSSLDSSSLSLCLSSANSLYDDIECFLMELEQPA
XP_006509374.1 1 298 0.337260738255034 PF03523.13:Macscav_rec:154:202 macrophage scavenger receptor types I and II isoform X1 481 49 108 275 1 Mus musculus XP_006509374.1 1 RefSeq MLKYCEIKILGFNCKEREVDKSVLSSLPAMTKEMTENQRLCPHEQEDADCSSESVKFDARSMTASLPHSTKNGPSLQEKLKSFKAALIALYLLVFAVLIPVVGIVTAQLLNWEMKNCLVCSLNTSDTSQGPMEKENTSKVEMRFTIIMEHMKDMEERIESISNSKADLIDTERFQNFSMATDQRLNDILLQLNSLISSVQEHGNSLDAISKSLQSLNMTLLDVQLHTETLNVRVRESTAKQQEDISKLEERVYKVSAEVQSVKEEQAHVEQEVKQEVRVLNNITNDLRLKDWEHSQTL
XP_006509911.1 1 548 0.627517518248176 PF02161.15:Prog_receptor:1:548 progesterone receptor isoform X1 591 548 108 548 0 Mus musculus XP_006509911.1 1 RefSeq MTELQAKDPQVLHTSGASPSPPHIGSPLLARLDSGPFQGSQHSDVSSVVSPIPISLDGLLFPRSCRGPELPDGKTGDQQSLSDVEGAFSGVEATHREGGRNSRAPEKDSRLLDSVLDSLLTPSGTEQSHASPPACEAITSWCLFGPELPEDPRSVPATKGLLSPLMSRPEIKAGDSSGTGAGQKVLPKGLSPPRQLLLPTSGSAHWPGAGVKPSPQPAAGEVEEDSGLETEGSAAPLLKSKPRALEGTGSGGGVAANAASAAPGGVTLVPKEDSRFSAPRVSLEQDSPIAPGRSPLATTVVDFIHVPILPLNHALLAARTRQLLEGDSYDGGATAQGPFAPPRGSPSAPSPPVPCGDFPDCTYPLEGDPKEDVFPLYGDFQTPGLKIKEEEEGADAAVRSPRPYLSAGASSSTFPDFPLAPAPQRAPSSRPGEAAVAGGPSSAAVSPASSSGSALECILYKAEGAPPTQGSFAPLPCKPPAAGSCLLPRDSLPAAPATAAAPAIYQPLGLNGLPQLGYQAAVLKDSLPQVYPPYLNYLRPDSEASQSP
XP_006521872.1 161 320 0.00611625 PF04549.14:CD47:3:151 leukocyte surface antigen CD47 isoform X1 320 149 108 45 5 Mus musculus XP_006521872.1 0 RefSeq KILIVIFPILAILLFWGKFGILTLKYKSSHTNKRIILLLVAGLVLTVIVVVGAILLIPGEKPVKNASGLGLIVISTGILILLQYNVFMTAFGMTSFTIAILITQVLGYVLALVGLCLCIMACEPVHGPLLISGLGIIALAELLGLVYMKFVEWRETPSVS
XP_011508075.1 1 570 0.642601578947367 prospero homeobox protein 1 isoform X1 738 0 108 570 0 Homo sapiens XP_011508075.1 1 RefSeq MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQHADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTGSEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDMDRLCDEHLRAKRARVENIIRGMSHSPSVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLKQQLEDMQKQLRQLQEKFYQIYDSTDSENDEDGNLSEDSMRSEILDARAQDSVGRSDNEMCELDPGQFIDRARALIREQEMAENKPKREGNNKERDHGPNSLQPEGKHLAETLKQELNTAMSQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNPLDTFGNVQMASSTDQTEALPLVVRKNSSDQSASGPAAGGHHQPLHQSPLSATTGFTTSTFRHPFPLPLMAYPFQSPLGAPSGSFSGKDRASPESLDLTRDTTSLRTKMSSHHLSHHPCSPAHPPSTAEGLSLSLIKSECGDLQDMSEISP
XP_017449522.1 1 235 0.506130638297873 PF08384.10:NPP:27:70,PF00976.18:ACTH_domain:75:90,PF00976.18:ACTH_domain:122:141,PF00976.18:ACTH_domain:186:204,PF08035.11:Op_neuropeptide:205:232 pro-opiomelanocortin isoform X1 235 127 108 235 0 Rattus norvegicus XP_017449522.1 1 RefSeq MPRFCYSRSGALLLALLLQTSIDVWSWCLESSQCQDLTTESNLLACIRACRLDLSAETPVFPGNGDEQPLTENPRKYVMGHFRWDRFGPRNSSSAGGSAQRRAEEETAGGDGRPEPSPREGKRSYSMEHFRWGKPVGKKRRPVKVYPNVAENESAEAFPLEFKRELEGEQPDGLEHVLEPDTEKADGPYRVEHFRWGNPPKDKRYGGFMTSEKSQTPLVTLFKNAIIKNAHKKGQ
NP_002990.2 1 141 0.556180141843972 Syndecan-4; SYND4; Amphiglycan; Ryudocan core protein 198 0 107 141 0 Homo sapiens (Human) SwissProt::P31431 1 SwissProt MAPARLFALLLFFVGGVAESIRETEVIDPQDLLEGRYFSGALPDDEDVVGPGQESDDFELSGSGDLDDLEDSMIGPEVVHPLVPLDNHIPERAGSGSQVPTEPKKLEENEVIPKRISPVEESEDVSNKVSMSSTVQGSNIF
NP_003191.1 38 540 0.692114115308151 Transcription factor E2-alpha; Class B basic helix-loop-helix protein 21; bHLHb21; Immunoglobulin enhancer-binding factor E12/E47; Immunoglobulin transcription factor 1; Kappa-E2-binding factor; Transcription factor 3; TCF-3; Transcription factor ITF-1 654 0 107 503 0 Homo sapiens (Human) SwissProt::P15923 1 SwissProt ASLAGAQFGGSGLEDRPSSGSWGSGDQSSSSFDPSRTFSEGTHFTESHSSLSSSTFLGPGLGGKSGERGAYASFGRDAGVGGLTQAGFLSGELALNSPGPLSPSGMKGTSQYYPSYSGSSRRRAADGSLDTQPKKVRKVPPGLPSSVYPPSSGEDYGRDATAYPSAKTPSSTYPAPFYVADGSLHPSAELWSPPGQAGFGPMLGGGSSPLPLPPGSGPVGSSGSSSTFGGLHQHERMGYQLHGAEVNGGLPSASSFSSAPGATYGGVSSHTPPVSGADSLLGSRGTTAGSSGDALGKALASIYSPDHSSNNFSSSPSTPVGSPQGLAGTSQWPRAGAPGALSPSYDGGLHGLQSKIEDHLDEAIHVLRSHAVGTAGDMHTLLPGHGALASGFTGPMSLGGRHAGLVGGSHPEDGLAGSTSLMHNHAALPSQPGTLPDLSRPPDSYSGLGRAGATAAASEIKREEKEDEENTSAADHSEEEKKELKAPRARTSPDEDEDDLLPP
P08493 1 103 0.203077669902913 Matrix Gla protein; MGP; Cell growth-inhibiting gene 36 protein 103 0 107 103 0 Homo sapiens (Human) SwissProt::P08493 1 SwissProt MKSLILLAILAALAVVTLCYESHESMESYELNPFINRRNANTFISPQQRWRAKVQERIRERSKPVHELNREACDDYRLCERYAMVYGYNAAYNRYFRKRRGTK
XP_006501346.1 1 1053 0.714756125356126 methylcytosine dioxygenase TET2 isoform X1 1920 0 107 1053 0 Mus musculus XP_006501346.1 1 RefSeq MEQDRTTHAEGTRLSPFLIAPPSPISHTEPLAVKLQNGSPLAERPHPEVNGDTKWQSSQSCYGISHMKGSQSSHESPHEDRGYSRCLQNGGIKRTVSEPSLSGLHPNKILKLDQKAKGESNIFEESQERNHGKSSRQPNVSGLSDNGEPVTSTTQESSGADAFPTRNYNGVEIQVLNEQEGEKGRSVTLLKNKIVLMPNGATVSAHSEENTRGELLEKTQCYPDCVSIAVQSTASHVNTPSSQAAIELSHEIPQPSLTSAQINFSQTSSLQLPPEPAAMVTKACDADNASKPAIVPGTCPFQKAEHQQKSALDIGPSRAENKTIQGSMELFAEEYYPSSDRNLQASHGSSEQYSKQKETNGAYFRQSSKFPKDSISPTTVTPPSQSLLAPRLVLQPPLEGKGALNDVALEEHHDYPNRSNRTLLREGKIDHQPKTSSSQSLNPSVHTPNPPLMLPEQHQNDCGSPSPEKSRKMSEYLMYYLPNHGHSGGLQEHSQYLMGHREQEIPKDANGKQTQGSVQAAPGWIELKAPNLHEALHQTKRKDISLHSVLHSQTGPVNQMSSKQSTGNVNMPGGFQRLPYLQKTAQPEQKAQMYQVQVNQGPSPGMGDQHLQFQKALYQECIPRTDPSSEAHPQAPSVPQYHFQQRVNPSSDKHLSQQATETQRLSGFLQHTPQTQASQTPASQNSNFPQICQQQQQQQLQRKNKEQMPQTFSHLQGSNDKQREGSCFGQIKVEESFCVGNQYSKSSNFQTHNNTQGGLEQVQNINKNFPYSKILTPNSSNLQILPSNDTHPACEREQALHPVGSKTSNLQNMQYFPNNVTPNQDVHRCFQEQAQKPQQASSLQGLKDRSQGESPAPPAEAAQQRYLVHNEAKALPVPEQGGSQTQTPPQKDTQKHAALRWLLLQKQEQQQTQQSQPGHNQMLRPIKTEPVSKPSSYRYPLSPPQENMSSRIKQEISSPSRDNGQPKSIIETMEQHLKQFQLKSLCDYKALTLKSQKHVKVPTDIQAAESENHARAAEPQATKSTDCSVLDDVSESDTPGEQSQNGKCEGCNP
XP_006529745.1 1 152 0.404609210526316 PF15152.6:Kisspeptin:68:142 metastasis-suppressor KiSS-1 isoform X4 152 75 107 152 0 Mus musculus XP_006529745.1 1 RefSeq MYLRFGVDVCSLSPWKETVDLPLPPRMISMASWQLLLLLCVATYGEPLAKVKPGSTGQQSGPQELVNAWEKESRYAESKPGSAGLRARRSSPCPPVEGPAGRQRPLCASRSRLIPAPRGAVLVQREKDLSTYNWNSFGLRYGRRQAARAARG
NP_001273040.1 37 91 0.05446 autophagy protein 5 isoform d 91 0 106 55 0 Homo sapiens NP_001273040.1 1 RefSeq TDLTSFGPSIGNSWNILQKKMDFVISPLEYIRQRLKDLSFRSCFVLWLQMDSCTH
P22004 1 374 0.477532352941176 PF00688.18:TGFb_propeptide:61:362 Bone morphogenetic protein 6 513 302 106 374 0 Homo sapiens P22004 1 SwissProt/TReMBL MPGLGRRAQWLCWWWGLLCSCCGPPPLRPPLPAAAAAAAGGQLLGDGGSPGRTEQPPPSPQSSSGFLYRRLKTQEKREMQKEILSVLGLPHRPRPLHGLQQPQPPALRQQEEQQQQQQLPRGEPPPGRLKSAPLFMLDLYNALSADNDEDGASEGERQQSWPHEAASSSQRRQPPPGAAHPLNRKSLLAPGSGSGGASPLTSAQDSAFLNDADMVMSFVNLVEYDKEFSPRQRHHKEFKFNLSQIPEGEVVTAAEFRIYKDCVMGSFKNQTFLISIYQVLQEHQHRDSDLFLLDTRVVWASEEGWLEFDITATSNLWVVTPQHNMGLQLSVVTRDGVHVHPRAAGLVGRDGPYDKQPFMVAFFKVSEVHVRTTR
XP_016873304.1 1 228 0.395725438596491 Nucleobindin-2; DNA-binding protein NEFA; Epididymis secretory protein Li 109; Gastric cancer antigen Zg4; Prepronesfatin 420 0 106 205 1 Homo sapiens (Human) SwissProt::P80303 1 SwissProt MRWRTILLQYCFLLITCLLTALEAVPIDIDKTKVQNIHPVESAKIEPPDTGLYYDEYLKQVIDVLETDKHFREKLQKADIEEIKSGRLSKELDLVSHHVRTKLDELKRQEVGRLRMLIKAKLDSLQDIGMDHQALLKQFDHLNHLNPDKFESTDLDMLIKAATSDLEHYDKTRHEEFKKYEMMKEHERREYLKTLNEEKRKEEESKFEEMKKKHENHPKVNHPGSKDQ
NP_001020329.1 1 96 0.447415625 PF09307.10:MHC2-interact:17:96 HLA class II histocompatibility antigen gamma chain isoform c 160 80 105 73 1 Homo sapiens NP_001020329.1 0 RefSeq MHRRRSRSCREDQKPVMDDQRDLISNNEQLPMLGRRPGAPESKCSRGALYTGFSILVTLLLAGQATTAYFLYQQQGRLDKLTVTSQNLQLENLRMK
NP_005220.2 169 428 0.758797307692308 ETS domain-containing protein Elk-1 428 0 105 260 0 Homo sapiens (Human) SwissProt::P19419 1 SwissProt PQPPPHPRPAVVLPSAAPAGAAAPPSGSRSTSPSPLEACLEAEEAGLPLQVILTPPEAPNLKSEELNVEPGLGRALPPEVKVEGPKEELEVAGERGFVPETTKAEPEVPPQEGVPARLPAVVMDTAGQAGGHAASSPEISQPQKGRKPRDLELPLSPSLLGGPGPERTPGSGSGSGLQAPGPALTPSLLPTHTLTPVLLTPSSLPPSIHFWSTLSPIAPRSPAKLSFQFPSSGSAQVHIPSISVDGLSTPVVLSPGPQKP
NP_005663.2 1 114 0.0258350877192982 PF00021.21:UPAR_LY6:14:84,PF00087.21:Toxin_TOLIP:14:84 Prostate stem cell antigen 114 71 105 114 0 Homo sapiens (Human) SwissProt::O43653 1 SwissProt MAGLALQPGTALLCYSCKAQVSNEDCLQVENCTQLGEQCWTARIRAVGLLTVISKGCSLNCVDDSQDYYVGKKNITCCDTDLCNASGAHALQPAAAILALLPALGLLLWGPGQL
NP_006302.2 714 2044 0.728010443275731 Nuclear receptor corepressor 1; N-CoR; N-CoR1 2440 0 105 1331 0 Homo sapiens (Human) SwissProt::O75376 1 SwissProt IEASNEEENPEDSEVEAVKPSEDSPENATSRGNTEPAVELEPTTETAPSTSPSLAVPSTKPAEDESVETQVNDSISAETAEQMDVDQQEHSAEEGSVCDPPPATKADSVDVEVRVPENHASKVEGDNTKERDLDRASEKVEPRDEDLVVAQQINAQRPEPQSDNDSSATCSADEDVDGEPERQRMFPMDSKPSLLNPTGSILVSSPLKPNPLDLPQLQHRAAVIPPMVSCTPCNIPIGTPVSGYALYQRHIKAMHESALLEEQRQRQEQIDLECRSSTSPCGTSKSPNREWEVLQPAPHQVITNLPEGVRLPTTRPTRPPPPLIPSSKTTVASEKPSFIMGGSISQGTPGTYLTSHNQASYTQETPKPSVGSISLGLPRQQESAKSATLPYIKQEEFSPRSQNSQPEGLLVRAQHEGVVRGTAGAIQEGSITRGTPTSKISVESIPSLRGSITQGTPALPQTGIPTEALVKGSISRMPIEDSSPEKGREEAASKGHVIYEGKSGHILSYDNIKNAREGTRSPRTAHEISLKRSYESVEGNIKQGMSMRESPVSAPLEGLICRALPRGSPHSDLKERTVLSGSIMQGTPRATTESFEDGLKYPKQIKRESPPIRAFEGAITKGKPYDGITTIKEMGRSIHEIPRQDILTQESRKTPEVVQSTRPIIEGSISQGTPIKFDNNSGQSAIKHNVKSLITGPSKLSRGMPPLEIVPENIKVVERGKYEDVKAGETVRSRHTSVVSSGPSVLRSTLHEAPKAQLSPGIYDDTSARRTPVSYQNTMSRGSPMMNRTSDVTISSNKSTNHERKSTLTPTQRESIPAKSPVPGVDPVVSHSPFDPHHRGSTAGEVYRSHLPTHLDPAMPFHRALDPAAAAYLFQRQLSPTPGYPSQYQLYAMENTRQTILNDYITSQQMQVNLRPDVARGLSPREQPLGLPYPATRGIIDLTNMPPTILVPHPGGTSTPPMDRITYIPGTQITFPPRPYNSASMSPGHPTHLAAAASAEREREREREKERERERIAAASSDLYLRPGSEQPGRPGSHGYVRSPSPSVRTQETMLQQRPSVFQGTNGTSVITPLDPTAQLRIMPLPAGGPSISQGLPASRYNTAADALAALVDAAASAPQMDVSKTKESKHEAARLEENLRSRSAAVSEQQQLEQKTLEVEKRSVQCLYTSSAFPSGKPQPHSSVVYSEAGKDKGPPPKSRYEEELRTRGKTTITAANFIDVIITRQIASDKDARERGSQSSDSSSSLSSHRYETPSDAIEVISPASSPAPPQEKLQTYQPEVVKANQAENDPTRQYEGPLHHYRPQQESPSPQQQLPPSSQAEGMGQVPR
NP_031699.2 1 175 0.551543428571429 PF04731.12:Caudal_act:13:174 Homeobox protein CDX-2; Caudal-type homeobox protein 2 311 162 105 175 0 Mus musculus (Mouse) SwissProt::P43241 1 SwissProt MYVSYLLDKDVSMYPSSVRHSGGLNLAPQNFVSPPQYPDYGGYHVAAAAAATANLDSAQSPGPSWPTAYGAPLREDWNGYAPGGAAAANAVAHGLNGGSPAAAMGYSSPAEYHAHHHPHHHPHHPAASPSCASGLLQTLNLGPPGPAATAAAEQLSPSGQRRNLCEWMRKPAQQS
NP_034978.3 316 636 0.515003426791277 PF09316.10:Cmyb_C:86:241 Transcriptional activator Myb; Proto-oncogene c-Myb 636 156 105 321 0 Mus musculus (Mouse) SwissProt::P06876 1 SwissProt PTQNHTCSYPGWHSTSIVDQTRPHGDSAPVSCLGEHHATPSLPADPGSLPEESASPARCMIVHQGTILDNVKNLLEFAETLQFIDSFLNTSSNHESSGLDAPTLPSTPLIGHKLTPCRDQTVKTQKENSIFRTPAIKRSILESSPRTPTPFKHALAAQEIKYGPLKMLPQTPSHAVEDLQDVIKQESDESGIVAEFQESGPPLLKKIKQEVESPTEKSGNFFCSNHWAENSLSTQLFSQASPVADAPNILTSSVLMTPVSEDEDNVLKAFTVPKNRPLVGPLQPCSGAWEPASCGKTEDQMTASGPARKYVNAFSARTLVM
NP_068607.1 1 251 0.595301195219124 PF03957.13:Jun:5:244 Transcription factor AP-1; Activator protein 1; AP1; Proto-oncogene c-Jun; V-jun avian sarcoma virus 17 oncogene homolog 334 240 105 251 0 Rattus norvegicus (Rat) SwissProt::P17325 1 SwissProt MTAKMETTFYDDALNASFLQSESGAYGYSNPKILKQSMTLNLADPVGNLKPHLRAKNSDLLTSPDVGLLKLASPELERLIIQSSNGHITTTPTPTQFLCPKNVTDEQEGFAEGFVRALAELHSQNTLPSVTSAAQPVSGAGMVAPAVASVAGAGGGGGYSASLHSEPPVYANLSNFNPGALSSGGGAPSYGATGLAFPSQPQQQQQPPQPPHHLPQQIPVQHPRLQALKEEPQTVPEMPGETPPLSPIDME
NP_001129076.1 1 134 0.236611940298507 PF00219.18:IGFBP:28:83 endothelial cell-specific molecule 1 isoform b precursor 134 56 104 134 0 Homo sapiens NP_001129076.1 1 RefSeq MKSVLLLTTLLVPAHLVAAWSNNYAVDCPQHCDSSECKSSPRCKRTVLDDCGCCRVCAAGRGETCYRTVSGMDGMKCGPGLRCQPSNGEDPFGEEFGICKEHDMASGDGNIVREEVVKENAAGSPVMRKWLNPR
NP_001263414.1 314 872 0.593386404293381 PF05454.11:DAG1:292:559 Dystroglycan; Dystrophin-associated glycoprotein 1 893 268 104 536 1 Mus musculus (Mouse) SwissProt::Q62165 1 SwissProt ATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPVPGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTLTIPGYVEPTAVITPPTTTTKKPRVSTPKPATPSTDSSTTTTRRPTKKPRTPRPVPRVTTKAPITRLETASPPTRIRTTTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLREQQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHKRPQGDKAPARFKARLAGDPAPVVNDIHKKIALVKKLAFAFGDRNCSSITLQNITRGSIVVEWTNNTLPLEPCPKEQIIGLSRRIADENGKPRPAFSNALEPDFKALSIAVTGSGSCRHLQFIPVAPPSPGSSAAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSMPETTPLNQDTVGEYTPLRDEDPNAPPYQPPPPFTAPME
NP_001311166.1 1 110 0.372642727272727 inosine triphosphate pyrophosphatase isoform d 110 0 104 110 0 Homo sapiens NP_001311166.1 1 RefSeq MRSARLHSAPGTQASPCACSGAGPRAGSWHPEAARTLAGTPAFSLMDMSRRTQRCLRRRRTLSPIASGPCWSCRSTLAVWQLDFCSWRRPLRPGIWGGLAQNLPHRAGTP
NP_005558.1 255 585 0.1121416918429 PF07707.15:BACK:7:104 Galectin-3-binding protein; Basement membrane autoantigen p105; Lectin galactoside-binding soluble 3-binding protein; Mac-2-binding protein; MAC2BP; Mac-2 BP; Tumor-associated antigen 90K 585 98 104 331 0 Homo sapiens (Human) SwissProt::Q08380 1 SwissProt PSFQMPLDLYAYAVATGDALLEKLCLQFLAWNFEALTQAEAWPSVPTDLLQLLLPRSDLAVPSELALLKAVDTWSWGERASHEEVEGLVEKIRFPMMLPEELFELQFNLSLYWSHEALFQKKTLQALEFHTVPFQLLARYKGLNLTEDTYKPRIYTSPTWSAFVTDSSWSARKSQLVYQSRRGPLVKYSSDYFQAPSDYRYYPYQSFQTPQHPSFLFQDKRVSWSLVYLPTIQSCWNYGFSCSSDELPVLGLTKSGGSDRTIAYENKALMLCEGLFVADVTDFEGWKAAIPSALDTNSSKSTSSFPCPAGHFNGFRTVIRPFYLTNSSGVD
P03973 1 82 0.122954878048781 PF00095.21:WAP:31:75 Antileukoproteinase; ALP; BLPI; HUSI-1; Mucus proteinase inhibitor; MPI; Protease inhibitor WAP4; Secretory leukocyte protease inhibitor; Seminal proteinase inhibitor; WAP four-disulfide core domain protein 4 132 45 104 82 0 Homo sapiens (Human) SwissProt::P03973 1 SwissProt MKSSGLFPFLVLLALGTLAPWAVEGSGKSFKAGVCPPKKSAQCLRYKKPECQSDWQCPGKKRCCPDTCGIKCLDPVDTPNPT
P97474 151 317 0.346195808383233 PF03826.17:OAR:125:142 Pituitary homeobox 2; ALL1-responsive protein ARP1; BRX1 homeoprotein; Homeobox protein PITX2; Orthodenticle-like homeobox 2; Paired-like homeodomain transcription factor 2; Paired-like homeodomain transcription factor Munc 30; Solurshin 317 18 104 167 0 Mus musculus (Mouse) SwissProt::P97474 1 SwissProt LCKNGFGPQFNGLMQPYDDMYPGYSYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVTGVPGSSLNSLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSSFGYASVQNPASNLSACQYAVDRPV
NP_000159.3 642 1580 0.612689776357826 Transcriptional activator GLI3; GLI3 form of 190 kDa; GLI3-190; GLI3 full-length protein; GLI3FL 1580 0 103 939 0 Homo sapiens (Human) SwissProt::P10071 1 SwissProt QRGDIHPRPPPPRDSGSHSQSRSPGRPTQGALGEQQDLSNTTSKREECLQVKTVKAEKPMTSQPSPGGQSSCSSQQSPISNYSNSGLELPLTDGGSIGDLSAIDETPIMDSTISTATTALALQARRNPAGTKWMEHVKLERLKQVNGMFPRLNPILPPKAPAVSPLIGNGTQSNNTCSLGGPMTLLPGRSDLSGVDVTMLNMLNRRDSSASTISSAYLSSRRSSGISPCFSSRRSSEASQAEGRPQNVSVADSYDPISTDASRRSSEASQSDGLPSLLSLTPAQQYRLKAKYAAATGGPPPTPLPNMERMSLKTRLALLGDALEPGVALPPVHAPRRCSDGGAHGYGRRHLQPHDAPGHGVRRASDPVRTGSEGLALPRVPRFSSLSSCNPPAMATSAEKRSLVLQNYTRPEGGQSRNFHSSPCPPSITENVTLESLTMDADANLNDEDFLPDDVVQYLNSQNQAGYEQHFPSALPDDSKVPHGPGDFDAPGLPDSHAGQQFHALEQPCPEGSKTDLPIQWNEVSSGSADLSSSKLKCGPRPAVPQTRAFGFCNGMVVHPQNPLRSGPAGGYQTLGENSNPYGGPEHLMLHNSPGSGTSGNAFHEQPCKAPQYGNCLNRQPVAPGALDGACGAGIQASKLKSTPMQGSGGQLNFGLPVAPNESAGSMVNGMQNQDPVGQGYLAHQLLGDSMQHPGAGRPGQQMLGQISATSHINIYQGPESCLPGAHGMGSQPSSLAVVRGYQPCASFGGSRRQAMPRDSLALQSGQLSDTSQTCRVNGIKMEMKGQPHPLCSNLQNYSGQFYDQTVGFSQQDTKAGSFSISDASCLLQGTSAKNSELLSPGANQVTSTVDSLDSHDLEGVQIDFDAIIDDGDHSSLMSGALSPSIIQNLSHSSSRLTTPRASLPFPALSMSTTNMAIGDMSSLLTSLAEESKFLAVMQ
NP_001124477.1 96 463 0.709132608695652 PF12347.8:HJURP_C:2:57 myocyte-specific enhancer factor 2C isoform 2 463 56 103 368 0 Homo sapiens NP_001124477.1 1 RefSeq CESPDPDSSYALTPRTEEKYKKINEEFDNMIKSHKIPAVPPPNFEMPVSIPVSSHNSLVYSNPVSSLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNMQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGACTSTHLSQSSNLSLPSTQSLNIKSEPVSPPRDRTTTPSRYPQHTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT
NP_001297989.1 1 71 0.196057746478873 PF02202.16:Tachykinin:58:68 protachykinin-1 isoform 2 precursor 115 11 103 71 0 Mus musculus NP_001297989.1 1 RefSeq MKILVAVAVFFLVSTQLFAEEIDANDDLNYWSDWSDSDQIKEAMPEPFEHLLQRIARRPKPQQFFGLMGKR
NP_035649.1 1 311 0.65834694533762 PF01034.20:Syndecan:246:309 Syndecan-1; SYND1; CD138 antigen 311 64 103 288 1 Mus musculus (Mouse) SwissProt::P18828 1 SwissProt MRRAALWLWLCALALRLQPALPQIVAVNVPPEDQDGSGDDSDNFSGSGTGALPDTLSRQTPSTWKDVWLLTATPTAPEPTSSNTETAFTSVLPAGEKPEEGEPVLHVEAEPGFTARDKEKEVTTRPRETVQLPITQRASTVRVTTAQAAVTSHPHGGMQPGLHETSAPTAPGQPDHQPPRVEGGGTSVIKEVVEDGTANQLPAGEGSGEQDFTFETSGENTAVAAVEPGLRNQPPVDEGATGASQSLLDRKEVLGGVIAGGLVGLIFAVCLVAFMLYRMKKKDEGSYSLEEPKQANGGAYQKPTKQEEFYA
NP_055456.2 139 1882 0.840092717889912 Mediator of DNA damage checkpoint protein 1; Nuclear factor with BRCT domains 1 2089 0 103 1744 0 Homo sapiens (Human) SwissProt::Q14676 1 SwissProt FVSRGPLTVEETPRVQGETQPQRLLLAEDSEEEVDFLSERRMVKKSRTTSSSVIVPESDEEGHSPVLGGLGPPFAFNLNSDTDVEEGQQPATEEASSAARRGATVEAKQSEAEVVTEIQLEKDQPLVKERDNDTKVKRGAGNGVVPAGVILERSQPPGEDSDTDVDDDSRPPGRPAEVHLERAQPFGFIDSDTDAEEERIPATPVVIPMKKRKIFHGVGTRGPGAPGLAHLQESQAGSDTDVEEGKAPQAVPLEKSQASMVINSDTDDEEEVSAALTLAHLKESQPAIWNRDAEEDMPQRVVLLQRSQTTTERDSDTDVEEEELPVENREAVLKDHTKIRALVRAHSEKDQPPFGDSDDSVEADKSSPGIHLERSQASTTVDINTQVEKEVPPGSAIIHIKKHQVSVEGTNQTDVKAVGGPAKLLVVSLEEAWPLHGDCETDAEEGTSLTASVVADVRKSQLPAEGDAGAEWAAAVLKQERAHEVGAQGGPPVAQVEQDLPISRENLTDLVVDTDTLGESTQPQREGAQVPTGREREQHVGGTKDSEDNYGDSEDLDLQATQCFLENQGLEAVQSMEDEPTQAFMLTPPQELGPSHCSFQTTGTLDEPWEVLATQPFCLRESEDSETQPFDTHLEAYGPCLSPPRAIPGDQHPESPVHTEPMGIQGRGRQTVDKVMGIPKETAERVGPERGPLERETEKLLPERQTDVTGEEELTKGKQDREQKQLLARDTQRQESDKNGESASPERDRESLKVEIETSEEIQEKQVQKQTLPSKAFEREVERPVANRECDPAELEEKVPKVILERDTQRGEPEGGSQDQKGQASSPTPEPGVGAGDLPGPTSAPVPSGSQSGGRGSPVSPRRHQKGLLNCKMPPAEKASRIRAAEKVSRGDQESPDACLPPTVPEAPAPPQKPLNSQSQKHLAPPPLLSPLLPSIKPTVRKTRQDGSQEAPEAPLSSELEPFHPKPKIRTRKSSRMTPFPATSAAPEPHPSTSTAQPVTPKPTSQATRSRTNRSSVKTPEPVVPTAPELQPSTSTDQPVTSEPTSQVTRGRKSRSSVKTPETVVPTALELQPSTSTDRPVTSEPTSQATRGRKNRSSVKTPEPVVPTAPELQPSTSTDQPVTSEPTYQATRGRKNRSSVKTPEPVVPTAPELRPSTSTDRPVTPKPTSRTTRSRTNMSSVKTPETVVPTAPELQISTSTDQPVTPKPTSRTTRSRTNMSSVKNPESTVPIAPELPPSTSTEQPVTPEPTSRATRGRKNRSSGKTPETLVPTAPKLEPSTSTDQPVTPEPTSQATRGRTNRSSVKTPETVVPTAPELQPSTSTDQPVTPEPTSQATRGRTDRSSVKTPETVVPTAPELQASASTDQPVTSEPTSRTTRGRKNRSSVKTPETVVPAAPELQPSTSTDQPVTPEPTSRATRGRTNRSSVKTPESIVPIAPELQPSTSRNQLVTPEPTSRATRCRTNRSSVKTPEPVVPTAPEPHPTTSTDQPVTPKLTSRATRRKTNRSSVKTPKPVEPAASDLEPFTPTDQSVTPEAIAQGGQSKTLRSSTVRAMPVPTTPEFQSPVTTDQPISPEPITQPSCIKRQRAAGNPGSLAAPIDHKPCSAPLEPKSQASRNQRWGAVRAAESLTAIPEPASPQLLETPIHASQIQKVEPAGRSRFTPELQPKASQSRKRSLATMDSPPHQKQPQRGEVSQKTVIIKEEEEDTAEKPGKEEDVVTPKPGKRKRDQAEEEPNRIPSRSLR
XP_006530815.1 33 103 0.286732394366197 metallothionein-1 isoform X1 132 0 103 71 0 Mus musculus XP_006530815.1 1 RefSeq ELGHLGWRLRLGAGNSYKTGSEKCPLLPGGHCIVSGTELYRELFKKTEVFSVGDRKQRSSARLTSSSSFLG
NP_001008700.1 233 810 0.518135467128027 Interleukin-4 receptor subunit alpha; IL-4 receptor subunit alpha; IL-4R subunit alpha; IL-4R-alpha; IL-4RA; CD124 antigen 810 0 102 555 1 Mus musculus (Mouse) SwissProt::P16382 1 SwissProt RLPLGVTISCLCIPLFCLFCYFSITKIKKIWWDQIPTPARSPLVAIIIQDAQVPLWDKQTRSQESTKYPHWKTCLDKLLPCLLKHRVKKKTDFPKAAPTKSLQSPGKAGWCPMEVSRTVLWPENVSVSVVRCMELFEAPVQNVEEEEDEIVKEDLSMSPENSGGCGFQESQADIMARLTENLFSDLLEAENGGLGQSALAESCSPLPSGSGQASVSWACLPMGPSEEATCQVTEQPSHPGPLSGSPAQSAPTLACTQVPLVLADNPAYRSFSDCCSPAPNPGELAPEQQQADHLEEEEPPSPADPHSSGPPMQPVESWEQILHMSVLQHGAAAGSTPAPAGGYQEFVQAVKQGAAQDPGVPGVRPSGDPGYKAFSSLLSSNGIRGDTAAAGTDDGHGGYKPFQNPVPNQSPSSVPLFTFGLDTELSPSPLNSDPPKSPPECLGLELGLKGGDWVKAPPPADQVPKPFGDDLGFGIVYSSLTCHLCGHLKQHHSQEEGGQSPIVASPGCGCCYDDRSPSLGSLSGALESCPEGIPPEANLMSAPKTPSNLSGEGKGPGHSPVPSQTTEVPVGALGIAVS
NP_001076580.1 1 92 0.303069565217391 PF00446.17:GnRH:24:33 Progonadoliberin-1; Progonadoliberin I 92 10 102 92 0 Homo sapiens (Human) SwissProt::P01148 1 SwissProt MKPIQKLLAGLILLTWCVEGCSSQHWSYGLRPGGKRDAENLIDSFQEIVKEVGQLAETQRFECTTHQPRSPLRDLKGALESLIEEETGQKKI
NP_033425.3 211 625 0.606020963855422 Tumor necrosis factor receptor superfamily member 11A; Osteoclast differentiation factor receptor; ODFR; Receptor activator of NF-KB; CD265 antigen 625 0 102 392 1 Mus musculus (Mouse) SwissProt::O35305 1 SwissProt YLPSLIVLLLFISVVVVAAIIFGVYYRKGGKALTANLWNWVNDACSSLSGNKESSGDRCAGSHSATSSQQEVCEGILLMTREEKMVPEDGAGVCGPVCAAGGPWAEVRDSRTFTLVSEVETQGDLSRKIPTEDEYTDRPSQPSTGSLLLIQQGSKSIPPFQEPLEVGENDSLSQCFTGTESTVDSEGCDFTEPPSRTDSMPVSPEKHLTKEIEGDSCLPWVVSSNSTDGYTGSGNTPGEDHEPFPGSLKCGPLPQCAYSMGFPSEAAASMAEAGVRPQDRADERGASGSGSSPSDQPPASGNVTGNSNSTFISSGQVMNFKGDIIVVYVSQTSQEGPGSAEPESEPVGRPVQEETLAHRDSFAGTAPRFPDVCATGAGLQEQGAPRQKDGTSRPVQEQGGAQTSLHTQGSGQCAE
NP_612569.1 1 243 0.474545267489712 B-cell lymphoma/leukemia 11A isoform 3 243 0 102 243 0 Homo sapiens NP_612569.1 1 RefSeq MSRRKQGKPQHLSKREFSPEPLEAILTDDEPDHGPLGAPEGDHDLLTCGQCQMNFPLGDILIFIEHKRKQCNGSLCLEKAVDKPPSPSPIEMKKASNPVEVGIQVTPEDDDCLSTSSRGICPKQEHIADKLLHWRGLSSPRSAHGALIPTPGMSAEYAPQGICKDEPSSYTCTTCKQPFTSAWFLLQHAQNTHGLRIYLESEHGSPLTPRVLHTPPFGVVPRELKMCGSFRMEAREPLSSEKI
P56539 1 151 0.0333807947019868 PF01146.17:Caveolin:16:147 Caveolin-3; M-caveolin 151 132 102 128 1 Homo sapiens (Human) SwissProt::P56539 1 SwissProt MMAEEHTDLEAQIVKDIHCKEIDLVNRDPKNINEDIVKVDFEDVIAEPVGTYSFDGVWKVSYTTFTVSKYWCYRLLSTLLGVPLALLWGFLFACISFCHIWAVVPCIKSYLIEIQCISHIYSLCIRTFCNPLFAALGQVCSSIKVVLRKEV
Q02067 1 116 0.723449137931035 Achaete-scute homolog 1; ASH-1; mASH-1; mASH1 231 0 102 93 1 Mus musculus (Mouse) SwissProt::Q02067 1 SwissProt MESSGKMESGAGQQPQPPQPFLPPAACFFATAAAAAAAAAAAAQSAQQQQPQAPPQQAPQLSPVADSQPSGGGHKSAAKQVKRQRSSSPELMRCKRRLNFSGFGYSLPQQQPAAVA
XP_006715625.1 1 124 0.298029032258064 PF00123.20:Hormone_2:81:108 VIP peptides isoform X1 169 28 102 101 1 Homo sapiens XP_006715625.1 1 RefSeq MDTRNKAQLLVLLTLLSVLFSQTSAWPLYRAPSALRLGDRIPFEGANEPDQVSLKEDIDMLQNALAENDTPYYDVSRNARHADGVFTSDFSKLLGQLSAKKYLESLMGKRVSSNISEDPVPVKR
NP_001178775.1 267 649 0.628713315926893 PF16675.5:FOXO_KIX_bdg:151:232,PF16676.5:FOXO-TAD:323:361 Forkhead box protein O1; Forkhead box protein O1A; Forkhead in rhabdomyosarcoma 649 121 101 383 0 Rattus norvegicus (Rat) SwissProt::G3V7R4 1 SwissProt KKASLQSGQEGPGDSPGSQFSKWPASPGSHSNDDFDNWSTFRPRTSSNASTISGRLSPIMTEQDDLGDGDVHSLVYPPSAAKMASTLPSLSEISNPENMENLLDNLNLLSSPTSLTVSTQSSPGSMMQQTPCYSFAPPNTSLNSPSPNYAKYTYGQSSMSPVPQMPMQTLQDSKSSYGGLNQYNCAPGLLKELLTSDSPPHNDIMSPVDPGVAQPNSRVLGQNVLMGPNSVMPAYGSQAPHNKMMNPSSHTHPGHAQQTSSVNGRALPHVVNTMPHTSAMNRLTPVKTPLQVPLSHPMQMSALGNYSSVSSCNGYGRMGVLHQEKLPSDLDGMFIERLDCDMESIIRNDLMDGDTLDFNFDNVLPNQSFPHSVKTTTHSWVSG
XP_006719498.1 1 244 0.824068442622951 PRKC apoptosis WT1 regulator protein; Prostate apoptosis response 4 protein; Par-4 340 0 101 244 0 Homo sapiens (Human) SwissProt::Q96IZ0 1 SwissProt MATGGYRTSSGLGGSTTDFLEEWKAKREKMRAKQNPPGPAPPGGGSSDAAGKPPAGALGTPAAAAANELNNNLPGGAPAAPAVPGPGGVNCAVGSAMLTRAAPGPRRSEDEPPAASASAAPPPQRDEEEPDGVPEKGKSSGPSARKGKGQIEKRKLREKRRSTGVVNIPAAECLDEYEDDEAGQKERKREDAITQQNTIQNEAVNLLDPGSSYLLQEPPRTVSGRYKSTTSVSEEDVSSRYSRT
NP_176610.1 1 593 0.246359696458685 PF12313.8:NPR1_like_C:370:575,PF00651.31:BTB:63:190,PF11900.8:DUF3420:231:271 Regulatory protein NPR1; BTB/POZ domain-containing protein NPR1; Non-inducible immunity protein 1; Nim1; Nonexpresser of PR genes 1; Salicylic acid insensitive 1; Sai1 593 375 100 593 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93002 1 SwissProt MDTTIDGFADSYEISSTSFVATDNTDSSIVYLAAEQVLTGPDVSALQLLSNSFESVFDSPDDFYSDAKLVLSDGREVSFHRCVLSARSSFFKSALAAAKKEKDSNNTAAVKLELKEIAKDYEVGFDSVVTVLAYVYSSRVRPPPKGVSECADENCCHVACRPAVDFMLEVLYLAFIFKIPELITLYQRHLLDVVDKVVIEDTLVILKLANICGKACMKLLDRCKEIIVKSNVDMVSLEKSLPEELVKEIIDRRKELGLEVPKVKKHVSNVHKALDSDDIELVKLLLKEDHTNLDDACALHFAVAYCNVKTATDLLKLDLADVNHRNPRGYTVLHVAAMRKEPQLILSLLEKGASASEATLEGRTALMIAKQATMAVECNNIPEQCKHSLKGRLCVEILEQEDKREQIPRDVPPSFAVAADELKMTLLDLENRVALAQRLFPTEAQAAMEIAEMKGTCEFIVTSLEPDRLTGTKRTSPGVKIAPFRILEEHQSRLKALSKTVELGKRFFPRCSAVLDQIMNCEDLTQLACGEDDTAEKRLQKKQRYMEIQETLKKAFSEDNLELGNSSLTDSTSSTSKSTGGKRSNRKLSHRRR
NP_808800.1 1 401 0.600876558603491 Golgi membrane protein 1; Golgi membrane protein GP73; Golgi phosphoprotein 2 401 0 100 378 1 Homo sapiens (Human) SwissProt::Q8NBJ4 1 SwissProt MMGLGNGRRSMKSPPLVLAALVACIIVLGFNYWIASSRSVDLQTRIMELEGRVRRAAAERGAVELKKNEFQGELEKQREQLDKIQSSHNFQLESVNKLYQDEKAVLVNNITTGERLIRVLQDQLKTLQRNYGRLQQDVLQFQKNQTNLERKFSYDLSQCINQMKEVKEQCEERIEEVTKKGNEAVASRDLSENNDQRQQLQALSEPQPRLQAAGLPHTEVPQGKGNVLGNSKSQTPAPSSEVVLDSKRQVEKEETNEIQVVNEEPQRDRLPQEPGREQVVEDRPVGGRGFGGAGELGQTPQVQAALSVSQENPEMEGPERDQLVIPDGQEEEQEAAGEGRNQQKLRGEDDYNMDENEAESETDKQAALAGNDRNIDVFNVEDQKRDTINLLDQREKRNHTL
NP_919226.1 1 1209 0.455726385442514 PF12171.8:zf-C2H2_jaz:57:84 zinc finger protein 804A 1209 28 100 1209 0 Homo sapiens NP_919226.1 1 RefSeq MECYYIVISSTHLSNGHFRNIKGVFRGPLSKNGNKTLDYAEKENTIAKALEDLKANFYCELCDKQYYKHQEFDNHINSYDHAHKQRLKELKQREFARNVASKSRKDERKQEKALQRLHKLAELRKETVCAPGSGPMFKSTTVTVRENCNEISQRVVVDSVNNQQDFKYTLIHSEENTKDATTVAEDPESANNYTAKNNQVGDQAQGIHRHKIGFSFAFPKKASVKLESSAAAFSEYSDDASVGKGFSRKSRFVPSACHLQQSSPTDVLLSSEEKTNSFHPPEAMCRDKETVQTQEIKEVSSEKDALLLPSFCKFQLQLSSDADNCQNSVPLADQIPLESVVINEDIPVSGNSFELLGNKSTVLDMSNDCISVQATTEENVKHNEASTTEVENKNGPETLAPSNTEEVNITIHKKTNFCKRQCEPFVPVLNKHRSTVLQWPSEMLVYTTTKPSISYSCNPLCFDFKSTKVNNNLDKNKPDLKDLCSQQKQEDICMGPLSDYKDVSTEGLTDYEIGSSKNKCSQVTPLLADDILSSSCDSGKNENTGQRYKNISCKIRETEKYNFTKSQIKQDTLDEKYNKIRLKETHEYWFHKSRRKKKRKKLCQHHHMEKTKESETRCKMEAENSYTENAGKYLLEPISEKQYLAAEQLLDSHQLLDKRPKSESISLSDNEEMCKTWNTEYNTYDTISSKNHCKKNTILLNGQSNATMIHSGKHNLTYSRTYCCWKTKMSSCSQDHRSLVLQNDMKHMSQNQAVKRGYNSVMNESERFYRKRRQHSHSYSSDESLNRQNHLPEEFLRPPSTSVAPCKPKKKRRRKRGRFHPGFETLELKENTDYPVKDNSSLNPLDRLISEDKKEKMKPQEVAKIERNSEQTNQLRNKLSFHPNNLLPSETNGETEHLEMETTSGELSDVSNDPTTSVCVASAPTKEAIDNTLLEHKERSENINLNEKQIPFQVPNIERNFRQSQPKSYLCHYELAEALPQGKMNETPTEWLRYNSGILNTQPPLPFKEAHVSGHTFVTAEQILAPLALPEQALLIPLENHDKFKNVPCEVYQHILQPNMLANKVKFTFPPAALPPPSTPLQPLPLQQSLCSTSVTTIHHTVLQQHAAAAAAAAAAAAAGTFKVLQPHQQFLSQIPALTRTSLPQLSVGPVGPRLCPGNQPTFVAPPQMPIIPASVLHPSHLAFPSLPHALFPSLLSPHPTVIPLQPLF
XP_006498842.1 1 253 0.79941185770751 PF06390.12:NESP55:1:253 protein SCG6 (secretogranin VI) isoform X7 253 253 100 253 0 Mus musculus XP_006498842.1 1 RefSeq MDRRSRAQQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALASSNARAQQRAAQRRSFLNAHHRSAAAAAAAQVLPESSESESDHEHEEVEPELARPECLEYDQDDYETETDSETEPESDIESETEIETEPETEPETEPETEPEDERGPRGATFNQSLTQRLHALKLQSADASPRRAQPTTQEPESASEGEEPQRGPLDQDPRDPEEEPEERKEENRQPRRCKTRRPARRRDQSPESPPRKGPIPIRRH
NP_033168.2 102 395 0.626696598639456 Fractalkine; C-X3-C motif chemokine 1; CX3C membrane-anchored chemokine; Neurotactin; Small-inducible cytokine D1 395 0 99 271 1 Mus musculus (Mouse) SwissProt::O35188 1 SwissProt KFEKRVDNVTPGITLATRGLSPSALTKPESATLEDLALELTTISQEARGTMGTSQEPPAAVTGSSLSTSEAQDAGLTAKPQSIGSFEAADISTTVWPSPAVYQSGSSSWAEEKATESPSTTAPSPQVSTTSPSTPEENVGSEGQPPWVQGQDLSPEKSLGSEEINPVHTDNFQERGPGNTVHPSVAPISSEETPSPELVASGSQAPKIEEPIHATADPQKLSVLITPVPDTQAATRRQAVGLLAFLGLLFCLGVAMFAYQSLQGCPRKMAGEMVEGLRYVPRSCGSNSYVLVPV
NP_599011.2 136 281 0.34562397260274 Hepatitis A virus cellular receptor 2 homolog; HAVcr-2; T-cell immunoglobulin and mucin domain-containing protein 3; TIMD-3; T-cell immunoglobulin mucin receptor 3; TIM-3; T-cell membrane protein 3; CD366 antigen 281 0 99 123 1 Mus musculus (Mouse) SwissProt::Q8VIM0 1 SwissProt TPAQTAHGDSTTASPRTLTTERNGSETQTLVTLHNNNGTKISTWADEIKDSGETIRTAIHIGVGVSAGLTLALIIGVLILKWYSCKKKKLSSLSLITLANLPPGGLANAGAVRIRSEENIYTIEENVYEVENSNEYYCYVNSQQPS
NP_060525.3 1 232 0.242930172413793 PF00688.18:TGFb_propeptide:54:167 Nodal homolog 347 114 98 232 0 Homo sapiens (Human) SwissProt::Q96S42 1 SwissProt MHAHCLPFLLHAWWALLQAGAATVATALLRTRGQPSSPSPLAYMLSLYRDPLPRADIIRSLQAEDVAVDGQNWTFAFDFSFLSQQEDLAWAELRLQLSSPVDLPTEGSLAIEIFHQPKPDTEQASDSCLERFQMDLFTVTLSQVTFSLGSMVLEVTRPLSKWLKHPGALEKQMSRVAGECWPRPPTPPATNVLLMLYSNLSQEQRQLGGSTLLWEAESSWRAQEGQLSWEWG
O14836 110 293 0.397134239130435 Tumor necrosis factor receptor superfamily member 13B; Transmembrane activator and CAML interactor; CD267 antigen 293 0 98 161 1 Homo sapiens (Human) SwissProt::O14836 1 SwissProt SPVNLPPELRRQRSGEVENNSDNSGRYQGLEHRGSEASPALPGLKLSADQVALVYSTLGLCLCAVLCCFLVAVACFLKKRGDPCSCQPRSRPRQSPAKSSQDHAMEAGSPVSTSPEPVETCSFCFPECRAPTQESAVTPGTPDPTCAGRWGCHTRTTVLQPCPHIPDSGLGIVCVPAQEGGPGA
NP_001229849.1 151 398 0.213975 PF02886.17:LBP_BPI_CETP_C:1:219 phospholipid transfer protein isoform c precursor 398 219 97 248 0 Homo sapiens NP_001229849.1 1 RefSeq WSLPNRAVEPQLQEEERMVYVAFSEFFFDSAMESYFRAGALQLLLVGDKVPHDLDMLLRATYFGSIVLLSPAVIDSPLKLELRVLAPPRCTIKPSGTTISVTASVTIALVPPDQPEVQLSSMTMDARLSAKMALRGKALRTQLDLRRFRIYSNHSALESLALIPLQAPLKTMLQIGVMPMLNERTWRGVQIPLPEGINFVHEVVTNHAGFLTIGADLHFAKGLREVIEKNRPADVRASTAPTPSTAAV
P06307 1 115 0.460902608695652 PF00918.17:Gastrin:3:115 Cholecystokinin 115 113 97 115 0 Homo sapiens P06307 1 SwissProt/TReMBL MNSGVCLCVLMAVLAAGALTQPVPPADPAGSGLQRAEEAPRRQLRVSQRTDGESRAHLGALLARYIQQARKAPSGRMSIVKNLQNLDPSHRISDRDYMGWMDFGRRSAEEYEYPS
NP_036787.2 1 607 0.602061449752883 Transcription factor Sp1 786 0 96 607 0 Rattus norvegicus (Rat) SwissProt::Q01714 1 SwissProt MSDQDHSMDEVTAVKIEKGVGGNNGGSGNGGGAAFSQTRSSSTGSSSSSGGGGGQESQPSPLALLAATCSRIESPNENSNNSQGPSQSGGTGELDLTATQLSQGANGWQIISSSSGATPTSKEQSGNSTNGSNGSESSKNRTVSGGQYVVAATPNLQNQQVLTGLPGVMPNIQYQVIPQFQTVDGQQLQFAATGAQVQQDGSGQIQIIPGANQQIITNRGSGGNIIAAMPNLLQQAVPLQGLANNVLSGQTQYVTNVPVALNGNITLLPVNSVSAATLTPSSQAGTISSSGSQESGSQPVTSGTAISSASLVSSQASSSSFFTNANSYSTTTTTSNMGIMNFTSSGSSGTSSQGQTSQRVGGLQGSDSLNIQQNQTSGGSLQGSQQKEGEQSQQTQQQQILIQPQLVQGGQALQALQAAPLSGQTFTTQAISQETLQNLQLQAVQNSGPIIIRTPTVGPNGQVSWQTLQLQNLQVQNPQAQTITLAPMQGVSLGQTSSSNTTLTPIASAASIPAGTVTVNAAQLSSMPGLQTINLSALGTSGIQVHQLPGLPLAIANTPGDHGAQLGLHGPGGDGIHDETAGGEEGENSPDPQPQAGRRTRREACTC
NP_619730.1 1 265 0.338895471698113 PF03523.13:Macscav_rec:121:169 macrophage scavenger receptor types I and II isoform type 3 388 49 96 242 1 Homo sapiens NP_619730.1 1 RefSeq MEQWDHFHNQQEDTDSCSESVKFDARSMTALLPPNPKNSPSLQEKLKSFKAALIALYLLVFAVLIPLIGIVAAQLLKWETKNCSVSSTNANDITQSLTGKGNDSEEEMRFQEVFMEHMSNMEKRIQHILDMEANLMDTEHFQNFSMTTDQRFNDILLQLSTLFSSVQGHGNAIDEISKSLISLNTTLLDLQLNIENLNGKIQENTFKQQEEISKLEERVYNVSAEIMAMKEEQVHLEQEIKGEVKVLNNITNDLRLKDWEHSQTL
XP_008760468.1 1 300 0.404024 PF00688.18:TGFb_propeptide:85:293 bone morphogenetic protein 2 isoform X1 436 209 96 277 1 Rattus norvegicus XP_008760468.1 1 RefSeq MTCQEGILEKASEPCGSRGRGGGRRTGRGTWVTHVGPVRRSTMVAGTRCLLVLLLPQVLLGGAAGLIPELGRKKFAGASGRPLSRPSDDVLSEFELRLLSMFGLKQRPTPSKDVVVPPYMLDLYRRHSGQPGAPAPDHRLERAASRANTVRSFHHEEAIEELPEMSGKTSRRFFFNLSSVPTDEFLTSAELQIFREQMQEALGNSSFQHRINIYEIIKPATASSKFPVTRLLDTRLVTQNTSQWESFDVTPAVMRWTAQGHTNHGFVVEVAHLEEKPGVSKRHVRISRSLHQDEHSWSQV
NP_001269590.2 447 981 0.672161308411215 myocardin-related transcription factor A isoform 2 981 0 95 535 0 Homo sapiens NP_001269590.2 1 RefSeq TSILHKAGEVVVAFPAARLSTGPALVAAGLAPAEVVVATVASSGVVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDTFGEMVTSPLTQLTLQASPLQILVKEEGPRAGSCCLSPGGRAELEGRDKDQMLQEKDKQIEALTRMLRQKQQLVERLKLQLEQEKRAQQPAPAPAPLGTPVKQENSFSSCQLSQQPLGPAHPFNPSLAAPATNHIDPCAVAPGPPSVVVKQEALQPEPEPVPAPQLLLGPQGPSLIKGVAPPTLITDSTGTHLVLTVTNKNADSPGLSSGSPQQPSSQPGSPAPAPSAQMDLEHPLQPLFGTPTSLLKKEPPGYEEAMSQQPKQQENGSSSQQMDDLFDILIQSGEISADFKEPPSLPGKEKPSPKTVCGSPLAAQPSPSAELPQAAPPPPGSPSLPGRLEDFLESSTGLPLLTSGHDGPEPLSLIDDLHSQMLSSTAILDHPPSPMDTSELHFVPEPSSTMGLDLADGHLDSMDWLELSSGGPVLSLAPLSTTAPSLFSTDFLDGHDLQLHWDSCL
NP_006352.2 1 208 0.439665384615385 PF12284.8:HoxA13_N:13:123 Homeobox protein Hox-B13 284 111 95 208 0 Homo sapiens (Human) SwissProt::Q92826 1 SwissProt MEPGNYATLDGAKDIEGLLGAGGGRNLVAHSPLTSHPAAPTLMPAVNYAPLDLPGSAEPPKQCHPCPGVPQGTSPAPVPYGYFGGGYYSCRVSRSSLKPCAQAATLAAYPAETPTAGEEYPSRPTEFAFYPGYPGTYQPMASYLDVSVVQTLGAPGEPRHDSLLPVDSYQSWALAGGWNSQMCCQGEQNPPGPFWKAAFADSSGQHPP
NP_918943.1 1 1024 0.576197167968748 PF02453.17:Reticulon:975:1023 Reticulon-4; Neurite outgrowth inhibitor; Nogo protein 1162 49 95 1001 1 Mus musculus (Mouse) SwissProt::Q99P72 1 SwissProt MEDIDQSSLVSSSADSPPRPPPAFKYQFVTEPEDEEDEEDEEEEEDDEDLEELEVLERKPAAGLSAAPVPPAAAPLLDFSSDSVPPAPRGPLPAAPPTAPERQPSWERSPAASAPSLPPAAAVLPSKLPEDDEPPARPPAPAGASPLAEPAAPPSTPAAPKRRGSGSVDETLFALPAASEPVIPSSAEKIMDLKEQPGNTVSSGQEDFPSVLFETAASLPSLSPLSTVSFKEHGYLGNLSAVASTEGTIEETLNEASRELPERATNPFVNRESAEFSVLEYSEMGSSFNGSPKGESAMLVENTKEEVIVRSKDKEDLVCSAALHNPQESPATLTKVVKEDGVMSPEKTMDIFNEMKMSVVAPVREEYADFKPFEQAWEVKDTYEGSRDVLAARANMESKVDKKCFEDSLEQKGHGKDSESRNENASFPRTPELVKDGSRAYITCDSFSSATESTAANIFPVLEDHTSENKTDEKKIEERKAQIITEKTSPKTSNPFLVAIHDSEADYVTTDNLSKVTEAVVATMPEGLTPDLVQEACESELNEATGTKIAYETKVDLVQTSEAIQESIYPTAQLCPSFEEAEATPSPVLPDIVMEAPLNSLLPSTGASVAQPSASPLEVPSPVSYDGIKLEPENPPPYEEAMSVALKTSDSKEEIKEPESFNAAAQEAEAPYISIACDLIKETKLSTEPSPEFSNYSEIAKFEKSVPDHCELVDDSSPESEPVDLFSDDSIPEVPQTQEEAVMLMKESLTEVSETVTQHKHKERLSASPQEVGKPYLESFQPNLHITKDAASNEIPTLTKKETISLQMEEFNTAIYSNDDLLSSKEDKMKESETFSDSSPIEIIDEFPTFVSAKDDSPKEYTDLEVSNKSEIANVQSGANSLPCSELPCDLSFKNTYPKDEAHVSDEFSKSRSSVSKVPLLLPNVSALESQIEMGNIVKPKVLTKEAEEKLPSDTEKEDRSLTAVLSAELNKTSVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISF 2
Q16394 1 533 0.175016135084428 PF03016.15:Exostosin:111:395,PF09258.10:Glyco_transf_64:480:532 Exostosin-1; Glucuronosyl-N-acetylglucosaminyl-proteoglycan/N-acetylglucosaminyl-proteoglycan 4-alpha-N-acetylglucosaminyltransferase; Multiple exostoses protein 1; Putative tumor suppressor protein EXT1; EC 2.4.1.224; EC 2.4.1.225 746 338 95 513 1 Homo sapiens (Human) SwissProt::Q16394 1 SwissProt MQAKKRYFILLSAGSCLALLFYFGGLQFRASRSHSRREEHSGRNGLHHPSPDHFWPRFPDALRPFVPWDQLENEDSSVHISPRQKRDANSSIYKGKKCRMESCFDFTLCKKNGFKVYVYPQQKGEKIAESYQNILAAIEGSRFYTSDPSQACLFVLSLDTLDRDQLSPQYVHNLRSKVQSLHLWNNGRNHLIFNLYSGTWPDYTEDVGFDIGQAMLAKASISTENFRPNFDVSIPLFSKDHPRTGGERGFLKFNTIPPLRKYMLVFKGKRYLTGIGSDTRNALYHVHNGEDVVLLTTCKHGKDWQKHKDSRCDRDNTEYEKYDYREMLHNATFCLVPRGRRLGSFRFLEALQAACVPVMLSNGWELPFSEVINWNQAAVIGDERLLLQIPSTIRSIHQDKILALRQQTQFLWEAYFSSVEKIVLTTLEIIQDRIFKHISRNSLIWNKHPGGLFVLPQYSSYLGDFPYYYANLGLKPPSKFTAVIHAVTPLVSQSQPVLKLLVAAAKSQYCAQIIVLWNCDKPLPAKHRWPATA
XP_006721166.1 189 468 0.694358928571429 B-lymphocyte antigen CD19 isoform X1 468 0 95 257 1 Homo sapiens XP_006721166.1 1 RefSeq PVLWHWLLRTGGWKVSAVTLAYLIFCLCSLVGILHLQRALVLRRKRKRMTDPTRRFFKVTPPPGSGPQNQYGNVLSLPTPTSGLGRAQRWAAGLGGTAPSYGNPSSDVQADGALGSRSPPGVGPEEEEGEGYEEPDSEEDSEFYENDSNLGQDQLSQDGSGYENPEDEPLGPEDEDSFSNAESYENEDEELTQPVARTMDFLSPHGSAWDPSREATSLAGSQSYEDMRGILYAAPQLRSIRGQPGPNHEEDADSYENMDNPDGPDPAWGGGGRMGTWSTR
XP_011542624.1 355 846 0.594483536585365 Exonuclease 1; hExo1; Exonuclease I; hExoI; EC 3.1.-.- 846 0 95 492 0 Homo sapiens (Human) SwissProt::Q9UQ84 1 SwissProt SWDDKTCQKSANVSSIWHRNYSPRPESGTVSDAPQLKENPSTVGVERVISTKGLNLPRKSSIVKRPRSAELSEDDLLSQYSLSFTKKTKKNSSEGNKSLSFSEVFVPDLVNGPTNKKSVSTPPRTRNKFATFLQRKNEESGAVVVPGTRSRFFCSSDSTDCVSNKVSIQPLDETAVTDKENNLHESEYGDQEGKRLVDTDVARNSSDDIPNNHIPGDHIPDKATVFTDEESYSFESSKFTRTISPPTLGTLRSCFSWSGGLGDFSRTPSPSPSTALQQFRRKSDSPTSLPENNMSDVSQLKSEESSDDESHPLREEACSSQSQESGEFSLQSSNASKLSQCSSKDSDSEESDCNIKLLDSQSDQTSKLRLSHFSKKDTPLRNKVPGLYKSSSADSLSTTKIKPLGPARASGLSKKPASIQKRKHHNAENKPGLQIKLNELWKNFGFKKDSEKLPPCKKPLSPVRDNIQLTPEAEEDIFNKPECGRVQRAIFQ
NP_001278102.1 231 477 0.650394331983805 nuclear factor of activated T-cells, cytoplasmic 2 isoform j 477 0 94 247 0 Mus musculus NP_001278102.1 1 RefSeq PAIKTEPSDEYEPSLICSPAHGGLGSQPYYPQHPMLAESPSCLVATMAPCQQFRSGLSSPDARYQQQSPAAALYQRSKSLSPGLLGYQQPSLLAAPLGLADAHRSVLVHAGSQGQGQGSTLPHTSSASQQASPVIHYSPTNQQLRGGGHQEFQHIMYCENFGPSSARPGPPPINQGQRLSPGAYPTVIQQQTAPSQRAAKNGPSDQKEALPTGVTVKQEQNLDQTYLDDVNEIIRKEFSGPPSRNQT
NP_032910.3 174 839 0.319693693693693 PF12126.8:DUF3583:71:254,PF12126.8:DUF3583:256:360 protein PML isoform 1 839 289 94 666 0 Mus musculus NP_032910.3 1 RefSeq LRDNSVSSFLDSTRKSNIFCSNTNHRNPALTDIYCRGCAKPLCCTCALLDRNHSHLHCDIGEEIQQWHEELGTMTQTLEEQGRTFDSAHAQMCSAIGQLDHARADIEKQIRARVRQVVDYVQAQERELLEAVNDRYQRDYQEIAGQLSCLEAVLQRIRTSGALVKRMKLYASDQEVLDMHSFLRKALCSLRQEEPQNQKVQLLTRGFEEFKLCLQDFISCITQRINAAVASPEAASNQPEAASTHPVTTSTPEDLEQEASQTVGSMKRKCSHEDCSRKIIKMESTEENEDRLATSSPEQSWPSTFKATSPPHLDGTSNPESTVPEKKILLPNNNHVTSDTGETEERVVVISSSEDSDTENLSSHELDDSSSESSSLQLEGPNSLKALDESLAEPHLEDRTLVFFDLKIDNETQKISQLAAVNRESKFRVLIQPEAFSVYSKAVSLEAGLRHFLSFLTTMHRPILACSRLWGPGLPIFFQTLSDINKLWEFQDTISGFLAVLPLIRERIPGASSFKLGNLAKTYLARNMSERSALASVLAMRDLCCLLEISPGLPLAQHIYSFSSLQCFASLQPLIQASVLPQSEARLLALHNVSFVELLNAYRTNRQEGLKKYVHYLSLQTTPLSSSASTQVAQFLQALSTHMEGLLEGHAPAGAEGKAESKGCLA
NP_536739.1 116 676 0.692794295900177 GRB2-associated-binding protein 2; GRB2-associated binder 2; Growth factor receptor bound protein 2-associated protein 2; pp100 676 0 94 561 0 Homo sapiens (Human) SwissProt::Q9UQC2 1 SwissProt CGFNQAEESTDSLRNVSSAGHGPRSSPAELSSSSQHLLRERKSSAPSHSSQPTLFTFEPPVSNHMQPTLSTSAPQEYLYLHQCISRRAENARSASFSQGTRASFLMRSDTAVQKLAQGNGHCVNGISGQVHGFYSLPKPSRHNTEFRDSTYDLPRSLASHGHTKGSLTGSETDNEDVYTFKTPSNTLCREFGDLLVDNMDVPATPLSAYQIPRTFTLDKNHNAMTVATPGDSAIAPPPRPPKPSQAETPRWGSPQQRPPISENSRSVAATIPRRNTLPAMDNSRLHRASSCETYEYPQRGGESAGRSAESMSDGVGSFLPGKMIVGRSDSTNSEDNYVPMNPGSSTLLAMERAGDNSQSVYIPMSPGAHHFDSLGYPSTTLPVHRGPSRGSEIQPPPVNRNLKPDRKAKPTPLDLRNNTVIDELPFKSPITKSWSRANHTFNSSSSQYCRPISTQSITSTDSGDSEENYVPMQNPVSASPVPSGTNSPAPKKSTGSVDYLALDFQPSSPSPHRKPSTSSVTSDEKVDYVQVDKEKTQALQNTMQEWTDVRQSSEPSKGAKL
P02686 1 210 0.825237142857142 PF01669.17:Myelin_MBP:149:210 Myelin basic protein; MBP; Myelin A1 protein; Myelin membrane encephalitogenic protein 304 62 94 210 0 Homo sapiens (Human) SwissProt::P02686 1 SwissProt MGNHAGKRELNAEKASTNSETNRGESEKKRNLGELSRTTSEDNEVFGEADANQNNGTSSQDTAVTDSKRTADPKNAWQDAHPADPGSRPHLIRLFSRDAPGREDNTFKDRPSESDELQTIQEDSAATSESLDVMASQKRPSQRHGSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFGGDRGAPKRGSGKDSHHPARTAHYGSLPQKS
VIMSS10085779 1 622 0.303910932475884 PF03547.18:Mem_trans:9:617 Auxin efflux carrier component 1; Protein PIN-FORMED; AtPIN1 622 609 94 428 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C6B8 1 SwissProt MITAADFYHVMTAMVPLYVAMILAYGSVKWWKIFTPDQCSGINRFVALFAVPLLSFHFIAANNPYAMNLRFLAADSLQKVIVLSLLFLWCKLSRNGSLDWTITLFSLSTLPNTLVMGIPLLKGMYGNFSGDLMVQIVVLQCIIWYTLMLFLFEYRGAKLLISEQFPDTAGSIVSIHVDSDIMSLDGRQPLETEAEIKEDGKLHVTVRRSNASRSDIYSRRSQGLSATPRPSNLTNAEIYSLQSSRNPTPRGSSFNHTDFYSMMASGGGRNSNFGPGEAVFGSKGPTPRPSNYEEDGGPAKPTAAGTAAGAGRFHYQSGGSGGGGGAHYPAPNPGMFSPNTGGGGGTAAKGNAPVVGGKRQDGNGRDLHMFVWSSSASPVSDVFGGGGGNHHADYSTATNDHQKDVKISVPQGNSNDNQYVEREEFSFGNKDDDSKVLATDGGNNISNKTTQAKVMPPTSVMTRLILIMVWRKLIRNPNSYSSLFGITWSLISFKWNIEMPALIAKSISILSDAGLGMAMFSLGLFMALNPRIIACGNRRAAFAAAMRFVVGPAVMLVASYAVGLRGVLLHVAIIQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLIALPITLLYYILLGL
P08962 1 238 0.0429651260504202 PF00335.20:Tetraspanin:10:229 CD63 antigen; Granulophysin; Lysosomal-associated membrane protein 3; LAMP-3; Melanoma-associated antigen ME491; OMA81H; Ocular melanoma-associated antigen; Tetraspanin-30; Tspan-30; CD63 antigen 238 220 93 146 4 Homo sapiens (Human) SwissProt::P08962 1 SwissProt MAVEGGMKCVKFLLYVLLLAFCACAVGLIAVGVGAQLVLSQTIIQGATPGSLLPVVIIAVGVFLFLVAFVGCCGACKENYCLMITFAIFLSLIMLVEVAAAIAGYVFRDKVMSEFNNNFRQQMENYPKNNHTASILDRMQADFKCCGAANYTDWEKIPSMSKNRVPDSCCINVTVGCGINFNEKAIHKEGCVEKIGGWLRKNVLVVAAAALGIAFVEVLGIVFACCLVKSIRSGYEVM
XP_006512509.1 1 124 0.258938709677419 PF00123.20:Hormone_2:82:109 VIP peptides isoform X1 170 28 93 101 1 Mus musculus XP_006512509.1 1 RefSeq MEARSKPQFLAFLILFSVLFSQSLAWPLFGPPSVVSRLDDRMPFEGAGDPDQVSLKADSDILQNPLAENGTPYYDVSRNARHADGVFTSDYSRLLGQISAKKYLESLIGKRISSISEDPVPIKR
NP_035024.1 161 357 0.408759898477157 PF12533.8:Neuro_bHLH:1:124 Neurogenic differentiation factor 1; NeuroD1; Beta-cell E-box transcriptional activator 2; Beta2 357 124 92 197 0 Mus musculus (Mouse) SwissProt::Q60867 1 SwissProt KSPDLVSFVQTLCKGLSQPTTNLVAGCLQLNPRTFLPEQNPDMPPHLPTASASFPVHPYSYQSPGLPSPPYGTMDSSHVFHVKPPPHAYSAALEPFFESPLTDCTSPSFDGPLSPPLSINGNFSFKHEPSAEFEKNYAFTMHYPAATLAGPQSHGSIFSSGAAAPRCEIPIDNIMSFDSHSHHERVMSAQLNAIFHD
XP_005258138.2 1 270 0.476564444444444 PF00123.20:Hormone_2:223:249 pituitary adenylate cyclase-activating polypeptide isoform X1 315 27 92 270 0 Homo sapiens XP_005258138.2 1 RefSeq MLTKRALQKPCSDRFLRTAQINRSRRPVTSVTSGSSSRSRASPHRPLFLSSASLSAPPSLRVTLPPGSARLQTFEQNTSLGKRVPQLLLLLPLVPAASAQTPTPDGDASRVVTPAQELEEALCPPSYLAALLAAGGVEGMTMCSGARLALLVYGIIMHSSVYSSPAAAGLRFPGIRPEEEAYGEDGNPLPDFDGSEPPGAGSPASAPRAAAAWYRPAGRRDVAHGILNEAYRKVLDQLSAGKHLQSLVARGVGGSLGGGAGDDAEPLSKR
NP_001039.1 1 116 0.324736206896552 PF03002.15:Somatostatin:99:116 Somatostatin; Growth hormone release-inhibiting factor 116 18 91 116 0 Homo sapiens (Human) SwissProt::P61278 1 SwissProt MLSCRLQCALAALSIVLALGCVTGAPSDPRLRQFLQKSLAAAAGKQELAKYFLAELLSEPNQTENDALEPEDLSQAAEQDEMRLELQRSANSNPAMAPRERKAGCKNFFWKTFTSC
NP_033177.3 1 350 0.742269999999999 P-selectin glycoprotein ligand 1 precursor 417 0 91 327 1 Mus musculus NP_033177.3 1 RefSeq MSPSFLVLLTILGPGNSLQLQDPWGHETKEAPGPVHLRERRQVVGDDDFEDPDYTYNTDPPELLKNVTNTVAAHPELPTTVVMLERDSTSAGTSERATEKIATTDPTAPGTGGTAVGMLSTDSATQWSLTSVETVQPASTEVETSQPAPMEAETSQPAPMEAETSQPAPMEAETSQPAPMEADTSQPAPMEADTSKPAPTEAETSKPAPTEAETSQPAPNEAETSKPAPTEAETSKPAPTEAETTQLPRIQAVKTLFTTSAATEVPSTEPTTMETASTESNESTIFLGPSVTHLPDSGLKKGLIVTPGNSPAPTLPGSSDLIPVKQCLLIILILASLATIFLVCTVVLAV
NP_061157.3 1 198 0.299560606060606 Angiopoietin-like protein 8; Betatrophin; Lipasin; Refeeding-induced fat and liver protein 198 0 91 198 0 Homo sapiens (Human) SwissProt::Q6UXH0 1 SwissProt MPVPALCLLWALAMVTRPASAAPMGGPELAQHEELTLLFHGTLQLGQALNGVYRTTEGRLTKARNSLGLYGRTIELLGQEVSRGRDAAQELRASLLETQMEEDILQLQAEATAEVLGEVAQAQKVLRDSVQRLEVQLRSAWLGPAYREFEVLKAHADKQSHILWALTGHVQRQRREMVAQQHRLRQIQERLHTAALPA
XP_016855608.1 1 105 0.307693333333333 urotensin-2 isoform X6 105 0 91 105 0 Homo sapiens XP_016855608.1 1 RefSeq METNVFHLMLCVTSARTHKSTSLCFGHFNSYPSLPLIHDLLLEISFQLSAPHEDARLTPEELERASLLQILPEMLGAERGDILRKADSSTNIFNPRGNLRKREES
NP_001287786.1 68 169 0.670110784313726 fos-related antigen 1 isoform 5 169 0 90 102 0 Homo sapiens NP_001287786.1 1 RefSeq PICKIPEGAKEGDTGSTSGTSSPPAPCRPVPCISLSPGPVLEPEALHTPTLMTTPSLTPFTPSLVFTYPSTPEPCASAHRKSSSSSGDPSSDPLGSPTLLAL
XP_008757862.1 1 357 0.0998064425770308 PF01794.19:Ferric_reduct:11:156,PF08022.12:FAD_binding_8:261:339 NADPH oxidase 4 isoform X1 530 225 90 265 4 Rattus norvegicus XP_008757862.1 1 RefSeq MLGLGLCLSRASASVLNLNCSLILLPMCRTVLAYLRGSQKVPSRRTRRLLDKSKTLHITCGITICIFSGVHVAAHLVNALNFSVNYSEHFLALNAARYQNEDPRKLLFTTVPGLTGVCMVVVLFLMVTASTYAIRVSNYDIFWYTHNLFFVFYMLLLLHVSGGLLKYQTNLDTHPPGCISLNRTPSQNMSIADYVSEHFHGSLPGGFSKLEDHYQKTLVKICLEEPKFQAHFPQTWIWISGPLCLYCAERLYRCIRSNKPVTIISVINHPSDVMELRMIKENFKARPGQYIILHCPSVSALENHPFTLTMCPTETKATFGVHFKVVGDWTERFRDLLLPPSSQDSEILPFIQSRNYP
XP_017168604.1 333 753 0.800895011876484 E3 ubiquitin-protein ligase CBL isoform X2 810 0 90 421 0 Mus musculus XP_017168604.1 1 RefSeq GSGSLLRQGAEGAPSPNYDDDDDERADDSLFMMKELAGAKVERPSSPFSMAPQASLPPVPPRLDLLQQRAPVPASTSVLGTASKAASGSLHKDKPLPIPPTLRDLPPPPPPDRPYSVGAETRPQRRPLPCTPGDCPSRDKLPPVPSSRPGDSWLSRPIPKVPVATPNPGDPWNGRELTNRHSLPFSLPSQMEPRADVPRLGSTFSLDTSMTMNSSPVAGPESEHPKIKPSSSANAIYSLAARPLPMPKLPPGEQGESEEDTEYMTPTSRPVGVQKPEPKRPLEATQSSRACDCDQQIDSCTYEAMYNIQSQALSVAENSASGEGNLATAHTSTGPEESENEDDGYDVPKPPVPAVLARRTLSDISNASSSFGWLSLDGDPTNFNEGSQVPERPPKPFPRRINSERKASSYQQGGGATANPV
NP_002899.1 304 619 0.566659493670886 Proto-oncogene c-Rel 619 0 89 316 0 Homo sapiens (Human) SwissProt::Q04864 1 SwissProt CQDHVETGFRHVDQDGLELLTSGDPPTLASQSAGITVNFPERPRPGLLGSIGEGRYFKKEPNLFSHDAVVREMPTGVSSQAESYYPSPGPISSGLSHHASMAPLPSSSWSSVAHPTPRSGNTNPLSSFSTRTLPSNSQGIPPFLRIPVGNDLNASNACIYNNADDIVGMEASSMPSADLYGISDPNMLSNCSVNMMTTSSDSMGETDNPRLLSMNLENPSCNSVLDPRDLRQLHQMSSSSMSAGANSNTTVFVSQSDAFEGSDFSCADNSMINESGPSNSTNPNSHGFVQDSQYSGIGSMQNEQLSDSFPYEFFQV
NP_003873.1 1 214 0.131959813084112 PF00219.18:IGFBP:49:101,PF00093.18:VWC:123:185 WNT1-inducible-signaling pathway protein 1; WISP-1; CCN family member 4; Wnt-1-induced secreted protein 367 116 89 214 0 Homo sapiens (Human) SwissProt::O95388 1 SwissProt MRWFLPWTLAAVTAAAASTVLATALSPAPTTMDFTPAPLEDTSSRPQFCKWPCECPPSPPRCPLGVSLITDGCECCKMCAQQLGDNCTEAAICDPHRGLYCDYSGDRPRYAIGVCAQVVGVGCVLDGVRYNNGQSFQPNCKYNCTCIDGAVGCTPLCLRVRPPRLWCPHPRRVSIPGHCCEQWVCEDDAKRPRKTAPRDTGAFDAVGEVEAWHR
NP_005319.1 1 552 0.0756715579710146 PF03142.15:Chitin_synth_2:206:358,PF13641.6:Glyco_tranf_2_3:188:355,PF13632.6:Glyco_trans_2_3:208:413 Hyaluronan synthase 2; Hyaluronate synthase 2; Hyaluronic acid synthase 2; HA synthase 2; EC 2.4.1.212 552 226 89 414 6 Homo sapiens (Human) SwissProt::Q92819 1 SwissProt MHCERFLCILRIIGTTLFGVSLLLGITAAYIVGYQFIQTDNYYFSFGLYGAFLASHLIIQSLFAFLEHRKMKKSLETPIKLNKTVALCIAAYQEDPDYLRKCLQSVKRLTYPGIKVVMVIDGNSEDDLYMMDIFSEVMGRDKSATYIWKNNFHEKGPGETDESHKESSQHVTQLVLSNKSICIMQKWGGKREVMYTAFRALGRSVDYVQVCDSDTMLDPASSVEMVKVLEEDPMVGGVGGDVQILNKYDSWISFLSSVRYWMAFNIERACQSYFGCVQCISGPLGMYRNSLLHEFVEDWYNQEFMGNQCSFGDDRHLTNRVLSLGYATKYTARSKCLTETPIEYLRWLNQQTRWSKSYFREWLYNAMWFHKHHLWMTYEAIITGFFPFFLIATVIQLFYRGKIWNILLFLLTVQLVGLIKSSFASCLRGNIVMVFMSLYSVLYMSSLLPAKMFAIATINKAGWGTSGRKTIVVNFIGLIPVSVWFTILLGGVIFTIYKESKRPFSESKQTVLIVGTLLYACYWVMLLTLYVVLINKCGRRKKGQQYDMVLDV
NP_034388.2 1 378 0.540751322751323 PF05349.12:GATA-N:147:371 Transcription factor GATA-6; GATA-binding factor 6 589 225 89 378 0 Mus musculus (Mouse) SwissProt::Q61169 1 SwissProt MALTDGGWCLPKRFGAAAADAGDSGPFPAREPSSPLSPISSSSSSCSRGGDRGPCGASNCRTPQLDAEAVAGPPGRSLLLSPYASHPFAAAHGAAAPGVAGPGSALSTWEDLLLFTDLDQAATASKLLWSSRGAKLSPFAAEQPEEMYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAAAAASSPVYVPTTRVGSMLSGLPYLQGAGSGPSNHAGGAGAHPGWSQASADSPPYGGGGAAGGGAAGPGGAGSATAHASARFPYSPSPPMANGAARDPGGYVAAGGTGAGSVSGGGGSLAAMGGREHQYSSLSAARPLNGTYHHHHHHHPTYSPYMAAPLTPAWPAGPFETPVLHSLQGRAGAPLPVPRGPSTDLLEDL
P49908 1 381 0.383215223097113 PF04592.14:SelP_N:24:247,PF04593.14:SelP_C:251:381 Selenoprotein P; SeP 381 355 89 381 0 Homo sapiens (Human) SwissProt::P49908 1 SwissProt MWRSLGLALALCLLPSGGTESQDQSSLCKQPPAWSIRDQDPMLNSNGSVTVVALLQASUYLCILQASKLEDLRVKLKKEGYSNISYIVVNHQGISSRLKYTHLKNKVSEHIPVYQQEENQTDVWTLLNGSKDDFLIYDRCGRLVYHLGLPFSFLTFPYVEEAIKIAYCEKKCGNCSLTTLKDEDFCKRVSLATVDKTVETPSPHYHHEHHHNHGHQHLGSSELSENQQPGAPNAPTHPAPPGLHHHHKHKGQHRQGHPENRDMPASEDLQDLQKKLCRKRCINQLLCKLPTDSELAPRSUCCHCRHLIFEKTGSAITUQCKENLPSLCSUQGLRAEENITESCQURLPPAAUQISQQLIPTEASASURUKNQAKKUEUPSN
XP_005270550.1 338 835 0.331801606425703 PF00041.21:fn3:195:270 granulocyte colony-stimulating factor receptor isoform X3 835 76 89 475 1 Homo sapiens XP_005270550.1 1 RefSeq LDTWWRQRQLDPRTVQLFWKPVPLEEDSGRIQGYVVSWRPSGQAGAILPLCNTTELSCTFHLPSEAQEVALVAYNSAGTSRPTPVVFSESRGPALTRLHAMARDPHSLWVGWEPPNPWPQGYVIEWGLGPPSASNSNKTWRMEQNGRATGFLLKENIRPFQLYEIIVTPLYQDTMGPSQHVYAYSQEMAPSHAPELHLKHIGKTWAQLEWVPEPPELGKSPLTHYTIFWTNAQNQSFSAILNASSRGFVLHGLEPASLYHIHLMAASQAGATNSTVLTLMTLTPEGSELHIILGLFGLLLLLTCLCGTAWLCCSPKKNPLWPSVPDPAHSSLGSWVPTIMEEDAFQLPGLGTPPITKLTVLEEDEKKPVPWESHNSSETCGLPTLVQTYVLQGDPRAVSTQPQSQSGTSDQVLYGQLLGSPTSPGPGHYLRCDSTQPLLAGLTPSPKSYENLWFQASPLGTLVTPAPSQEDDCVFGPLLNFPLLQGIRVHGMEALGSF
XP_006718328.1 1 597 0.538462814070352 PF01734.22:Patatin:11:176 patatin-like phospholipase domain-containing protein 2 isoform X1 597 166 89 577 1 Homo sapiens XP_006718328.1 1 RefSeq MFPREKTWNISFAGCGFLGVYYVGVASCLREHAPFLVANATHIYGASAGALTATALVTGVCLGEAGAKFIEVSKEARKRFLGPLHPSFNLVKIIRSFLLKVLPADSHEHASGRLGISLTRVSDGENVIISHFNSKDELIQANVCSGFIPVYCGLIPPSLQGVRYVDGGISDNLPLYELKNTITVSPFSGESDICPQDSSTNIHELRVTNTSIQFNLRNLYRLSKALFPPEPLVLREMCKQGYRDGLRFLQRNGLLNRPNPLLALPPARPHGPEDKDQAVESAQAEDYSQLPGEDHILEHLPARLNEGAHLGDGRGGGEAGGKEREERTVSRGGKPSGSWAPLPPLAADLPPTRSPAGGLRGAHGPADHPLQHAACASGHGHDGALHAAAGERSVLHHPLAGVAARRSRGHPVDEGADGQHLPVPGDARQEEAGQAPALQAAGAGGAAPRPVAAVRAAVLRRLQRGTARLDAQQPLAGGRAGQVGGVPAPAAARPLLHQRGLPARSSAHARTRRPGSRPRGPSIPAAPAGRACPLAEHPCSRGPARDRGPGAVRPRPSRGTLPETPPLPLRSEMRGLTVAKRGLCRGPPRQPLTSCMH
NP_415478.1 1 169 0.27438224852071 PF03846.14:SulA:7:119 cell division inhibitor SulA 169 113 88 169 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10984-MONOMER 1 ecocyc MYTSGYAHRSSSFSSAASKIARVSTENTTAGLISEVVYREDQPMMTQLLLLPLLQQLGQQSRWQLWLTPQQKLSREWVQASGLPLTKVMQISQLSPCHTVESMVRALRTGNYSVVIGWLADDLTEEEHAELVDAANEGNAMGFIMRPVSASSHATRQLSGLKIHSNLYH
P43021 1 239 0.304403765690377 Nodal 354 0 88 239 0 Mus musculus (Mouse) SwissProt::P43021 1 SwissProt MSAHSLRILLLQACWALLHPRAPTAAALPLWTRGQPSSPSPLAYMLSLYRDPLPRADIIRSLQAQDVDVTGQNWTFTFDFSFLSQEEDLVWAELRLQLPGPMDIPTEGPLTIDIFHQAKGDPERDPADCLERIWMETFTVIPSQVTFASGSTVLEVTKPLSKWLKDPRALEKQVSSRAEKCWHQPYTPPVPVASTNVLMLYSNRPQEQRQLGGATLLWEAESSWRAQEGQLSVERGGWG
P98177 214 505 0.560057191780822 PF16676.5:FOXO-TAD:250:290 Forkhead box protein O4; Fork head domain transcription factor AFX1 505 41 88 292 0 Homo sapiens (Human) SwissProt::P98177 1 SwissProt KKPSVLPAPPEGATPTSPVGHFAKWSGSPCSRNREEADMWTTFRPRSSSNASSVSTRLSPLRPESEVLAEEIPASVSSYAGGVPPTLNEGLELLDGLNLTSSHSLLSRSGLSGFSLQHPGVTGPLHTYSSSLFSPAEGPLSAGEGCFSSSQALEALLTSDTPPPPADVLMTQVDPILSQAPTLLLLGGLPSSSKLATGVGLCPKPLEAPGPSSLVPTLSMIAPPPVMASAPIPKALGTPVLTPPTEAASQDRMPQDLDLDMYMENLECDMDNIISDLMDEGEGLDFNFEPDP
XP_005271715.1 127 404 0.720082733812949 zinc finger and BTB domain-containing protein 16 isoform X3 485 0 88 278 0 Homo sapiens XP_005271715.1 1 RefSeq ASDDNDTEATMADGGAEEEEDRKARYLKNIFISKHSSEESGYASVAGQSLPGPMVDQSPSVSTSFGLSAMSPTKAAVDSLMTIGQSLLQGTLQPPAGPEEPTLAGGGRHPGVAEVKTEMMQVDEVPSQDSPGAAESSISGGMGDKVEERGKEGPGTPTRSSVITSARELHYGREESAEQVPPPAEAGQAPTGRPEHPAPPPEKHLGIYSVLPNHKADAVLSMPSSVTSGLHVQPALAVSMDFSTYGGLLPQGFIQRELFSKLGELAVGMKSESRTIGE
XP_011241669.1 1 259 0.529364092664093 PF11928.8:DUF3446:107:197 E3 SUMO-protein ligase EGR2 isoform X2 483 91 88 259 0 Mus musculus XP_011241669.1 1 RefSeq MRVGLPTEASSCLWSARGPRDWPERRRSGLAHVLPDSLYPVEDLAASSVTIFPNGELGGPFDQMNGVAGDGMINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASCYPEGIINIVSAGILQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSPPPPPPPYSGCTGDLYQDPSAFLSPPSTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIPDYPGFFPSPCQRDPHGAAGPDR
NP_000869.1 241 551 0.514322508038585 Interleukin-2 receptor subunit beta; IL-2 receptor subunit beta; IL-2R subunit beta; IL-2RB; High affinity IL-2 receptor subunit beta; Interleukin-15 receptor subunit beta; p70-75; p75; CD122 antigen 551 0 87 288 1 Homo sapiens (Human) SwissProt::P14784 1 SwissProt IPWLGHLLVGLSGAFGFIILVYLLINCRNTGPWLKKVLKCNTPDPSKFFSQLSSEHGGDVQKWLSSPFPSSSFSPGGLAPEISPLEVLERDKVTQLLLQQDKVPEPASLSSNHSLTSCFTNQGYFFFHLPDALEIEACQVYFTYDPYSEEDPDEGVAGAPTGSSPQPLQPLSGEDDAYCTFPSRDDLLLFSPSLLGGPSPPSTAPGGSGAGEERMPPSLQERVPRDWDPQPLGPPTPGVPDLVDFQPPPELVLREAGEEVPDAGPREGVSFPWSRPPGQGEFRALNARLPLNTDAYLSLQELQGQDPTHLV
NP_001159592.1 1 372 0.147598655913978 PF01073.19:3Beta_HSD:6:287,PF01370.21:Epimerase:6:241,PF07993.12:NAD_binding_4:7:208,PF16363.5:GDP_Man_Dehyd:6:166,PF02719.15:Polysacc_synt_2:6:125,PF13460.6:NAD_binding_10:9:138,PF04321.17:RmlD_sub_bind:5:235,PF05368.13:NmrA:6:124,PF08659.10:KR:5:126 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type 2; 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type II; 3-beta-HSD II; 3-beta-HSD adrenal and gonadal type; EC 1.1.1.145; EC 5.3.3.1 372 283 87 349 1 Homo sapiens (Human) SwissProt::P26439 1 SwissProt MGWSCLVTGAGGLLGQRIVRLLVEEKELKEIRALDKAFRPELREEFSKLQNRTKLTVLEGDILDEPFLKRACQDVSVVIHTACIIDVFGVTHRESIMNVNVKGTQLLLEACVQASVPVFIYTSSIEVAGPNSYKEIIQNGHEEEPLENTWPTPYPYSKKLAEKAVLAANGWNLKNGDTLYTCALRPTYIYGEGGPFLSASINEALNNNGILSSVGKFSTVNPVYVGNVAWAHILALRALRDPKKAPSVRGQFYYISDDTPHQSYDNLNYILSKEFGLRLDSRWSLPLTLMYWIGFLLEVVSFLLSPIYSYQPPFNRHTVTLSNSVFTFSYKKAQRDLAYKPLYSWEEAKQKTVEWVGSLVDRHKETLKSKTQ
NP_001258348.1 1 134 0.0489089552238806 PF00021.21:UPAR_LY6:29:105 Lymphocyte antigen 6A-2/6E-1; Ly-6A.2/Ly-6E.1; Stem cell antigen 1; SCA-1; T-cell-activating protein; TAP 134 77 87 134 0 Mus musculus (Mouse) SwissProt::P05533 1 SwissProt MDTSHTTKSCLLILLVALLCAERAQGLECYQCYGVPFETSCPSITCPYPDGVCVTQEAAVIVDSQTRKVKNNLCLPICPPNIESMEILGTKVNVKTSCCQEDLCNVAVPNGGSTWTMAGVLLFSLSSVLLQTLL
NP_001274512.1 1 284 0.520096830985916 M-phase inducer phosphatase 3 isoform c 551 0 87 284 0 Homo sapiens NP_001274512.1 1 RefSeq MEGAAPCRVSLKVSLGQRCWERAWRFDLGMGVVSSLTSHPLQNIAESGRPQLEAHLETRGGGHVGRRFCFSPGENSKTMSTELFSSTREEGSSGSGPSFRSNQRKMLNLLLERDTSFTVCPDVPRTPVGKFLGDSANLSILSGGTPKRCLDLSNLSSGEITATQLTTSADLDETGHLDSSGLQEVHLAGMNHDQHLMKCSPAQLLCSTPNGLDRGHRKRDAMCSSSANKENDNGNLVDSEMKYLGSPITTVPKLDKNPNLGEDQAEEISDELMEFSLKDQEAKV
NP_001276054.1 238 515 0.562329496402878 Interferon alpha/beta receptor 2; IFN-R-2; IFN-alpha binding protein; IFN-alpha/beta receptor 2; Interferon alpha binding protein; Type I interferon receptor 2 515 0 87 255 1 Homo sapiens (Human) SwissProt::P48551 1 SwissProt SAESAKIGGIITVFLIALVLTSTIVTLKWIGYICLRNSLPKVLNFHNFLAWPFPNLPPLEAMDMVEVIYINRKKKVWDYNYDDESDSDTEAAPRTSGGGYTMHGLTVRPLGQASATSTESQLIDPESEEEPDLPEVDVELPTMPKDSPQQLELLSGPCERRKSPLQDPFPEEDYSSTEGSGGRITFNVDLNSVFLRVLDDEDSDDLEAPLMLSSHLEEMVDPEDPDNVQSNHLLASGEGTQPTFPSPSSEGLWSEDAPSDQSDTSESDVDLGDGYIMR
NP_001307086.1 1 139 0.493693525179856 PF01034.20:Syndecan:63:105 neurexin-1 isoform gamma2 139 43 87 116 1 Homo sapiens NP_001307086.1 1 RefSeq MDMRWHCENLQTTDDILVASAECPSDDEDIDPCEPSSANPTRAGGREPYPGSAEVIRESSSTTGMVVGIVAAAALCILILLYAMYKYRNRDEGSYHVDESRNYISNSAQSNGAVVKEKQPSSAKSSNKNKKNKDKEYYV
NP_058917.1 199 437 0.271069037656904 PF01079.20:Hint:1:224 Sonic hedgehog protein; SHH; Shh unprocessed N-terminal signaling and C-terminal autoprocessing domains; ShhNC 437 224 87 239 0 Rattus norvegicus (Rat) SwissProt::Q63673 1 SwissProt CFPGSATVHLEQGGTKLVKDLSPGDRVLAADDQGRLLYSDFLTFLDRDEGAKKVFYVIETREPRERLLLTAAHLLFVAPHNDSGPTPGPSPLFASRVRPGQRVYVVAERGGDRRLLPAAVHSVTLREEAAGAYAPLTADGTILINRVLASCYAVIEEHSWAHRAFAPFRLAHALLAALAPARTDGGGGGSIPAPQSVAEARGAGPPAGIHWYSQLLYHIGTWLLDSETLHPLGMAVKSS
NP_061950.2 1 280 0.0265260714285715 PF00201.18:UDPGT:26:279 UDP-glucuronosyltransferase 1-7; UDPGT 1-7; UGT1*7; UGT1-07; UGT1.7; UDP-glucuronosyltransferase 1-G; UGT-1G; UGT1G; UDP-glucuronosyltransferase 1A7; EC 2.4.1.17 530 254 87 280 0 Homo sapiens (Human) SwissProt::Q9HAW7 1 SwissProt MARAGWTGLLPLYVCLLLTCGFAKAGKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLGRSLNCTVKTYSTSYTLEDQDREFMVFADARWTAPLRSAFSLLTSSSNGIFDLFFSNCRSLFNDRKLVEYLKESCFDAVFLDPFDACGLIVAKYFSLPSVVFARGIFCHYLEEGAQCPAPLSYVPRLLLGFSDAMTFKERVWNHIMHLEEHLFCPYFFKNVLEIASEILQTPVTAYDLYSHTSIWLLRTDFVLEYPKPVMPNMIFIGGINCHQG
O15360 1 1455 0.168510790378007 PF15865.5:Fanconi_A_N:170:522,PF03511.14:Fanconi_A:1254:1316 Fanconi anemia group A protein; Protein FACA 1455 416 87 1455 0 Homo sapiens (Human) SwissProt::O15360 1 SwissProt MSDSWVPNSASGQDPGGRRRAWAELLAGRVKREKYNPERAQKLKESAVRLLRSHQDLNALLLEVEGPLCKKLSLSKVIDCDSSEAYANHSSSFIGSALQDQASRLGVPVGILSAGMVASSVGQICTAPAETSHPVLLTVEQRKKLSSLLEFAQYLLAHSMFSRLSFCQELWKIQSSLLLEAVWHLHVQGIVSLQELLESHPDMHAVGSWLFRNLCCLCEQMEASCQHADVARAMLSDFVQMFVLRGFQKNSDLRRTVEPEKMPQVTVDVLQRMLIFALDALAAGVQEESSTHKIVRCWFGVFSGHTLGSVISTDPLKRFFSHTLTQILTHSPVLKASDAVQMQREWSFARTHPLLTSLYRRLFVMLSAEELVGHLQEVLETQEVHWQRVLSFVSALVVCFPEAQQLLEDWVARLMAQAFESCQLDSMVTAFLVVRQAALEGPSAFLSYADWFKASFGSTRGYHGCSKKALVFLFTFLSELVPFESPRYLQVHILHPPLVPGKYRSLLTDYISLAKTRLADLKVSIENMGLYEDLSSAGDITEPHSQALQDVEKAIMVFEHTGNIPVTVMEASIFRRPYYVSHFLPALLTPRVLPKVPDSRVAFIESLKRADKIPPSLYSTYCQACSAAEEKPEDAALGVRAEPNSAEEPLGQLTAALGELRASMTDPSQRDVISAQVAVISERLRAVLGHNEDDSSVEISKIQLSINTPRLEPREHMAVDLLLTSFCQNLMAASSVAPPERQGPWAALFVRTMCGRVLPAVLTRLCQLLRHQGPSLSAPHVLGLAALAVHLGESRSALPEVDVGPPAPGAGLPVPALFDSLLTCRTRDSLFFCLKFCTAAISYSLCKFSSQSRDTLCSCLSPGLIKKFQFLMFRLFSEARQPLSEEDVASLSWRPLHLPSADWQRAALSLWTHRTFREVLKEEDVHLTYQDWLHLELEIQPEADALSDTERQDFHQWAIHEHFLPESSASGGCDGDLQAACTILVNALMDFHQSSRSYDHSENSDLVFGGRTGNEDIISRLQEMVADLELQQDLIVPLGHTPSQEHFLFEIFRRRLQALTSGWSVAASLQRQRELLMYKRILLRLPSSVLCGSSFQAEQPITARCEQFFHLVNSEMRNFCSHGGALTQDITAHFFRGLLNACLRSRDPSLMVDFILAKCQTKCPLILTSALVWWPSLEPVLLCRWRRHCQSPLPRELQKLQEGRQFASDFLSPEAASPAPNPDWLSAAALHFAIQQVREENIRKQLKKLDCEREELLVFLFFFSLMGLLSSHLTSNSTTDLPKAFHVCAAILECLEKRKISWLALFQLTESDLRLGRLLLRVAPDQHTRLLPFAFYSLLSYFHEDAAIREEAFLHVAVDMYLKLVQLFVAGDTSTVSPPAGRSLELKGQGNPVELITKARLFLLQLIPRCPKKSFSHVAELLADRGDCDPEVSAALQSRQQAAPDADLSQEPHLF
XP_011524434.1 53 640 0.568719047619047 PF10482.9:CtIP_N:1:87 DNA endonuclease RBBP8; CtBP-interacting protein; CtIP; Retinoblastoma-binding protein 8; RBBP-8; Retinoblastoma-interacting protein and myosin-like; RIM; Sporulation in the absence of SPO11 protein 2 homolog; SAE2; EC 3.1.-.- 897 87 87 588 0 Homo sapiens (Human) SwissProt::Q99708 1 SwissProt AQRLEEFFTKNQQLREQQKVLHETIKVLEDRLRAGLCDRCAVTEEHMRKKQQEFENIRQQNLKLITELMNERNTLQEENKKLSEQLQQKIENDQQHQAAELECEEDVIPDSPITAFSFSGVNRLRRKENPHVRYIEQTHTKLEHSVCANEMRKVSKSSTHPQHNPNENEILVADTYDQSQSPMAKAHGTSSYTPDKSSFNLATVVAETLGLGVQEESETQGPMSPLGDELYHCLEGNHKKQPFEESTRNTEDSLRFSDSTSKTPPQEELPTRVSSPVFGATSSIKSGLDLNTSLSPSLLQPGKKKHLKTLPFSNTCISRLEKTRSKSEDSALFTHHSLGSEVNKIIIQSSNKQILINKNISESLGEQNRTEYGKDSNTDKHLEPLKSLGGRTSKRKKTEEESEHEVSCPQASFDKENAFPFPMDNQFSMNGDCVMDKPLDLSDRFSAIQRQEKSQGSETSKNKFRQVTLYEALKTIPKGFSSSRKASDGNCTLPKDSPGEPCSQECIILQPLNKCSPDNKPSLQIKEENAVFKIPLRPRESLETENVLDDIKSAGSHEPIKIQTRSDHGGCELASVLQLNPCRTGKIK
XP_011526268.1 1 745 0.308900268456375 PF00041.21:fn3:499:574 interleukin-12 receptor subunit beta-1 isoform X1 745 76 87 722 1 Homo sapiens XP_011526268.1 1 RefSeq MFVGLFSLLSFNVFRSGDGVAEPRRWQRGSPGAVGLYVDPMEPLVTWVVPLLFLFLLSRQGAACRTSECCFQDPPYPDADSGSLPGSASGPRDLRCYRISSDRYECSWQYEGPTAGVSHFLRCCLSSGRCCYFAAGSATRLQFSDQAGVSVLYTVTLWVESWARNQTEKSPEVTLQLYNSVKYEPPLGDIKVSKLAGQLRMEWETPDNQVGAEVQFRHRTPSSPWKLGDCGPQDDDTESCLCPLEMNVAQEFQLRRRQLGSQGSSWSKWSSPVCVPPVGLVLIAENPPQPQVRFSVEQLGQDGRRRLTLKEQPTQLELPEGCQGLAPGTEVTYRLQLHMLSCPCKAKATRTLHLGKMPYLSGAAYNVAVISSNQFGPGLNQTWHIPADTHTEPVALNISVGTNGTTMYWPARAQSMTYCIEWQPVGQDGGLATCSLTAPQDPDPAGMATYSWSRESGAMGQEKCYYITIFASAHPEKLTLWSTVLSTYHFGGNASAAGTPHHVSVKNHSLDSVSVDWAPSLLSTCPGVLKEYVVRCRDEDSKQVSEHPVQPTETQVTLSGLRAGVAYTVQVRADTAWLRGVWSQPQRFSIEVQVSDWLIFFASLGSFLSILLVGVLGYLGLNRAARHLCPPLPTPCASSAIEFPGGKETWQWINPVDFQEEASLQEALVVEMSWDKGERTEPLEKTELPEGAPELALDTELSLEDGDRHEERLSQSQRLVIKHLWHTQPIPSTHMIPYQIPTTTP
XP_011533042.1 1 71 0.286438028169014 PF00879.18:Defensin_propep:8:59 neutrophil defensin 1 isoform X1 101 52 87 71 0 Homo sapiens XP_011533042.1 1 RefSeq MRMVTPAMRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDESLAPKHPGSRKNM
NP_001005745.1 291 592 0.651753973509934 protein numb homolog isoform 4 592 0 86 302 0 Homo sapiens NP_001005745.1 1 RefSeq PSTMQRKTDFPIKNAVPEVEGEAESISSLCSQITNAFSTPEDPFSSAPMTKPVTVVAPQSPTFQGTEWGQSSGAASPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQASAAPLQPVLQPPPPTAISQPASPFQGNAFLTSQPVPVGVVPALQPAFVPAQSYPVANGMPYPAPNVPVVGITPSQMVANVFGTAGHPQAAHPHQSPSLVRQQTFPHYEASSATTSPFFKPPAQHLNGSAAFNGVDDGRLASADRHTEVPTGTCPVDPFEAQWAALENKSKQRTNPSPTNPFSSDLQKTFEIEL
NP_001122389.1 1 213 0.501031455399061 PF01459.22:Porin_3:79:207 Mitochondrial import receptor subunit TOM40 homolog; Protein Haymaker; Translocase of outer membrane 40 kDa subunit homolog; p38.5 361 129 86 213 0 Homo sapiens (Human) SwissProt::O96008 1 SwissProt MGNVLAASSPPAGPPPPPAPALVGLPPPPPSPPGFTLPPLGGSLGAGTSTSRSSERTPGAATASASGAAEDGACGCLPNPGTFEECHRKCKELFPIQMEGVKLTVNKGLSNHFQVNHTVALSTIGESNYHFGVTYVGTKQLSPTEAFPVLVGDMDNSGSLNAQVIHQLGPGLRSKMAIQTQQSKFVNWQVDGEYRGSDFTAAVTLGNPDVLVG
NP_001193876.1 239 551 0.552109265175719 phosphatidylinositol-binding clathrin assembly protein isoform 4 551 0 86 313 0 Homo sapiens NP_001193876.1 1 RefSeq IKDSTAASRATTLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASPVSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHPMSTASQVASTWGGFTPSPVAQPHPSAGLNVDFESVFGNKSTNVIVDSGGFDELGGLLKPTVASQNQNLPVAKLPPSKLVSDDLDSSLANLVGNLGIGNGTTKNDVNWSQPGEKKLTGGSNWQPKVAPTTAWNAATMAPPVMAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM
NP_005756.2 1 331 0.127522356495468 PF07850.14:Renin_r:254:331 Renin receptor; ATPase H(+)-transporting lysosomal accessory protein 2; ATPase H(+)-transporting lysosomal-interacting protein 2; ER-localized type I transmembrane adaptor; Embryonic liver differentiation factor 10; N14F; Renin/prorenin receptor; Vacuolar ATP synthase membrane sector-associated protein M8-9; ATP6M8-9; V-ATPase M8.9 subunit 350 78 86 308 1 Homo sapiens (Human) SwissProt::O75787 1 SwissProt MAVFVVLLALVAGVLGNEFSILKSPGSVVFRNGNWPIPGERIPDVAALSMGFSVKEDLSWPGLAVGNLFHRPRATVMVMVKGVNKLALPPGSVISYPLENAVPFSLDSVANSIHSLFSEETPVVLQLAPSEERVYMVGKANSVFEDLSVTLRQLRNRLFQENSVLSSLPLNSLSRNNEVDLLFLSELQVLHDISSLLSRHKHLAKDHSPDLYSLELAGLDEIGKRYGEDSEQFRDASKILVDALQKFADDMYSLYGGNAVVELVTVKSFDTSLIRKTRTILEAKQAKNPASPYNLAYKYNFEYSVVFNMVLWIMIALALAVIITSYNIWNM
XP_011246690.1 1 764 0.496280759162303 Disrupted in schizophrenia 1 homolog 852 0 86 764 0 Mus musculus (Mouse) SwissProt::Q811T9 1 SwissProt MQGGGPRGAPIHSPSHGADSGHGLPPAVAPQRRRLTRRPGYMRSTAGSGIGFLSPAVGMPHPSSAGLTGQQSQHSQSKAGQCGLDPGSHCQASLVGKPFLKSSLVPAVASEGHLHPAQRSMRKRPVHFAVHSKNDSRQSERLTGSFKPGDSGFWQELLSSDSFKSLAPSLDAPWNKGSRGLKTVKPLASPALNGPADIASLPGFQDTFTSSFSFIQLSLGAAGERGEAEGCLPSREAEPLHQRPQEMAAEASSSDRPHGDPRHLWTFSLHAAPGLADLAQVTRSSSRQSECGTVSSSSSDTGFSSQDASSAGGRGDQGGGWADAHGWHTLLREWEPMLQDYLLSNRRQLEVTSLILKLQKCQEKVVEDGDYDTAETLRQRLEELEQEKGRLSWALPSQQPALRSFLGYLAAQIQVALHGATQRAGSDDPEAPLEGQLRTTAQDSLPASITRRDWLIREKQRLQKEIEALQARMSALEAKEKRLSQELEEQEVLLRWPGCDLMALVAQMSPGQLQEVSKALGETLTSANQAPFQVEPPETLRSLRERTKSLNLAVRELTAQVCSGEKLCSSLRRRLSDLDTRLPALLEAKMLALSGSCFSTAKELTEEIWALSSEREGLEMFLGRLLALSSRNSRRLGIVKEDHLRCRQDLALQDAAHKTRMKANTVKCMEVLEGQLSSCRCPLLGRVWKADLETCQLLMQSLQLQEAGSSPHAEDEEQVHSTGEAAQTAALAVPRTPHPEEEKSPLQVLQEWDTHSALSPHCAA
XP_016875401.1 268 534 0.590577902621723 T-box transcription factor TBX5 isoform X1 534 0 86 267 0 Homo sapiens XP_016875401.1 1 RefSeq SKEYPVVPRSTVRQKVASNHSPFSSESRALSTSSNLGSQYQCENGVSGPSQDLLPPPNPYPLPQEHSQIYHCTKRKEEECSTTDHPYKKPYMETSPSEEDSFYRSSYPQQQGLGASYRTESAQRQACMYASSAPPSEPVPSLEDISCNTWPSMPSYSSCTVTTVQPMDRLPYQHFSAHFTSGPLVPRLAGMANHGSPQLGEGMFQHQTSVAHQPVVRQCGPQTGLQSPGTLQPPEFLYSHGVPRTLSPHQYHSVHGVGMVPEWSDNS
NP_038940.1 1 77 0.379953246753247 PF15360.6:Apelin:23:77 Apelin; APJ endogenous ligand 77 55 85 54 1 Mus musculus (Mouse) SwissProt::Q9R0R4 1 SwissProt MNLRLCVQALLLLWLSLTAVCGVPLMLPPDGTGLEEGSMRYLVKPRTSRTGPGAWQGGRRKFRRQRPRLSHKGPMPF
NP_057217.2 1 225 0.062292 PF07281.12:INSIG:31:211 Insulin-induced gene 2 protein; INSIG-2 225 181 85 115 5 Homo sapiens (Human) SwissProt::Q9Y5U4 0 SwissProt MAEGETESPGPKKCGPYISSVTSQSVNLMIRGVVLFFIGVFLALVLNLLQIQRNVTLFPPDVIASIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINHASAKVDFDNNIQLSLTLAALSIGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQYTSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE
P01350 1 101 0.544411881188119 PF00918.17:Gastrin:87:99 Gastrin 101 13 85 101 0 Homo sapiens (Human) SwissProt::P01350 1 SwissProt MQRLCVYVLIFALALAAFSEASWKPRSQQPDAPLGTGANRDLELPWLEQQGPASHHRRQLGPQGPPHLVADPSKKQGPWLEEEEEAYGWMDFGRRSAEDEN
VIMSS15014 1 817 0.540031089351285 PF13491.6:FtsK_4TM:20:191 cell division DNA translocase FtsK 1329 172 85 703 5 Escherichia coli K-12 substr. MG1655 ecocyc::G6464-MONOMER 1 ecocyc MSQEYIEDKEVTLTKLSSGRRLLEALLILIVLFAVWLMAALLSFNPSDPSWSQTAWHEPIHNLGGMPGAWLADTLFFIFGVMAYTIPVIIVGGCWFAWRHQSSDEYIDYFAVSLRIIGVLALILTSCGLAAINADDIWYFASGGVIGSLLSTTLQPLLHSSGGTIALLCVWAAGLTLFTGWSWVTIAEKLGGWILNILTFASNRTRRDDTWVDEDEYEDDEEYEDENHGKQHESRRARILRGALARRKRLAEKFINPMGRQTDAALFSGKRMDDDEEITYTARGVAADPDDVLFSGNRATQPEYDEYDPLLNGAPITEPVAVAAAATTATQSWAAPVEPVTQTPPVASVDVPPAQPTVAWQPVPGPQTGEPVIAPAPEGYPQQSQYAQPAVQYNEPLQQPVQPQQPYYAPAAEQPAQQPYYAPAPEQPVAGNAWQAEEQQSTFAPQSTYQTEQTYQQPAAQEPLYQQPQPVEQQPVVEPEPVVEETKPARPPLYYFEEVEEKRAREREQLAAWYQPIPEPVKEPEPIKSSLKAPSVAAVPPVEAAAAVSPLASGVKKATLATGAAATVAAPVFSLANSGGPRPQVKEGIGPQLPRPKRIRVPTRRELASYGIKLPSQRAAEEKAREAQRNQYDSGDQYNDDEIDAMQQDELARQFAQTQQQRYGEQYQHDVPVNAEDADAAAEAELARQFAQTQQQRYSGEQPAGANPFSLDDFEFSPMKALLDDGPHEPLFTPIVEPVQQPQQPVAPQQQYQQPQQPVPPQPQYQQPQQPVAPQPQYQQPQQPVAPQQQYQQPQQPVAPQQQYQQPQQPVAPQPQD
VIMSS3215146 1 612 0.80734362745098 PF13639.6:zf-RING_2:115:157,PF13923.6:zf-C3HC4_2:116:156,PF00097.25:zf-C3HC4:116:156 E3 ubiquitin-protein ligase ICP0; Alpha-0 protein; Immediate-early protein IE110; RING-type E3 ubiquitin transferase ICP0; Trans-acting transcriptional protein ICP0; VMW110; EC 2.3.2.27 775 43 85 612 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P08393 1 SwissProt MEPRPGASTRRPEGRPQREPAPDVWVFPCDRDLPDSSDSEAETEVGGRGDADHHDDDSASEADSTDTELFETGLLGPQGVDGGAVSGGSPPREEDPGSCGGAPPREDGGSDEGDVCAVCTDEIAPHLRCDTFPCMHRFCIPCMKTWMQLRNTCPLCNAKLVYLIVGVTPSGSFSTIPIVNDPQTRMEAEEAVRAGTAVDFIWTGNQRFAPRYLTLGGHTVRALSPTHPEPTTDEDDDDLDDADYVPPAPRRTPRAPPRRGAAAPPVTGGASHAAPQPAAARTAPPSAPIGPHGSSNTNTTTNSSGGGGSRQSRAAAPRGASGPSGGVGVGVGVVEAEAGRPRGRTGPLVNRPAPLANNRDPIVISDSPPASPHRPPAAPMPGSAPRPGPPASAAASGPARPRAAVAPCVRAPPPGPGPRAPAPGAEPAARPADARRVPQSHSSLAQAANQEQSLCRARATVARGSGGPGVEGGHGPSRGAAPSGAAPLPSAASVEQEAAVRPRKRRGSGQENPSPQSTRPPLAPAGAKRAATHPPSDSGPGGRGQGGPGTPLTSSAASASSSSASSSSAPTPAGAASSAAGAASSSASASSGGAVGALGGRQEETSLGPRAA
NP_001014358.1 1 164 0.250462195121951 PF15209.6:IL31:24:154 Interleukin-31; IL-31 164 131 84 141 1 Homo sapiens (Human) SwissProt::Q6EBC2 1 SwissProt MASHSGPSTSVLFLFCCLGGWLASHTLPVRLLRPSDDVQKIVEELQSLSKMLLKDVEEEKGVLVSQNYTLPCLSPDAQPPNNIHSPAIRAYLKTIRQLDNKSVIDEIIEHLDKLIFQDAPETNISVPTDTHECKRFILTISQQFSECMDLALKSLTSGAQQATT
NP_001272991.1 1 277 0.392672202166065 angiopoietin-1 isoform 2 precursor 497 0 84 277 0 Mus musculus NP_001272991.1 1 RefSeq MTVFLSFAFFAAILTHIGCSNQRRNPENGGRRYNRIQHGQCAYTFILPEHDGNCRESATEQYNTNALQRDAPHVEPDFSSQKLQHLEHVMENYTQWLQKLENYIVENMKSEMAQIQQNAVQNHTATMLEIGTSLLSQTAEQTRKLTDVETQVLNQTSRLEIQLLENSLSTYKLEKQLLQQTNEILKIHEKNSLLEHKILEMEGKHKEELDTLKEEKENLQGLVSRQTFIIQELEKQLSRATNNNSILQKQQLELMDTVHNLISLCTKEVLLKGGKRE
NP_003146.1 1 247 0.252697975708502 PF03298.13:Stanniocalcin:7:205 Stanniocalcin-1 247 199 84 247 0 Homo sapiens P52823 1 SwissProt/TReMBL MLQNSAVLLVLVISASATHEAEQNDSVSPRKSRVAAQNSAEVVRCLNSALQVGCGAFACLENSTCDTDGMYDICKSFLYSAAKFDTQGKAFVKESLKCIANGVTSKVFLAIRRCSTFQRMIAEVQEECYSKLNVCSIAKRNPEAITEVVQLPNHFSNRYYNRLVRSLLECDEDTVSTIRDSLMEKIGPNMASLFHILQTDHCAQTHPRADFNRRRTNEPQKLKVLLRNLRGEEDSPSHIKRTSHESA
NP_032478.2 1 247 0.72631052631579 Krueppel-like factor 2; Lung krueppel-like factor 354 0 84 247 0 Mus musculus (Mouse) SwissProt::Q60843 1 SwissProt MALSEPILPSFATFASPCERGLQERWPRNEPEAGGTDEDLNNVLDFILSMGLDGLGAENPPEPPPQPPPPAFYYPEPGAPPPYSIPAASLGTELLRPDLDPPQGPALHGRFLLAPPGRLVKAEPPEVDGGGYGCAPGLAHGPRGLKLEGAPGATGACMRGPAGRPPPPPDTPPLSPDGPLRIPASGPRNPFPPPFGPGPSFGGPGPALHYGPPAPGAFGLFEDAAAAAAALGLAPPATRGLLTPPSS
NP_057910.3 315 1864 0.775859354838711 Nestin 1864 0 84 1550 0 Mus musculus (Mouse) SwissProt::Q6P5H2 1 SwissProt QTPGRSSQASLGFPDPKLKLHFLGIPEDQHLGSVLPVLSPTSFSSPLPNTLETPVTAFLKTQEFLKARTPTLASTPIPPMSEAPYPKNAEVRAQDVPHSLLQGGRQQAPEPLWAEATVPSSTGVLPELEEPGGEQPDHFPDDPTSLAPPLNPHHSILEAKDRESSESRVSSIFQEEEGQIWELVKKEAATEVKVENSLAQEIQESGLDTEEIQDSQGPLQMETLEALGDEPLMSLKTQNHETPGKENCNSSIEENSGTVKSPEKEKQTPLKSLEEKNVEAEKTLENGVLELSKPLGEEEPRMEDQELMSPEHTLETVSFLGKENQEVVRSSEEQNLESLITFKEESQYPLGGPEAEDQMLERLVEKEDQRFPRSPEEDQQAFRPLEKENQEPLRFEEAEDQVLERLIEKERQESLKSPEEEDQQAFRLLEKENQEPLRFEDAEDQVLERLIEKERQESLKSPEEEDQQAFRLLEKENQEPLRFEEAEDQVLERLVEKESQESLKSPEEEDQRTGKPLEKENQESLRSLDENQETIVLLESKNQRPLRSLEVEEEEQRIVKPLEKVSQVSLESLEKENVQSPRYLEEDDHMIKSLLEDKTHEILGSLEDRNGENFIPPENETQGSLRPPEEEDQRIVNHLEKESQEFLRSPEAEEEEEQVMVRSLEGENHDPLSSVVKEEQMAESKLENESQDSRKSLEDESQETFGSLEKENLESLRSLAGQDQEEQKLEQETQQPLRAVEDEQMTVNPPEKVDPELPKPLRNDQEVVRSLDKENQESLVSLNEGGMETVKSSETENIESLETVGECLGRRKSVDTQEPLWSTEVTSETIEPLEDETQEPLGCVDENQEVLTPLERESQELRSLGKWNPETVESPGGVEDSQQCLEVEEGPEREQHQESLRSLGEVEWELPGSGSQQRWEDVVEDGEGQEASLGATGVETEDKAELHLRGQGGEEKAVEEGELLQDAVGEAWSLGSSEPKEQRVPAEPLDDLEGQPEQTGTLEVPVAQGMPEATEQDEDRAQAGEQDSVEVTLGLEAARAGLELEQEVVGLEDPRHFAREEAIHPSLGEESVKAKIDQGLEEPGKEPKEAGALDSGIPELPKTSSETLECKGWEESGEGWGEEEASLETSDHEGSHAPQPRPPKTEEDEGLQAALTVPGPKLLEPCSPIPILTDAHELQPQAEGIQEAGWQPEAGTEALGRVEDEPEFGRGEIPEGLQDWEEGREDSEADELGETLPDSTPLGLYLKSPASPKWEQAGEQRLFPQGEARKEGWSPAALAAQGLSDPPEEEQQGHDSDLSSEEFEDLGTEASLLPGVPKEVSDHLGQEPPVLQPACWDQGGESDGFADEEESGEEGEEEDADEEEGAESGTQWWGPGPSGGGVKVQDVTQRGDLEHESVGDSGLWDDGLSGAAANVLVTALETVSQDSAEPSGSEGSESASLEGEEGQAIDHLDAPQEVTSVVPGAGDTFDISGQGPNLESEQVNGRMENGLEQAEGQVVLHGDEDQGIPLQEQGTLKAPLVGSPVHLGPSQPLKFTLSGVDGDSWSSGED
NP_066362.2 1 133 0.174522556390977 PF04505.12:CD225:54:120 Interferon-induced transmembrane protein 3; Dispanin subfamily A member 2b; DSPA2b; Interferon-inducible protein 1-8U 133 67 84 87 2 Homo sapiens (Human) SwissProt::Q01628 1 SwissProt MNHTVQTFFSPVNSGQPPNYEMLKEEHEVAVLGAPHNPAPPTSTVIHIRSETSVPDHVVWSLFNTLFMNPCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGILMTILLIVIPVLIFQAYG
NP_181551.1 143 328 0.441848387096774 Ethylene-responsive transcription factor ABI4; ERF ABI4; Protein ABSCISIC ACID INSENSITIVE 4; Protein GLUCOSE INSENSITIVE 6; Protein IMPAIRED SUCROSE INDUCTION 3; Protein SALOBRENO 5; Protein SUCROSE UNCOUPLED 6; Protein SUGAR INSENSITIVE 5 328 0 84 186 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A0MES8 1 SwissProt PLLPRPAAATVGGGANFGPYGIPFNNNIFLNGGTSMLCPSYGFFPQQQQQQNQMVQMGQFQHQQYQNLHSNTNNNKISDIELTDVPVTNSTSFHHEVALGQEQGGSGCNNNSSMEDLNSLAGSVGSSLSITHPPPLVDPVCSMGLDPGYMVGDGSSTIWPFGGEEEYSHNWGSIWDFIDPILGEFY
XP_006711208.1 236 734 0.412574549098196 PF13895.6:Ig_2:56:141,PF13895.6:Ig_2:153:232,PF13895.6:Ig_2:244:327,PF13927.6:Ig_3:59:127,PF00047.25:ig:59:131,PF17736.1:Ig_C17orf99:162:227 Fc receptor-like protein 3; FcR-like protein 3; FcRL3; Fc receptor homolog 3; FcRH3; IFGP family protein 3; hIFGP3; Immune receptor translocation-associated protein 3; SH2 domain-containing phosphatase anchor protein 2; CD307c antigen 734 250 84 476 1 Homo sapiens (Human) SwissProt::Q96P31 1 SwissProt GLGWSRSPRLQIPAMWTEDSGSYWCEVETVTHSIKKRSLRSQIRVQRVPVSNVNLEIRPTGGQLIEGENMVLICSVAQGSGTVTFSWHKEGRVRSLGRKTQRSLLAELHVLTVKESDAGRYYCAADNVHSPILSTWIRVTVRIPVSHPVLTFRAPRAHTVVGDLLELHCESLRGSPPILYRFYHEDVTLGNSSAPSGGGASFNLSLTAEHSGNYSCDADNGLGAQHSHGVSLRVTVPVSRPVLTLRAPGAQAVVGDLLELHCESLRGSFPILYWFYHEDDTLGNISAHSGGGASFNLSLTTEHSGNYSCEADNGLGAQHSKVVTLNVTGTSRNRTGLTAAGITGLVLSILVLAAAAALLHYARARRKPGGLSATGTSSHSPSECQEPSSSRPSRIDPQEPTHSKPLAPMELEPMYSNVNPGDSNPIYSQIWSIQHTKENSANCPMMHQEHEELTVLYSELKKTHPDDSAGEASSRGRAHEEDDEENYENVPRVLLASDH
XP_016857678.1 1 176 0.734185227272727 T-cell acute lymphocytic leukemia protein 1; TAL-1; Class A basic helix-loop-helix protein 17; bHLHa17; Stem cell protein; T-cell leukemia/lymphoma protein 5 331 0 84 176 0 Homo sapiens (Human) SwissProt::P17542 1 SwissProt MTERPPSEAARSDPQLEGRDAAEASMAPPHLVLLNGVAKETSRAAAAEPPVIELGARGGPGGGPAGGGGAARDLKGRDAATAEARHRVPTTELCRPPGPAPAPAPASVTAELPGDGRMVQLSPPALAAPAAPGRALLYSLSQPLASLGSGFFGEPDAFPMFTTNNRVKRRPSPYEM
NP_001298091.1 1 161 0.17633850931677 PF00047.25:ig:22:117 Thy-1 membrane glycoprotein 161 96 83 161 0 Homo sapiens P04216 1 SwissProt/TReMBL MNLAISIALLLTVLQVSRGQKVTSLTACLVDQSLRLDCRHENTSSSPIQYEFSLTRETKKHVLFGTVGVPEHTYRSRTNFTSKYNMKVLYLSAFTSKDEGTYTCALHHSGHSPPISSQNVTVLRDKLVKCEGISLLAQNTSWLLLLLLSLSLLQATDFMSL
NP_003099.1 144 441 0.589703691275168 Transcription factor SOX-11 441 0 83 298 0 Homo sapiens (Human) SwissProt::P35716 1 SwissProt GGGGGSAGGGAGGAKTSKGSSKKCGKLKAPAAAGAKAGAGKAAQSGDYGGAGDDYVLGSLRVSGSGGGGAGKTVKCVFLDEDDDDDDDDDELQLQIKQEPDEEDEEPPHQQLLQPPGQQPSQLLRRYNVAKVPASPTLSSSAESPEGASLYDEVRAGATSGAGGGSRLYYSFKNITKQHPPPLAQPALSPASSRSVSTSSSSSSGSSSGSSGEDADDLMFDLSLNFSQSAHSASEQQLGGGAAAGNLSLSLVDKDLDSFSEGSLGSHFEFPDYCTPELSEMIAGDWLEANFSDLVFTY
NP_006109.2 1 279 0.639617204301076 HCLS1-associated protein X-1; HS1-associating protein X-1; HAX-1; HS1-binding protein 1; HSP1BP-1 279 0 83 279 0 Homo sapiens (Human) SwissProt::O00165 1 SwissProt MSLFDLFRGFFGFPGPRSHRDPFFGGMTRDEDDDEEEEEEGGSWGRGNPRFHSPQHPPEEFGFGFSFSPGGGIRFHDNFGFDDLVRDFNSIFSDMGAWTLPSHPPELPGPESETPGERLREGQTLRDSMLKYPDSHQPRIFGGVLESDARSESPQPAPDWGSQRPFHRFDDVWPMDPHPRTREDNDLDSQVSQEGLGPVLQPQPKSYFKSISVTKITKPDGIVEERRTVVDSEGRTETTVTRHEADSSPRGDPESPRPPALDDAFSILDLFLGRWFRSR
NP_031452.2 1 276 0.375145652173913 angiopoietin-2 precursor 496 0 83 276 0 Mus musculus NP_031452.2 1 RefSeq MWQIIFLTFGWDLVLASAYSNFRKSVDSTGRRQYQVQNGPCSYTFLLPETDSCRSSSSPYMSNAVQRDAPLDYDDSVQRLQVLENILENNTQWLMKLENYIQDNMKKEMVEIQQNVVQNQTAVMIEIGTSLLNQTAAQTRKLTDVEAQVLNQTTRLELQLLQHSISTNKLEKQILDQTSEINKLQNKNSFLEQKVLDMEGKHSEQLQSMKEQKDELQVLVSKQSSVIDELEKKLVTATVNNSLLQKQQHDLMETVNSLLTMMSSPNSKSSVAIRKE
O75795 1 285 0.0312228070175439 PF00201.18:UDPGT:24:285 UDP-glucuronosyltransferase 2B17; UDPGT 2B17; C19-steroid-specific UDP-glucuronosyltransferase; C19-steroid-specific UDPGT; EC 2.4.1.17 530 262 83 285 0 Homo sapiens (Human) SwissProt::O75795 1 SwissProt MSLKWMSVFLLMQLSCYFSSGSCGKVLVWPTEYSHWINMKTILEELVQRGHEVIVLTSSASILVNASKSSAIKLEVYPTSLTKNDLEDFFMKMFDRWTYSISKNTFWSYFSQLQELCWEYSDYNIKLCEDAVLNKKLMRKLQESKFDVLLADAVNPCGELLAELLNIPFLYSLRFSVGYTVEKNGGGFLFPPSYVPVVMSELSDQMIFMERIKNMIYMLYFDFWFQAYDLKKWDQFYSEVLGRPTTLFETMGKAEMWLIRTYWDFEFPRPFLPNVDFVGGLHCKP
P06778 161 471 0.75492347266881 DNA repair and recombination protein RAD52 471 0 83 311 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06778 1 SwissProt KFDPPDFDENNLFRPTDEISESSRTNTLHENQEQQQYPNKRRQLTKVTNTNPDSTKNLVKIENTVSRGTPMMAAPAEANSKNSSNKDTDLKSLDASKQDQDDLLDDSLMFSDDFQDDDLINMGNTNSNVLTTEKDPVVAKQSPTASSNPEAEQITFVTAKAATSVQNERYIGEESIFDPKYQAQSIRHTVDQTTSKHIPASVLKDKTMTTARDSVYEKFAPKGKQLSMKNNDKELGPHMLEGAGNQVPRETTPIKTNATAFPPAAAPRFAPPSKVVHPNGNGAVPAVPQQRSTRREVGRPKINPLHARKPT
XP_006505778.1 1 159 0.417555974842767 neurotrophin-3 isoform X1 278 0 83 141 1 Mus musculus XP_006505778.1 1 RefSeq MWQPPSARIMMRQILQVNKVMSILFYVIFLAYLRGIQGNSMDQRSLPEDSLNSLIIKLIQADILKNKLSKQMVDVKENYQSTLPKAEAPREPEQGEATRSEFQPMIATDTELLRQQRRYNSPRVLLSDSTPLEPPPLYLMEDYVGNPVVANRTSPRRKR
P22083 1 530 0.32998679245283 PF00852.19:Glyco_transf_10:353:528,PF17039.5:Glyco_tran_10_N:187:331 4-galactosyl-N-acetylglucosaminide 3-alpha-L-fucosyltransferase (EC 2.4.1.152) 530 321 82 507 1 Homo sapiens BRENDA::P22083 1 BRENDA MRRLWGAARKPSGAGWEKEWAEAPQEAPGAWSGRLGPGRSGRKGRAVPGWASWPAHLALAARPARHLGGAGQGPRPLHSGTAPFHSRASGERQRRLEPQLQHESRCRSSTPADAWRAEAALPVRAMGAPWGSPTAAAGGRRGWRRGRGLPWTVCVLAAAGLTCTALITYACWGQLPPLPWASPTPSRPVGVLLWWEPFGGRDSAPRPPPDCRLRFNISGCRLLTDRASYGEAQAVLFHHRDLVKGPPDWPPPWGIQAHTAEEVDLRVLDYEEAAAAAEALATSSPRPPGQRWVWMNFESPSHSPGLRSLASNLFNWTLSYRADSDVFVPYGYLYPRSHPGDPPSGLAPPLSRKQGLVAWVVSHWDERQARVRYYHQLSQHVTVDVFGRGGPGQPVPEIGLLHTVARYKFYLAFENSQHLDYITEKLWRNALLAGAVPVVLGPDRANYERFVPRGAFIHVDDFPSASSLASYLLFLDRNPAVYRRYFHWRRSYAVHITSFWDEPWCRVCQAVQRAGDRPKSIRNLASWFER
P22466 1 123 0.301789430894309 PF06540.11:GMAP:62:122,PF01296.18:Galanin:33:60 Galanin peptides 123 89 82 103 1 Homo sapiens (Human) SwissProt::P22466 1 SwissProt MARGSALLLASLLLAAALSASAGLWSPAKEKRGWTLNSAGYLLGPHAVGNHRSFSDKNGLTSKRELRPEDDMKPGSFDRSIPENNIMRTIIEFLSFLHLKEAGALDRLLDLPAAASSEDIERS
NP_003092.4 1 550 0.102358545454545 PF03062.19:MBOAT:171:520 Sterol O-acyltransferase 1; Acyl-coenzyme A:cholesterol acyltransferase 1; ACAT-1; Cholesterol acyltransferase 1; EC 2.3.1.26 550 350 81 374 8 Homo sapiens (Human) SwissProt::P35610 1 SwissProt MVGEEKMSLRNRLSKSRENPEEDEDQRNPAKESLETPSNGRIDIKQLIAKKIKLTAEAEELKPFFMKEVGSHFDDFVTNLIEKSASLDNGGCALTTFSVLEGEKNNHRAKDLRAPPEQGKIFIARRSLLDELLEVDHIRTIYHMFIALLILFILSTLVVDYIDEGRLVLEFSLLSYAFGKFPTVVWTWWIMFLSTFSVPYFLFQHWATGYSKSSHPLIRSLFHGFLFMIFQIGVLGFGPTYVVLAYTLPPASRFIIIFEQIRFVMKAHSFVRENVPRVLNSAKEKSSTVPIPTVNQYLYFLFAPTLIYRDSYPRNPTVRWGYVAMKFAQVFGCFFYVYYIFERLCAPLFRNIKQEPFSARVLVLCVFNSILPGVLILFLTFFAFLHCWLNAFAEMLRFGDRMFYKDWWNSTSYSNYYRTWNVVVHDWLYYYAYKDFLWFFSKRFKSAAMLAVFAVSAVVHEYALAVCLSFFYPVLFVLFMFFGMAFNFIVNDSRKKPIWNVLMWTSLFLGNGVLLCFYSQEWYARQHCPLKNPTFLDYVRPRSWTCRYVF
NP_031705.3 1 179 0.632567597765363 CCAAT/enhancer-binding protein delta; C/EBP delta; C/EBP-related protein 3 268 0 81 179 0 Mus musculus (Mouse) SwissProt::Q00322 1 SwissProt MSAALFSLDSPVRGTPWPTEPAAFYEPGRVDKPGRGPEPGDLGELGSTTPAMYDDESAIDFSAYIDSMAAVPTLELCHDELFADLFNSNHKAAGAGGLELLQGGPTRPPGVGSVARGPLKREPDWGDGDAPGSLLPAQVAVCAQTVVSLAAAAQPTPPTSPEPPRGSPGPSLAPGTVRE
NP_033899.2 1 342 0.616142105263157 Krueppel-like factor 5 446 0 81 342 0 Mus musculus NP_033899.2 1 RefSeq MPTRVLTMSARLGPLPQPPAAQDEPVFAQLKPVLGAANPARDAALFSGDDLKHAHHHPPAPPPAAGPRLPSEELVQTRCEMEKYLTPQLPPVPIISEHKKYRRDSASVVDQFFTDTEGIPYSINMNVFLPDITHLRTGLYKSQRPCVTQIKTEPVTIFSHQSESTAPPPPPAPTQALPEFTSIFSSHQTTAPPQEVNNIFIKQELPIPDLHLSVPSQQGHLYQLLNTPDLDMPSSTNQTAVMDTLNVSMAGLNPHPSAVPQTSMKQFQGMPPCTYTMPSQFLPQQATYFPPSPPSSEPGSPDRQAEMLQNLTPPPSYAATIASKLAIHNPNLPATLPVNSPT
NP_035651.1 1 141 0.631717021276596 Syndecan-4; SYND4; Ryudocan core protein 198 0 81 141 0 Mus musculus (Mouse) SwissProt::O35988 1 SwissProt MAPACLLAPLLLLLLGGFPLVPGESIRETEVIDPQDLLEGRYFSGALPDDEDAGGSDDFELSGSGDLDDTEEPRPFPEVIEPLVPLDNHIPENAQPGIRVPSEPKELEENEVIPKRAPSDVGDDMSNKVSMSSTAQGSNIF
P01106 126 349 0.650520089285714 PF01056.18:Myc_N:1:220 Myc proto-oncogene protein; Class E basic helix-loop-helix protein 39; bHLHe39; Proto-oncogene c-Myc; Transcription factor p64 439 220 81 224 0 Homo sapiens (Human) SwissProt::P01106 1 SwissProt KNIIIQDCMWSGFSAAAKLVSEKLASYQAARKDSGSPNPARGHSVCSTSSLYLQDLSAAASECIDPSVVFPYPLNDSSSPKSCASQDSSAFSPSSDSLLSSTESSPQGSPEPLVLHEETPPTTSSDSEEEQEDEEEIDVVSVEKRQAPGKRSESGSPSAGGHSKPPHSPLVLKRCHVSTHQHNYAAPPSTRKDYPAAKRVKLDSVRVLRQISNNRKCTSPRSSD
P10683 1 124 0.264270967741936 PF06540.11:GMAP:62:123,PF01296.18:Galanin:33:60 Galanin peptides 124 90 81 104 1 Rattus norvegicus P10683 1 SwissProt/TReMBL MARGSVILLAWLLLVATLSATLGLGMPTKEKRGWTLNSAGYLLGPHAIDNHRSFSDKHGLTGKRELPLEVEEGRLGSVAVPLPESNIVRTIMEFLSFLHLKEAGALDSLPGIPLATSSEDLEQS
Q06890 1 448 0.306551339285714 PF01093.17:Clusterin:29:445 Clusterin; Apolipoprotein J; Apo-J; Clustrin; Sulfated glycoprotein 2; SGP-2 448 417 81 448 0 Mus musculus (Mouse) SwissProt::Q06890 1 SwissProt MKILLLCVALLLIWDNGMVLGEQEVSDNELQELSTQGSRYINKEIQNAVQGVKHIKTLIEKTNAERKSLLNSLEEAKKKKEDALEDTRDSEMKLKAFPEVCNETMMALWEECKPCLKHTCMKFYARVCRSGSGLVGQQLEEFLNQSSPFYFWMNGDRIDSLLESDRQQSQVLDAMQDSFARASGIIDTLFQDRFFARELHDPHYFSPIGFPHKRPHFLYPKSRLVRSLMSPSHYGPPSFHNMFQPFFEMIHQAQQAMDVQLHSPAFQFPDVDFLREGEDDRTVCKEIRRNSTGCLKMKGQCEKCQEILSVDCSTNNPAQANLRQELNDSLQVAERLTEQYKELLQSFQSKMLNTSSLLEQLNDQFNWVSQLANLTQGEDKYYLRVSTVTTHSSDSEVPSRVTEVVVKLFDSDPITVVLPEEVSKDNPKFMDTVAEKALQEYRRKSRAE
VIMSS10110263 1 647 0.312266306027821 PF03547.18:Mem_trans:10:642 Auxin efflux carrier component 2; AtPIN2; Auxin efflux carrier AGR; Ethylene-insensitive root 1; AtEIR1; Polar-auxin-transport efflux component AGR1; Protein AGRAVITROPIC 1; AtAGR1; Protein WAVY 6 647 633 81 443 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LU77 1 SwissProt MITGKDMYDVLAAMVPLYVAMILAYGSVRWWGIFTPDQCSGINRFVAVFAVPLLSFHFISSNDPYAMNYHFLAADSLQKVVILAALFLWQAFSRRGSLEWMITLFSLSTLPNTLVMGIPLLRAMYGDFSGNLMVQIVVLQSIIWYTLMLFLFEFRGAKLLISEQFPETAGSITSFRVDSDVISLNGREPLQTDAEIGDDGKLHVVVRRSSAASSMISSFNKSHGGGLNSSMITPRASNLTGVEIYSVQSSREPTPRASSFNQTDFYAMFNASKAPSPRHGYTNSYGGAGAGPGGDVYSLQSSKGVTPRTSNFDEEVMKTAKKAGRGGRSMSGELYNNNSVPSYPPPNPMFTGSTSGASGVKKKESGGGGSGGGVGVGGQNKEMNMFVWSSSASPVSEANAKNAMTRGSSTDVSTDPKVSIPPHDNLATKAMQNLIENMSPGRKGHVEMDQDGNNGGKSPYMGKKGSDVEDGGPGPRKQQMPPASVMTRLILIMVWRKLIRNPNTYSSLFGLAWSLVSFKWNIKMPTIMSGSISILSDAGLGMAMFSLGLFMALQPKIIACGKSVAGFAMAVRFLTGPAVIAATSIAIGIRGDLLHIAIVQAALPQGIVPFVFAKEYNVHPDILSTAVIFGMLVALPVTVLYYVLLGL
NP_001239319.1 74 449 0.638455319148937 TNFAIP3-interacting protein 1; A20-binding inhibitor of NF-kappa-B activation 1; ABIN-1; HIV-1 Nef-interacting protein; Nef-associated factor 1; Naf1; Nip40-1; Virion-associated nuclear shuttling protein; VAN; hVAN 636 0 80 376 0 Homo sapiens (Human) SwissProt::Q15025 1 SwissProt PPPSPSLGSFDPLAELTGKDSNVTASPTAPACPSDKPAPVQKPPSSGTSSEFEVVTPEEQNSPESSSHANAMALGPLPREDGNLMLHLQRLETTLSVCAEEPDHGQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRKENEALKAKLDKGLEQRDQAAERLREENLELKKLLMSNGNKEGASGRPGSPKMEGTGKKAVAGQQQASVTAGKVPEVVALGAAEKKVKMLEQQRSELLEVNKQWDQHFRSMKQQYEQKITELRQKLADLQKQVTDLEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVKYLQDQLSPLTRQREYQEKEIQRLNKALEEALSIQTPPSSPPTAFGSPEGAGAL
NP_055512.1 181 506 0.593764110429448 Carboxyl-terminal PDZ ligand of neuronal nitric oxide synthase protein; C-terminal PDZ ligand of neuronal nitric oxide synthase protein; Nitric oxide synthase 1 adaptor protein 506 0 80 326 0 Homo sapiens (Human) SwissProt::O75052 1 SwissProt DGQEDGESERNSNSSGDPGRQLTGAERASTATAEETDIDAVEVPLPGNDVLEFSRGVTDLDAVGKEGGSHTGSKVSHPQEPMLTASPRMLLPSSSSKPPGLGTETPLSTHHQMQLLQQLLQQQQQQTQVAVAQVHLLKDQLAAEAAARLEAQARVHQLLLQNKDMLQHISLLVKQVQELELKLSGQNAMGSQDSLLEITFRSGALPVLCDPTTPKPEDLHSPPLGAGLADFAHPAGSPLGRRDCLVKLECFRFLPPEDTPPPAQGEALLGGLELIKFRESGIASEYESNTDESEERDSWSQEELPRLLNVLQRQELGDGLDDEIAV
NP_056183.2 1 426 0.106348356807512 PF00876.18:Innexin:47:236 Pannexin-1 426 190 80 334 4 Homo sapiens (Human) SwissProt::Q96RD7 1 SwissProt MAIAQLATEYVFSDFLLKEPTEPKFKGLRLELAVDKMVTCIAVGLPLLLISLAFAQEISIGTQISCFSPSSFSWRQAAFVDSYCWAAVQQKNSLQSESGNLPLWLHKFFPYILLLFAILLYLPPLFWRFAAAPHICSDLKFIMEELDKVYNRAIKAAKSARDLDMRDGACSVPGVTENLGQSLWEVSESHFKYPIVEQYLKTKKNSNNLIIKYISCRLLTLIIILLACIYLGYYFSLSSLSDEFVCSIKSGILRNDSTVPDQFQCKLIAVGIFQLLSVINLVVYVLLAPVVVYTLFVPFRQKTDVLKVYEILPTFDVLHFKSEGYNDLSLYNLFLEENISEVKSYKCLKVLENIKSSGQGIDPMLLLTNLGMIKMDVVDGKTPMSAEMREEQGNQTAELQGMNIDSETKANNGEKNARQRLLDSSC
Q62634 1 560 0.160648571428571 PF07690.16:MFS_1:72:452 Vesicular glutamate transporter 1; VGluT1; Brain-specific Na(+)-dependent inorganic phosphate cotransporter; Solute carrier family 17 member 7 560 381 80 330 10 Rattus norvegicus (Rat) SwissProt::Q62634 1 SwissProt MEFRQEEFRKLAGRALGRLHRLLEKRQEGAETLELSADGRPVTTHTRDPPVVDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVSMVNNSTTHRGGHVVVQKAQFNWDPETVGLIHGSFFWGYIVTQIPGGFICQKFAANRVFGFAIVATSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTAFCGSYAGAVVAMPLAGVLVQYSGWSSVFYVYGSFGIFWYLFWLLVSYESPALHPSISEEERKYIEDAIGESAKLMNPVTKFNTPWRRFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGLVSALPHLVMTIIVPIGGQIADFLRSRHIMSTTNVRKLMNCGGFGMEATLLLVVGYSHSKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKHKTREEWQYVFLIASLVHYGGVIFYGVFASGEKQPWAEPEEMSEEKCGFVGHDQLAGSDESEMEDEVEPPGAPPAPPPSYGATHSTVQPPRPPPPVRDY
XP_006497190.1 1 570 0.644053859649122 prospero homeobox protein 1 isoform X1 738 0 80 570 0 Mus musculus XP_006497190.1 1 RefSeq MPDHDSTALLSRQTKRRRVDIGVKRTVGTASAFFAKARATFFSAMNPQGSEQDVEYSVVQHADGEKSNVLRKLLKRANSYEDAMMPFPGATIISQLLKNNMNKNGGTEPSFQASGLSSTGSEVHQEDICSNSSRDSPPECLSPFGRPTMSQFDVDRLCDEHLRAKRARVENIIRGMSHSPSVALRGNENEREMAPQSVSPRESYRENKRKQKLPQQQQQSFQQLVSARKEQKREERRQLKQQLEDMQKQLRQLQEKFYQVYDSTDSENDEDGDLSEDSMRSEILDARAQDSVGRSDNEMCELDPGQFIDRARALIREQEMAENKPKREGSNKERDHGPNSLQPEGKHLAETLKQELNTAMSQVVDTVVKVFSAKPSRQVPQVFPPLQIPQARFAVNGENHNFHTANQRLQCFGDVIIPNPLDTFGSVQMPSSTDQTEALPLVVRKNSSEQSASGPATGGHHQPLHQSPLSATAGFTTPSFRHPFPLPLMAYPFQSPLGAPSGSFSGKDRASPESLDLTRDTTSLRTKMSSHHLSHHPCSPAHPPSTAEGLSLSLIKSECGDLQDMSDISP
XP_006497199.1 77 359 0.218049823321555 PF00688.18:TGFb_propeptide:77:182 transforming growth factor beta-2 proprotein isoform X1 359 106 80 283 0 Mus musculus XP_006497199.1 1 RefSeq LLQEKASRRAAACERERSDEEYYAKEVYKIDMPSHLPSETVCPVVTTPSGSLGSFCSRQSQVLCGYLDAIPPTFYRPYFRIVRFDVSTMEKNASNLVKAEFRVFRLQNPKARVAEQRIELYQILKSKDLTSPTQRYIDSKVVKTRAEGEWLSFDVTDAVQEWLHHKDRNLGFKISLHCPCCTFVPSNNYIIPNKSEELEARFADWSHNSPAGGRSALWMLPTALEMCRIIAAFALFTLILRGILDGNGSMNPKGTMLTSVLGHAHIYGVQTLNTPKSSACTTP
XP_006522012.1 430 923 0.777458097165992 E3 ubiquitin-protein ligase CBL-B; Casitas B-lineage lymphoma proto-oncogene b; RING-type E3 ubiquitin transferase CBL-B; SH3-binding protein CBL-B; Signal transduction protein CBL-B; EC 2.3.2.27 982 0 80 494 0 Mus musculus (Mouse) SwissProt::Q3TTA7 1 SwissProt DEGSRCCSIIDPFSIPMLDLDDDDDREESLMMNRLASVRKCTDRQNSPVTSPGSSPLAQRRKPQPDPLQIPHLSLPPVPPRLDLIQKGIVRSPCGSPTGSPKSSPCMVRKQDKPLPAPPPPLRDPPPPPERPPPIPPDNRLSRHFHHGESVPSRDQPMPLEAWCPRDAFGTNQVMGCRILGDGSPKPGVTANSSLNGRHSRMGSEQVLMRKHRRHDLPSEGAKVFSNGHLATEEYDVPPRLSPPPPVTTLLPSIKCTGPLANCLSEKTRDTVEDDDDEYKIPSSHPVSLNSQPSHCHNVKAPVRSCDNGHCILNGTHGAPSEMKKSNIPDLGIYLKGGGSDSASDPVPLPPARPPPRDSPKHGSSVNRTPSDYDLLIPPLGEDAFDALPPSLPPPPPPARHSLIEHSKPPGSSSRPSSGQDLFLLPSDPFFDPTSGQVPLPPARRAAGDSGKANRASQDYDQLPSSSDGSQAPARPPKPRPRRTAPEIHHRKPH
NP_001096124.1 1 143 0.318634965034965 neurotrophin-3 isoform 1 preproprotein 270 0 79 120 1 Homo sapiens NP_001096124.1 1 RefSeq MVTFATILQVNKVMSILFYVIFLAYLRGIQGNNMDQRSLPEDSLNSLIIKLIQADILKNKLSKQMVDVKENYQSTLPKAEAPREPERGGPAKSAFQPVIAMDTELLRQQRRYNSPRVLLSDSTPLEPPPLYLMEDYVGSPVVA
NP_001258735.1 1 85 0.366325882352941 PF05039.12:Agouti:35:85 Agouti-related protein 131 51 79 62 1 Mus musculus (Mouse) SwissProt::P56473 1 SwissProt MLTAMLLSCVLLLALPPTLGVQMGVAPLKGIRRPDQALFPEFPGLSLNGLKKTTADRAEEVLLQKAEALAEVLDPQNRESRSPRR
NP_001263183.2 1 179 0.27904469273743 PF15177.6:IL28A:25:174 Interferon lambda-4; IFN-lambda-4 179 150 79 159 1 Homo sapiens (Human) SwissProt::K9M1U5 1 SwissProt MRPSVWAAVAAGLWVLCTVIAAAPRRCLLSHYRSLEPRTLAAAKALRDRYEEEALSWGQRNCSFRPRRDPPRPSSCARLRHVARGIADAQAVLSGLHRSELLPGAGPILELLAAAGRDVAACLELARPGSSRKVPGAQKRRHKPRRADSPRCRKASVVFNLLRLLTWELRLAAHSGPCL
Q16610 1 540 0.427532962962962 PF05782.11:ECM1:1:540 Extracellular matrix protein 1; Secretory component p85 540 540 79 517 1 Homo sapiens (Human) SwissProt::Q16610 1 SwissProt MGTTARAALVLTYLAVASAASEGGFTATGQRQLRPEHFQEVGYAAPPSPPLSRSLPMDHPDSSQHGPPFEGQSQVQPPPSQEATPLQQEKLLPAQLPAEKEVGPPLPQEAVPLQKELPSLQHPNEQKEGTPAPFGDQSHPEPESWNAAQHCQQDRSQGGWGHRLDGFPPGRPSPDNLNQICLPNRQHVVYGPWNLPQSSYSHLTRQGETLNFLEIGYSRCCHCRSHTNRLECAKLVWEEAMSRFCEAEFSVKTRPHWCCTRQGEARFSCFQEEAPQPHYQLRACPSHQPDISSGLELPFPPGVPTLDNIKNICHLRRFRSVPRNLPATDPLQRELLALIQLEREFQRCCRQGNNHTCTWKAWEDTLDKYCDREYAVKTHHHLCCRHPPSPTRDECFARRAPYPNYDRDILTIDIGRVTPNLMGHLCGNQRVLTKHKHIPGLIHNMTARCCDLPFPEQACCAEEEKLTFINDLCGPRRNIWRDPALCCYLSPGDEQVNCFNINYLRNVALVSGDTENAKGQGEQGSTGGTNISSTSEPKEE
VIMSS3227285 1 174 0.636466091954023 Trans-activator protein BZLF1; EB1; Zebra 245 0 79 174 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03206 1 SwissProt MMDPNSTSEDVKFTPDPYQVPFVQAFDQATRVYQDLGGPSQAPLPCVLWPVLPEPLPQGQLTAYHVSTAPTGSWFSAPQPAPENAYQAYAAPQLFPVSDITQNQQTNQAGGEAPQPGDNSTVQTAAAVVFACPGANQGQQLADIGVPQPAPVAAPARRTRKPQQPESLEECDSE
XP_005254991.1 1 522 0.513971455938697 PF03036.16:Perilipin:15:396 Perilipin-1; Lipid droplet-associated protein 522 382 79 522 0 Homo sapiens (Human) SwissProt::O60240 1 SwissProt MAVNKGLTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYTSTKEAHPLVASVCNAYEKGVQSASSLAAWSMEPVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKDTISTRLRSARNSISVPIASTSDKVLGAALAGCELAWGVARDTAEFAANTRAGRLASGGADLALGSIEKVVEYLLPPDKEESAPAPGHQQAQKSPKAKPSLLSRVGALTNTLSRYTVQTMARALEQGHTVAMWIPGVVPLSSLAQWGASVAMQAVSRRRSEVRVPWLHSLAAAQEEDHEDQTDTEGEDTEEEEELETEENKFSEVAALPGPRGLLGGVAHTLQKTLQTTISAVTWAPAAVLGMAGRVLHLTPAPAVSSTKGRAMSLSDALKGVTDNVVDTVVHYVPLPRLSLMEPESEFRDIDNPPAEVERREAERRASGAPSAGPEPAPRLAQPRRSLRSAQSPGAPPGPGLEDEVATPAAPRPGFPAVPREKPKRRVSDSFFRPSVMEPILGRTHYSQLRKKS
XP_011517773.1 126 318 0.489954404145078 interleukin-15 receptor subunit alpha isoform X12 318 0 79 170 1 Homo sapiens XP_011517773.1 1 RefSeq SPSSNNTAATTAAIVPGSQLMPSKSPSTGTTEISSHESSHGTPSQTTAKNWELTASASHQPPGVYPQGHSDTTVAISTSTVLLCGLSAVSLLACYLKSSSQRKVTYLRLSVNYKAPPGVGDVYREDTSVWAPPNDPVSSCAARTDREPEKVEEMLSPVNPLHRRIQEEENSSQRHFTYICIYTSLSVISTHYI
XP_016875721.1 122 405 0.395047183098592 CD27 antigen isoform X1 405 0 79 261 1 Homo sapiens XP_016875721.1 1 RefSeq PLPNPSLTARSSQALSPHPQPTHLPYVSEMLEARTAGHMQTLADFRQLPARTLSTHWPPQRSLCSSDFIRILVIFSGMFLVFTLAGALFLHQRRKYRSSKRQNTGLRSCPCTTPHWLNPTAHAWNLTETHQLHFTSLGPTPSLPLAGVLLTPLPQAHPPLPISFSRLPLPPLLAKTHRISFCRQRRKSCGACRALSLQLPQGGGGQHHPHPGGLPKTGACLLPLSQHLRELHYSPGLHPHPADHPRESETWQPQLQSHPLVRALSCVHVTECLFETGRDEDKYG
NP_001076005.2 499 1029 0.656192843691149 myocardin-related transcription factor A isoform 2 1029 0 78 531 0 Mus musculus NP_001076005.2 1 RefSeq TTSVLSKAGEVVVAFPAALLSTGSALVTAGLAPAEMVVATVTSNGMVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDAFGEMVTSPLTQLTLQASPLQIVKEEGARAASCCLSPGARAELEGLDKDQMLQEKDKQIEELTRMLQQKQQLVELLRLQLEQQKRAQQPAPASSPVKRESGFSSCQLSCQPQGSAHAFGSGLVVPTTNHGDTQAPAPESPPVVVKQEAGPPEPDLAPSSQLLLGSQGTSFLKRVSPPTLVTDSTGTHLILTVTNKSADGPGLPAGSPQQPLSQPGSPAPGPPAQMDLEHPPQPPFATPTSLLKKEPPGYEETVTQQPKQQENGSSSQHMDDLFDILIQSGEISADFKEPPSLPGKEKSPPAAAAYGPPLTPQPSPLSELPQAAPPPGSPTLPGRLEDFLESSTGLPLLTSGHEGPEPLSLIDDLHSQMLSSSAILDHPPSPMDTSELHFAPEPSSGMGLDLAVGHLDSMDWLELSSGGPVLSLAPLSTAAPSLFSMDFLDGHDLQLHWDSCL
NP_001293012.1 97 247 0.275407284768212 PF01335.21:DED:19:95 caspase-10 isoform 7 247 77 78 151 0 Homo sapiens NP_001293012.1 1 RefSeq CTKEEVERLLPTRQRVSLFRNLLYELSEGIDSENLKDMIFLLKDSLPKTEMTSLSFLAFLEKQGKIDEDNLTCLEDLCKTVVPKLLRNIEKYKREKAIQIVTPPVDKEAESYQGEEELVSQTDVKTFLEALPEGVFVFLNEGDRGNSPDDL
NP_032399.1 1 144 0.160811805555555 PF01415.16:IL7:15:140 interleukin-9 precursor 144 126 78 144 0 Mus musculus NP_032399.1 1 RefSeq MLVTYILASVLLFSSVLGQRCSTTWGIRDTNYLIENLKDDPPSKCSCSGNVTSCLCLSVPTDDCTTPCYREGLLQLTNATQKSRLLPVFHRVKRIVEVLKNITCPSFSCEKPCNQTMAGNTLSFLKSLLGTFQKTEMQRQKSRP
NP_565840.1 1 442 0.672019230769229 PF00170.21:bZIP_1:357:405,PF07716.15:bZIP_2:356:406 Protein ABSCISIC ACID-INSENSITIVE 5; Dc3 promoter-binding factor 1; AtDPBF1; Protein GROWTH-INSENSITIVITY TO ABA 1; bZIP transcription factor 39; AtbZIP39 442 51 78 442 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJN0 1 SwissProt MVTRETKLTSEREVESSMAQARHNGGGGGENHPFTSLGRQSSIYSLTLDEFQHALCENGKNFGSMNMDEFLVSIWNAEENNNNQQQAAAAAGSHSVPANHNGFNNNNNNGGEGGVGVFSGGSRGNEDANNKRGIANESSLPRQGSLTLPAPLCRKTVDEVWSEIHRGGGSGNGGDSNGRSSSSNGQNNAQNGGETAARQPTFGEMTLEDFLVKAGVVREHPTNPKPNPNPNQNQNPSSVIPAAAQQQLYGVFQGTGDPSFPGQAMGVGDPSGYAKRTGGGGYQQAPPVQAGVCYGGGVGFGAGGQQMGMVGPLSPVSSDGLGHGQVDNIGGQYGVDMGGLRGRKRVVDGPVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAELNQLKEENAQLKHALAELERKRKQQYFESLKSRAQPKLPKSNGRLRTLMRNPSCPL
NP_659137.1 159 503 0.604825507246377 Mitochondrial antiviral-signaling protein; MAVS; CARD adapter inducing interferon beta; Cardif; Interferon beta promoter stimulator protein 1; IPS-1; Virus-induced-signaling adapter; VISA 503 0 78 327 1 Mus musculus (Mouse) SwissProt::Q8VCF0 1 SwissProt QLLQTNSGAVARMSGGSLIPSPNQQALSPQPSREHQEQEPELGGAHAANVASVPIATYGPVSPTVSFQPLPRTALRTNLLSGVTVSALSADTSLSSSSTGSAFAKGAGDQAKAATCFSTTLTNSVTTSSVPSPRLVPVKTMSSKLPLSSKSTAAMTSTVLTNTAPSKLPSNSVYAGTVPSRVPASVAKAPANTIPPERNSKQAKETPEGPATKVTTGGNQTGPNSSIRSLHSGPEMSKPGVLVSQLDEPFSACSVDLAISPSSSLVSEPNHGPEENEYSSFRIQVDESPSADLLGSPEPLATQQPQEEEEHCASSMPWAKWLGATSALLAVFLAVMLYRSRRLAQ
P23582 1 102 0.653885294117647 C-type natriuretic peptide 126 0 78 102 0 Homo sapiens (Human) SwissProt::P23582 1 SwissProt MHLSQLLACALLLTLLSLRPSEAKPGAPPKVPRTPPAEELAEPQAAGGGQKKGDKAPGGGGANLKGDRSRLLRDLRVDTKSRAAWARLLQEHPNARKYKGAN
NP_000884.1 1 259 0.206608494208494 PF00031.21:Cystatin:24:114,PF00031.21:Cystatin:144:236,PF00666.17:Cathelicidins:36:119 kininogen-1 isoform 2 precursor 427 189 77 259 0 Homo sapiens NP_000884.1 1 RefSeq MKLITILFLCSRLLLSLTQESQSEEIDCNDKDLFKAVDAALKKYNSQNQSNNQFVLYRITEATKTVGSDTFYSFKYEIKEGDCPVQSGKTWQDCEYKDAAKAATGECTATVGKRSSTKFSVATQTCQITPAEGPVVTAQYDCLGCVHPISTQSPDLEPILRHGIQYFNNNTQHSSLFMLNEVKRAQRQVVAGLNFRITYSIVQTNCSKENFLFLTPDCKSLWNGDTGECTDNAYIDIQLRIASFSQNCDIYPGKDFVQP
NP_001067.2 1 285 0.0338252631578947 PF00201.18:UDPGT:24:285 UDP-glucuronosyltransferase 2B15; UDPGT 2B15; HLUG4; UDP-glucuronosyltransferase 2B8; UDPGT 2B8; UDPGTh-3; EC 2.4.1.17 530 262 77 285 0 Homo sapiens (Human) SwissProt::P54855 1 SwissProt MSLKWTSVFLLIQLSCYFSSGSCGKVLVWPTEYSHWINMKTILEELVQRGHEVTVLTSSASTLVNASKSSAIKLEVYPTSLTKNYLEDSLLKILDRWIYGVSKNTFWSYFSQLQELCWEYYDYSNKLCKDAVLNKKLMMKLQESKFDVILADALNPCGELLAELFNIPFLYSLRFSVGYTFEKNGGGFLFPPSYVPVVMSELSDQMIFMERIKNMIHMLYFDFWFQIYDLKKWDQFYSEVLGRPTTLFETMGKAEMWLIRTYWDFEFPRPFLPNVDFVGGLHCKP 1
NP_001263613.1 1 199 0.411315075376884 Activity-regulated cytoskeleton-associated protein; mArc; Activity-regulated gene 3.1 protein; ARC/ARG3.1; Arg3.1 396 0 77 199 0 Mus musculus (Mouse) SwissProt::Q9WV31 1 SwissProt MELDHMTTGGLHAYPAPRGGPAAKPNVILQIGKCRAEMLEHVRRTHRHLLTEVSKQVERELKGLHRSVGKLENNLDGYVPTGDSQRWKKSIKACLCRCQETIANLERWVKREMHVWREVFYRLERWADRLESMGGKYPVGSEPARHTVSVGVGGPEPYCQEADGYDYTVSPYAITPPPAAGELPEQESVEAQQYQSWGP
NP_006139.1 31 197 0.665753293413174 PF00880.18:Nebulin:37:65,PF00880.18:Nebulin:73:100 LIM and SH3 domain protein 1; LASP-1; Metastatic lymph node gene 50 protein; MLN 50 261 57 77 167 0 Homo sapiens (Human) SwissProt::Q14847 1 SwissProt HCETCKMTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGKGFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGGEGMEPERRDSQDGSSYRRPLEQQQPHHIPTSAPVYQQPQQQPVAQSYGGYKEPAAPVSIQR
NP_197088.1 1 373 0.472324128686327 PF06203.14:CCT:306:348,PF00643.24:zf-B_box:60:105 Zinc finger protein CONSTANS 373 89 77 373 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39057 1 SwissProt MLKQESNDIGSGENNRARPCDTCRSNACTVYCHADSAYLCMSCDAQVHSANRVASRHKRVRVCESCERAPAAFLCEADDASLCTACDSEVHSANPLARRHQRVPILPISGNSFSSMTTTHHQSEKTMTDPEKRLVVDQEEGEEGDKDAKEVASWLFPNSDKNNNNQNNGLLFSDEYLNLVDYNSSMDYKFTGEYSQHQQNCSVPQTSYGGDRVVPLKLEESRGHQCHNQQNFQFNIKYGSSGTHYNDNGSINHNAYISSMETGVVPESTACVTTASHPRTPKGTVEQQPDPASQMITVTQLSPMDREARVLRYREKRKTRKFEKTIRYASRKAYAEIRPRVNGRFAKREIEAEEQGFNTMLMYNTGYGIVPSF
NP_850460.1 1 608 0.655605756578947 PF00249.31:Myb_DNA-binding:24:67 Protein CCA1; MYB-related transcription factor CCA1; Protein CIRCADIAN CLOCK ASSOCIATED 1 608 44 77 608 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92973 1 SwissProt METNSSGEDLVIKTRKPYTITKQRERWTEEEHNRFIEALRLYGRAWQKIEEHVATKTAVQIRSHAQKFFSKVEKEAEAKGVAMGQALDIAIPPPRPKRKPNNPYPRKTGSGTILMSKTGVNDGKESLGSEKVSHPEMANEDRQQSKPEEKTLQEDNCSDCFTHQYLSAASSMNKSCIETSNASTFREFLPSREEGSQNNRVRKESNSDLNAKSLENGNEQGPQTYPMHIPVLVPLGSSITSSLSHPPSEPDSHPHTVAGDYQSFPNHIMSTLLQTPALYTAATFASSFWPPDSSGGSPVPGNSPPNLAAMAAATVAAASAWWAANGLLPLCAPLSSGGFTSHPPSTFGPSCDVEYTKASTLQHGSVQSREQEHSEASKARSSLDSEDVENKSKPVCHEQPSATPESDAKGSDGAGDRKQVDRSSCGSNTPSSSDDVEADASERQEDGTNGEVKETNEDTNKPQTSESNARRSRISSNITDPWKSVSDEGRIAFQALFSREVLPQSFTYREEHREEEQQQQEQRYPMALDLNFTAQLTPVDDQEEKRNTGFLGIGLDASKLMSRGRTGFKPYKRCSMEAKESRILNNNPIIHVEQKDPKRMRLETQAST
NP_997006.1 1 724 0.621688674033149 PF00169.29:PH:6:115 GRB2-associated-binding protein 1 isoform a 724 110 77 724 0 Homo sapiens NP_997006.1 1 RefSeq MSGGEVVCSGWLRKSPPEKKLKRYAWKRRWFVLRSGRLTGDPDVLEYYKNDHAKKPIRIIDLNLCQQVDAGLTFNKKEFENSYIFDINTIDRIFYLVADSEEEMNKWVRCICDICGFNPTEEDPVKPPGSSLQAPADLPLAINTAPPSTQADSSSATLPPPYQLINVPPHLETLGIQEDPQDYLLLINCQSKKPEPTRTHADSAKSTSSETDCNDNVPSHKNPASSQSKHGMNGFFQQQMIYDSPPSRAPSASVDSSLYNLPRSYSHDVLPKVSPSSTEADGELYVFNTPSGTSSVETQMRHVSISYDIPPTPGNTYQIPRTFPEGTLGQTSKLDTIPDIPPPRPPKPHPAHDRSPVETCSIPRTASDTDSSYCIPTAGMSPSRSNTISTVDLNKLRKDASSQDCYDIPRAFPSDRSSSLEGFHNHFKVKNVLTVGSVSSEELDENYVPMNPNSPPRQHSSSFTEPIQEANYVPMTPGTFDFSSFGMQVPPPAHMGFRSSPKTPPRRPVPVADCEPPPVDRNLKPDRKGQSPKILRLKPHGLERTDSQTIGDFATRRKVKPAPLEIKPLPEWEELQAPVRSPITRSFARDSSRFPMSPRPDSVHSTTSSSDSHDSEENYVPMNPNLSSEDPNLFGSNSLDGGSSPMIKPKGDKQVEYLDLDLDSGKSTPPRKQKSSGSGSSVADERVDYVVVDQQKTLALKSTREAWTDGRQSTESETPAKSVK
P04196 255 525 0.815998892988929 Histidine-rich glycoprotein; Histidine-proline-rich glycoprotein; HPRG 525 0 77 271 0 Homo sapiens (Human) SwissProt::P04196 1 SwissProt VPPHLGHPFHWGGHERSSTTKPPFKPHGSRDHHHPHKPHEHGPPPPPDERDHSHGPPLPQGPPPLLPMSCSSCQHATFGTNGAQRHSHNNNSSDLHPHKHHSHEQHPHGHHPHAHHPHEHDTHRQHPHGHHPHGHHPHGHHPHGHHPHGHHPHCHDFQDYGPCDPPPHNQGHCCHGHGPPPGHLRRRGPGKGPRPFHCRQIGSVYRLPPLRKGEVLPLPEANFPSFPLPHHKHPLKPDNQPFPQSVSESCPGKFKSGFPQVSMFFTHTFPK
NP_001171554.1 1 465 0.181974193548387 PF03016.15:Exostosin:101:380 exostosin-2 isoform 3 728 280 76 442 1 Homo sapiens NP_001171554.1 1 RefSeq MCASVKYNIRGPALIPRMKTKHRIYYITLFSIVLLGLIATGMFQFWPHSIESSNDWNVEKRSIRDVPVVRLPADSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYALKKYVDDFGVSVSNTISREYNELLMAISDSDYYTDDINRACLFVPSIDVLNQNTLRIKETAQAMAQLSRWDRGTNHLLFNMLPGGPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVYSPLSAEVDLPEKGPGPRQYFLLSSQVGLHPEYREDLEALQVKHGESVLVLDKCTNLSEGVLSVRKRCHKHQVFDYPQVLQEATFCVVLRGARLGQAVLSDVLQAGCVPVVIADSYILPFSEVLDWKRASVVVPEEKMSDVYSILQSIPQRQIEEMQRQLFMEPARRENWSAANHQMNSLIWPREQWDSQIINDRIYPYAAISYEEWNDPPAVKWGSVSNPLFLPLIPPQSQG
NP_006174.1 1 170 0.212927647058823 PF07421.11:Pro-NT_NN:7:169 Neurotensin/neuromedin N 170 163 76 170 0 Homo sapiens (Human) SwissProt::P30990 1 SwissProt MMAGMKIQLVCMLLLAFSSWSLCSDSEEEMKALEADFLTNMHTSKISKAHVPSWKMTLLNVCSLVNNLNSPAEETGEVHEEELVARRKLPTALDGFSLEAMLTIYQLHKICHSRAFQHWELIQEDILDTGNDKNGKEEVIKRKIPYILKRQLYENKPRRPYILKRDSYYY 2
NP_031643.1 1 151 0.0394582781456954 PF01146.17:Caveolin:16:147 Caveolin-3; M-caveolin 151 132 76 128 1 Mus musculus (Mouse) SwissProt::P51637 1 SwissProt MMTEEHTDLEARIIKDIHCKEIDLVNRDPKNINEDIVKVDFEDVIAEPEGTYSFDGVWKVSFTTFTVSKYWCYRLLSTLLGVPLALLWGFLFACISFCHIWAVVPCIKSYLIEIQCISHIYSLCIRTFCNPLFAALGQVCSNIKVVLRREG
NP_034965.2 1 153 0.637935947712418 Homeobox protein MSX-1; Homeobox protein Hox-7; Hox-7.1; Msh homeobox 1-like protein 303 0 76 153 0 Mus musculus (Mouse) SwissProt::P13297 1 SwissProt MAPAAAMTSLPLGVKVEDSAFAKPAGGGVGQAPGAAAATATAMGTDEEGAKPKVPASLLPFSVEALMADHRKPGAKESVLVASEGAQAAGGSVQHLGTRPGSLGAPDAPSSPRPLGHFSVGGLLKLPEDALVKAESPEKLDRTPWMQSPRFSP
NP_035567.1 190 466 0.704435740072202 Transcription factor SOX-10; Protein SOX-21; Transcription factor SOX-M 466 0 76 277 0 Mus musculus (Mouse) SwissProt::Q04888 1 SwissProt CPGGEAEQGGAAAIQAHYKSAHLDHRHPEEGSPMSDGNPEHPSGQSHGPPTPPTTPKTELQSGKADPKRDGRSLGEGGKPHIDFGNVDIGEISHEVMSNMETFDVTELDQYLPPNGHPGHVGSYSAAGYGLGSALAVASGHSAWISKPPGVALPTVSPPGVDAKAQVKTETTGPQGPPHYTDQPSTSQIAYTSLSLPHYGSAFPSISRPQFDYSDHQPSGPYYGHAGQASGLYSAFSYMGPSQRPLYTAISDPSPSGPQSHSPTHWEQPVYTTLSRP
NP_055310.1 1 238 0.353928991596639 Angiopoietin-related protein 3; Angiopoietin-5; ANG-5; Angiopoietin-like protein 3 460 0 76 238 0 Homo sapiens (Human) SwissProt::Q9Y5C1 1 SwissProt MFTIKLLLFIVPLVISSRIDQDNSSFDSLSPEPKSRFAMLDDVKILANGLLQLGHGLKDFVHKTKGQINDIFQKLNIFDQSFYDLSLQTSEIKEEEKELRRTTYKLQVKNEEVKNMSLELNSKLESLLEEKILLQQKVKYLEEQLTNLIQNQPETPEHPEVTSLKTFVEKQDNSIKDLLQTVEDQYKQLNQQHSQIKEIENQLRRTSIQEPTEISLSSKPRAPRTTPFLQLNEIRNVK
NP_113800.1 1 77 0.379255844155844 PF15360.6:Apelin:23:77 Apelin; APJ endogenous ligand 77 55 76 54 1 Rattus norvegicus (Rat) SwissProt::Q9R0R3 1 SwissProt MNLSFCVQALLLLWLSLTAVCGVPLMLPPDGKGLEEGNMRYLVKPRTSRTGPGAWQGGRRKFRRQRPRLSHKGPMPF
NP_115791.3 144 1154 0.411691493570722 Caspase recruitment domain-containing protein 11; CARD-containing MAGUK protein 1; Carma 1 1154 0 76 1011 0 Homo sapiens (Human) SwissProt::Q9BXL7 1 SwissProt AKDLQRCELLARLRQLEDEKKQMTLTRVELLTFQERYYKMKEERDSYNDELVKVKDDNYNLAMRYAQLSEEKNMAVMRSRDLQLEIDQLKHRLNKMEEECKLERNQSLKLKNDIENRPKKEQVLELERENEMLKTKNQELQSIIQAGKRSLPDSDKAILDILEHDRKEALEDRQELVNRIYNLQEEARQAEELRDKYLEEKEDLELKCSTLGKDCEMYKHRMNTVMLQLEEVERERDQAFHSRDEAQTQYSQCLIEKDKYRKQIRELEEKNDEMRIEMVRREACIVNLESKLRRLSKDSNNLDQSLPRNLPVTIISQDFGDASPRTNGQEADDSSTSEESPEDSKYFLPYHPPQRRMNLKGIQLQRAKSPISLKRTSDFQAKGHEEEGTDASPSSCGSLPITNSFTKMQPPRSRSSIMSITAEPPGNDSIVRRYKEDAPHRSTVEEDNDSGGFDALDLDDDSHERYSFGPSSIHSSSSSHQSEGLDAYDLEQVNLMFRKFSLERPFRPSVTSVGHVRGPGPSVQHTTLNGDSLTSQLTLLGGNARGSFVHSVKPGSLAEKAGLREGHQLLLLEGCIRGERQSVPLDTCTKEEAHWTIQRCSGPVTLHYKVNHEGYRKLVKDMEDGLITSGDSFYIRLNLNISSQLDACTMSLKCDDVVHVRDTMYQDRHEWLCARVDPFTDHDLDMGTIPSYSRAQQLLLVKLQRLMHRGSREEVDGTHHTLRALRNTLQPEEALSTSDPRVSPRLSRASFLFGQLLQFVSRSENKYKRMNSNERVRIISGSPLGSLARSSLDATKLLTEKQEELDPESELGKNLSLIPYSLVRAFYCERRRPVLFTPTVLAKTLVQRLLNSGGAMEFTICKSDIVTRDEFLRRQKTETIIYSREKNPNAFECIAPANIEAVAAKNKHCLLEAGIGCTRDLIKSNIYPIVLFIRVCEKNIKRFRKLLPRPETEEEFLRVCRLKEKELEALPCLYATVEPDMWGSVEELLRVVKDKIGEEQRKTIWVDEDQL
NP_443177.1 64 159 0.485828125 Tumor necrosis factor receptor superfamily member 13C; B-cell-activating factor receptor; BAFF receptor; BAFF-R; BLyS receptor 3; CD268 antigen 184 0 76 73 1 Homo sapiens (Human) SwissProt::Q96RJ3 1 SwissProt GAGAGEAALPLPGLLFGAPALLGLALVLALVLVGLVSWRRRQRRLRGASSAEAPDGDKDAPEPLDKVIILSPGISDATAPAWPPPGEDPGTTPPGH
NP_653186.2 1 553 0.0845358047016275 PF07690.16:MFS_1:142:426,PF00083.24:Sugar_tr:147:472 Solute carrier family 22 member 12; Organic anion transporter 4-like protein; Renal-specific transporter; RST; Urate anion exchanger 1 553 331 76 357 9 Homo sapiens (Human) SwissProt::Q96S37 1 SwissProt MAFSELLDLVGGLGRFQVLQTMALMVSIMWLCTQSMLENFSAAVPSHRCWAPLLDNSTAQASILGSLSPEALLAISIPPGPNQRPHQCRRFRQPQWQLLDPNATATSWSEADTEPCVDGWVYDRSIFTSTIVAKWNLVCDSHALKPMAQSIYLAGILVGAAACGPASDRFGRRLVLTWSYLQMAVMGTAAAFAPAFPVYCLFRFLLAFAVAGVMMNTGTLLMEWTAARARPLVMTLNSLGFSFGHGLTAAVAYGVRDWTLLQLVVSVPFFLCFLYSWWLAESARWLLTTGRLDWGLQELWRVAAINGKGAVQDTLTPEVLLSAMREELSMGQPPASLGTLLRMPGLRFRTCISTLCWFAFGFTFFGLALDLQALGSNIFLLQMFIGVVDIPAKMGALLLLSHLGRRPTLAASLLLAGLCILANTLVPHEMGALRSALAVLGLGGVGAAFTCITIYSSELFPTVLRMTAVGLGQMAARGGAILGPLVRLLGVHGPWLPLLVYGTVPVLSGLAALLLPETQSLPLPDTIQDVQNQAVKKATHGTLGNSVLKSTQF
Q05469 1 628 0.487455732484077 PF06350.12:HSL_N:308:615 Hormone-sensitive lipase; HSL; EC 3.1.1.79 1076 308 76 628 0 Homo sapiens (Human) SwissProt::Q05469 1 SwissProt MEPGSKSVSRSDWQPEPHQRPITPLEPGPEKTPIAQPESKTLQGSNTQQKPASNQRPLTQQETPAQHDAESQKEPRAQQKSASQEEFLAPQKPAPQQSPYIQRVLLTQQEAASQQGPGLGKESITQQEPALRQRHVAQPGPGPGEPPPAQQEAESTPAAQAKPGAKREPSAPTESTSQETPEQSDKQTTPVQGAKSKQGSLTELGFLTKLQELSIQRSALEWKALSEWVTDSESESDVGSSSDTDSPATMGGMVAQGVKLGFKGKSGYKVMSGYSGTSPHEKTSARNHRHYQDTASRLIHNMDLRTMTQSLVTLAEDNIAFFSSQGPGETAQRLSGVFAGVREQALGLEPALGRLLGVAHLFDLDPETPANGYRSLVHTARCCLAHLLHKSRYVASNRRSIFFRTSHNLAELEAYLAALTQLRALVYYAQRLLVTNRPGVLFFEGDEGLTADFLREYVTLHKGCFYGRCLGFQFTPAIRPFLQTISIGLVSFGEHYKRNETGLSVAASSLFTSGRFAIDPELRGAEFERITQNLDVHFWKAFWNITEMEVLSSLANMASATVRVSRLLSLPPEAFEMPLTADPTLTVTISPPLAHTGPGPVLVRLISYDLREGQDSEELSSLIKSNGQ
XP_005273903.1 1 251 0.152576494023904 PF04103.15:CD20:67:210 high affinity immunoglobulin epsilon receptor subunit beta isoform X1 251 144 76 162 4 Homo sapiens XP_005273903.1 1 RefSeq MSSVNEKMDTESNRRANLALPQEPSSVPAFEVLEISPQEVSSGRLLKSASSPPLHTWLTVLKKEQEFLGVTQILTAMICLCFGTVVCSVLDISHIEGDIFSSFKAGYPFWGAIFFSISGMLSIISERRNATYLVRGSLGANTASSIAGGTGITILIINLKKSLAYIHIHSCQKFFETKCFMASFSTEIVVMMLFLTILGLGSAVSLTICGAGEELKGNKVPEDRVYEELNIYSATYSELEDPGEMSPPIDL
NP_001231800.1 192 649 0.694454585152838 disabled homolog 2 isoform 2 749 0 75 458 0 Homo sapiens NP_001231800.1 1 RefSeq GSEALMILDDQTNKLKSESKDILLVDLNSEIDTNQNSLRENPFLTNGITSCSLPRPTPQASFLPENAFSANLNFFPTPNPDPFRDDPFTQPDQSTPSSFDSLKSPDQKKENSSSSSTPLSNGPLNGDVDYFGQQFDQISNRTGKQEAQAGPWPFSSSQTQPAVRTQNGVSEREQNGFSVKSSPNPFVGSPPKGLSIQNGVKQDLESSVQSSPHDSIAIIPPPQSTKPGRGRRTAKSSANDLLASDIFAPPVSEPSGQASPTGQPTALQPNPLDLFKTSAPAPVGPLVGLGGVTVTLPQAGPWNTASLVFNQSPSMAPGAMMGGQPSGFSQPVIFGTSPAVSGWNQPSPFAASTPPPVPVVWGPSASVAPNAWSTTSPLGNPFQSNIFPAPAVSTQPPSMHSSLLVTPPQPPPRAGPPKDISSDAFTALDPLGDKEIKDVKEMFKDFQLRQPPAVPARK
NP_001257452.1 107 289 0.442479781420765 PF03529.13:TF_Otx:47:128 Homeobox protein OTX2; Orthodenticle homolog 2 289 82 75 183 0 Homo sapiens (Human) SwissProt::P32243 1 SwissProt KVRPAKKKTSPAREVSSESGTSGQFTPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQRSYPMTYTQASGYSQGYAGSTSYFGGMDCGSYLTPMHHQLPGPGATLSPMGTNAVTSHLNQSPASLSTQGYGASSLGFNSTTDCLDYKDQTASWKLNFNADCLDYKDQTSSWKFQVL
NP_001888.2 1 2322 0.365714082687339 PF16184.5:Cadherin_3:435:523,PF16184.5:Cadherin_3:538:645,PF16184.5:Cadherin_3:663:747,PF16184.5:Cadherin_3:785:878,PF16184.5:Cadherin_3:887:989,PF16184.5:Cadherin_3:1003:1110,PF16184.5:Cadherin_3:1120:1217,PF16184.5:Cadherin_3:1239:1339,PF16184.5:Cadherin_3:1392:1446,PF16184.5:Cadherin_3:1456:1563,PF16184.5:Cadherin_3:1586:1662,PF16184.5:Cadherin_3:1703:1802,PF16184.5:Cadherin_3:1817:1924,PF16184.5:Cadherin_3:1958:2025,PF02210.24:Laminin_G_2:55:178,PF02210.24:Laminin_G_2:231:363,PF00054.23:Laminin_G_1:55:180,PF00054.23:Laminin_G_1:232:363 Chondroitin sulfate proteoglycan 4; Chondroitin sulfate proteoglycan NG2; Melanoma chondroitin sulfate proteoglycan; Melanoma-associated chondroitin sulfate proteoglycan 2322 1561 75 2299 1 Homo sapiens (Human) SwissProt::Q6UVK1 1 SwissProt MQSGPRPPLPAPGLALALTLTMLARLASAASFFGENHLEVPVATALTDIDLQLQFSTSQPEALLLLAAGPADHLLLQLYSGRLQVRLVLGQEELRLQTPAETLLSDSIPHTVVLTVVEGWATLSVDGFLNASSAVPGAPLEVPYGLFVGGTGTLGLPYLRGTSRPLRGCLHAATLNGRSLLRPLTPDVHEGCAEEFSASDDVALGFSGPHSLAAFPAWGTQDEGTLEFTLTTQSRQAPLAFQAGGRRGDFIYVDIFEGHLRAVVEKGQGTVLLHNSVPVADGQPHEVSVHINAHRLEISVDQYPTHTSNRGVLSYLEPRGSLLLGGLDAEASRHLQEHRLGLTPEATNASLLGCMEDLSVNGQRRGLREALLTRNMAAGCRLEEEEYEDDAYGHYEAFSTLAPEAWPAMELPEPCVPEPGLPPVFANFTQLLTISPLVVAEGGTAWLEWRHVQPTLDLMEAELRKSQVLFSVTRGARHGELELDIPGAQARKMFTLLDVVNRKARFIHDGSEDTSDQLVLEVSVTARVPMPSCLRRGQTYLLPIQVNPVNDPPHIIFPHGSLMVILEHTQKPLGPEVFQAYDPDSACEGLTFQVLGTSSGLPVERRDQPGEPATEFSCRELEAGSLVYVHRGGPAQDLTFRVSDGLQASPPATLKVVAIRPAIQIHRSTGLRLAQGSAMPILPANLSVETNAVGQDVSVLFRVTGALQFGELQKQGAGGVEGAEWWATQAFHQRDVEQGRVRYLSTDPQHHAYDTVENLALEVQVGQEILSNLSFPVTIQRATVWMLRLEPLHTQNTQQETLTTAHLEATLEEAGPSPPTFHYEVVQAPRKGNLQLQGTRLSDGQGFTQDDIQAGRVTYGATARASEAVEDTFRFRVTAPPYFSPLYTFPIHIGGDPDAPVLTNVLLVVPEGGEGVLSADHLFVKSLNSASYLYEVMERPRHGRLAWRGTQDKTTMVTSFTNEDLLRGRLVYQHDDSETTEDDIPFVATRQGESSGDMAWEEVRGVFRVAIQPVNDHAPVQTISRIFHVARGGRRLLTTDDVAFSDADSGFADAQLVLTRKDLLFGSIVAVDEPTRPIYRFTQEDLRKRRVLFVHSGADRGWIQLQVSDGQHQATALLEVQASEPYLRVANGSSLVVPQGGQGTIDTAVLHLDTNLDIRSGDEVHYHVTAGPRWGQLVRAGQPATAFSQQDLLDGAVLYSHNGSLSPRDTMAFSVEAGPVHTDATLQVTIALEGPLAPLKLVRHKKIYVFQGEAAEIRRDQLEAAQEAVPPADIVFSVKSPPSAGYLVMVSRGALADEPPSLDPVQSFSQEAVDTGRVLYLHSRPEAWSDAFSLDVASGLGAPLEGVLVELEVLPAAIPLEAQNFSVPEGGSLTLAPPLLRVSGPYFPTLLGLSLQVLEPPQHGALQKEDGPQARTLSAFSWRMVEEQLIRYVHDGSETLTDSFVLMANASEMDRQSHPVAFTVTVLPVNDQPPILTTNTGLQMWEGATAPIPAEALRSTDGDSGSEDLVYTIEQPSNGRVVLRGAPGTEVRSFTQAQLDGGLVLFSHRGTLDGGFRFRLSDGEHTSPGHFFRVTAQKQVLLSLKGSQTLTVCPGSVQPLSSQTLRASSSAGTDPQLLLYRVVRGPQLGRLFHAQQDSTGEALVNFTQAEVYAGNILYEHEMPPEPFWEAHDTLELQLSSPPARDVAATLAVAVSFEAACPQRPSHLWKNKGLWVPEGQRARITVAALDASNLLASVPSPQRSEHDVLFQVTQFPSRGQLLVSEEPLHAGQPHFLQSQLAAGQLVYAHGGGGTQQDGFHFRAHLQGPAGASVAGPQTSEAFAITVRDVNERPPQPQASVPLRLTRGSRAPISRAQLSVVDPDSAPGEIEYEVQRAPHNGFLSLVGGGLGPVTRFTQADVDSGRLAFVANGSSVAGIFQLSMSDGASPPLPMSLAVDILPSAIEVQLRAPLEVPQALGRSSLSQQQLRVVSDREEPEAAYRLIQGPQYGHLLVGGRPTSAFSQFQIDQGEVVFAFTNFSSSHDHFRVLALARGVNASAVVNVTVRALLHVWAGGPWPQGATLRLDPTVLDAGELANRTGSVPRFRLLEGPRHGRVVRVPRARTEPGGSQLVEQFTQQDLEDGRLGLEVGRPEGRAPGPAGDSLTLELWAQGVPPAVASLDFATEPYNAARPYSVALLSVPEAARTEAGKPESSTPTGEPGPMASSPEPAVAKGGFLSFLEANMFSVIIPMCLVLLLLALILPLLFYLRKRNKTGKHDVQVLTAKPRNGLAGDTETFRKVEPGQAIPLTAVPGQGPPPGGQPDPELLQFCRTPNPALKNGQYWV
NP_006185.1 139 341 0.507785714285715 Paired box protein Pax-9 341 0 75 203 0 Homo sapiens (Human) SwissProt::P55771 1 SwissProt HYDSYKQHQPTPQPALPYNHIYSYPSPITAAAAKVPTPPGVPAIPGSVAMPRTWPSSHSVTDILGIRSITDQVSDSSPYHSPKVEEWSSLGRNNFPAAAPHAVNGLEKGALEQEAKYGQAPNGLPAVGSFVSASSMAPYPTPAQVSPYMTYSAAPSGYVAGHGWQHAGGTSLSPHNCDIPASLAFKGMQAAREGSHSVTASAL
NP_036899.1 1 92 0.415140217391304 PF00446.17:GnRH:24:33 progonadoliberin-1 precursor 92 10 75 92 0 Rattus norvegicus NP_036899.1 1 RefSeq METIPKLMAAVVLLTVCLEGCSSQHWSYGLRPGGKRNTEHLVDSFQEMGKEEDQMAEPQNFECTVHWPRSPLRDLRGALERLIEEEAGQKKM
NP_778231.2 1 243 0.494072016460906 Juxtaposed with another zinc finger protein 1; TAK1-interacting protein 27; Zinc finger protein 802 243 0 75 243 0 Homo sapiens (Human) SwissProt::Q86VZ6 1 SwissProt MTGIAAASFFSNTCRFGGCGLHFPTLADLIEHIEDNHIDTDPRVLEKQELQQPTYVALSYINRFMTDAARREQESLKKKIQPKLSLTLSSSVSRGNVSTPPRHSSGSLTPPVTPPITPSSSFRSSTPTGSEYDEEEVDYEESDSDESWTTESAISSEAILSSMCMNGGEEKPFACPVPGCKKRYKNVNGIKYHAKNGHRTQIRVRKPFKCRCGKSYKTAQGLRHHTINFHPPVSAEIIRKMQQ
P14209 1 185 0.671751351351351 PF12301.8:CD99L2:32:179 CD99 antigen 185 148 75 142 2 Homo sapiens P14209 1 SwissProt/TReMBL MARGAALALLLFGLLGVLVAAPDGGFDLSDALPDNENKKPTAIPKKPSAGDDFDLGDAVVDGENDDPRPPNPPKPMPNPNPNHPSSSGSFSDADLADGVSGGEGKGGSDGGGSHRKEGEEADAPGVIPGIVGAVVVAVAGAISSFIAYQKKKLCFKENAEQGEVDMESHRNANAEPAVQRTLLEK
Q96247 1 485 0.0590845360824742 PF01490.18:Aa_trans:44:437 Auxin transporter protein 1; Auxin influx carrier protein 1; Polar auxin transport inhibitor-resistant protein 1 485 394 75 265 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96247 1 SwissProt MSEGVEAIVANDNGTDQVNGNRTGKDNEEHDGSTGSNLSNFLWHGGSVWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGIVLQIFYGLLGSWTAYLISVLYVEYRARKEKEGKSFKNHVIQWFEVLDGLLGSYWKALGLAFNCTFLLFGSVIQLIACASNIYYINDHLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLAIASIIHGQAEGVKHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKYIYLMATLYVFTLTIPSAAAVYWAFGDALLDHSNAFSLMPKNAWRDAAVILMLIHQFITFGFACTPLYFVWEKVIGMHDTKSICLRALARLPVVIPIWFLAIIFPFFGPINSAVGALLVSFTVYIIPSLAHMLTYRSASARQNAAEKPPFFMPSWTAMYVLNAFVVVWVLIVGFGFGGWASVTNFVRQVDTFGLFAKCYQCKPAAAAAHAPVSALHHRL
VIMSS10088593 1 292 0.531899315068493 PF00046.29:Homeodomain:37:97 Protein WUSCHEL; AtWUS; Plant growth activator 6 292 61 75 292 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SB92 1 SwissProt MEPPQHQHHHHQADQESGNNNNNKSGSGGYTCRQTSTRWTPTTEQIKILKELYYNNAIRSPTADQIQKITARLRQFGKIEGKNVFYWFQNHKARERQKKRFNGTNMTTPSSSPNSVMMAANDHYHPLLHHHHGVPMQRPANSVNVKLNQDHHLYHHNKPYPSFNNGNLNHASSGTECGVVNASNGYMSSHVYGSMEQDCSMNYNNVGGGWANMDHHYSSAPYNFFDRAKPLFGLEGHQEEEECGGDAYLEHRRTLPLFPMHGEDHINGGSGAIWKYGQSEVRPCASLELRLN
XP_016877753.1 1 780 0.154022564102564 PF03600.16:CitMHS:350:701 P protein isoform X11 780 352 75 566 10 Homo sapiens XP_016877753.1 1 RefSeq MREDWQWSMHLEGRDGRRYPGAPAVELLQTSVPSGLAELVAGKRRLPRGAGGADPSHSCPRGAAGQSSWAPAGQEFASFLTKGRSHSSLPQMSSSRSKDSCFTENTPLLRNSLQEKGSRCIPVYHPEFITAEESWEDSSADWERRYLLSREVSGLSASASSEKGDLLDSPHIRLRLSKLRRCVQWLKVMGLFAFVVLCSILFSLYPDQGKLWQLLALSPLENYSVNLSSHVDSTLLQVDLAGALVASGPSRPGREEHIVVELTQADALGSRWRRPQQVTHNWTVYLNPRRSEHSVMSRTFEVLTRETVSISIRASLQQTQAVPLLMAHQYLRGSVETQVTIATAILAGVYALIIFEIVHRTLAAMLGSLAALAALAVIGDRPSLTHVVEWIDFETLALLFGMMILVAIFSETGFFDYCAVKAYRLSRGRVWAMIIMLCLIAAVLSAFLDNVTTMLLFTPVTIRLCEVLNLDPRQVLIAEVIFTNIGGAATAIGDPPNVIIVSNQELRKMGLDFAGFTAHMFIGICLVLLVCFPLLRLLYWNRKLYNKEPSEIVELKHEIHVWRLTAQRISPASREETAVRRLLLGKVLALEHLLARRLHTFHRQISQEDKNWETNIQELQKKHRISDGILLAKCLTVLGFVIFMFFLNSFVPGIHLDLGWIAILGAIWLLILADIHDFEIILHRVEWATLLFFAALFVLMEIPVLLNLSHDPEVGLPAPPLMYALAFGACLGGNGTLIGASANVVCAGIAEQHGYGFSFMEFFRKRERETRDVLAQRKAL
NP_001020128.1 99 290 0.250649479166667 PF08598.11:Sds3:1:89 breast cancer metastasis-suppressor 1 isoform 2 290 89 74 192 0 Homo sapiens NP_001020128.1 1 RefSeq PEYTEPLGGLQRSLKIRIQVAGIYKGFCLDVIRNKYECELQGAKQHLESEKLLLYDTLQGELQERIQRLEEDRQSLDLSSEWWDDKLHARGSSRSWDSLPPSKRKKAPLVSGPYIVYMLQEIDILEDWTAIKKARAAVSPQKRKSDDRRTHRPLRVCPARLLWCCWALPLHLALAWTPPLPSSRPAQLWPWS
NP_002989.2 1 142 0.601390845070423 Syndecan-2; SYND2; Fibroglycan; Heparan sulfate proteoglycan core protein; HSPG; CD362 antigen 201 0 74 142 0 Homo sapiens (Human) SwissProt::P34741 1 SwissProt MRRAWILLTLGLVACVSAESRAELTSDKDMYLDNSSIEEASGVYPIDDDDYASASGSGADEDVESPELTTSRPLPKILLTSAAPKVETTTLNIQNKIPAQTKSPEETDKEKVHLSDSERKMDPAEEDTNVYTEKHSDSLFKR
NP_003027.1 314 728 0.584174698795181 Ski oncogene; Proto-oncogene c-Ski 728 0 74 415 0 Homo sapiens (Human) SwissProt::P12755 1 SwissProt GNKYKRRVPRVSSEPPASIRPKTDDTSSQSPAPSEKDKPSSWLRTLAGSSNKSLGCVHPRQRLSAFRPWSPAVSASEKELSPHLPALIRDSFYSYKSFETAVAPNVALAPPAQQKVVSSPPCAAAVSRAPEPLATCTQPRKRKLTVDTPGAPETLAPVAAPEEDKDSEAEVEVESREEFTSSLSSLSSPSFTSSSSAKDLGSPGARALPSAVPDAAAPADAPSGLEAELEHLRQALEGGLDTKEAKEKFLHEVVKMRVKQEEKLSAALQAKRSLHQELEFLRVAKKEKLREATEAKRNLRKEIERLRAENEKKMKEANESRLRLKRELEQARQARVCDKGCEAGRLRAKYSAQIEDLQVKLQHAEADREQLRADLLREREAREHLEKVVKELQEQLWPRARPEAAGSEGAAELEP
NP_150087.1 397 1130 0.219082561307902 Sterol regulatory element-binding protein 2; SREBP-2; Sterol regulatory element-binding transcription factor 2 1130 0 74 734 0 Mus musculus (Mouse) SwissProt::Q3U1N2 1 SwissProt DLGSLVDSDVDLKIDDFNQNVLLMSPPASDSGSQAGFSPYSIDSEPGSPLLDDAKVKDEPDSPPVALGMVDRSRILLCVLTFLGLSFNPLTSLLQWGGAHNTDQHPYSGSGRSVLSLESGAGGWFDWMVPTLLLWLVNGVIVLSVFVKLLVHGEPVIRPHSRPSVTFWRHRKQADLDLAKGDFAAAAANLQTCLSVLGRALPTSRLDLACSLSWNVIRYSLQKLRLVRWLLKKVFQRWRATPATAAGFEDEAKSSARDAALAYHRLHQLHITGKLPAGSACSDVHMALCAVNLAECAEEKILPSTLIEIHLTAAMGLKTRCGGKLGFLASYFLNRAQSLCGPEHSTVPDSLRWLCHPLGQKFFMERSWSIKSAAKESLYCAQRSPADPIAQVHQAFCKNLLERAVESLVKPQAKKKAGDQEEESCEFSSALEYLKLLHSFVDSVGFVTSPFSSSSVLRSALGPDVICRWWTSAVTMAISWLQGDDAAVRSRFTEVERVPKALEVTESPLVKAVFYTCRAMHASLSGKADGQQNSFCHCERASGHLWSSLNVSGTTSDPSLNHVIQLFTCDLLLSLRTALWQKQASASQLLGETYHASGTELAGFQRDLGSLRRLAHSFRPAYRKVFLHEATVRLMAGASPTRTHQLLEHSLRRRPTQNTKHGEVDTWPGQRERATAILLACRHLPLSFLSSPGQRAVLLAEAARTLEKVGDRRSCSDCQQMIVKLGGGTAIAAS
P01213 1 254 0.329217322834646 PF01160.18:Opiods_neuropep:21:67 Proenkephalin-B; Beta-neoendorphin-dynorphin; Preprodynorphin 254 47 74 254 0 Homo sapiens (Human) SwissProt::P01213 1 SwissProt MAWQGLVLAACLLMFPSTTADCLSRCSLCAVKTQDGPKPINPLICSLQCQAALLPSEEWERCQSFLSFFTPSTLGLNDKEDLGSKSVGEGPYSELAKLSGSFLKELEKSKFLPSISTKENTLSKSLEEKLRGLSDGFREGAESELMRDAQLNDGAMETGTLYLAEEDPKEQVKRYGGFLRKYPKRSSEVAGEGDGDSMGHEDLYKRYGGFLRRIRPKLKWDNQKRYGGFLRRQFKVVTRSQEDPNAYSGELFDA
P50402 59 254 0.401242346938776 Emerin 254 0 74 173 1 Homo sapiens (Human) SwissProt::P50402 1 SwissProt YSFSDLNSTRGDADMYDLPKKEDALLYQSKGYNDDYYEESYFTTRTYGEPESAGPSRAVRQSVTSFPDADAFHHQVHDDDLLSSSEEECKDRERPMYGRDSAYQSITHYRPVSASRSSLDLSYYPTSSSTSFMSSSSSSSSWLTRRAIRPENRAPGAGLGQDRQVPLWGQLLLFLVFVIVLFFIYHFMQAEEGNPF
Q02846 1 575 0.243629913043478 PF01094.28:ANF_receptor:73:394 Retinal guanylyl cyclase 1; RETGC-1; CG-E; Guanylate cyclase 2D, retinal; Rod outer segment membrane guanylate cyclase; ROS-GC; EC 4.6.1.2 1103 322 74 529 2 Homo sapiens (Human) SwissProt::Q02846 1 SwissProt MTACARRAGGLPDPGLCGPAWWAPSLPRLPRALPRLPLLLLLLLLQPPALSAVFTVGVLGPWACDPIFSRARPDLAARLAAARLNRDPGLAGGPRFEVALLPEPCRTPGSLGAVSSALARVSGLVGPVNPAACRPAELLAEEAGIALVPWGCPWTQAEGTTAPAVTPAADALYALLRAFGWARVALVTAPQDLWVEAGRSLSTALRARGLPVASVTSMEPLDLSGAREALRKVRDGPRVTAVIMVMHSVLLGGEEQRYLLEAAEELGLTDGSLVFLPFDTIHYALSPGPEALAALANSSQLRRAHDAVLTLTRHCPSEGSVLDSLRRAQERRELPSDLNLQQVSPLFGTIYDAVFLLARGVAEARAAAGGRWVSGAAVARHIRDAQVPGFCGDLGGDEEPPFVLLDTDAAGDRLFATYMLDPARGSFLSAGTRMHFPRGGSAPGPDPSCWFDPNNICGGGLEPGLVFLGFLLVVGMGLAGAFLAHYVRHRLLHMQMVSGPNKIILTVDDITFLHPHGGTSRKVAQGSRSSLGARSMSDIRSGPSQHLDSPNIGVYEGDRVWLKKFPGDQHIAIRP
XP_006501132.1 64 267 0.777422058823529 PF08347.11:CTNNB1_binding:6:148 lymphoid enhancer-binding factor 1 isoform X2 369 143 74 204 0 Mus musculus XP_006501132.1 1 RefSeq ASNGHEVVRQAPSSQEPYHDKAREHPDEGKHPDGGLYNKGPSYSSYSGYIMMPNMNSDPYMSNGSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFSPGSHPSHIPSDVNSKQGMSRHPPAPEIPTFYPLSPGGVGQITPPIGWFSHHMIPGPPGPHTTGIPHPAIVTPQVKQEHPHTDSDLMHVKPQHEQRKEQEPKRP
XP_011507647.1 205 512 0.0904905844155844 PF00743.19:FMO-like:1:307 dimethylaniline monooxygenase [N-oxide-forming] 3 isoform X1 512 307 74 285 1 Homo sapiens XP_011507647.1 1 RefSeq WDNGYPWDMLLVTRFGTFLKNNLPTAISDWLYVKQMNARFKHENYGLMPLNGVLRKEPVFNDELPASILCGIVSVKPNVKEFTETSAIFEDGTIFEGIDCVIFATGYSFAYPFLDESIIKSRNNEIILFKGVFPPLLEKSTIAVIGFVQSLGAAIPTVDLQSRWAAQVIKGTCTLPSMEDMMNDINEKMEKKRKWFGKSETIQTDYIVYMDELSSFIGAKPNIPWLFLTDPKLAMEVYFGPCSPYQFRLVGPGQWPGARNAILTQWDRSLKPMQTRVVGRLQKPCFFFHWLKLFAIPILLIAVFLVLT
NP_001188477.1 1 382 0.11646335078534 PF07690.16:MFS_1:9:250 monocarboxylate transporter 5 isoform 4 382 242 73 188 9 Homo sapiens NP_001188477.1 1 RefSeq MGMDDCDSFFPGLGSAFLYQVAAVVTTKYFKKRLALSTAIARSGMGLTFLLAPFTKFLIDLYDWTGALILFGAIALNLVPSSMLLRPIHIKSENNSGIKDKGSSLSAHGPEAHATETHCHETEESTIKDSTTQKAGLPSKNLTVSQNQSEEFYNGPNRNRLLLKSDEESDKVISWSCKQLFDISLFRNPFFYIFTWSFLLSQLAYFIPTFHLVARAKTLGIDIMDASYLVSVAGILETVSQIISGWVADQNWIKKYHYHKSYLILCGITNLLAPLATTFPLLMTYTICFAIFAGGYLALILPVLVDLCRNSTVNRFLGLASFFAGMAVLSGPPIAEIIPSFQAGYMIIPRHTMALSTSLAYAISSLQFPFFLYHWPKDGKTV
NP_001273111.1 1 206 0.643780097087378 Protein-lysine 6-oxidase; Lysyl oxidase; Ras excision protein; EC 1.4.3.13 411 0 73 206 0 Mus musculus (Mouse) SwissProt::P28301 1 SwissProt MRFAWAVLLLGPLQLCPLLRCAPQTPREPPAAPGAWRQTIQWENNGQVFSLLSLGAQYQPQRRRDPSATARRPDGDAASQPRTPILLLRDNRTASTRARTPSPSGVAAGRPRPAARHWFQAGFSPSGARDGASRRAANRTASPQPPQLSNLRPPSHIDRMVGDDPYNPYKYSDDNPYYNYYDTYERPRPGSRNRPGYGTGYFQYGL
NP_001278344.1 1 928 0.483387715517241 PF13181.6:TPR_8:205:233,PF13181.6:TPR_8:285:311,PF13181.6:TPR_8:352:380,PF13432.6:TPR_16:107:161 lysine-specific demethylase 6A isoform 1 1453 140 73 928 0 Homo sapiens NP_001278344.1 1 RefSeq MKSCGVSLATAAAAAAAFGDEEKKMAAGKASGESEEASPSLTAEEREALGGLDSRLFGFVRFHEDGARTKALLGKAVRCYESLILKAEGKVESDFFCQLGHFNLLLEDYPKALSAYQRYYSLQSDYWKNAAFLYGLGLVYFHYNAFQWAIKAFQEVLYVDPSFCRAKEIHLRLGLMFKVNTDYESSLKHFQLALVDCNPCTLSNAEIQFHIAHLYETQRKYHSAKEAYEQLLQTENLSAQVKATVLQQLGWMHHTVDLLGDKATKESYAIQYLQKSLEADPNSGQSWYFLGRCYSSIGKVQDAFISYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGTLYESCNQPQDAIKCYLNATRSKSCSNTSALAARIKYLQAQLCNLPQGSLQNKTKLLPSIEEAWSLPIPAELTSRQGAMNTAQQACKPHHPNTEPVLGLSQTPISQQSLPLHMIPSSQVDDLSSPAKRKRTSSPTKNTSDNWSGGHAVSHPPVQQQAHSWCLTPQKLQHLEQLRANRNNLNPAQKLMLEQLESQFVLMQQHQMRPTGVAQVRSTGIPNGPTADSSLPTNSVSGQQPQLALTRVPSVSQPGVRPACPGQPLANGPFSAGHVPCSTSRTLGSTDTILIGNNHITGSGSNGNVPYLQRNALTLPHNRTNLTSSAEEPWKNQLSNSTQGLHKGQSSHSAGPNGERPLSSTGPSQHLQAAGSGIQNQNGHPTLPSNSVTQGAALNHLSSHTATSGGQQGITLTKESKPSGNILTVPETSRHTGETPNSTASVEGLPNHVHQMTADAVCSPSHGDSKSPGLLSSDNPQLSALLMGKANNNVGTGTCDKVNNIHPAVHTKTDNSVASSPSSAISTATPSPKSTEQTTTNSVTSLNSPHSGLHTINGEGMEESQSPMKTDLLLVNHK
P17275 1 265 0.65199320754717 PF03957.13:Jun:5:257 Transcription factor jun-B 347 253 73 265 0 Homo sapiens (Human) SwissProt::P17275 1 SwissProt MCTKMEQPFYHDDSYTATGYGRAPGGLSLHDYKLLKPSLAVNLADPYRSLKAPGARGPGPEGGGGGSYFSGQGSDTGASLKLASSELERLIVPNSNGVITTTPTPPGQYFYPRGGGSGGGAGGAGGGVTEEQEGFADGFVKALDDLHKMNHVTPPNVSLGATGGPPAGPGGVYAGPEPPPVYTNLSSYSPASASSGGAGAAVGTGSSYPTTTISYLPHAPPFAGGHPAQLGLGRGASTFKEEPQTVPEARSRDATPPVSPINMED
XP_011240984.1 1 87 0.771541379310345 nuclear receptor ROR-alpha isoform X5 87 0 73 87 0 Mus musculus XP_011240984.1 1 RefSeq MESAPAAPDPAASEPGSSGSEAAAGSRETPLTQDTGRKSEAPGAGRRQSYASSSRGDFIISRHRHVSLKSRKENSQAKVCFTGFRET
XP_011511559.1 430 923 0.770091093117409 E3 ubiquitin-protein ligase CBL-B; Casitas B-lineage lymphoma proto-oncogene b; RING finger protein 56; RING-type E3 ubiquitin transferase CBL-B; SH3-binding protein CBL-B; Signal transduction protein CBL-B; EC 2.3.2.27 982 0 73 494 0 Homo sapiens (Human) SwissProt::Q13191 1 SwissProt DEGSRCCSIIDPFGMPMLDLDDDDDREESLMMNRLANVRKCTDRQNSPVTSPGSSPLAQRRKPQPDPLQIPHLSLPPVPPRLDLIQKGIVRSPCGSPTGSPKSSPCMVRKQDKPLPAPPPPLRDPPPPPPERPPPIPPDNRLSRHIHHVESVPSRDPPMPLEAWCPRDVFGTNQLVGCRLLGEGSPKPGITASSNVNGRHSRVGSDPVLMRKHRRHDLPLEGAKVFSNGHLGSEEYDVPPRLSPPPPVTTLLPSIKCTGPLANSLSEKTRDPVEEDDDEYKIPSSHPVSLNSQPSHCHNVKPPVRSCDNGHCMLNGTHGPSSEKKSNIPDLSIYLKGDVFDSASDPVPLPPARPPTRDNPKHGSSLNRTPSDYDLLIPPLGEDAFDALPPSLPPPPPPARHSLIEHSKPPGSSSRPSSGQDLFLLPSDPFVDLASGQVPLPPARRLPGENVKTNRTSQDYDQLPSCSDGSQAPARPPKPRPRRTAPEIHHRKPH
XP_017176788.1 1 178 0.473333707865168 oxidized low-density lipoprotein receptor 1 isoform X1 317 0 73 155 1 Mus musculus XP_017176788.1 1 RefSeq MTFDDKMKPANDEPDQKSCGKKPKGLHLLSSPWWFPAAMTLVILCLVLSVTLIVQWTQLRQVSDLLKQYQANLTQQDRILEGQMLAQQKAENTSQESKKELKGKIDTLTQKLNEKSKEQEELLQKNQNLQEALQRAANSSEESQRELKGKIDTLTLKLNEKSKEQEELLQKNQNLQEA
NP_001167607.1 1 95 0.261317894736842 teratocarcinoma-derived growth factor 1 isoform 2 172 0 72 95 0 Homo sapiens NP_001167607.1 1 RefSeq MAISKVFELGLVAGLGHQEFARPSRGYLAFRDDSIWPQEEPAIRPRSSQRVPPMGIQHSKELNRTCCLNGGTCMLGSFCACPPSFYGRNCEHDVR
NP_001274445.1 1 305 0.61929475409836 PF06617.13:M-inducer_phosp:49:304 M-phase inducer phosphatase 2 isoform 4 516 256 72 305 0 Homo sapiens NP_001274445.1 1 RefSeq MHSETPKSQVGTLLFRSRSRLTHLSLSRRASESSLSSESSESSDAGLCMDSPSPMDPHMAEQTFEQAIQAASRIIRNEQFAIRRFQSMPVRLLGHSPVLRNITNSQAPDGRRKSEAGSGAASSSGEDKENDGFVFKMPWKPTHPSSTHALAEWASRREAFAQRPSSAPDLMCLSPDRKMEVEELSPLALGRFSLTPAEGDTEEDDGFVDILESDLKDDDAVPPGMESLISAPLVKTLEKEEEKDLVMYSKCQRLFRSPSMPCSVIRPILKRLERPQDRDTPVQNKRRRSVTPPEEQQEAEEPKAR
NP_001308971.2 106 633 0.552318371212121 PF12258.8:Microcephalin:120:502 microcephalin isoform 4 875 383 72 528 0 Homo sapiens NP_001308971.2 1 RefSeq KKRKCMQPKDFNFKTPENDKRFQKKFEKMAKELQRQKTNLDDDVPILLFESNGSLIYTPTIEINSRHHSAMEKRLQEMKEKRENLSPTSSQMIQQSHDNPSNSLCEAPLNISRDTLCSDEYFAGGLHSSFDDLCGNSGCGNQERKLEGSINDIKSDVCISSLVLKANNIHSSPSFTHLDKSSPQKFLSNLSKEEINLQRNIAGKVVTPDQKQAAGMSQETFEEKYRLSPTLSSTKGHLLIHSRPRSSSVKRKRVSHGSHSPPKEKCKRKRSTRRSIMPRLQLCRSEDRLQHVAGPALEALSCGESSYDDYFSPDNLKERYSENLPPESQLPSSPAQLSCRSLSKKERTSIFEMSDFSCVGKKTRTVDITNFTAKTISSPRKTGNGEGRATSSCVTSAPEEALRCCRQAGKEDACPEGNGFSYTIEDPALPKGHDDDLTPLEGSLEEMKEAVGLKSTQNKGTTSKISNSSEGEAQSEHEPCFIVDCNMETSTEEKENLPGGYSGSVKNRPTRHDVLDDSCDGFKDLIKP
NP_001311252.1 1 186 0.0203779569892473 PF02544.16:Steroid_dh:38:186,PF06966.12:DUF1295:79:146 3-oxo-5-alpha-steroid 4-dehydrogenase 1 isoform 3 186 149 72 125 3 Homo sapiens NP_001311252.1 0 RefSeq MTLPWRTWSEWKQITSFTVFPALLRCLIYPFLMRGGKPMPLLACTMAIMFCTCNGYLQSRYLSHCAVYADDWVTDPRFLIGFGLWLTGMLINIHSDHILRNLRKPGDTGYKIPRGGLFEYVTAANYFGEIMEWCGYALASWSVQGAAFAFFTFCFLSGRAKEHHEWYLRKFEEYPKFRKIIIPFLF
NP_002491.2 161 356 0.40131224489796 PF12533.8:Neuro_bHLH:1:124 neurogenic differentiation factor 1 356 124 72 196 0 Homo sapiens NP_002491.2 1 RefSeq KSPDLVSFVQTLCKGLSQPTTNLVAGCLQLNPRTFLPEQNQDMPPHLPTASASFPVHPYSYQSPGLPSPPYGTMDSSHVFHVKPPPHAYSAALEPFFESPLTDCTSPSFDGPLSPPLSINGNFSFKHEPSAEFEKNYAFTMHYPAATLAGAQSHGSIFSGTAAPRCEIPIDNIMSFDSHSHHERVMSAQLNAIFHD
NP_003661.1 108 412 0.52504 PF07527.13:Hairy_orange:34:72 Class E basic helix-loop-helix protein 40; bHLHe40; Class B basic helix-loop-helix protein 2; bHLHb2; Differentially expressed in chondrocytes protein 1; DEC1; Enhancer-of-split and hairy-related protein 2; SHARP-2; Stimulated by retinoic acid gene 13 protein 412 39 72 305 0 Homo sapiens (Human) SwissProt::O14503 1 SwissProt TNLIDQQQQKIIALQSGLQAGELSGRNVETGQEMFCSGFQTCAREVLQYLAKHENTRDLKSSQLVTHLHRVVSELLQGGTSRKPSDPAPKVMDFKEKPSSPAKGSEGPGKNCVPVIQRTFAHSSGEQSGSDTDTDSGYGGESEKGDLRSEQPCFKSDHGRRFTMGERIGAIKQESEEPPTKKNRMQLSDDEGHFTSSDLISSPFLGPHPHQPPFCLPFYLIPPSATAYLPMLEKCWYPTSVPVLYPGLNASAAALSSFMNPDKISAPLLMPQRLPSPLPAHPSVDSSVLLQALKPIPPLNLETKD
NP_034788.1 1 209 0.771225837320574 PF08383.11:Maf_N:81:113 Transcription factor MafB; Maf-B; Kreisler; Segmentation protein Kr; Transcription factor Maf-1; V-maf musculoaponeurotic fibrosarcoma oncogene homolog B 323 33 72 209 0 Mus musculus (Mouse) SwissProt::P54841 1 SwissProt MAAELSMGQELPTSPLAMEYVNDFDLLKFDVKKEPLGRAERPGRPCTRLQPAGSVSSTPLSTPCSSVPSSPSFSPTEPKTHLEDLYWMASNYQQMNPEALNLTPEDAVEALIGSHPVPQPLQSFDGFRSAHHHHHHHHPHPHHGYPGAGVTHDDLGQHAHPHHHHHHQASPPPSSAASPAQQLPTSHPGPGPHATAAATAAGGNGSVED
NP_035657.1 1 176 0.716828977272728 T-cell acute lymphocytic leukemia protein 1 homolog; TAL-1; Stem cell protein 329 0 72 176 0 Mus musculus (Mouse) SwissProt::P22091 1 SwissProt MTERPPSEAARSDPQLEGQDAAEARMAPPHLVLLNGVAKETSRAAPAEPPVIELGARSGAGGGPASGGGAARDLKGRDAVAAEARLRVPTTELCRPPGPAPAPAPASAPAELPGDGRMVQLSPPALAAPAGPGRALLYSLSQPLASLGSGFFGEPDAFPMFTNNNRVKRRPSPYEM
NP_060877.4 1 226 0.0522106194690265 PF03821.16:Mtp:153:224 lysosomal-associated transmembrane protein 4B 226 72 72 134 4 Homo sapiens NP_060877.4 0 RefSeq MKMVAPWTRFYSNSCCLCCHVRTGTILLGVWYLIINAVVLLILLSALADPDQYNFSSSELGGDFEFMDDANMCIAIAISLLMILICAMATYGAYKQRAAWIIPFFCYQIFDFALNMLVAITVLIYPNSIQEYIRQLPPNFPYRDDVMSVNPTCLVLIILLFISIILTFKGYLISCVWNCYRYINGRNSSDVLVYVTSNDTTVLLPPYDDATVNGAAKEPPPPYVSA
NP_996837.1 1 509 0.0939200392927309 Melanoma antigen preferentially expressed in tumors; Opa-interacting protein 4; OIP-4; Preferentially expressed antigen of melanoma 509 0 72 509 0 Homo sapiens (Human) SwissProt::P78395 1 SwissProt MERRRLWGSIQSRYISMSVWTSPRRLVELAGQSLLKDEALAIAALELLPRELFPPLFMAAFDGRHSQTLKAMVQAWPFTCLPLGVLMKGQHLHLETFKAVLDGLDVLLAQEVRPRRWKLQVLDLRKNSHQDFWTVWSGNRASLYSFPEPEAAQPMTKKRKVDGLSTEAEQPFIPVEVLVDLFLKEGACDELFSYLIEKVKRKKNVLRLCCKKLKIFAMPMQDIKMILKMVQLDSIEDLEVTCTWKLPTLAKFSPYLGQMINLRRLLLSHIHASSYISPEKEEQYIAQFTSQFLSLQCLQALYVDSLFFLRGRLDQLLRHVMNPLETLSITNCRLSEGDVMHLSQSPSVSQLSVLSLSGVMLTDVSPEPLQALLERASATLQDLVFDECGITDDQLLALLPSLSHCSQLTTLSFYGNSISISALQSLLQHLIGLSNLTHVLYPVPLESYEDIHGTLHLERLAYLHARLRELLCELGRPSMVWLSANPCPHCGDRTFYDPEPILCPCFMPN
P34707 1 539 0.553023562152133 Protein skinhead-1 623 0 72 539 0 Caenorhabditis elegans SwissProt::P34707 1 SwissProt MGGSSRRQRSTSATRRDDKRRRRQCFSSVADDEEETTSIYGVSSIFIWILATSSLILVISSPSSNTSIQSSSYDRITTKHLLDNISPTFKMYTDSNNRNFDEVNHQHQQEQDFNGQSKYDYPQFNRPMGLRWRDDQRMMEYFMSNGPVETVPVMPILTEHPPASPFGRGPSTERPTTSSRYEYSSPSLEDIDLIDVLWRSDIAGEKGTRQVAPADQYECDLQTLTEKSTVAPLTAEENARYEDLSKGFYNGFFESFNNNQYQQKHQQQQREQIKTPTLEHPTQKAELEDDLFDEDLAQLFEDVSREEGQLNQLFDNKQQHPVINNVSLSEGIVYNQANLTEMQEMRDSCNQVSISTIPTTSTAQPETLFNVTDSQTVEQWLPTEVVPNDVFPTSNYAYIGMQNDSLQAVVSNGQIDYDHSYQSTGQTPLSPLIIGSSGRQQQTQTSPGSVTVTATATQSLFDPYHSQRHSFSDCTTDSSSTCSRLSSESPRYTSESSTGTHESRFYGKLAPSSGSRYQRSSSPRSSQSSIKIARVVPLA
Q05940 1 514 0.0890295719844358 PF07690.16:MFS_1:101:418,PF00083.24:Sugar_tr:107:268 Synaptic vesicular amine transporter; Monoamine transporter; Solute carrier family 18 member 2; Vesicular amine transporter 2; VAT2 514 318 72 261 11 Homo sapiens (Human) SwissProt::Q05940 1 SwissProt MALSELALVRWLQESRRSRKLILFIVFLALLLDNMLLTVVVPIIPSYLYSIKHEKNATEIQTARPVHTASISDSFQSIFSYYDNSTMVTGNATRDLTLHQTATQHMVTNASAVPSDCPSEDKDLLNENVQVGLLFASKATVQLITNPFIGLLTNRIGYPIPIFAGFCIMFVSTIMFAFSSSYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNVMGIALGGLAMGVLVGPPFGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQKGTPLTTLLKDPYILIAAGSICFANMGIAMLEPALPIWMMETMCSRKWQLGVAFLPASISYLIGTNIFGILAHKMGRWLCALLGMIIVGVSILCIPFAKNIYGLIAPNFGVGFAIGMVDSSMMPIMGYLVDLRHVSVYGSVYAIADVAFCMGYAIGPSAGGAIAKAIGFPWLMTIIGIIDILFAPLCFFLRSPPAKEEKMAILMDHNCPIKTKMYTQNNIQSYPIGEDEESESD
Q99519 131 415 0.280487368421053 PF13088.6:BNR_2:10:247 Sialidase-1; Acetylneuraminyl hydrolase; G9 sialidase; Lysosomal sialidase; N-acetyl-alpha-neuraminidase 1; EC 3.2.1.18 415 238 72 285 0 Homo sapiens (Human) SwissProt::Q99519 1 SwissProt GDVPDGLNLGAVVSDVETGVVFLFYSLCAHKAGCQVASTMLVWSKDDGVSWSTPRNLSLDIGTEVFAPGPGSGIQKQREPRKGRLIVCGHGTLERDGVFCLLSDDHGASWRYGSGVSGIPYGQPKQENDFNPDECQPYELPDGSVVINARNQNNYHCHCRIVLRSYDACDTLRPRDVTFDPELVDPVVAAGAVVTSSGIVFFSNPAHPEFRVNLTLRWSFSNGTSWRKETVQLWPGPSGYSSLATLEGSMDGEEQAPQLYVLYEKGRNHYTESISVAKISVYGTL
Q99665 387 862 0.346771218487395 PF00041.21:fn3:37:122 Interleukin-12 receptor subunit beta-2; IL-12 receptor subunit beta-2; IL-12R subunit beta-2; IL-12R-beta-2; IL-12RB2 862 86 72 453 1 Homo sapiens (Human) SwissProt::Q99665 1 SwissProt IPRTGNWAVAVSAANSKGSSLPTRINIMNLCEAGLLAPRQVSANSEGMDNILVTWQPPRKDPSAVQEYVVEWRELHPGGDTQVPLNWLRSRPYNVSALISENIKSYICYEIRVYALSGDQGGCSSILGNSKHKAPLSGPHINAITEEKGSILISWNSIPVQEQMGCLLHYRIYWKERDSNSQPQLCEIPYRVSQNSHPINSLQPRVTYVLWMTALTAAGESSHGNEREFCLQGKANWMAFVAPSICIAIIMVGIFSTHYFQQKVFVLLAALRPQWCSREIPDPANSTCAKKYPIAEEKTQLPLDRLLIDWPTPEDPEPLVISEVLHQVTPVFRHPPCSNWPQREKGIQGHQASEKDMMHSASSPPPPRALQAESRQLVDLYKVLESRGSDPKPENPACPWTVLPAGDLPTHDGYLPSNIDDLPSHEAPLADSLEELEPQHISLSVFPSSSLHPLTFSCGDKLTLDQLKMRCDSLML
XP_016881270.1 1 297 0.023429292929293 PF00001.21:7tm_1:41:276,PF10320.9:7TM_GPCR_Srsx:36:165,PF10320.9:7TM_GPCR_Srsx:136:290,PF10328.9:7TM_GPCR_Srx:32:168 Adrenocorticotropic hormone receptor; ACTH receptor; ACTH-R; Adrenocorticotropin receptor; Melanocortin receptor 2; MC2-R 297 259 72 142 7 Homo sapiens (Human) SwissProt::Q01718 0 SwissProt MKHIINSYENINNTARNNSDCPRVVLPEEIFFTISIVGVLENLIVLLAVFKNKNLQAPMYFFICSLAISDMLGSLYKILENILIILRNMGYLKPRGSFETTADDIIDSLFVLSLLGSIFSLSVIAADRYITIFHALRYHSIVTMRRTVVVLTVIWTFCTGTGITMVIFSHHVPTVITFTSLFPLMLVFILCLYVHMFLLARSHTRKISTLPRANMKGAITLTILLGVFIFCWAPFVLHVLLMTFCPSNPYCACYMSLFQVNGMLIMCNAVIDPFIYAFRSPELRDAFKKMIFCSRYW
XP_016882907.1 1 128 0.34301640625 tumor necrosis factor ligand superfamily member 14 isoform X2 128 0 72 105 1 Homo sapiens XP_016882907.1 1 RefSeq MEESVVRPSVFVVDGQTDIPFTRLGRSHRRQSCSVARVGLGLLLLLMGAGLAVQGWFLLQLHWRLGEMVTRLPDGPAGSWEQLIQERRSHEVNPAAHLTVTTFGGHSEIRHRVKSGPVPGSPGQTASL
NP_001014989.2 1 269 0.593222676579925 PF15234.6:LAT:37:269 linker for activation of T-cells family member 1 isoform d 269 233 71 246 1 Homo sapiens NP_001014989.2 1 RefSeq MEATAASWQVAVPVLGGASRPLGPRGAASLLRAPLQMEEAILVPCVLGLLLLPILAMLMALCVHCHRLPGSYDSTSSDSLYPRGIQFKRPHTVAPWPPAYPPVTSYPPLSQPDLLPIPRSPQPLGGSHRTPSSRRDSDGANSVASYENEEPACEDADEDEDDYHNPGYLVVLPDSTPATSTAAPSAPALSTPGIRDSAFSMESIDDYVNVPESGESAEASLDGSREYVNVSQELHPGAAKTEPAALSSQEAEEVEEEGAPDYENLQELN
NP_001020748.2 1 194 0.531748453608248 PF08383.11:Maf_N:87:119 Transcription factor Maf; Proto-oncogene c-Maf; V-maf musculoaponeurotic fibrosarcoma oncogene homolog 370 33 71 194 0 Mus musculus (Mouse) SwissProt::P54843 1 SwissProt MASELAMNNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPMSTPCSSVPPSPSFSAPSPGSGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISNSHQLQGGFDGYARGAQQLAAAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGAAPHYHHHHHHAAGHHHH
NP_001271280.1 229 825 0.289032495812395 PF00999.21:Na_H_Exchanger:3:224 sodium/hydrogen exchanger 3 isoform 2 825 222 71 465 6 Homo sapiens NP_001271280.1 1 RefSeq NVFESFVALGGDNVTGVDCVKGIVSFFVVSLGGTLVGVVFAFLLSLVTRFTKHVRIIEPGFVFIISYLSYLTSEMLSLSAILAITFCGICCQKYVKANISEQSATTVRYTMKMLASSAETIIFMFLGISAVNPFIWTWNTAFVLLTLVFISVYRAIGVVLQTWLLNRYRMVQLEPIDQVVLSYGGLRGAVAFALVVLLDGDKVKEKNLFVSTTIIVVFFTVIFQWLKVKRSEHREPRLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWSHFDRKFLSRVLMRRSAQKSRDRILNVFHELNLKDAISYVAEGERRGSLAFIRSPSTDNVVNVDFTPRSSTVEASVSYLLRENVSAVCLDMQSLEQRRRSIRDAEDMVTHHTLQQYLYKPRQEYKHLYSRHELTPTEDEKQDREIFHRTMRKRLESFKSTKLGLNQNKKAAKLYKRERAQKRRNSSIPNGKLPMESPAQNFTIKEKDLELSDTEEPPNYDEEMSGGIEFLASVTKDTASDSPAGIDNPVFSPDEALDRSLLARLPPWLSPGETVVPSQRARTQIPYSPGTFCRLMPFRLSSKSVDSFLQADGPEERPPAALPESTHM
NP_032735.3 91 374 0.687703873239436 PF01056.18:Myc_N:1:280 N-myc proto-oncogene protein 462 280 71 284 0 Mus musculus (Mouse) SwissProt::P03966 1 SwissProt NPAEEDAFGLGGLGGLTPNPVILQDCMWSGFSAREKLERAVNEKLQHGHGPPGVSSACSAPGVGASSPGGRALGGSSSASHTGATLPTDLSHPAAECVDPAVVFPFPVNKRESASVPAAPTSAPATSAAVTSVSVPATAPVAAPARAGGRPASSGEAKALSTSGEDTLSDSDDEDDEEEDEEEEIDVVTVEKRRSSSNNKAVTTFTITVRPKTSALGLGRAQPGELILKRCVPIHQQHNYAAPSPYVESEDAPPQKKIKSEASPRPLKSVVPAKAKSLSPRNSD
NP_034426.2 399 1111 0.716085553997194 Zinc finger protein GLI1; Glioma-associated oncogene homolog 1111 0 71 713 0 Mus musculus (Mouse) SwissProt::P47806 1 SwissProt RHRGDGPLPRAQPLSTVEPKREREGGSGREESRLTVPESAMPQQSPGAQSSCSSDHSPAGSAANTDSGVEMAGNAGGSTEDLSSLDEGPCVSATGLSTLRRLENLRLDQLHQLRPIGSRGLKLPSLTHAGAPVSRRLGPPVSLDRRSSSSSSMSSAYTVSRRSSLASPFPPGTPPENGASSLPGLTPAQHYMLRARYASARGSGTPPTAAHSLDRMGGLSVPPWRSRTEYPGYNPNAGVTRRASDPARAADHPAPARVQRFKSLGCVHTPPSVATGRNFDPHHPTSVYSPQPPSITENVAMDTRGLQEEPEVGTSVMGNGLNPYMDFSSTDTLGYGGPEGTAAEPYEARGPGSLPLGPGPPTNYGPGHCAQQVSYPDPTPENWGEFPSHAGVYPSNKAPGAAYSQCPRLEHYGQVQVKPEQGCPVGSDSTGLAPCLNAHPSEGSPGPQPLFSHHPQLPQPQYPQSGPYPQPPHGYLSTEPRLGLNFNPSSSHSTGQLKAQLVCNYVQSQQELLWEGRNRGGLPNQELPYQSPKFLGGSQVSQSPAKTPAAAAAAYGSGFAPASANHKSGSYPAPSPCHETFTVGVNRPSHRPAAPPRLLPPLSPCYGPLKVGDTNPSCGHPEVGRLGAGPALYPPPEGQVCNALDSLDLDNTQLDFVAILDEAQGLSPPLSHEQGDSSKNTPSPSGPPNMAVGNMSVLLGSLPGETQFLNSSA
NP_110409.2 1 538 0.10743531598513 PF05602.12:CLPTM1:10:421 Cleft lip and palate transmembrane protein 1-like protein; CLPTM1-like protein; Cisplatin resistance-related protein 9; CRR9p 538 412 71 414 6 Homo sapiens (Human) SwissProt::Q96KA5 1 SwissProt MWSGRSSFTSLVVGVFVVYVVHTCWVMYGIVYTRPCSGDANCIQPYLARRPKLQLSVYTTTRSHLGAENNIDLVLNVEDFDVESKFERTVNVSVPKKTRNNGTLYAYIFLHHAGVLPWHDGKQVHLVSPLTTYMVPKPEEINLLTGESDTQQIEAEKKPTSALDEPVSHWRPRLALNVMADNFVFDGSSLPADVHRYMKMIQLGKTVHYLPILFIDQLSNRVKDLMVINRSTTELPLTVSYDKVSLGRLRFWIHMQDAVYSLQQFGFSEKDADEVKGIFVDTNLYFLALTFFVAAFHLLFDFLAFKNDISFWKKKKSMIGMSTKAVLWRCFSTVVIFLFLLDEQTSLLVLVPAGVGAAIELWKVKKALKMTIFWRGLMPEFQFGTYSESERKTEEYDTQAMKYLSYLLYPLCVGGAVYSLLNIKYKSWYSWLINSFVNGVYAFGFLFMLPQLFVNYKLKSVAHLPWKAFTYKAFNTFIDDVFAFIITMPTSHRLACFRDDVVFLVYLYQRWLYPVDKRRVNEFGESYEEKATRAPHTD
O08712 198 401 0.236075 PF00531.22:Death:82:167 Tumor necrosis factor receptor superfamily member 11B; Osteoclastogenesis inhibitory factor; Osteoprotegerin 401 86 71 204 0 Mus musculus (Mouse) SwissProt::O08712 1 SwissProt DVTLCEEAFFRFAVPTKIIPNWLSVLVDSLPGTKVNAESVERIKRRHSSQEQTFQLLKLWKHQNRDQEMVKKIIQDIDLCESSVQRHLGHSNLTTEQLLALMESLPGKKISPEEIERTRKTCKSSEQLLKLLSLWRIKNGDQDTLKGLMYALKHLKTSHFPKTVTHSLRKTMRFLHSFTMYRLYQKLFLEMIGNQVQSVKISCL
Q8N138 1 153 0.076940522875817 PF04061.14:ORMDL:11:146 ORM1-like protein 3 153 136 71 107 2 Homo sapiens (Human) SwissProt::Q8N138 1 SwissProt MNVGTAHSEVNPNTRVMNSRGIWLSYVLAIGLLHIVLLSIPFVSVPVVWTLTNLIHNMGMYIFLHTVKGTPFETPDQGKARLLTHWEQMDYGVQFTASRKFLTITPIVLYFLTSFYTKYDQIHFVLNTVSLMSVLIPKLPQLHGVRIFGINKY
XP_011243291.1 107 289 0.439970491803278 PF03529.13:TF_Otx:47:128 homeobox protein OTX2 isoform X2 289 82 71 183 0 Mus musculus XP_011243291.1 1 RefSeq KVRPAKKKSSPAREVSSESGTSGQFSPPSSTSVPTIASSSAPVSIWSPASISPLSDPLSTSSSCMQRSYPMTYTQASGYSQGYAGSTSYFGGMDCGSYLTPMHHQLPGPGATLSPMGTNAVTSHLNQSPASLSTQGYGASSLGFNSTTDCLDYKDQTASWKLNFNADCLDYKDQTSSWKFQVL
XP_011507892.1 1 287 0.518223344947735 PF03792.13:PBC:40:92,PF03792.13:PBC:144:287 pre-B-cell leukemia transcription factor 1 isoform X2 462 197 71 287 0 Homo sapiens XP_011507892.1 1 RefSeq MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDEAQARKHALNCHRMKPALFNVLCEIKEKTELKEARSRNCPSLFFLVTRIQSSVRHRVLSSASTSGNPVTPQPTHHHPSFGWGEGKVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFL
NP_001242.2 1 354 0.450963841807911 PF01299.17:Lamp:156:302 Macrosialin; Gp110; CD68 antigen 354 147 70 331 1 Homo sapiens (Human) SwissProt::P34810 1 SwissProt MRLAVLFSGALLGLLAAQGTGNDCPHKKSATLLPSFTVTPTVTESTGTTSHRTTKSHKTTTHRTTTTGTTSHGPTTATHNPTTTSHGNVTVHPTSNSTATSQGPSTATHSPATTSHGNATVHPTSNSTATSPGFTSSAHPEPPPPSPSPSPTSKETIGDYTWTNGSQPCVHLQAQIQIRVMYTTQGGGEAWGISVLNPNKTKVQGSCEGAHPHLLLSFPYGHLSFGFMQDLQQKVVYLSYMAVEYNVSFPHAAQWTFSAQNASLRDLQAPLGQSFSCSNSSIILSPAVHLDLLSLRLQAAQLPHTGVFGQSFSCPSDRSILLPLIIGLILLGLLALVLIAFCIIRRRPSAYQAL
NP_001248366.1 1 287 0.610210801393729 PF04621.13:ETS_PEA3_N:5:285 ETS translocation variant 4 isoform 2 445 281 70 287 0 Homo sapiens NP_001248366.1 1 RefSeq MDPGSLPPLDSEDLFQDLSHFQETWLAEAQVPDSDEQFVPDFHSENLAFHSPTTRIKKEPQSPRTDPALSCSRKPPLPYHHGEQCLYSSAYDPPRQIAIKSPAPGALGQSPLQPFPRAEQRNFLRSSGTSQPHPGHGYLGEHSSVFQQPLDICHSFTSQGGGREPLPAPYQHQLSEPCPPYPQQSFKQEYHDPLYEQAGQPAVDQGGVNGHRYPGAGVVIKQEQTDFAYDSDVTGCASMYLHTEGFSGPSPGDGAMGYGYEKPLRPFPDDVCVVPEKFEGDIKQEGV
NP_001257799.1 1 139 0.475864748201439 neurotrophin-3 isoform 3 precursor 258 0 70 139 0 Rattus norvegicus NP_001257799.1 1 RefSeq MSILFYVIFLAYLRGIQGNNMDQRSLPEDSLNSLIIKLIQADILKNKLSKQMVDVKENYQSTLPKAEAPREPEQGEATRSEFQPMIATDTELLRQQRRYNSPRVLLSDSTPLEPPPLYLMEDYVGNPVVTNRTSPRRKR
NP_001300910.1 1 187 0.670422994652407 PF08347.11:CTNNB1_binding:1:100 transcription factor 7 303 100 70 187 0 Mus musculus NP_001300910.1 1 RefSeq MYKETVYSAFNLLMPYPPASGAGQHPQPQPPLHNKPGQPPHGVPQLSPLYEHFSSPHPTPAPADISQKQGVHRPLQTPDLSGFYSLTSGSMGQLPHTVSWPSPPLYPLSPSCGYRQHFPAPTAAPGAPYPRFTHPSLMLGSGVPGHPAAIPHPAIVPSSGKQELQPYDRNLKTQAEPKAEKEAKKPV
NP_073753.3 1 836 0.258283492822966 CUB domain-containing protein 1; Membrane glycoprotein gp140; Subtractive immunization M plus HEp3-associated 135 kDa protein; SIMA135; Transmembrane and associated with src kinases; CD318 antigen 836 0 70 813 1 Homo sapiens (Human) SwissProt::Q9H5V8 1 SwissProt MAGLNCGVSIALLGVLLLGAARLPRGAEAFEIALPRESNITVLIKLGTPTLLAKPCYIVISKRHITMLSIKSGERIVFTFSCQSPENHFVIEIQKNIDCMSGPCPFGEVQLQPSTSLLPTLNRTFIWDVKAHKSIGLELQFSIPRLRQIGPGESCPDGVTHSISGRIDATVVRIGTFCSNGTVSRIKMQEGVKMALHLPWFHPRNVSGFSIANRSSIKRLCIIESVFEGEGSATLMSANYPEGFPEDELMTWQFVVPAHLRASVSFLNFNLSNCERKEERVEYYIPGSTTNPEVFKLEDKQPGNMAGNFNLSLQGCDQDAQSPGILRLQFQVLVQHPQNESNKIYVVDLSNERAMSLTIEPRPVKQSRKFVPGCFVCLESRTCSSNLTLTSGSKHKISFLCDDLTRLWMNVEKTISCTDHRYCQRKSYSLQVPSDILHLPVELHDFSWKLLVPKDRLSLVLVPAQKLQQHTHEKPCNTSFSYLVASAIPSQDLYFGSFCPGGSIKQIQVKQNISVTLRTFAPSFQQEASRQGLTVSFIPYFKEEGVFTVTPDTKSKVYLRTPNWDRGLPSLTSVSWNISVPRDQVACLTFFKERSGVVCQTGRAFMIIQEQRTRAEEIFSLDEDVLPKPSFHHHSFWVNISNCSPTSGKQLDLLFSVTLTPRTVDLTVILIAAVGGGVLLLSALGLIICCVKKKKKKTNKGPAVGIYNDNINTEMPRQPKKFQKGRKDNDSHVYAVIEDTMVYGHLLQDSSGSFLQPEVDTYRPFQGTMGVCPPSPPTICSRAPTAKLATEEPPPRSPPESESEPYTFSHPNNGDVSSKDTDIPLLNTQEPMEPAE
NP_937860.1 1 154 0.725418181818182 PF12998.7:ING:2:69 inhibitor of growth protein 1 isoform C 235 68 70 154 0 Homo sapiens NP_937860.1 1 RefSeq MEILKELDECYERFSRETDGAQKRRMLHCVQRALIRSQELGDEKIQIVSQMVELVENRTRQVDSHVELFEAQQELGDTAGNSGKAGADRPKGEAAAQADKPNSKRSRRQRNNENRENASSNHDHDDGASGTPKEKKAKTSKKKKRSKAKAEREA
P11161 1 246 0.464392682926829 PF11928.8:DUF3446:94:184 E3 SUMO-protein ligase EGR2; AT591; E3 SUMO-protein transferase ERG2; Early growth response protein 2; EGR-2; Zinc finger protein Krox-20; EC 2.3.2.- 476 91 70 246 0 Homo sapiens (Human) SwissProt::P11161 1 SwissProt MMTAKAVDKIPVTLSGFVHQLSDNIYPVEDLAATSVTIFPNAELGGPFDQMNGVAGDGMINIDMTGEKRSLDLPYPSSFAPVSAPRNQTFTYMGKFSIDPQYPGASCYPEGIINIVSAGILQGVTSPASTTASSSVTSASPNPLATGPLGVCTMSQTQPDLDHLYSPPPPPPPYSGCAGDLYQDPSAFLSAATTSTSSSLAYPPPPSYPSPKPATDPGLFPMIPDYPGFFPSQCQRDLHGTAGPDR
NP_001160136.1 1 273 0.242637362637363 PF11465.8:Receptor_2B4:22:127 natural killer cell receptor 2B4 isoform 3 precursor 273 106 69 250 1 Homo sapiens NP_001160136.1 1 RefSeq MLGQVVTLILLLLLKVYQGKGCQGSADHVVSISGVPLQLQPNSIQTKVDSIAWKKLLPSQNGFHHILKWENGSLPSNTSNDRFSFIVKNLSLLIKAAQQQDSGLYCLEVTSISGKVQTATFQVFVFEFRFWPFLVIIVILSALFLGTLACFCVWRRKRKEKQSETSPKEFLTIYEDVKDLKTRRNHEQEQTFPGGGSTIYSMIQSQSSAPTSQEPAYTLYSLIQPSRKSGSRKRNHSPSFNSTIYEVIGKSQPKAQNPARLSRKELENFDVYS
NP_003005.2 145 346 0.438572277227723 PF01759.21:NTR:48:143 Secreted frizzled-related protein 4; sFRP-4; Frizzled protein, human endometrium; FrpHE 346 96 69 202 0 Homo sapiens (Human) SwissProt::Q6FHJ7 1 SwissProt DLPEDVKWIDITPDMMVQERPLDVDCKRLSPDRCKCKKVKPTLATYLSKNYSYVIHAKIKAVQRSGCNEVTTVVDVKEIFKSSSPIPRTQVPLITNSSCQCPHILPHQDVLIMCYEWRSRMMLLENCLVEKWRDQLSKRSIQWEERLQEQRRTVQDKKKTAGRTSRSNPPKPKGKPPAPKPASPKKNIKTRSAQKRTNPKRV
NP_005388.2 1 526 0.634433840304182 PF06365.12:CD34_antigen:327:526 podocalyxin isoform 2 precursor 526 200 69 503 1 Homo sapiens NP_005388.2 1 RefSeq MRCALALSALLLLLSTPPLLPSSPSPSPSPSQNATQTTTDSSNKTAPTPASSVTIMATDTAQQSTVPTSKANEILASVKATTLGVSSDSPGTTTLAQQVSGPVNTTVARGGGSGNPTTTIESPKSTKSADTTTVATSTATAKPNTTSSQNGAEDTTNSGGKSSHSVTTDLTSTKAEHLTTPHPTSPLSPRQPTSTHPVATPTSSGHDHLMKISSSSSTVAIPGYTFTSPGMTTTLPSSVISQRTQQTSSQMPASSTAPSSQETVQPTSPATALRTPTLPETMSSSPTAASTTHRYPKTPSPTVAHESNWAKCEDLETQTQSEKQLVLNLTGNTLCAGGASDEKLISLICRAVKATFNPAQDKCGIRLASVPGSQTVVVKEITIHTKLPAKDVYERLKDKWDELKEAGVSDMKLGDQGPPEEAEDRFSMPLIITIVCMASFLLLVAALYGCCHQRLSQRKDQQRLTEELQTVENGYHDNPTLEVMETSSEMQEKKVVSLNGELGDSWIVPLDNLTKDDLDEEEDTHL
NP_942151.1 1 146 0.413510273972603 PF12413.8:DLL_N:32:117 homeobox protein DLX-5 isoform 2 146 86 69 146 0 Mus musculus NP_942151.1 1 RefSeq MTGVFDRRVPSIRSGDFQAPFPTSAAMHHPSQESPTLPESSATDSDYYSPAGAAPHGYCSPTSASYGKALNPYQYQYHGVNGSAAGYPAKAYADYGYASPYHQYGGAYNRVPSATSQPAFSWPLYREGFRRLSTSPCQNARSWPPL 1
NP_990152.1 201 425 0.181334222222222 PF01079.20:Hint:1:211 Sonic hedgehog protein; SHH; Shh unprocessed N-terminal signaling and C-terminal autoprocessing domains; ShhNC 425 211 69 225 0 Gallus gallus (Chicken) SwissProt::Q91035 1 SwissProt CFPGSATVHLEHGGTKLVKDLSPGDRVLAADADGRLLYSDFLTFLDRMDSSRKLFYVIETRQPRARLLLTAAHLLFVAPQHNQSEATGSTSGQALFASNVKPGQRVYVLGEGGQQLLPASVHSVSLREEASGAYAPLTAQGTILINRVLASCYAVIEEHSWAHWAFAPFRLAQGLLAALCPDGAIPTAATTTTGIHWYSRLLYRIGSWVLDGDALHPLGMVAPAS
P67778 1 173 0.108116184971098 PF01145.25:Band_7:29:172 Prohibitin; B-cell receptor-associated protein 32; BAP 32 272 144 69 173 0 Mus musculus (Mouse) SwissProt::P67778 1 SwissProt MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPWVQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIYTSIGEDYDERVLPSITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHL
VIMSS6583686 1 507 0.57637258382643 Mitosis inhibitor protein kinase SWE1; Wee1 homolog; EC 2.7.11.1 819 0 69 507 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32944 1 SwissProt MSSLDEDEEDFEMLDTENLQFMGKKMFGKQAGEDESDDFAIGGSTPTNKLKFYPYSNNKLTRSTGTLNLSLSNTALSEANSKFLGKIEEEEEEEEEGKDEESVDSRIKRWSPFHENESVTTPITKRSAEKTNSPISLKQWNQRWFPKNDARTENTSSSSSYSVAKPNQSAFTSSGLVSKMSMDTSLYPAKLRIPETPVKKSPLVEGRDHKHVHLSSSKNASSSLSVSPLNFVEDNNLQEDLLFSDSPSSKALPSIHVPTIDSSPLSEAKYHAHDRHNNQTNILSPTNSLVTNSSPQTLHSNKFKKIKRARNSVILKNRELTNSLQQFKDDLYGTDENFPPPIIISSHHSTRKNPQPYQFRGRYDNDTDEEISTPTRRKSIIGATSQTHRESRPLSLSSAIVTNTTSAETHSISSTDSSPLNSKRRLISSNKLSANPDSHLFEKFTNVHSIGKGQFSTVYQVTFAQTNKKYAIKAIKPNKYNSLKRILLEIKILNEVTNQITMDQEGK
XP_011247197.1 344 904 0.600896078431372 myocardin isoform X3 904 0 69 561 0 Mus musculus XP_011247197.1 1 RefSeq NFGDITTVTFPVTPNTLPSYQSSPTGFYHFGSTSSSPPISPASSDLSAAGSLPDTFTDASPGFGLHASPVPACTDESLLSSLNGGSGPSEPDGLDSEKDKMLVEKQKVINQLTWKLRQEQRQVEELRMQLQKQKSSCSDQKPLPFLATTIKQEDVSSCPFAPQQASGKGQGHSSDSPPPACETAQLLPHCVESSGQTHVLSSTFLSPQCSPQHSPLGGLKSPQHISLPPSPNNHYFLASSSGAQRENHGVSSPSSSQGCAQNSGAHEGHSSSFSSPASSLHQPFSGTQADSSHSAGLNPCPKSPSIHPKMTGLQSSDKVGPTFSIPSPTFSKSSSAVSDITQPPSYEDAVKQQMTRSQQMDELLDVLIESGEMPADAREDHSCLQKIPKIPGSSCSPTAIPPKPSASFEQASSGGQMAFDHYANDSDEHLEVLLNSHSPIGKVSDVTLLKIGSEEPPFDSIMDGFPGKAAEDLFSAHELLPGPLSPMHAQLSPPSVDSSGLQLSFTESPWETMEWLDLTPPSSTPGFSNLTSSGPSIFNIDFLDVTDLNLNSPMDLHLQQW
NP_001124397.1 1 334 0.192349700598802 PF00429.19:TLV_coat:82:320 Syncytin-1; Endogenous retrovirus group W member 1; Env-W; Envelope polyprotein gPr73; Enverin; HERV-7q Envelope protein; HERV-W envelope protein; HERV-W_7q21.2 provirus ancestral Env polyprotein; Syncytin 538 239 68 334 0 Homo sapiens (Human) SwissProt::Q9UQF0 1 SwissProt MALPYHIFLFTVLLPSFTLTAPPPCRCMTSSSPYQEFLWRMQRPGNIDAPSYRSLSKGTPTFTAHTHMPRNCYHSATLCMHANTHYWTGKMINPSCPGGLGVTVCWTYFTQTGMSDGGGVQDQAREKHVKEVISQLTRVHGTSSPYKGLDLSKLHETLRTHTRLVSLFNTTLTGLHEVSAQNPTNCWICLPLNFRPYVSIPVPEQWNNFSTEINTTSVLVGPLVSNLEITHTSNLTCVKFSNTTYTTNSQCIRWVTPPTQIVCLPSGIFFVCGTSAYRCLNGSSESMCFLSFLVPPMTIYTEQDLYSYVISKPRNKRVPILPFVIGAGVLGALG
NP_001171114.1 316 874 0.593690876565296 PF05454.11:DAG1:292:559 dystroglycan preproprotein 895 268 68 536 1 Homo sapiens NP_001171114.1 1 RefSeq ATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPVPGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTMTIPGYVEPTAVATPPTTTTKKPRVSTPKPATPSTDSTTTTTRRPTKKPRTPRPVPRVTTKVSITRLETASPPTRIRTTTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDHEDTTTDKLKLTLKLREQQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHRRPQGDRAPARFKAKFVGDPALVLNDIHKKIALVKKLAFAFGDRNCSTITLQNITRGSIVVEWTNNTLPLEPCPKEQIAGLSRRIAEDDGKPRPAFSNALEPDFKATSITVTGSGSCRHLQFIPVVPPRRVPSEAPPTEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSVPETTPLNQDTMGEYTPLRDEDPNAPPYQPPPPFTAPME
NP_003040.1 86 349 0.0407090909090909 PF01758.16:SBF:4:125 Sodium/bile acid cotransporter; Cell growth-inhibiting gene 29 protein; Na(+)/bile acid cotransporter; Na(+)/taurocholate transport protein; Sodium/taurocholate cotransporting polypeptide; Solute carrier family 10 member 1 349 122 68 149 5 Homo sapiens (Human) SwissProt::Q14973 0 SwissProt KNIEALAILVCGCSPGGNLSNVFSLAMKGDMNLSIVMTTCSTFCALGMMPLLLYIYSRGIYDGDLKDKVPYKGIVISLVLVLIPCTIGIVLKSKRPQYMRYVIKGGMIIILLCSVAVTVLSAINVGKSIMFAMTPLLIATSSLMPFIGFLLGYVLSALFCLNGRCRRTVSMETGCQNVQLCSTILNVAFPPEVIGPLFFFPLLYMIFQLGEGLLLIAIFWCYEKFKTPKDKTKMIYTAATTEETIPGALGNGTYKGEDCSPCTA
NP_032751.1 1 122 0.410689344262295 Natriuretic peptides A; Prepronatriodilatin 152 0 68 99 1 Mus musculus (Mouse) SwissProt::P05125 1 SwissProt MGSFSITLGFFLVLAFWLPGHIGANPVYSAVSNTDLMDFKNLLDHLEEKMPVEDEVMPPQALSEQTEEAGAALSSLPEVPPWTGEVNPPLRDGSALGRSPWDPSDRSALLKSKLRALLAGPR
NP_766538.2 1 891 0.460593602693602 PF08235.13:LNS2:627:852,PF04571.14:Lipin_N:1:107,PF16876.5:Lipin_mid:465:558 phosphatidate phosphatase LPIN1 isoform a 891 427 68 891 0 Mus musculus NP_766538.2 1 RefSeq MNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVIRQPNGSLQCSPFHVRFGKMGVLRSREKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEIIPMYLATSPILSEGAARMESQLKRNSVDRIRCLDPTTAAQGLPPSDTPSTGSLGKKRRKRRRKAQLDNLKRDDNVNTSEDEDMFPIEMSSDEDTAPMDGSRTLPNDVPPFQDDIPKENFPSISTYPQSASYPSSDREWSPSPSPSGSRPSTPKSDSELVSKSADRLTPKNNLEMLWLWGELPQAAKSSSPHKMKESSPLGSRKTPDKMNFQAIHSESSDTFSDQSPTMARGLLIHQSKAQTEMQFVNEEDLESLGAAAPPSPVAEELKAPYPNTAQSSSKTDSPSRKKDKRSRHLGADGVYLDDLTDMDPEVAALYFPKNGDPGGLPKQASDNGARSANQSPQSVGGSGIDSGVESTSDSLRDLPSIAISLCGGLSDHREITKDAFLEQAVSYQQFADNPAIIDDPNLVVKVGNKYYNWTTAAPLLLAMQAFQKPLPKATVESIMRDKMPKKGGRWWFSWRGRNATIKEESKPEQCLTGKGHNTGEQPAQLGLATRIKHESSSSDEEHAAAKPSGSSHLSLLSNVSYKKTLRLTSEQLKSLKLKNGPNDVVFSVTTQYQGTCRCEGTIYLWNWDDKVIISDIDGTITRSDTLGHILPTLGKDWTHQGIAKLYHKVSQNGYKFLYCSARAIGMADMTRGYLHWVNERGTVLPQGPLLLSPSSLFSALHREVIEKKPEKFKVQCLTDIKNLFFPNTEPFYAAFGNRPADVYSYKQVGVSLNRIFTVNPKGELVQEHAKTNISSYVRLCEVVDHVFPLLKRSHSCDFPCSDTFSNFTFWREPLPPFENQDMHSASA
P48759 1 381 0.245810498687664 PF00354.17:Pentaxin:183:377,PF13385.6:Laminin_G_3:200:349 Pentraxin-related protein PTX3; Pentaxin-related protein PTX3; Tumor necrosis factor-inducible gene 14 protein; TSG-14 381 195 68 381 0 Mus musculus (Mouse) SwissProt::P48759 1 SwissProt MHLPAILLCALWSAVVAETSDDYELMYVNLDNEIDNGLHPTEDPTPCDCRQEHSEWDKLFIMLENSQMREGMLLQATDDVLRGELQRLRAELGRLAGGMARPCAAGGPADARLVRALEPLLQESRDASLRLARLEDAEARRPEATVPGLGAVLEELRRTRADLSAVQSWVARHWLPAGCETAIFFPMRSKKIFGSVHPVRPMKLESFSTCIWVKATDVLNKTILFSYGTKWNPYEIQLYLSSQSLVLVVGGKENKLAADTVVSLGRWSHLCGTWSSEQGSMSLWANGELVATTVEMAKSHSVPEGGLLQIGQEKNGCCVGGGFDESLAFSGRITGFNIWDRVLSEEEIRASGGVESCHIRGNVVGWGVTEIQAHGGAQYVS
XP_006504545.1 20 752 0.583240381991814 PF00010.26:HLH:491:585 carbohydrate-responsive element-binding protein isoform X6 752 95 68 733 0 Mus musculus XP_006504545.1 1 RefSeq REGDFLAPKQVEGGWPPPERWCEQLFSSVVPVLLGGSEEEPGGRQLLDLDCFLSDISDTLFTMTQPSPSSLQLPPEDAYVGNADMIQPDLTPLQPSLDDFMEISDFFTNYRPPQTPTSSNYIESPSFGPMADSLFSSGILAPEMPSPASSSSSSGMTPHSGNTRLQARNSCSGPLDPNPFLSSEFLLPEDPKTKIPPAPGPTPLLPFPTPVKVHGLEPCTPSPFPTMAPPPSLLPEESLLSARFPFTSAPPAPGVSTLPAPTTFVPTPQPGPGPVPFSVDHLPHGYLEPVFGPHFTVPQGMQPRCKPSSPSPGGQKASPPTLASATASPTATATARDNNPCLTQLLRAAKPEQALEPPTMPGTLLRPPESPVRWWALGGEGQSRAFTPTLCPSPPQQDTVSEIPRARAFFPPIPAPTPPRPPPGPATLAPPRSLVVPKAERLSPPASSGSERRLSGDLNSIQPSGALSVHLSPPQTVLSRGRVDNNKMENRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKVSPQPAMHTPLESWNPSLDQHPTPAPRACQHRGPHWWRSQVSKATTLQKTAEYILMLQQERAAMQEEAQQLRDEIEELNAAINLCQQQLPATGVPITHQRFDQMRDMFDDYVRTRTLHNWKFWVFSILIRPLFESFNGMVSTASLHSLRQTSLAWLEQYCSLPALRPTVLNSLRQLSTSTSILTDPSLVPEQATRAVTEGTLGRPL
XP_006532191.3 1 219 0.477242009132421 granulocyte colony-stimulating factor isoform X1 392 0 68 219 0 Mus musculus XP_006532191.3 1 RefSeq MKGRGGSPSTSARGWRDRSSLDLSTHSSPGSEFLAIPSRVSPALLDNSDPDPTPCSDSGLGVAGREEESLRGGQDVKEGTEIPRFHKNFRKQLFPNPLHCPELSSNLHKSWEVITKPELPQPQVISSRGLDGFMYKGPLELGPGRAQSCSPDHPESMAQLSAQRRMKLMGECPGYLPWVWNGERPDNKCLRPVCLLLALQLLLWQSALWSGREAVPLVT
XP_011536022.1 1 395 0.540439493670886 PF08916.11:Phe_ZIP:25:80 SH2B adapter protein 3 isoform X1 616 56 68 395 0 Homo sapiens XP_011536022.1 1 RefSeq MNGPALQPSSPSSAPSASPAAAPRGWSEFCELHAVAAARELARQYWLFAREHPQHAPLRAELVSLQFTDLFQRYFCREVRDGRAPGRDYRDTGRGPPAKAEASPEPGPGPAAPGLPKARSSEELAPPRPPGPCSFQHFRRSLRHIFRRRSAGELPAAHTAAAPGTPGEAAETPARPGLAKKFLPWSLAREPPPEALKEAVLRYSLADEASMDSGARWQRGRLALRRAPGPDGPDRVLELFDPPKWPEPELSRLRFRLKQGLSCAHVPTRCNLAGASGIGHPYHQSSRPKLQAACSSIQEVRWCTRLEMPDNLYTFVLKVKDRTDIIFEVGDEQQLNSWMAELSECTGRGRLESTEAEMHIPSALEPSTSSSPRGSTDSLNQGASPGGLLDPACQK
NP_001275753.1 1 261 0.299626436781609 growth/differentiation factor 9 isoform 2 366 0 67 261 0 Homo sapiens NP_001275753.1 1 RefSeq MKKLYKTYATKEGIPKSNRSHLYNTVRLFTPCTRHKQAPGDQVTGILPSVELLFNLDRITTVEHLLKSVLLYNINNSVSFSSAVKCVCNLMIKEPKSSSRTLGRAPYSFTFNSQFEFGKKHKWIQIDVTSLLQPLVASNKRSIHMSINFTCMKDQLEHPSAQNGLFNMTLVSPSLILYLNDTSAQAYHSWYSLHYKRRPSQGPDQERSLSAYPVGEEAAEDGRSSHHRHRRGQETVSSELKKPLGPASFNLSEYFRQFLLP
NP_009051.1 1 284 0.0813285211267605 PF00201.18:UDPGT:29:283 UDP-glucuronosyltransferase 1-4; UDPGT 1-4; UGT1*4; UGT1-04; UGT1.4; Bilirubin-specific UDPGT isozyme 2; hUG-BR2; UDP-glucuronosyltransferase 1-D; UGT-1D; UGT1D; UDP-glucuronosyltransferase 1A4; EC 2.4.1.17 534 255 67 284 0 Homo sapiens (Human) SwissProt::P22310 1 SwissProt MARGLQVPLPRLATGLLLLLSVQPWAESGKVLVVPTDGSPWLSMREALRELHARGHQAVVLTPEVNMHIKEEKFFTLTAYAVPWTQKEFDRVTLGYTQGFFETEHLLKRYSRSMAIMNNVSLALHRCCVELLHNEALIRHLNATSFDVVLTDPVNLCGAVLAKYLSIPAVFFWRYIPCDLDFKGTQCPNPSSYIPKLLTTNSDHMTFLQRVKNMLYPLALSYICHTFSAPYASLASELFQREVSVVDLVSYASVWLFRGDFVMDYPRPIMPNMVFIGGINCANG
NP_033353.2 293 628 0.61268125 PF04812.13:HNF-1B_C:5:246,PF04813.12:HNF-1A_C:248:335 Hepatocyte nuclear factor 1-alpha; HNF-1-alpha; HNF-1A; Liver-specific transcription factor LF-B1; LFB1; Transcription factor 1; TCF-1 628 330 67 336 0 Mus musculus (Mouse) SwissProt::P22361 1 SwissProt PGPGPALPAHSSPGLPTTTLSPSKVHGVRYGQSATSEAAEVPSSSGGPLVTVSAALHQVSPTGLEPSSLLSTEAKLVSATGGPLPPVSTLTALHSLEQTSPGLNQQPQNLIMASLPGVMTIGPGEPASLGPTFTNTGASTLVIGLASTQAQSVPVINSMGSSLTTLQPVQFSQPLHPSYQQPLMPPVQSHVAQSPFMATMAQLQSPHALYSHKPEVAQYTHTSLLPQTMLITDTNLSTLASLTPTKQVFTSDTEASSEPGLHEPPSPATTIHIPSQDPSNIQHLQPAHRLSTSPTVSSSSLVLYQSSDSNGHSHLLPSNHSVIETFISTQMASSSQ
NP_034646.1 1 226 0.141099115044248 PF00219.18:IGFBP:26:78,PF00093.18:VWC:100:163 CCN family member 1; 3CH61; Cellular communication network factor 1; Cysteine-rich angiogenic inducer 61; Insulin-like growth factor-binding protein 10; IBP-10; IGF-binding protein 10; IGFBP-10; Protein CYR61 379 117 67 226 0 Mus musculus (Mouse) SwissProt::P18406 1 SwissProt MSSSTFRTLAVAVTLLHLTRLALSTCPAACHCPLEAPKCAPGVGLVRDGCGCCKVCAKQLNEDCSKTQPCDHTKGLECNFGASSTALKGICRAQSEGRPCEYNSRIYQNGESFQPNCKHQCTCIDGAVGCIPLCPQELSLPNLGCPNPRLVKVSGQCCEEWVCDEDSIKDSLDDQDDLLGLDASEVELTRNNELIAIGKGSSLKRLPVFGTEPRVLFNPLHAHGQK
NP_195948.1 217 1294 0.347154081632653 PF01566.18:Nramp:15:174 Ethylene-insensitive protein 2; AtEIN2; EIN-2; Cytokinin-resistant protein AtCKR1; Protein ORESARA 3 1294 160 67 966 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S814 1 SwissProt YFAGESTSSSDVDKSSLCQDHLFAIFGVFSGLSLVNYVLMNAAANVFHSTGLVVLTFHDALSLMEQVFMSPLIPVVFLMLLFFSSQITALAWAFGGEVVLHDFLKIEIPAWLHRATIRILAVAPALYCVWTSGADGIYQLLIFTQVLVAMMLPCSVIPLFRIASSRQIMGVHKIPQVGEFLALTTFLGFLGLNVVFVVEMVFGSSDWAGGLRWNTVMGTSIQYTTLLVSSCASLCLILWLAATPLKSASNRAEAQIWNMDAQNALSYPSVQEEEIERTETRRNEDESIVRLESRVKDQLDTTSVTSSVYDLPENILMTDQEIRSSPPEERELDVKYSTSQVSSLKEDSDVKEQSVLQSTVVNEVSDKDLIVETKMAKIEPMSPVEKIVSMENNSKFIEKDVEGVSWETEEATKAAPTSNFTVGSDGPPSFRSLSGEGGSGTGSLSRLQGLGRAARRHLSAILDEFWGHLYDFHGQLVAEARAKKLDQLFGTDQKSASSMKADSFGKDISSGYCMSPTAKGMDSQMTSSLYDSLKQQRTPGSIDSLYGLQRGSSPSPLVNRMQMLGAYGNTTNNNNAYELSERRYSSLRAPSSSEGWEHQQPATVHGYQMKSYVDNLAKERLEALQSRGEIPTSRSMALGTLSYTQQLALALKQKSQNGLTPGPAPGFENFAGSRSISRQSERSYYGVPSSGNTDTVGAAVANEKKYSSMPDISGLSMSARNMHLPNNKSGYWDPSSGGGGYGASYGRLSNESSLYSNLGSRVGVPSTYDDISQSRGGYRDAYSLPQSATTGTGSLWSRQPFEQFGVAERNGAVGEELRNRSNPINIDNNASSNVDAEAKLLQSFRHCILKLIKLEGSEWLFGQSDGVDEELIDRVAAREKFIYEAEAREINQVGHMGEPLISSVPNCGDGCVWRADLIVSFGVWCIHRVLDLSLMESRPELWGKYTYVLNRLQGVIDPAFSKLRTPMTPCFCLQIPASHQRASPTSANGMLPPAAKPAKGKCTTAVTLLDLIKDVEMAISCRKGRTGTAAGDVAFPKGKENLASVLKRYKRRLSNKPVGMNQDGPGSRKNVTAYGSLG
XP_006530638.1 1 582 0.533139003436426 mineralocorticoid receptor isoform X1 980 0 67 582 0 Mus musculus XP_006530638.1 1 RefSeq METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTNENSYMEIVNVSCVSGATPNNSTQGSSKEKHELLPCLQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYDQQNQQGSLSPAKIYQNMEQLVKFYKENGHRSSTLSAISRPLRSFMPDSGTSMNGGALRAIVKSPIICHEKSPSVCSPLNMPSSVCSPAGINSMSSSTASFGSFPVHSPITQGTSLTCSPSVENRGSRSHSPVHASNVGSPLSSPLSSMKSPISSPPSHCSVKSPVSSPNNVPLRSSVSSPANLNNSRCSVSSPSNTNNRSTLSSPTASTVGSIGSPISNAFSYTTSGASAGAGAIQDMVPSPDTHEKGAHDVPFPKTEEVEKAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNNKINPSSPFSVPIKQESSKHSCSGASFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGFDSSCEGSAFPGGIKQEPDDGSYFPETSIPSSAIIGVNSGGQSFHYRIGAQGTISLSRSPRDQSFQHLSSFPPVNALVESWKPHGDLSSRRSDGYPVLEYIPE
XP_006536277.1 135 394 0.316662307692308 PF10401.9:IRF-3:46:222 interferon regulatory factor 7 isoform X1 394 177 67 260 0 Mus musculus XP_006536277.1 1 RefSeq ATENREEVSLSNALPTQVLQYSHILESESGADPVPPQAPERSLGFLDVTIMYKGRTVLQAVVGHPRCVFLYSPMAPAVRTSEPQPVIFPSPAELPDQKQLHYTETLLQHVSPGLQLELRGPSLWALRMGKCKVYWEVGSPMGTTGPSTPPQLLERNRHTPIFDFSTFFRELEEFRARRRQGSPHYTIYLGFGQDLSAGRPKEKTLILVKLEPWVCKAYLEGVQREGVSSLDSSSLGLCLSSTNSLYEDIEHFLMDLGQWP
XP_011508635.1 1 974 0.446746611909651 PF08235.13:LNS2:710:935,PF04571.14:Lipin_N:50:156,PF16876.5:Lipin_mid:548:641 phosphatidate phosphatase LPIN1 isoform X1 974 427 67 974 0 Homo sapiens XP_011508635.1 1 RefSeq MGEQDGIRSSSWETSQGKSSPDSAWSWIPIMRDPGWIRNVWSSNINVQTMNYVGQLAGQVFVTVKELYKGLNPATLSGCIDIIVIRQPNGNLQCSPFHVRFGKMGVLRSREKVVDIEINGESVDLHMKLGDNGEAFFVQETDNDQEVIPMHLATSPILSEGASRMECQLKRGSVDRMRGLDPSTPAQVIAPSETPSSSSVVKKRRKRRRKSQLDSLKRDDNMNTSEDEDMFPIEMSSDEAMELLESSRTLPNDIPPFQDDIPEENLSLAVIYPQSASYPNSDREWSPTPSLVDCKRTAPHLAVAAEGGLSSSCPPQSSLFHPSESPSGSRPSTPKSDSELVSKSTERTGQKNPEMLWLWGELPQAAKSSSPHKMKESSPLSSRKICDKSHFQAIHSESSDTFSDQSPTLVGGALLDQNKPQTEMQFVNEEDLETLGAAAPLLPMIEELKPPSASVVQTANKTDSPSRKRDKRSRHLGADGVYLDDLTDMDPEVAALYFPKNGDPSGLAKHASDNGARSANQSPQSVGSSGVDSGVESTSDGLRDLPSIAISLCGGLSDHREITKDAFLEQAVSYQQFVDNPAIIDDPNLVVKIGSKYYNWTTAAPLLLAMQAFQKPLPKATVESIMRDKMPKKGGRWWFSWRGRNTTIKEESKPEQCLAGKAHSTGEQPPQLSLATRVKHESSSSDEERAAAKPSNAGHLPLLPNVSYKKTLRLTSEQLKSLKLKNGPNDVVFSVTTQYQGTCRCEGTIYLWNWDDKVIISDIDGTITRSDTLGHILPTLGKDWTHQGIAKLYHKVSQNGYKFLYCSARAIGMADMTRGYLHWVNERGTVLPQGPLLLSPSSLFSALHREVIEKKPEKFKVQCLTDIKNLFFPNTEPFYAAFGNRPADVYSYKQVGVSLNRIFTVNPKGELVQEHAKTNISSYVRLCEVVDHVFPLLKRSHSSDFPCSDTFSNFTFWREPLPPFENQDIHSASA
NP_034383.1 1 124 0.283937903225806 PF06540.11:GMAP:62:123,PF01296.18:Galanin:33:60 Galanin peptides 124 90 66 104 1 Mus musculus P47212 1 SwissProt/TReMBL MARGSVILLGWLLLVVTLSATLGLGMPAKEKRGWTLNSAGYLLGPHAIDNHRSFSDKHGLTGKRELQLEVEERRPGSVDVPLPESNIVRTIMEFLSFLHLKEAGALDSLPGIPLATSSEDLEKS
NP_036744.1 1 122 0.424641803278688 Natriuretic peptides A; Prepronatriodilatin 152 0 66 99 1 Rattus norvegicus (Rat) SwissProt::P01161 1 SwissProt MGSFSITKGFFLFLAFWLPGHIGANPVYSAVSNTDLMDFKNLLDHLEEKMPVEDEVMPPQALSEQTDEAGAALSSLSEVPPWTGEVNPSQRDGGALGRGPWDPSDRSALLKSKLRALLAGPR
NP_936056.1 1 213 0.468810328638498 Receptor-binding cancer antigen expressed on SiSo cells; Cancer-associated surface antigen RCAS1; Estrogen receptor-binding fragment-associated gene 9 protein 213 0 66 213 0 Homo sapiens (Human) SwissProt::O00559 1 SwissProt MAITQFRLFKFCTCLATVFSFLKRLICRSGRGRKLSGDQITLPTTVDYSSVPKQTDVEEWTSWDEDAPTSVKIEGGNGNVATQQNSLEQLEPDYFKDMTPTIRKTQKIVIKKREPLNFGIPDGSTGFSSRLAATQDLPFIHQSSELGDLDTWQENTNAWEEEEDAAWQAEEVLRQQKLADREKRAAEQQRKKMEKEAQRLMKKEQNKIGVKLS
O80536 1 347 0.653542939481268 Transcription factor PIF3; Basic helix-loop-helix protein 8; AtbHLH8; bHLH 8; Phytochrome-associated protein 3; Phytochrome-interacting factor 3; Transcription factor EN 100; bHLH transcription factor bHLH008 524 0 66 347 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80536 1 SwissProt MPLFELFRLTKAKLESAQDRNPSPPVDEVVELVWENGQISTQSQSSRSRNIPPPQANSSRAREIGNGSKTTMVDEIPMSVPSLMTGLSQDDDFVPWLNHHPSLDGYCSDFLRDVSSPVTVNEQESDMAVNQTAFPLFQRRKDGNESAPAASSSQYNGFQSHSLYGSDRARDLPSQQTNPDRFTQTQEPLITSNKPSLVNFSHFLRPATFAKTTNNNLHDTKEKSPQSPPNVFQTRVLGAKDSEDKVLNESVASATPKDNQKACLISEDSCRKDQESEKAVVCSSVGSGNSLDGPSESPSLSLKRKHSNIQDIDCHSEDVEEESGDGRKEAGPSRTGLGSKRSRSAEV
XP_006230157.1 1 228 0.426943421052631 nucleobindin-2 isoform X1 420 0 66 228 0 Rattus norvegicus XP_006230157.1 1 RefSeq MRWRTIQARYCFLLVPCVLTALEAVPIDVDKTKVHNVEPVESARIEPPDTGLYYDEYLKQVIEVLETDPHFREKLQKADIEEIRSGRLSQELDLVSHKVRTRLDELKRQEVGRLRMLIKAKLDALQDTGMNHHLLLKQFEHLNHQNPDTFESKDLDMLIKAATADLEQYDRTRHEEFKKYEMMKEHERREYLKTLSEEKRKEEEAKFAEMKRKHEDHPKVNHPGSKDQ
XP_006250339.1 1 521 0.26882207293666 PF06638.11:Strabismus:24:521 Vang-like protein 2; Van Gogh-like protein 2 521 498 66 429 4 Rattus norvegicus (Rat) SwissProt::P84889 1 SwissProt MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGSRGDKSVTIQAPGEPLLDNESTRGDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGAILALLSFLTPLAFLLLPPLLWREELEPCGTACEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFVLRALLMVLVFLLVISYWLFYGVRILDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELRQLQPQFTLKVVRSTDGASRFYNVGHLSIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAKKVSGFKVYSLGEENSTNNSTGQSRAVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLVVAVEEAFTHIKRLQEEEQKNPREVMDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESILQHLEFCITHDMTPKAFLERYLAAGPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLLKRQDFSLVVSTKKVPFFKLSEEFVDPKSHKFVMRLQSETSV
XP_016867166.1 1 559 0.260754919499105 PF00801.20:PKD:279:319 transmembrane glycoprotein NMB isoform X2 559 41 66 536 1 Homo sapiens XP_016867166.1 1 RefSeq MECLYYFLGFLLLAARLPLDAAKRFHDVLGNERPSAYMREHNQLNGWSSDENDWNEKLYPVWKRGDMRWKNSWKGGRVQAVLTSDSPALVGSNITFAVNLIFPRCQKEDANGNIVYEKNCRNEAGLSADPYVYNWTAWSEDSDGENGTGQSHHNVFPDGKPFPHHPGWRRWNFIYVFHTLGQYFQKLGRCSVRVSVNTANVTLGPQLMEVTVYRRHGRAYVPIAQVKDVYVVTDQIPVFVTMFQKNDRNSSDETFLKDLPIMFDVLIHDPSHFLNYSTINYKWSFGDNTGLFVSTNHTVNHTYVLNGTFSLNLTVKAAAPGPCPPPPPPPRPSKPTPSLGTWMKLETIILSKLSQGQKTKRRMFSFTATTLKSYDSNTPGPAGDNPLELSRIPDENCQINRYGHFQATITIVEGILEVNIIQMTDVLMPVPWPESSLIDFVVTCQGSIPTEVCTIISDPTCEITQNTVCSPVDVDEMCLLTVRRTFNGSGTYCVNLTLGDDTSLALTSTLISVPDRDPASPLRMANSALISVGCLAIFVTVISLLVYKLFSENTRNTTQ
XP_016874726.1 1 400 0.5470375 sentrin-specific protease 1 isoform X8 631 0 66 400 0 Homo sapiens XP_016874726.1 1 RefSeq MDDIADRMRMDAGEVTLVNHNSVFKTHLLPQTGFPEDQLSLSDQQILSSRQGHLDRSFTCSTRSAAYNPSYYSDNPSSDSFLGSGDLRTFGQSANGQWRNSTPSSSSSLQKSRNSRSLYLETRKTSSGLSNSFAGKSNHHCHVSAYEKSFPIKPVPSPSWSGSCRRSLLSPKKTQRRHVSTAEETVQEEEREIYRQLLQMVTGKQFTIAKPTTHFPLHLSRCLSSSKNTLKDSLFKNGNSCASQIIGSDTSSSGSASILTNQEQLSHSVYSLSSYTPDVAFGSKDSGTLHHPHHHHSVPHQPDNLAASNTQSEGSDSVILLKVKDSQTPTPRTSVYDSRARERLRQIEEQKALALQLQNQRLQEREHSVHDSVELHLRVPLEKEIPVTVVQETQKKGHKL
XP_017445283.1 1 582 0.137001202749141 PF07690.16:MFS_1:80:460 vesicular glutamate transporter 2 isoform X1 582 381 66 306 12 Rattus norvegicus XP_017445283.1 1 RefSeq MESVKQRILAPGKEGIKNFAGKSLGQIYRVLEKKQDNRETIELTEDGKPLEVPEKKAPLCDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWDPETVGMIHGSFFWGYIITQIPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTSFCGSYAGAVIAMPLAGILVQYTGWSSVFYVYGSFGMVWYMFWLLVSYESPAKHPTITDEERRYIEESIGESANLLGAMEKFKTPWRKFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGMLSAVPHLVMTIIVPIGGQIADFLRSKQILSTTTVRKIMNCGGFGMEATLLLVVGYSHTRGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKNKSREEWQYVFLIAALVHYGGVIFYALFASGEKQPWADPEETSEEKCGFIHEDELDEETGDITQNYINYGTTKSYGATSQENGGWPNGWEKKEEFVQESAQDAYAYKDRDDYS
NP_001288068.1 1 396 0.293451262626263 PF05510.13:Sarcoglycan_2:33:378 epsilon-sarcoglycan isoform 4 396 346 65 373 1 Homo sapiens NP_001288068.1 1 RefSeq MQLPRWWELGDPCAWTGQGRGTRRMSPATTGTFLLTGEISNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTAENVGKPTIIEITAYNRRTFETARHNLIINIMSAEDFPLPYQAEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDLKEGVYVMVGADVPFSSCLREVENPQNQLRCSQEMEPVITCDKKFRTQFYIDWCKISLVDKTKQVSTYQEVIRGEGILPDGGEYKPPSDSLKSRDYYTDFLITLAVPSAVALVLFLILAYIMCCRREGVEKRNMQTPDIQLVHHSAIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEIIPPLHTDNYDSTNMPLMQTQQNLPHQTQIPQQQTTGKWYP
NP_034414.2 304 650 0.441536887608069 PF12772.7:GHBP:22:325 growth hormone receptor isoform 1 precursor 650 304 65 347 0 Mus musculus NP_034414.2 1 RefSeq MLILPPVPVPKIKGIDPDLLKEGKLEEVNTILGIHDNYKPDFYNDDSWVEFIELDIDEADVDEKTEGSDTDRLLSNDHEKSAGILGAKDDDSGRTSCYDPDILDTDFHTSDMCDGTLKFAQSQKLNMEADLLCLDQKNLKNLPYDASLGSLHPSITQTVEENKPQPLLSSETEATHQLASTPMSNPTSLANIDFYAQVSDITPAGGVVLSPGQKIKAGIAQGNTQREVATPCQENYSMNSAYFCESDAKKCIAVAPRMEATSCIKPSFNQEDIYITTESLTTTAQMSETADIAPDAEMSVPDYTTVHTVQSPRGLILNATALPLPDKKNFPSSCGYVSTDQLNKIMQ
NP_034919.1 1 271 0.517385239852398 PF16493.5:Meis_PKNOX_N:108:191 homeobox protein Meis1 isoform A 465 84 65 271 0 Mus musculus NP_034919.1 1 RefSeq MAQRYDDLPHYGGMDGVGIPSTMYGDPHAARSMQPVHHLNHGPPLHSHQYPHTAHTNAMAPSMGSSVNDALKRDKDAIYGHPLFPLLALIFEKCELATCTPREPGVAGGDVCSSESFNEDIAVFAKQIRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDDREGGSKSDSEDVTRSANLTDQPSWNRDHDDTASTRSGGTPGPSSGGHTSHSGDNSSEQGDGLDNSVASPSTGDDDDPDKDKK
NP_038633.1 1 418 0.737861961722488 mucin-1 precursor 631 0 65 418 0 Mus musculus NP_038633.1 1 RefSeq MTPGIRAPFFLLLLLASLKGFLALPSEENSVTSSQDTSSSLASTTTPVHSSNSDPATRPPGDSTSSPVQSSTSSPATRAPEDSTSTAVLSGTSSPATTAPVNSASSPVAHGDTSSPATSLSKDSNSSPVVHSGTSSAPATTAPVDSTSSPVVHGGTSSPATSPPGDSTSSPDHSSTSSPATRAPEDSTSTAVLSGTSSPATTAPVDSTSSPVAHDDTSSPATSLSEDSASSPVAHGGTSSPATSPLRDSTSSPVHSSASIQNIKTTSDLASTPDHNGTSVTTTSSALGSATSPDHSGTSTTTNSSESVLATTPVYSSMPFSTTKVTSGSAIIPDHNGSSVLPTSSVLGSATSLVYNTSAIATTPVSNGTQPSVPSQYPVSPTMATTSSHSTIASSSYYSTVPFSTFSSNSSPQLSVGV
NP_066290.1 1 62 0.391251612903226 PF00879.18:Defensin_propep:1:49 Defensin-5; Defensin, alpha 5; HD5(20-94) 94 49 65 62 0 Homo sapiens (Human) SwissProt::Q01523 1 SwissProt MRTIAILAAILLVALQAQAESLQERADEATTQKQSGEDNQDLAISFAGNGLSALRTSGSQAR
P19526 1 365 0.153055342465753 PF01531.16:Glyco_transf_11:38:353 Galactoside 2-alpha-L-fucosyltransferase 1; Alpha(1,2)FT 1; Blood group H alpha 2-fucosyltransferase; Fucosyltransferase 1; GDP-L-fucose:beta-D-galactoside 2-alpha-L-fucosyltransferase 1; EC 2.4.1.344 365 316 65 345 1 Homo sapiens (Human) SwissProt::P19526 1 SwissProt MWLRSHRQLCLAFLLVCVLSVIFFLHIHQDSFPHGLGLSILCPDRRLVTPPVAIFCLPGTAMGPNASSSCPQHPASLSGTWTVYPNGRFGNQMGQYATLLALAQLNGRRAFILPAMHAALAPVFRITLPVLAPEVDSRTPWRELQLHDWMSEEYADLRDPFLKLSGFPCSWTFFHHLREQIRREFTLHDHLREEAQSVLGQLRLGRTGDRPRTFVGVHVRRGDYLQVMPQRWKGVVGDSAYLRQAMDWFRARHEAPVFVVTSNGMEWCKENIDTSQGDVTFAGDGQEATPWKDFALLTQCNHTIMTIGTFGFWAAYLAGGDTVYLANFTLPDSEFLKIFKPEAAFLPEWVGINADLSPLWTLAKP
VIMSS540366 1 90 0.446478888888889 PF00011.21:HSP20:47:86,PF17886.1:ArsA_HSP20:49:81 Alpha-crystallin; Acr; 14 kDa antigen; 16 kDa antigen; HSP 16.3; Nox16 144 40 65 90 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WMK0 1 SwissProt MATTLPVQRHPRSLFPEFSELFAAFPSFAGLRPTFDTRLMRLEDEMKEGRYEVRAELPGVDPDKDVDIMVRDGQLTIKAERTEQKDFDGR
VIMSS6582650 1 345 0.439967246376812 DNA endonuclease SAE2; Completion of meiotic recombination protein 1; Sporulation in the absence of SPO11 protein 2; EC 3.1.-.- 345 0 65 345 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46946 1 SwissProt MVTGEENVYLKSSLSILKELSLDELLNVQYDVTTLIAKRVQALQNRNKCVLEEPNSKLAEILCHEKNAPQQSSQTSAGPGEQDSEDFILTQFDEDIKKESAEVHYRNENKHTVQLPLVTMPPNRHKRKISEFSSPLNGLNNLSDLEDCSDTVIHEKDNDKENKTRKLLGIELENPESTSPNLYKNVKDNFLFDFNTNPLTKRAWILEDFRPNEDIAPVKRGRRKLERFYAQVGKPEDSKHRSLSVVIESQNSDYEFAFDNLRNRSKSPPGFGRLDFPSTQEGNEDKKKSQEIIRRKTKYRFLMASNNKIPPYEREYVFKREQLNQIVDDGCFFWSDKLLQIYARC
XP_005256763.1 1 205 0.368776585365854 XIAP-associated factor 1 isoform X2 256 0 65 205 0 Homo sapiens XP_005256763.1 1 RefSeq MEEHCKLEHQQVGCTMCQQSMQKSSLEFHKANECQERPVECKFCKLDMQLSKLELHESYCGSRTELCQGCGQFIMHRMLAQHRDVCRSEQAQLGKGERISAPEREIYCHYCNQMIPENKYFHHMGKCCPDSEFKKHFPVGNPEILPSSLPSQAAENQTSTMEKDVRPKTRSINRFPLHSESSSKKAPRSKNKTLDPLLMSEPKPR
XP_016878723.1 1 195 0.540914871794872 PF08383.11:Maf_N:87:119 transcription factor Maf isoform X1 383 33 65 195 0 Homo sapiens XP_016878723.1 1 RefSeq MASELAMSNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPMSTPCSSVPPSPSFSAPSPGSGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISNSHQLQGGFDGYARGAQQLAAAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGAGPHYHHHHHHAAGHHHHP
NP_001254550.1 1 313 0.553490415335463 zinc finger protein Gfi-1 isoform 2 489 0 64 313 0 Mus musculus NP_001254550.1 1 RefSeq MWRQRASGGLLLSALPSAPEFPASDDQGKPGGPLCQERAAPPPGRQLQHENSEYPRVQTFVQRLTTMPRSFLVKSKKAHSYHQPRSPGPDYSLRLETVPAPGRAEGGAVSAGESKMEPRERLSPDSQLTEAPDRASASPNSCEGSVCDPCSEFEDFWRPPSPSVSPASEKSLCRSLDEAQPYTLPFKPYAWSGLAGSDLRHLVQSYRQCSALERSAGLSLFCERGSEPGRPAARYGPEQAAGGAGAGQPGSCGVAGGATSAAGLGLYGDFAPAAAGLYERPSTAAGRLYQDHGHELHADKSVGVKVESELLCT
NP_002496.1 1 261 0.367735249042146 Nuclear transcription factor Y subunit alpha; CAAT box DNA-binding protein subunit A; Nuclear transcription factor Y subunit A; NF-YA 347 0 64 261 0 Homo sapiens (Human) SwissProt::P23511 1 SwissProt MEQYTANSNSSTEQIVVQAGQIQQQQQGGVTAVQLQTEAQVASASGQQVQTLQVVQGQPLMVQVSGGQLITSTGQPIMVQAVPGGQGQTIMQVPVSGTQGLQQIQLVPPGQIQIQGGQAVQVQGQQGQTQQIIIQQPQTAVTAGQTQTQQQIAVQGQQVAQTAEGQTIVYQPVNADGTILQQVTVPVSGMITIPAASLAGAQIVQTGANTNTTSSGQGTVTVTLPVAGNVVNSGGMVMMVPGAGSVPAIQRIPLPGAEMLE
NP_004307.2 1 121 0.752794214876033 Achaete-scute homolog 1; ASH-1; hASH1; Class A basic helix-loop-helix protein 46; bHLHa46 236 0 64 98 1 Homo sapiens (Human) SwissProt::P50553 1 SwissProt MESSAKMESGGAGQQPQPQPQQPFLPPAACFFATAAAAAAAAAAAAAQSAQQQQQQQQQQQQAPQLRPAADGQPSGGGHKSAPKQVKRQRSSSPELMRCKRRLNFSGFGYSLPQQQPAAVA
NP_071899.1 150 414 0.680401132075471 PF12067.8:Sox17_18_mid:54:104 Transcription factor SOX-17 414 51 64 265 0 Homo sapiens (Human) SwissProt::Q9H6I2 1 SwissProt RVEGGFLHGLAEPQAAALGPEGGRVAMDGLGLQFPEQGFPAGPPLLPPHMGGHYRDCQSLGAPPLDGYPLPTPDTSPLDGVDPDPAFFAAPMPGDCPAAGTYSYAQVSDYAGPPEPPAGPMHPRLGPEPAGPSIPGLLAPPSALHVYYGAMGSPGAGGGRGFQMQPQHQHQHQHQHHPPGPGQPSPPPEALPCRDGTDPSQPAELLGEVDRTEFEQYLHFVCKPEMGLPYQGHDSGVNLPDSHGAISSVVSDASSAVYYCNYPDV
P36021 95 539 0.0733280898876404 PF07690.16:MFS_1:46:346,PF07690.16:MFS_1:267:415 Monocarboxylate transporter 8; MCT 8; Monocarboxylate transporter 7; MCT 7; Solute carrier family 16 member 2; X-linked PEST-containing transporter 539 370 64 185 12 Homo sapiens (Human) SwissProt::P36021 0 SwissProt PEGGFGWVVVFAATWCNGSIFGIHNSVGILYSMLLEEEKEKNRQVEFQAAWVGALAMGMIFFCSPIVSIFTDRLGCRITATAGAAVAFIGLHTSSFTSSLSLRYFTYGILFGCGCSFAFQPSLVILGHYFQRRLGLANGVVSAGSSIFSMSFPFLIRMLGDKIKLAQTFQVLSTFMFVLMLLSLTYRPLLPSSQDTPSKRGVRTLHQRFLAQLRKYFNMRVFRQRTYRIWAFGIAAAALGYFVPYVHLMKYVEEEFSEIKETWVLLVCIGATSGLGRLVSGHISDSIPGLKKIYLQVLSFLLLGLMSMMIPLCRDFGGLIVVCLFLGLCDGFFITIMAPIAFELVGPMQASQAIGYLLGMMALPMIAGPPIAGLLRNCFGDYHVAFYFAGVPPIIGAVILFFVPLMHQRMFKKEQRDSSKDKMLAPDPDPNGELLPGSPNPEEPI
Q61337 1 136 0.848874264705882 PF10514.9:Bcl-2_BAD:43:136 Bcl2-associated agonist of cell death; BAD; Bcl-2-binding component 6; Bcl-xL/Bcl-2-associated death promoter; Bcl2 antagonist of cell death 204 94 64 136 0 Mus musculus (Mouse) SwissProt::Q61337 1 SwissProt MGTPKQPSLAPAHALGLRKSDPGIRSLGSDAGGRRWRPAAQSMFQIPEFEPSEQEDASATDRGLGPSLTEDQPGPYLAPGLLGSNIHQQGRAATNSHHGGAGAMETRSRHSSYPAGTEEDEGMEEELSPFRGRSRS
XP_006251667.2 1 1025 0.580355999999999 PF02453.17:Reticulon:976:1024 reticulon-4 isoform X1 1159 49 64 1002 1 Rattus norvegicus XP_006251667.2 1 RefSeq MEDIDQSSLVSSSTDSPPRPPPAFKYQFVTEPEDEEDEEEEEDEEEDDEDLEELEVLERKPAAGLSAAAVPPAAAAPLLDFSSDSVPPAPRGPLPAAPPAAPERQPSWERSPAAPAPSLPPAAAVLPSKLPEDDEPPARPPPPPPAGASPLAEPAAPPSTPAAPKRRGSGSVDETLFALPAASEPVIPSSAEKIMDLMEQPGNTVSSGQEDFPSVLLETAASLPSLSPLSTVSFKEHGYLGNLSAVSSSEGTIEETLNEASKELPERATNPFVNRDLAEFSELEYSEMGSSFKGSPKGESAILVENTKEEVIVRSKDKEDLVCSAALHSPQESPVGKEDRVVSPEKTMDIFNEMQMSVVAPVREEYADFKPFEQAWEVKDTYEGSRDVLAARANVESKVDRKCLEDSLEQKSLGKDSEGRNEDASFPSTPEPVKDSSRAYITCASFTSATESTTANTFPLLEDHTSENKTDEKKIEERKAQIITEKTSPKTSNPFLVAVQDSEADYVTTDTLSKVTEAAVSNMPEGLTPDLVQEACESELNEATGTKIAYETKVDLVQTSEAIQESLYPTAQLCPSFEEAEATPSPVLPDIVMEAPLNSLLPSAGASVVQPSVSPLEAPPPLSYDSIKLEPENPPPYEEAMNVALKALGTKEGIKEPESFNAAVQETEAPYISIACDLIKETKLSTEPSPDFSNYSEIAKFEKSVPEHAELVEDSSPESEPVDLFSDDSIPEVPQTQEEAVMLMKESLTEVSETVAQHKEERLSASPQELGKPYLESFQPNLHSTKDAASNDIPTLTKKEKISLQMEEFNTAIYSNDDLLSSKEDKIKESETFSDSSPIEIIDEFPTFVSAKDDSPKLAKEYTDLEVSDKSEIANIQSGADSLPCLELPCDLSFKNIYPKDEVHVSDEFSENRSSVSKASISPSNVSALEPQTEMGSIVKSKSLTKEAEKKLPSDTEKEDRSLSAVLSAELSKTSVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISF 2
NP_001269832.1 1 878 0.47898041002278 zinc finger transcription factor Trps1 isoform 3 1287 0 63 878 0 Homo sapiens NP_001269832.1 1 RefSeq MKSMLDMVRKKNPPLRNVASEGEGQILEPIGTESKVSGKNKEFSADQMSENTDQSDAAELNHKEEHSLHVQDPSSSSKKDLKSAVLSEKAGFNYESPSKGGNFPSFPHDEVTDRNMLAFSSPAAGGVCEPLKSPQRAEADDPQDMACTPSGDSLETKEDQKMSPKATEETGQAQSGQANCQGLSPVSVASKNPQVPSDGGVRLNKSKTDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDPTDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVFSGVLQDINSSRPVLLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQTHPNKIKASLPSSEVAKPSEKNSNKSIPALQSSDSGDLGKWQDKITVKAGDDTPVGYSVPIKPLDSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGLNPELNDKLSRGSVINQNDLAKSSEGETMTKTDKSSSGAKKKDFSSKGAEDNMVTSYNCQFCDFRYSKSHGPDVIVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACRKSNCSHCALLLLHLSPGAAGSSRVKHQCHQCSFTTPDVDVLLFHYESVHESQASDVKQEANHLQGSDGQQSVKESKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQDITTANGEEDGHAISTIKEEPKIDFRVYNLLTPDSKMGEPVSESVVKREKLEEKDGLKEKVWTESSSDDLRNVTWRGADILRGSPSYTQASLGLLTPVSGTQEQTKTLRDSPNVEAAHLARPIYGLAVETKGFLQGAPAGGEKSGALPQQYPA
NP_001277751.1 1 159 0.499011949685535 PF05808.11:Podoplanin:1:158 podoplanin isoform 2 precursor 159 158 63 136 1 Mus musculus NP_001277751.1 1 RefSeq MWTVPVLFWVLGSVWFWDSAQGGTIGVNEDDIVTPGTGDGMVPPGIEDKITTTGATGGLNESTGKAPLVPTQRERGTKPPLEELSTSATSDHDHREHESTTTVKVVTSHSVDKKTSHPNRDGLPVVTLVGIIVGVLLAIGFVGGIFIVVMKKISGRFSP
NP_001288210.1 1 106 0.168830188679245 PF06396.11:AGTRAP:1:99 type-1 angiotensin II receptor-associated protein isoform 2 106 99 63 60 2 Mus musculus NP_001288210.1 1 RefSeq MFLGGLVATIFLDIIYISIFYSSVATGDTGRFGAGMAILSLLLKPFSCCLVYHMHRERGGELPLRPDFFGPSQEHSAYQTIDSSSDAAADPFASLENKGQAVPRGY
NP_002457.1 187 656 0.596471276595745 PF09316.10:Cmyb_C:265:410 Myb-related protein B; B-Myb; Myb-like protein 2 700 146 63 470 0 Homo sapiens (Human) SwissProt::P10244 1 SwissProt GGFLSESKDCKPPVYLLLELEDKDGLQSAQPTEGQGSLLTNWPSVPPTIKEEENSEEELAAATTSKEQEPIGTDLDAVRTPEPLEEFPKREDQEGSPPETSLPYKWVVEAANLLIPAVGSSLSEALDLIESDPDAWCDLSKFDLPEEPSAEDSINNSLVQLQASHQQQVLPPRQPSALVPSVTEYRLDGHTISDLSRSSRGELIPISPSTEVGGSGIGTPPSVLKRQRKRRVALSPVTENSTSLSFLDSCNSLTPKSTPVKTLPFSPSQFLNFWNKQDTLELESPSLTSTPVCSQKVVVTTPLHRDKTPLHQKHAAFVTPDQKYSMDNTPHTPTPFKNALEKYGPLKPLPQTPHLEEDLKEVLRSEAGIELIIEDDIRPEKQKRKPGLRRSPIKKVRKSLALDIVDEDVKLMMSTLPKSLSLPTTAPSNSSSLTLSGIKEDNSLLNQGFLQAKPEKAAVAQKPRSHFTTP
NP_002629.1 1 60 0.23737 PF10511.9:Cementoin:31:47 Elafin; Elastase-specific inhibitor; ESI; Peptidase inhibitor 3; PI-3; Protease inhibitor WAP3; Skin-derived antileukoproteinase; SKALP; WAP four-disulfide core domain protein 14 117 17 63 37 1 Homo sapiens (Human) SwissProt::P19957 0 SwissProt MRASSFLIVVVFLIAGTLVLEAAVTGVPVKGQDTVKGRVPFNGQDPVKGQVSVKGQDKVK
NP_003344.1 1 82 0.445413414634146 Urocortin 124 0 63 82 0 Homo sapiens (Human) SwissProt::P55089 1 SwissProt MRQAGRAALLAALLLLVQLCPGSSQRSPEAAGVQDPSLRWSPGARNQGGGARALLLLLAERFPRRAGPGRLGLGTAGERPRR
NP_004282.1 1 75 0.201708 PF06373.11:CART:48:75 Cocaine- and amphetamine-regulated transcript protein 116 28 63 75 0 Homo sapiens (Human) SwissProt::Q16568 1 SwissProt MESSRVRLLPLLGAALLLMLPLLGTRAQEDAELQPRALDIYSAVDDASHEKELIEALQEVLKKLKSKRVPIYEKK
NP_033757.1 1 94 0.494377659574468 PF00214.19:Calc_CGRP_IAPP:1:84 ADM precursor 184 84 63 94 0 Mus musculus NP_033757.1 1 RefSeq MKLVSITLMLLGSLAFLGADTAGPDTPSQFRKKWNKWALSRGKRELQASSSYPTGLADETTVPTQTLDPFLDEQNTTGPLQASNQSEAHIRVKR
NP_034176.1 1 498 0.119116666666667 PF03062.19:MBOAT:165:484 Diacylglycerol O-acyltransferase 1; Acyl-CoA retinol O-fatty-acyltransferase; ARAT; Retinol O-fatty-acyltransferase; Diglyceride acyltransferase; EC 2.3.1.20; EC 2.3.1.76 498 320 63 322 8 Mus musculus (Mouse) SwissProt::Q9Z2A7 1 SwissProt MGDRGGAGSSRRRRTGSRVSVQGGSGPKVEEDEVRDAAVSPDLGAGGDAPAPAPAPAHTRDKDGRTSVGDGYWDLRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLFLENLIKYGILVDPIQVVSLFLKDPYSWPAPCVIIASNIFVVAAFQIEKRLAVGALTEQMGLLLHVVNLATIICFPAAVALLVESITPVGSVFALASYSIMFLKLYSYRDVNLWCRQRRVKAKAVSTGKKVSGAAAQQAVSYPDNLTYRDLYYFIFAPTLCYELNFPRSPRIRKRFLLRRVLEMLFFTQLQVGLIQQWMVPTIQNSMKPFKDMDYSRIIERLLKLAVPNHLIWLIFFYWFFHSCLNAVAELLQFGDREFYRDWWNAESVTYFWQNWNIPVHKWCIRHFYKPMLRHGSSKWVARTGVFLTSAFFHEYLVSVPLRMFRLWAFTAMMAQVPLAWIVGRFFQGNYGNAAVWVTLIIGQPVAVLMYVHDYYVLNYDAPVGV
NP_058613.2 1 570 0.113912280701754 PF06963.12:FPN1:23:530 solute carrier family 40 member 1 570 508 63 340 10 Mus musculus CharProtDB::CH_091584 1 CharProtDB MTKARDQTHQEGCCGSLANYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLLTAVYGLVVAGSVLVLGAIIGDWVDKNARLKVAQTSLVVQNVSVILCGIILMMVFLHKNELLTMYHGWVLTVCYILIITIANIANLASTATAITIQRDWIVVVAGENRSRLADMNATIRRIDQLTNILAPMAVGQIMTFGSPVIGCGFISGWNLVSMCVEYFLLWKVYQKTPALAVKAALKVEESELKQLTSPKDTEPKPLEGTHLMGEKDSNIRELECEQEPTCASQMAEPFRTFRDGWVSYYNQPVFLAGMGLAFLYMTVLGFDCITTGYAYTQGLSGSILSILMGASAITGIMGTVAFTWLRRKCGLVRTGLFSGLAQLSCLILCVISVFMPGSPLDLSVSPFEDIRSRFVNVEPVSPTTKIPETVFTTEMHMSNMSNVHEMSTKPIPIVSVSLLFAGVIAARIGLWSFDLTVTQLLQENVIESERGIINGVQNSMNYLLDLLHFIMVILAPNPEAFGLLVLISVSFVAMGHLMYFRFAQKTLGNQIFVCGPDEKEVTDENQPNTSVV
NP_077039.3 1 211 0.390611374407583 CCAAT/enhancer-binding protein beta; C/EBP beta; C/EBP-related protein 2; Interleukin-6-dependent-binding protein; IL-6DBP; Liver-enriched inhibitory protein; LIP; Liver-enriched transcriptional activator; LAP; Silencer factor B; SF-B 297 0 63 211 0 Rattus norvegicus (Rat) SwissProt::P21272 1 SwissProt MHRLLAWDAACLPPPPAAFRPMEVANFYYEPDCLAYGAKAARAAPRAPAAEPAIGEHERAIDFSPYLEPLAPAAADFAAPAPAHHDFLSDLFADDYGAKPSKKPSDYGYVSLGRAGAKAAPPACFPPPPPAALKAEPGFEPADCKRADDAPAMAAGFPFALRAYLGYQATPSGSSGSLSTSSSSSPPGTPSPADAKAAPAACFAGPPAAPA
NP_476825.1 1 149 0.732468456375839 bicoid, isoform A 149 0 63 149 0 Drosophila melanogaster NP_476825.1 1 RefSeq MAQPPPDQNFYHHPLPHTHTHPHPHSHPHPHSHPHPHHQHPQLQLPPQFRNPFDLILEPLKGLDKSCDDGSSDDMSTGIRALAGTGNRGAAFAKFGKPSPPQGPQPPLGMGGVAMGESNQYQCTMDTIMQAYNPHRNAAGNSQFAYCFN
NP_758525.1 1 161 0.288267080745342 PF06083.11:IL17:69:155 interleukin-25 isoform 2 precursor 161 87 63 161 0 Homo sapiens NP_758525.1 1 RefSeq MYQVVAFLAMVMGTHTYSHWPSCCPSKGQDTSEELLRWSTVPVPPLEPARPNRHPESCRASEDGPLNSRAISPWRYELDRDLNRLPQDLYHARCLCPHCVSLQTGSHMDPRGNSELLYHNQTVFYRRPCHGEKGTHKGYCLERRLYRVSLACVCVRPRVMG
XP_006251813.1 1 286 0.428154195804196 PF00688.18:TGFb_propeptide:46:276 bone morphogenetic protein 4 isoform X1 408 231 63 286 0 Rattus norvegicus XP_006251813.1 1 RefSeq MIPGNRMLMVVLLCQVLLGGASHASLIPETGKKKVAEIQGHAGGRRSGQSHELLRDFEATLLQMFGLRRRPQPSKSAVIPDYMRDLYRLQSGEEEEEEQSQGTGLEYPERPASRANTVRSFHHEEHLENIPGTSESSAFRFFFNLSSIPENEVISSAELRLFREQVDQGPDWEQGFHRINIYEVMKPPAEMVPGHLITRLLDTRLVHHNVTRWETFDVSPAVLRWTREKQPNYGLAIEVTHLHQTRTHQGQHVRISRSLPQGSGNWAQLRPLLVTFGHDGRGHTLT
XP_006526517.1 1 205 0.803129268292683 PF01669.17:Myelin_MBP:147:205 Golli-Mbp isoform X3 324 59 63 205 0 Mus musculus XP_006526517.1 1 RefSeq MGNHSGKRELSAEKASKDGEIHRGEAGKKRSVGKLSQTASEDSDVFGEADAIQNNGTSAEDTAVTDSKHTADPKNNWQGAHPADPGNRPHLIRLFSRDAPGREDNTFKDRPSESDELQTIQEDPTAASGGLDVMASQKRPSQRSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKDSHTRTTHYGSLPQK
XP_011238728.1 184 588 0.655633333333333 disabled homolog 1 isoform X1 588 0 63 405 0 Mus musculus XP_011238728.1 1 RefSeq VYQTILEEDVEDPVYQYIVFEAGHEPIRDPETEENIYQVPTSQKKEGVYDVPKSQPVSNSQPLEDFDSRFAAATPNRNLSMDFDELLEATKAVTQLELFGDMSTPPDITSPPTPATPGDAFLPSSSQTLPGSADVFGSMSFGTAAVPSGYVAMGAVLPSFWGQQPLVQQQIAMGAQPPVAQVIPGAQPIAWGQPGLFPATQQAWPTVAGQFPPAAFMPTQTVMPLAAAMFQGPLTPLATVPGTNDSARSSPQSDKPRQKMGKESFKDFQMVQPPPVPSRKPDQPSLTCTSEAFSSYFNKVGVAQDTDDCDDFDISQLNLTPVTSTTPSTNSPPTPAPRQSSPSKSSASHVSDPTADDIFEEGFESPSKSEEQEAPDGSQASSTSDPFGEPSGEPSGDNISPQDGS
NP_000313.2 1 346 0.132343063583815 PF00335.20:Tetraspanin:19:278 peripherin-2 346 260 62 277 3 Homo sapiens NP_000313.2 1 RefSeq MALLKVKFDQKKRVKLAQGLWLMNWFSVLAGIIIFSLGLFLKIELRKRSDVMNNSESHFVPNSLIGMGVLSCVFNSLAGKICYDALDPAKYARWKPWLKPYLAICVLFNIILFLVALCCFLLRGSLENTLGQGLKNGMKYYRDTDTPGRCFMKKTIDMLQIEFKCCGNNGFRDWFEIQWISNRYLDFSSKEVKDRIKSNVDGRYLVDGVPFSCCNPSSPRPCIQYQITNNSAHYSYDHQTEELNLWVRGCRAALLSYYSSLMNSMGVVTLLIWLFEVTITIGLRYLQTSLDGVSNPEESESESQGWLLERSVPETWKAFLESVKKLGKGNQVEAEGADAGQAPEAG
NP_001026855.2 90 728 0.684253208137715 apoptosis-stimulating of p53 protein 2 isoform 1 1134 0 62 639 0 Homo sapiens NP_001026855.2 1 RefSeq RPPGRDIVSGPRSQDPSLKRNGVKVPGEYRRKENGVNSPRMDLTLAELQEMASRQQQQIEAQQQLLATKEQRLKFLKQQDQRQQQQVAEQEKLKRLKEIAENQEAKLKKVRALKGHVEQKRLSNGKLVEEIEQMNNLFQQKQRELVLAVSKVEELTRQLEMLKNGRIDSHHDNQSAVAELDRLYKELQLRNKLNQEQNAKLQQQRECLNKRNSEVAVMDKRVNELRDRLWKKKAALQQKENLPVSSDGNLPQQAASAPSRVAAVGPYIQSSTMPRMPSRPELLVKPALPDGSLVIQASEGPMKIQTLPNMRSGAASQTKGSKIHPVGPDWSPSNADLFPSQGSASVPQSTGNALDQVDDGEVPLREKEKKVRPFSMFDAVDQSNAPPSFGTLRKNQSSEDILRDAQVANKNVAKVPPPVPTKPKQINLPYFGQTNQPPSDIKPDGSSQQLSTVVPSMGTKPKPAGQQPRVLLSPSIPSVGQDQTLSPGSKQESPPAAAVRPFTPQPSKDTLLPPFRKPQTVAASSIYSMYTQQQAPGKNFQQAVQSALTKTHTRGPHFSSVYGKPVIAAAQNQQQHPENIYSNSQGKPGSPEPETEPVSSVQENHENERIPRPLSPTKLLPFLSNPYRNQSDADLEALR
NP_001135741.1 1 701 0.181294579172611 PF05090.14:VKG_Carbox:15:447 vitamin K-dependent gamma-carboxylase isoform 2 701 433 62 589 5 Homo sapiens NP_001135741.1 1 RefSeq MAVSAGSARTSPSSGFLMVLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWMYLVYTIMFLGALGMMLGLCYRISCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFMDANHYWSVDGLLNAHRRNAHVPLWNYAVLRGQIFIVYFIAGVKKLDADWVEGYSMEYLSRHWLFSPFKLLLSEELTSLLVVHWGGLLLDLSAGFLLFFDVSRSIGLFFVSYFHCMNSQLFSIGMFSYVMLASSPLFCSPEWPRKLVSYCPRRLQQLLPLKAAPQPSVSCVYKRSRGKSGQKPGLRHQLGAAFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGRTGELGYLNPGVFTQSRRWKDHADMLKQYATCLSRLLPKYNVTEPQIYFDIWVSINDRFQQRIFDPRVDIVQAAWSPFQRTSWVQPLLMDLSPWRAKLQEIKSSLDNHTEVVFIADFPGLHLENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLREGEKMQLPAGEYHKVYTTSPSPSCYMYVYVNTTELALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFLRRQQRLQEIERRRNTPFHERFFRFLLRKLYVFRRSFLMTCISLRNLILGRPSLEQLAQEVTYANLRPFEAVGELNPSNTDSSHSNPPESNPDPVHSEF
NP_001171719.1 633 1266 0.711074921135645 partitioning defective 3 homolog isoform 7 1266 0 62 634 0 Homo sapiens NP_001171719.1 1 RefSeq LKSPGSPPGPELPIETALDDRERRISHSLYSGIEGLDESPSRNAALSRIMGKYQLSPTVNMPQDDTVIIEDDRLPVLPPHLSDQSSSSSHDDVGFVTADAGTWAKAAISDSADCSLSPDVDPVLAFQREGFGRQIADETKLNTVDDQKAGSPSRDVGPSLGLKKSSSLESLQTAVAEVTLNGDIPFHRPRPRIIRGRGCNESFRAAIDKSYDKPAVDDDDEGMETLEEDTEESSRSGRESVSTASDQPSHSLERQMNGNQEKGDKTDRKKDKTGKEKKKDRDKEKDKMKAKKGMLKGLGDMFRFGKHRKDDKIEKTGKIKIQESFTSEEERIRMKQEQERIQAKTREFRERQARERDYAEIQDFHRTFGCDDELMYGGVSSYEGSMALNARPQSPREGHMMDALYAQVKKPRNSKPSPVDSNRSTPSNHDRIQRLRQEFQQAKQDEDVEDRRRTYSFEQPWPNARPATQSGRHSVSVEVQMQRQRQEERESSQQAQRQYSSLPRQSRKNASSVSQDSWEQNYSPGEGFQSAKENPRYSSYQGSRNGYLGGHGFNARVMLETQELLRQEQRRKEQQMKKQPPSEGPSNYDSYKKVQDPSYAPPKGPFRQDVPPSPSQVARLNRLQTPEKGRPFYS
NP_001258985.1 291 593 0.662851155115511 protein numb homolog isoform 4 593 0 62 303 0 Mus musculus NP_001258985.1 1 RefSeq PSTMQRKTDFPIKNTVPEVEGEAESISSLCSQITSAFSTPSEDPFSSAPMTKPVTLVAPQSPVLQGTEWGQSSGAASPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQVSAAPLQPVLQPPPPAAIAPPAPPFQGHAFLTSQPVPVGVVPPLQPAFVPTQSYPVANGMPYPASNVPVVGITPSQMVANVFGTAGHPQTTHPHQSPSLAKQQTFPQYETSSATTSPFFKPPAQHLNGSAAFNGVDNGGLASGNRHAEVPPGTCPVDPFEAQWAALESKSKQRTNPSPTNPFSSDLQKTFEIEL
NP_001276396.1 1 291 0.637789003436426 PF12067.8:Sox17_18_mid:75:125 transcription factor SOX-17 isoform c 291 51 62 291 0 Mus musculus NP_001276396.1 1 RefSeq MQDHPNYKYRPRRRKQVKRMKRVEGGFLHALVEPQAGALGPEGGRVAMDGLGLPFPEPGYPAGPPLMSPHMGPHYRDCQGLGAPALDGYPLPTPDTSPLDGVEQDPAFFAAPLPGDCPAAGTYTYAPVSDYAVSVEPPAGPMRVGPDPSGPAMPGILAPPSALHLYYGAMGSPAASAGRGFHAQPQQPLQPQAPPPPPQQQHPAHGPGQPSPPPEALPCRDGTESNQPTELLGEVDRTEFEQYLPFVYKPEMGLPYQGHDCGVNLSDSHGAISSVVSDASSAVYYCNYPDI
NP_001305804.1 123 379 0.4071953307393 PF15975.5:Flot:140:218 flotillin-1 isoform 2 379 79 62 257 0 Homo sapiens NP_001305804.1 1 RefSeq QVQKDARIGEAEAKRDAGIREAKAKQEKVSAQYLSEIEMAKAQRDYELKKAAYDIEVNTRRAQADLAYQLQVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAEAERYKLERLAEAEKSQLIMQAEAEAASVRMRGEAEAFAIGARARAEAEQMAKKAEAFQLYQEAAQLDMLLEKLPQVAEEISGPLTSANKITLVSSGSGTMGAAKVTGEVLDILTRLPESVERLTGVSISQVNHKPLRTA
NP_005439.2 1 258 0.268944573643411 Bone morphogenetic protein 15; BMP-15; Growth/differentiation factor 9B; GDF-9B 392 0 62 258 0 Homo sapiens (Human) SwissProt::O95972 1 SwissProt MVLLSILRILFLCELVLFMEHRAQMAEGGQSSIALLAEAPTLPLIEELLEESPGEQPRKPRLLGHSLRYMLELYRRSADSHGHPRENRTIGATMVRLVKPLTNVARPHRGTWHIQILGFPLRPNRGLYQLVRATVVYRHHLQLTRFNLSCHVEPWVQKNPTNHFPSSEGDSSKPSLMSNAWKEMDITQLVQQRFWNNKGHRILRLRFMCQQQKDSGGLELWHGTSSLDIAFLLLYFNDTHKSIRKAKFLPRGMEEFME
NP_055204.4 1 791 0.33777193426043 PF08166.12:NUC202:423:488,PF08166.12:NUC202:569:639,PF08167.12:RIX1:65:226 Proline-, glutamic acid- and leucine-rich protein 1; Modulator of non-genomic activity of estrogen receptor; Transcription factor HMX3 1130 299 62 791 0 Homo sapiens (Human) SwissProt::Q8IZL8 1 SwissProt MAAAVLSGPSAGSAAGVPGGTGGLSAVSSGPRLRLLLLESVSGLLQPRTGSAVAPVHPPNRSAPHLPGLMCLLRLHGSVGGAQNLSALGALVSLSNARLSSIKTRFEGLCLLSLLVGESPTELFQQHCVSWLRSIQQVLQTQDPPATMELAVAVLRDLLRYAAQLPALFRDISMNHLPGLLTSLLGLRPECEQSALEGMKACMTYFPRACGSLKGKLASFFLSRVDALSPQLQQLACECYSRLPSLGAGFSQGLKHTESWEQELHSLLASLHTLLGALYEGAETAPVQNEGPGVEMLLSSEDGDAHVLLQLRQRFSGLARCLGLMLSSEFGAPVSVPVQEILDFICRTLSVSSKNISLHGDGPLRLLLLPSIHLEALDLLSALILACGSRLLRFGILIGRLLPQVLNSWSIGRDSLSPGQERPYSTVRTKVYAILELWVQVCGASAGMLQGGASGEALLTHLLSDISPPADALKLRSPRGSPDGSLQTGKPSAPKKLKLDVGEAMAPPSHRKGDSNANSDVCAAALRGLSRTILMCGPLIKEETHRRLHDLVLPLVMGVQQGEVLGSSPYTSSRCRRELYCLLLALLLAPSPRCPPPLACALQAFSLGQREDSLEVSSFCSEALVTCAALTHPRVPPLQPMGPTCPTPAPVPPPEAPSPFRAPPFHPPGPMPSVGSMPSAGPMPSAGPMPSAGPVPSARPGPPTTANHLGLSVPGLVSVPPRLLPGPENHRAGSNEDPILAPSGTPPPTIPPDETFGGRVPRPAFVHYDKEEASDVEISLESDSDDSVVIV
NP_112438.1 1 115 0.385315652173913 PF00918.17:Gastrin:3:115 cholecystokinin isoform 1 preproprotein 115 113 62 115 0 Mus musculus NP_112438.1 1 RefSeq MKSGVCLCVVMAVLAAGALAQPVVPAEATDPVEQRAQEAPRRQLRAVLRTDGEPRARLGALLARYIQQVRKAPSGRMSVLKNLQSLDPSHRISDRDYMGWMDFGRRSAEDYEYPS
NP_188713.1 307 628 0.514186335403727 Protein ETHYLENE INSENSITIVE 3 628 0 62 322 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O24606 1 SwissProt CPPLSLSGGSCSLLMNDCSQYDVEGFEKESHYEVEELKPEKVMNSSNFGMVAKMHDFPVKEEVPAGNSEFMRKRKPNRDLNTIMDRTVFTCENLGCAHSEISRGFLDRNSRDNHQLACPHRDSRLPYGAAPSRFHVNEVKPVVGFPQPRPVNSVAQPIDLTGIVPEDGQKMISELMSMYDRNVQSNQTSMVMENQSVSLLQPTVHNHQEHLQFPGNMVEGSFFEDLNIPNRANNNNSSNNQTFFQGNNNNNNVFKFDTADHNNFEAAHNNNNNSSGNRFQLVFDSTPFDMASFDYRDDMSMPGVVGTMDGMQQKQQDVSIWF
XP_006530265.1 276 853 0.760815397923875 paxillin isoform X1 1088 0 62 578 0 Mus musculus XP_006530265.1 1 RefSeq FKTSTSAVLLSSQGLLPGSSPPPPLTLLHPPLPPTNSSPGDHTPEALYTEGSSQVLLPSVAPRWLGLGSPEETSDTQNSRYPSVAASQQSRGAKSQAQVRCGLMGAVGPPSRTPPCHTPYPARSIGSPGPLANHVFSEETVAPIWGQPQALTASRPESPHGVTYSFQEGLEPPAVALDRQDILPDTWALTKECALQERVQSEPEGLGSTCPAAGDKEPLRGKTPQKGSLGGPTEALGSPGNPEGTTEATLEARKEQPEPSYAMAVGTPSVSERISTSGQIRSVIRRSRETGHVHPMSRELSPRRRLDPASLSRNPSQEQLIAELQGRLGIQLAAEEVAGTPEQDWLTEGVVITVQPRGRQAGGQLVEKVVYPPDSPVPLRRTISVVAPSPPAPLLQDHPDPSTGSSPLPPSLPTPSWPGPLAAASASSSGVQTEGGKSPEEGGRGPPGLTSVPHTVRSVGCQTNEDPLFCPMQAGLQAPCPARALSRPEPPPNSEDAAEMQGLEQRVDGERPWAASWPPSSRQSSPEGQDEGGFMAQGKTGSSSPPGGLSKPGSQLDSMLGSLQSDLNKLGVATVAKG
XP_016866433.1 1 4074 0.195624693176239 PF01833.24:TIG:260:313,PF01833.24:TIG:945:1001,PF01833.24:TIG:1019:1102,PF01833.24:TIG:1108:1189,PF01833.24:TIG:1389:1472,PF01833.24:TIG:1487:1564,PF01833.24:TIG:1578:1642,PF10162.9:G8:1934:2051,PF10162.9:G8:2749:2871,PF13229.6:Beta_helix:2243:2418,PF13229.6:Beta_helix:3011:3172 Fibrocystin; Polycystic kidney and hepatic disease 1 protein; Polyductin; Tigmin 4074 1083 62 4051 1 Homo sapiens (Human) SwissProt::P08F94 1 SwissProt MTAWLISLMSIEVLLLAVRHLSLHIEPEEGSLAGGTWITVIFDGLELGVLYPNNGSQLEIHLVNVNMVVPALRSVPCDVFPVFLDLPVVTCRTRSVLSEAHEGLYFLEAYFGGQLVSSPNPGPRDSCTFKFSKAQTPIVHQVYPPSGVPGKLIHVYGWIITGRLETFDFDAEYIDSPVILEAQGDKWVTPCSLINRQMGSCYPIQEDHGLGTLQCHVEGDYIGSQNVSFSVFNKGKSMVHKKAWLISAKQDLFLYQTHSEILSVFPETGSLGGRTNITITGDFFDNSAQVTIAGIPCDIRHVSPRKIECTTRAPGKDVRLTTPQPGNRGLLFEVGDAVEGLELTEATPGYRWQIVPNASSPFGFWSQEGQPFRARLSGFFVAPETNNYTFWIQADSQASLHFSWSEEPRTKVKVASISVGTADWFDSWEQNRDEGTWQQKTPKLELLGGAMYYLEAEHHGIAPSRGMRIGVQIHNTWLNPDVVTTYLREKHQIRVRAQRLPEVQVLNVSGRGNFFLTWDNVSSQPIPANATAHLIQTTIEELLAVKCKLEPLWSNILLRLGFERGPEVSNSDGDLTSGTEPFCGRFSLRQPRHLVLTPPAAQKGYRLDQYTHLCLAYKGHMNKILKMIVSFTIGFQNMVKNTTCDWSLTRTSPESWQFDCTDLWETCVRCFGDLQPPPANSPVLVHQINLLPLAQETGLFYVDEIIIADTNVTVSQADSGTARPGGNLVESVSVVGSPPVYSVTSWLAGCGTELPLITARSVPTEGTEEGSGLVLVTTQRRQRTSPPLGGHFRIQLPNTVISDVPVQISAHHLHQLLQNNADDFTSRYLNASDFTVKEDLYTCYEHVWTLSWSTQIGDLPNFIRVSDENLTGVNPAAATRVVYDGGVFLGPIFGDMLATANQHTQVVVRVNDVPAHCPGSCSFQYLQGSTPCVHSVWYSIDGDINLMIYITGTGFSGDSQFLQVTVNKTSCKVIFSNQTNVVCQTDLLPVGMHRILMLVRPSGLAISATGEDLFLNVKPRLDMVEPSRAADIGGLWATIRGSSLEGVSLILFGSYSCAINVATSNSSRIQCKVPPRGKDGRIVNVTVIRGDYSAVLPRAFTYVSSLNPVIVTLSRNISNIAGGETLVIGVARLMNYTDLDVEVHVQDALAPVHTQSAWGLEVALPPLPAGLHRISVSINGVSIHSQGVDLHIQYLTEVFSIEPCCGSLLGGTILSISGIGFSRDPALVWVLVGNRSCDIVNLTEASIWCETLPAPQIPDAGAPTVPAAVEVWAGNRFFARGPSPSLVGKGFTFMYEAAATPVVTAMQGEITNSSLSLHVGGSNLSNSVILLGNLNCDVETQSFQGNVSLSGCSIPLHSLEAGIYPLQVRQKQMGFANMSVVLQQFAVMPRIMAIFPSQGSACGGTILTVRGLLLNSRRRSVRVDLSGPFTCVILSLGDHTILCQVSLEGDPLPGASFSLNVTVLVNGLTSECQGNCTLFIREEASPVMDALSTNTSGSLTTVLIRGQRLATTADEPMVFVDDQLPCNVTFFNASHVVCQTRDLAPGPHYLSVFYTRNGYACSGNVSRHFYIMPQVFHYFPKNFSLHGGSLLTIEGTGLRGQNTTSVYIDQQTCLTVNIGAELIRCIVPTGNGSVALEIEVDGLWYHIGVIGYNKAFTPELISISQSDDILTFAVAQISGAANIDIFIGMSPCVGVSGNHTVLQCVVPSLPAGEYHVRGYDCIRGWASSALVFTSRVIITAVTENFGCLGGRLVHVFGAGFSPGNVSAAVCGAPCRVLANATVSAFSCLVLPLDVSLAFLCGLKREEDSCEAARHTYVQCDLTVAMATEQLLESWPYLYICEESSQCLFVPDHWAESMFPSFSGLFISPKLERDEVLIYNSSCNITMETEAEMECETPNQPITVKITEIRKRWGQNTQGNFSLQFCRRWSRTHSWFPERLPQDGDNVTVENGQLLLLDTNTSILNLLHIKGGKLIFMAPGPIELRAHAILVSDGGELRIGSEDKPFQGRAQITLYGSSYSTPFFPYGVKFLAVRNGTLSLHGSLPEVIVTCLRATAHALDTVLALEDAVDWNPGDEVVIISGTGVKGAKPMEEIVTVETVQDTDLYLKSPLRYSHNFTENWVAGEHHILKATVALLSRSITIQGNLTNEREKLLVSCQEANAPEGNLQHCLYSMSEKMLGSRDMGARVIVQSFPEEPSQVQLKGVQFQVLGQAFHKHLSSLTLVGAMRESFIQGCTVRNSFSRGLSMCGTLGLKVDSNVFYNILGHALLVGTCTEMRYISWEAIHGRKDDWSGHGNIIRNNVIIQVSGAEGLSNPEMLTPSGIYICSPTNVIEGNRVCGAGYGYFFHLMTNQTSQAPLLSFTQNIAHSCTRYGLFVYPKFQPPWDNVTGTTLFQSFTVWESAGGAQIFRSSNLRLKNFKVYSCRDFGIDVLESDANTSVTDSLLLGHFAHKGSLCMSSGIKTPKRWELMVSNTTFVNFDLINCVAIRTCSDCSQGQGGFTVKTSQLKFTNSSNLVAFPFPHAAILEDLDGSLSGKNRSHILASMETLSASCLVNSSFGRVVHGSACGGGVLFHRMSIGLANTPEVSYDLTMTDSRNKTTTVNYVRDTLSNPRGWMALLLDQETYSLQSENLWINRSLQYSATFDNFAPGNYLLLVHTDLPPYPDILLRCGSRVGLSFPFLPSPGQNQGCDWFFNSQLRQLTYLVSGEGQVQVILRVKEGMPPTISASTSAPESALKWSLPETWQGVEEGWGGYNNTIPGPGDDVLILPNRTVLVDTDLPFFKGLYVMGTLDFPVDRSNVLSVACMVIAGGELKVGTLENPLEKEQKLLILLRASEGVFCDRMNGIHIDPGTIGVYGKVHLYSAYPKNSWTHLGADIASGNERIIVEDAVDWRPHDKIVLSSSSYEPHEAEVLTVKEVKGHHVRIYERLKHRHIGSVHVTEDGRHIRLAAEVGLLTRNIQIQPDVSCRGRLFVGSFRKSSREEFSGVLQLLNVEIQNFGSPLYSSVEFSNVSAGSWIISSTLHQSCGGGIHAAASHGVLLNDNIVFGTAGHGIDLEGQAYTVTNNLVVLMTQPAWSTIWVAGIKVNQVKDINLHGNVVAGSERLGFHIRGHKCSSCELLWSDNVAHSSLHGLHLYKESGLDNCTRISGFLAFKNFDYGAMLHVENSVEIENITLVDNTIGLLAVVYVFSAPQNSVKKVQIVLRNSVIVATSSSFDCIQDKVKPHSANLTSTDRAPSNPRGGRIGILWPVFTSEPNQWPQEPWHKVRNDHSISGIMKLQDVTFSSFVKSCYSDDLDVCILPNAENSGIMHPITAERTRMLKIKDKNKFYFPSLQPRKDLGKVVCPELDCASPRKYLFKDLDGRALGLPPPVSVFPKTEAEWTASFFNAGTFREEQKCTYQFLMQGFICKQTDQVVLILDSADAIWAIQKLYPVVSVTSGFVDVFSSVNANIPCSTSGSVSTFYSILPIRQITKVCFMDQTPQVLRFFLLGNKSTSKLLLAVFYHELQSPHVFLGESFIPPTLVQSASLLLNESIGANYFNIMDNLLYVVLQGEEPIEIRSGVSIHLALTVMVSVLEKGWEIVILERLTNFLQIGQNQIRFIHEMPGHEETLKAIADSRAKRKRNCPTVTCTSHYRRVGQRRPLMMEMNSHRASPPMTVETISKVIVIEIGDSPTVRSTGMISSLSSNKLQNLAHRVITAQQTGVLENVLNMTIGALLVTQSKGVIGYGNTSSFKTGNLIYIRPYALSILVQPSDGEVGNELPVQPQLVFLDEQNRRVESLGPPSEPWTISASLEGASDSVLKGCTQAETQDGYVSFYNLAVLISGSNWHFIFTVTSPPGVNFTARSKPFAVLPVTRKEKSTIILAASLSSVASWLALSCLVCCWLKRSKSRKTKPEEIPESQTNNQNIHIHISSKRRESQGPKKEDTVVGEDMRMKVMLGKVNQCPHQLMNGVSRRKVSRHIVREEEAAVPAPGTTGITSHGHICAPGAPAQQVYLQETGNWKEGQEQLLRYQLAGQNQLLLLCPDFRQERQQLPGQSRLSKQSGSLGLSQEKKASCGATEAFCLHSVHPETIQEQL
NP_000127.2 1 558 0.100306989247312 PF02106.15:Fanconi_C:1:554 Fanconi anemia group C protein; Protein FACC 558 554 61 558 0 Homo sapiens (Human) SwissProt::Q00597 1 SwissProt MAQDSVDLSCDYQFWMQKLSVWDQASTLETQQDTCLHVAQFQEFLRKMYEALKEMDSNTVIERFPTIGQLLAKACWNPFILAYDESQKILIWCLCCLINKEPQNSGQSKLNSWIQGVLSHILSALRFDKEVALFTQGLGYAPIDYYPGLLKNMVLSLASELRENHLNGFNTQRRMAPERVASLSRVCVPLITLTDVDPLVEALLICHGREPQEILQPEFFEAVNEAILLKKISLPMSAVVCLWLRHLPSLEKAMLHLFEKLISSERNCLRRIECFIKDSSLPQAACHPAIFRVVDEMFRCALLETDGALEIIATIQVFTQCFVEALEKASKQLRFALKTYFPYTSPSLAMVLLQDPQDIPRGHWLQTLKHISELLREAVEDQTHGSCGGPFESWFLFIHFGGWAEMVAEQLLMSAAEPPTALLWLLAFYYGPRDGRQQRAQTMVQVKAVLGHLLAMSRSSSLSAQDLQTVAGQGTDTDLRAPAQQLIRHLLLNFLLWAPGGHTIAWDVITLMAHTAEITHEIIGFLDQTLYRWNRLGIESPRSEKLARELLKELRTQV
NP_001136028.1 1 725 0.457586896551724 PF15905.5:HMMR_N:15:340,PF15908.5:HMMR_C:553:709 hyaluronan mediated motility receptor isoform a 725 483 61 725 0 Homo sapiens NP_001136028.1 1 RefSeq MSFPKAPLKRFNDPSGCAPSPGAYDVKTLEVLKGPVSFQKSQRFKQQKESKQNLNVDKDTTLPASARKVKSSESKKESQKNDKDLKILEKEIRVLLQERGAQDRRIQDLETELEKMEARLNAALREKTSLSANNATLEKQLIELTRTNELLKSKFSENGNQKNLRILSLELMKLRNKRETKMRGMMAKQEGMEMKLQVTQRSLEESQGKIAQLEGKLVSIEKEKIDEKSETEKLLEYIEEISCASDQVEKYKLDIAQLEENLKEKNDEILSLKQSLEENIVILSKQVEDLNVKCQLLEKEKEDHVNRNREHNENLNAEMQNLKQKFILEQQEREKLQQKELQIDSLLQQEKELSSSLHQKLCSFQEEMVKEKNLFEEELKQTLDELDKLQQKEEQAERLVKQLEEEAKSRAEELKLLEEKLKGKEAELEKSSAAHTQATLLLQEKYDSMVQSLEDVTAQFESYKALTASEIEDLKLENSSLQEKAAKAGKNAEDVQHQILATESSNQEYVRMLLDLQTKSALKETEIKEITVSFLQKITDLQNQLKQQEEDFRKQLEDEEGRKAEKENTTAELTEEINKWRLLYEELYNKTKPFQLQLDAFEVEKQALLNEHGAAQEQLNKIRDSYAKLLGHQNLKQKIKHVVKLKDENSQLKSEVSKLRCQLAKKKQSETKLQEELNKVLGIKHFDPSKAFHHESKENFALKTPLKEGNTNCYRAPMECQESWK
NP_001193775.1 1 1442 0.275771151178918 PF15780.5:ASH:37:134,PF00307.31:CH:1114:1255,PF00612.27:IQ:1317:1335,PF00612.27:IQ:1349:1367,PF00612.27:IQ:1371:1389,PF11971.8:CAMSAP_CH:1129:1169 abnormal spindle-like microcephaly-associated protein isoform 2 1892 297 61 1442 0 Homo sapiens NP_001193775.1 1 RefSeq MANRRVGRGCWEVSPTERRPPAGLRGPAAEEEASSPPVLSLSHFCRSPFLCFGDVLLGASRTLSLALDNPNEEVAEVKISHFPAADLGFSVSQRCFVLQPKEKIVISVNWTPLKEGRVREIMTFLVNDVLKHQAILLGNAEEQKKKKRSLWDTIKKKKISASTSHNRRVSNIQNVNKTFSVSQKVDRVRSPLQACENLAMNEGGPPTENNSLILEENKIPISPISPAFNECHGATCLPLSVRRSTTYSSLHASENRELLNVHSANVSKVSFNEKAVTETSFNSVNVNGQRGENSKLSLTPNCSSTLNITQSQIHFLSPDSFVNNSHGANNELELVTCLSSDMFMKDNSQPVHLESTIAHEIYQKILSPDSFIKDNYGLNQDLESESVNPILSPNQFLKDNMAYMCTSQQTCKVPLSNENSQVPQSPEDWRKSEVSPRIPECQGSKSPKAIFEELVEMKSNYYSFIKQNNPKFSAVQDISSHSHNKQPKRRPILSATVTKRKATCTRENQTEINKPKAKRCLNSAVGEHEKVINNQKEKEDFHSYLPIIDPILSKSKSYKNEVTPSSTTASVARKRKSDGSMEDANVRVAITEHTEVREIKRIHFSPSEPKTSAVKKTKNVTTPISKRISNREKLNLKKKTDLSIFRTPISKTNKRTKPIIAVAQSSLTFIKPLKTDIPRHPMPFAAKNMFYDERWKEKQEQGFTWWLNFILTPDDFTVKTNISEVNAATLLLGIENQHKISVPRAPTKEEMSLRAYTARCRLNRLRRAACRLFTSEKMVKAIKKLEIEIEARRLIVRKDRHLWKDVGERQKVLNWLLSYNPLWLRIGLETTYGELISLEDNSDVTGLAMFILNRLLWNPDIAAEYRHPTVPHLYRDGHEEALSKFTLKKLLLLVCFLDYAKISRLIDHDPCLFCKDAEFKASKEILLAFSRDFLSGEGDLSRHLGLLGLPVNHVQTPFDEFDFAVTNLAVDLQCGVRLVRTMELLTQNWDLSKKLRIPAISRLQKMHNVDIVLQVLKSRGIELSDEHGNTILSKDIVDRHREKTLRLLWKIAFAFQVDISLNLDQLKEEIAFLKHTKSIKKTISLLSCHSDDLINKKKGKRDSGSFEQYSENIKLLMDWVNAVCAFYNKKVENFTVSFSDGRVLCYLIHHYHPCYVPFDAICQRTTQTVECTQTGSVVLNSSSESDDSSLDMSLKAFDHENTSELYKELLENEKKNFHLVRSAVRDLGGIPAMINHSDMSNTIPDEKVVITYLSFLCARLLDLRKEIRAARLIQTTWRKYKLKTDLKRHQEREKAARIIQLAVINFLAKQRLRKRVNAALVIQKYWRRVLAQRKLLMLKKEKLEKVQNKAASLIQAMWRRYRAKKYLCKVKAACKIQAWYRCWRAHKEYLAILKAVKIIQGCFYTKLERTRFLNVRASAIIIQRKWRAILPAKIAHEHFLMI
NP_001259204.1 1 463 0.575115766738661 Myc protein; Diminutive protein; dMyc1 717 0 61 463 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9W4S7 1 SwissProt MALYRSDPYSIMDDQLFSNISIFDMDNDLYDMDKLLSSSTIQSDLEKIEDMESVFQDYDLEEDMKPEIRNIDCMWPAMSSCLTSGNGNGIESGNSAASSYSETGAVSLAMVSGSTNLYSAYQRSQTTDNTQSNQQHVVNSAENMPVIIKKELADLDYTVCQKRLRLSGGDKKSQIQDEVHLIPPGGSLLRKRNNQDIIRKSGELSGSDSIKYQRPDTPHSLTDEVAASEFRHNVDLRACVMGSNNISLTGNDSDVNYIKQISRELQNTGKDPLPVRYIPPINDVLDVLNQHSNSTGGQQQLNQQQLDEQQQAIDIATGRNTVDSPPTTGSDSDSDDGEPLNFDLRHHRTSKSGSNASITTNNNNSNNKNNKLKNNSNGMLHMMHITDHSYTRCNDMVDDGPNLETPSDSDEEIDVVSYTDKKLPTNPSCHLMGALQFQMAHKISIDHMKQKPRYNNFNLPYTP
NP_005186.2 1 179 0.647745810055866 CCAAT/enhancer-binding protein delta; C/EBP delta; Nuclear factor NF-IL6-beta; NF-IL6-beta 269 0 61 179 0 Homo sapiens (Human) SwissProt::P49716 1 SwissProt MSAALFSLDGPARGAPWPAEPAPFYEPGRAGKPGRGAEPGALGEPGAAAPAMYDDESAIDFSAYIDSMAAVPTLELCHDELFADLFNSNHKAGGAGPLELLPGGPARPLGPGPAAPRLLKREPDWGDGDAPGSLLPAQVAACAQTVVSLAAAGQPTPPTSPEPPRSSPRQTPAPGPARE
NP_564180.1 1 1173 0.234580221653879 Protein GIGANTEA 1173 0 61 1173 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SQI2 1 SwissProt MASSSSSERWIDGLQFSSLLWPPPRDPQQHKDQVVAYVEYFGQFTSEQFPDDIAELVRHQYPSTEKRLLDDVLAMFVLHHPEHGHAVILPIISCLIDGSLVYSKEAHPFASFISLVCPSSENDYSEQWALACGEILRILTHYNRPIYKTEQQNGDTERNCLSKATTSGSPTSEPKAGSPTQHERKPLRPLSPWISDILLAAPLGIRSDYFRWCSGVMGKYAAGELKPPTIASRGSGKHPQLMPSTPRWAVANGAGVILSVCDDEVARYETATLTAVAVPALLLPPPTTSLDEHLVAGLPALEPYARLFHRYYAIATPSATQRLLLGLLEAPPSWAPDALDAAVQLVELLRAAEDYASGVRLPRNWMHLHFLRAIGIAMSMRAGVAADAAAALLFRILSQPALLFPPLSQVEGVEIQHAPIGGYSSNYRKQIEVPAAEATIEATAQGIASMLCAHGPEVEWRICTIWEAAYGLIPLNSSAVDLPEIIVATPLQPPILSWNLYIPLLKVLEYLPRGSPSEACLMKIFVATVETILSRTFPPESSRELTRKARSSFTTRSATKNLAMSELRAMVHALFLESCAGVELASRLLFVVLTVCVSHEAQSSGSKRPRSEYASTTENIEANQPVSNNQTANRKSRNVKGQGPVAAFDSYVLAAVCALACEVQLYPMISGGGNFSNSAVAGTITKPVKINGSSKEYGAGIDSAISHTRRILAILEALFSLKPSSVGTPWSYSSSEIVAAAMVAAHISELFRRSKALTHALSGLMRCKWDKEIHKRASSLYNLIDVHSKVVASIVDKAEPLEAYLKNTPVQKDSVTCLNWKQENTCASTTCFDTAVTSASRTEMNPRGNHKYARHSDEGSGRPSEKGIKDFLLDASDLANFLTADRLAGFYCGTQKLLRSVLAEKPELSFSVVSLLWHKLIAAPEIQPTAESTSAQQGWRQVVDALCNVVSATPAKAAAAVVLQAERELQPWIAKDDEEGQKMWKINQRIVKVLVELMRNHDRPESLVILASASDLLLRATDGMLVDGEACTLPQLELLEATARAIQPVLAWGPSGLAVVDGLSNLLKCRLPATIRCLSHPSAHVRALSTSVLRDIMNQSSIPIKVTPKLPTTEKNGMNSPSYRFFNAASIDWKADIQNCLNWEAHSLLSTTMPTQFLDTAARELGCTISLSQ
VIMSS6581425 1 997 0.325817652958876 PF04109.16:APG9:295:776 Autophagy-related protein 9; Cytoplasm to vacuole targeting protein 7 997 482 61 862 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12142 1 SwissProt MERDEYQLPNSHGKNTFLSRIFGLQSDEVNPSLNSQEMSNFPLPDIERGSSLLHSTNDSREDVDENDLRVPESDQGTSTEEEDEVDEEQVQAYAPQISDGLDGDHQLNSVTSKENVLETEKSNLERLVEGSTDDSVPKVGQLSSEEEEDNEFINNDGFDDDTPLFQKSKIHEFSSKKSNTIEDGKRPLFFRHILQNNRPQRDTQKLFTSSNAIHHDKDKSANNGPRNINGNQKHGTKYFGSATQPRFTGSPLNNTNRFTKLFPLRKPNLLSNISVLNNTPEDRINTLSVKERALWKWANVENLDIFLQDVYNYYLGNGFYCIILEKILNICTLLFVVFVSTYMGHCVDYSKLPTSHRVSDIIIDKCYSNSITGFTKFFLWMFYFFVILKIVQLYFDVQKLSELQNFYKYLLNISDDELQTLPWQNVIQQLMYLKDQNAMTANVVEVKAKNRIDAHDVANRIMRRENYLIALYNSDILNLSLPIPLFRTNVLTKTLEWNINLCVMGFVFNESGFIKQSILKPSQREFTREELQKRFMLAGFLNIILAPFLVTYFVLLYFFRYFNEYKTSPGSIGARQYTPIAEWKFREYNELYHIFKKRISLSTTLANKYVDQFPKEKTNLFLKFVSFICGSFVAILAFLTVFDPENFLNFEITSDRSVIFYITILGAIWSVSRNTITQEYHVFDPEETLKELYEYTHYLPKEWEGRYHKEEIKLEFCKLYNLRIVILLRELTSLMITPFVLWFSLPSSAGRIVDFFRENSEYVDGLGYVCKYAMFNMKNIDGEDTHSMDEDSLTKKIAVNGSHTLNSKRRSKFTAEDHSDKDLANNKMLQSYVYFMDDYSNSENLTGKYQLPAKKGYPNNEGDSFLNNKYSWRKQFQPGQKPELFRIGKHALGPGHNISPAIYSTRNPGKNWDNNNNGDDIKNGTNNATAKNDDNNGNNDHEYVLTESFLDSGAFPNHDVIDHNKMLNSNYNGNGILNKGGVLGLVKEYYKKSDVGR
XP_006517084.1 232 837 0.285362871287129 PF00999.21:Na_H_Exchanger:3:234 sodium/hydrogen exchanger 3 isoform X1 837 232 61 472 6 Mus musculus XP_006517084.1 1 RefSeq NVFESFVTLGGDAVTGVDCVKGIVSFFVVSLGGTLVGVIFAFLLSLVTRFTKHVRIIEPGFVFVISYLSYLTSEMLSLSSILAITFCGICCQKYVKANISEQSATTVRYTMKMLASGAETIIFMFLGISAVNPDIWTWNTAFVLLTLVFISVYRAIGVVLQTWILNRYRMVQLETIDQVVMSYGGLRGAVAYALVVLLDEKKVKEKNLFVSTTLIVVFFTVIFQGLTIKPLVQWLKVKRSEHREPKLNEKLHGRAFDHILSAIEDISGQIGHNYLRDKWSNFDRKFLSKVLMRRSAQKSRDRILNVFHELNLKDAISYVAEGERRGSLAFIRSPSTDNMVNVDFNTPRPSTVEASVSYFLRENVSAVCLDMQSLEQRRRSIRDTEDMVTHHTLQQYLYKPRQEYKHLYSRHELTPNEDEKQDKEIFHRTMRKRLESFKSAKLGINQNKKAAKLYKRERAQKRRNSSIPNGKLPMENLAHNYTIKEKDLELSEHEEATNYEEISGGIEFLASVTQDVASDSGAGIDNPVFSPDEDLDPSILSRVPPWLSPGETVVPSQRARVQIPNSPSNFRRLTPFRLSNKSVDSFLQADGHEEQLQPAAPESTHM
XP_016881974.1 1 464 0.272929525862069 PF13553.6:FIIND:184:436 caspase recruitment domain-containing protein 8 isoform X6 464 253 61 464 0 Homo sapiens XP_016881974.1 1 RefSeq MEKKECPEKSSSSEEELPRRDSGSSRNIDASKLIRLQGSRKLLVDNSIRELQYTKTGIFFQAEACVTNDTVYRELPCVSETLCDISHFFQEDDETEAEPLLFRAVPECQLSGGDIPSVSEEQESSEGQDSGDICSEENQIVSSYASKVCFEIEEDYKNRQFLGPEGNVDVELIDKSTNRYSVWFPTAGWYLWSATGLGFLVRDEVTVTIAFGSWSQHLALDLQHHEQWLVGGPLFDVTAEPEEAVAEIHLPHFISLQAGEVDVSWFLVAHFKNEGMVLEHPARVEPFYAVLESPSFSLMGILLRIASGTRLSIPITSNTLIYYHPHPEDIKFHLYLVPSDALLTKAIDDEEDRFHGVRLQTSPPMEPLNFGSSYIVSNSANLKVMPKELKLSYRSPGEIQHFSKFYAGQMKEPIQLEITEKRHGTLVWDTEVKPVDLQLVAASAPPPFSGLLWQRQPLNPPPAR
NP_000264.2 1 404 0.171487623762376 PF02101.15:Ocular_alb:1:395,PF00002.24:7tm_2:82:273 G-protein coupled receptor 143; Ocular albinism type 1 protein 404 395 60 271 6 Homo sapiens (Human) SwissProt::P51810 1 SwissProt MASPRLGTFCCPTRDAATQLVLSFQPRAFHALCLGSGGLRLALGLLQLLPGRRPAGPGSPATSPPASVRILRAAAACDLLGCLGMVIRSTVWLGFPNFVDSVSDMNHTEIWPAAFCVGSAMWIQLLYSACFWWLFCYAVDAYLVIRRSAGLSTILLYHIMAWGLATLLCVEGAAMLYYPSVSRCERGLDHAIPHYVTMYLPLLLVLVANPILFQKTVTAVASLLKGRQGIYTENERRMGAVIKIRFFKIMLVLIICWLSNIINESLLFYLEMQTDINGGSLKPVRTAAKTTWFIMGILNPAQGFLLSLAFYGWTGCSLGFQSPRKEIQWESLTTSAAEGAHPSPLMPHENPASGKVSQVGGQTSDEALSMLSEGSDASTIEIHTASESCNKNEGDPALPTHGDL
NP_001310480.1 66 272 0.683146376811594 endoribonuclease ZC3H12A isoform b 325 0 60 207 0 Homo sapiens NP_001310480.1 1 RefSeq NALLSPPRAPSKDKNGRRPSPSSQSSSLLTESEQCSLDGKKLGAQASPGSRQEGLTQTYAPSGRSLAPSGGSGSSFGPTDWLPQTLDSLPYVSQDCLDSGIGSLESQMSELWGVRGGGPGEPGPPRAPYTGYSPYGSELPATAAFSAFGRAMGAGHFSVPADYPPAPPAFPPREYWSEPYPLPPPTSVLQEPPVQSPGAGRSPWGRA
NP_037263.1 1 583 0.52702281303602 Mineralocorticoid receptor; MR; Nuclear receptor subfamily 3 group C member 2 981 0 60 583 0 Rattus norvegicus (Rat) SwissProt::P22199 1 SwissProt METKGYHSLPEGLDMERRWSQVSQTLERSSLGPAERTTENNYMEIVNVSCVSGAIPNNSTQGSSKEKHELLPYIQQDNSRSGILPSDIKTELESKELSATVAESMGLYMDSVRDAEYTYDQQNQQGSLSPTKIYQNMEQLVKFYKENGHRSSTLSAMSRPLRSFMPDSAASMNGGALRAIVKSPIICHEKSSSVSSPLNMASSVCSPVGINSMSSSTTSFGSFPVHSPITQGTSLTCSPSVENRGSRSHSPTHASNVGSPLSSPLSSMKSPISSPPSHCSVKSPVSSPNNVPLRSSVSSPANLNNSRCSVSSPSNNTNNRSTLSSPTASTVGSIGSPISNAFSYATSGASAGAGAIQDVVPSPDTHEKGAHDVPFPKTEEVEKAISNGVTGPLNIVQYIKSEPDGAFSSSCLGGNSKISPSSPFSVPIKQESSKHSCSGASFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGFDGSCEDSAFPVGIKQEPDDGSYYPEASIPSSAIVGVNSGGQSFHYRIGAQGTISLSRSPRDQSFQHLSSFPPVNTLVESWKPHGDLSSRRSDGYPVLEYIPE
NP_061821.1 1 314 0.480443312101911 ERBB receptor feedback inhibitor 1; Mitogen-inducible gene 6 protein; MIG-6 462 0 60 314 0 Homo sapiens (Human) SwissProt::Q9UJM3 1 SwissProt MSIAGVAAQEIRVPLKTGFLHNGRAMGNMRKTYWSSRSEFKNNFLNIDPITMAYSLNSSAQERLIPLGHASKSAPMNGHCFAENGPSQKSSLPPLLIPPSENLGPHEEDQVVCGFKKLTVNGVCASTPPLTPIKNSPSLFPCAPLCERGSRPLPPLPISEALSLDDTDCEVEFLTSSDTDFLLEDSTLSDFKYDVPGRRSFRGCGQINYAYFDTPAVSAADLSYVSDQNGGVPDPNPPPPQTHRRLRRSHSGPAGSFNKPAIRISNCCIHRASPNSDEDKPEVPPRVPIPPRPVKPDYRRWSAEVTSSTYSDED
Q02952 1 1782 0.792260718294052 PF03832.13:WSK:605:633,PF03832.13:WSK:754:782,PF03832.13:WSK:800:826,PF10522.9:RII_binding_1:1540:1558 A-kinase anchor protein 12 1782 104 60 1782 0 Homo sapiens Q02952 1 SwissProt/TReMBL MGAGSSTEQRSPEQPPEGSSTPAEPEPSGGGPSAEAAPDTTADPAIAASDPATKLLQKNGQLSTINGVAEQDELSLQEGDLNGQKGALNGQGALNSQEEEEVIVTEVGQRDSEDVSKRDSDKEMATKSAVVHDITDDGQEETPEIIEQIPSSESNLEELTQPTESQANDIGFKKVFKFVGFKFTVKKDKTEKPDTVQLLTVKKDEGEGAAGAGDHKDPSLGAGEAASKESEPKQSTEKPEETLKREQSHAEISPPAESGQAVEECKEEGEEKQEKEPSKSAESPTSPVTSETGSTFKKFFTQGWAGWRKKTSFRKPKEDEVEASEKKKEQEPEKVDTEEDGKAEVASEKLTASEQAHPQEPAESAHEPRLSAEYEKVELPSEEQVSGSQGPSEEKPAPLATEVFDEKIEVHQEEVVAEVHVSTVEERTEEQKTEVEETAGSVPAEELVEMDAEPQEAEPAKELVKLKETCVSGEDPTQGADLSPDEKVLSKPPEGVVSEVEMLSSQERMKVQGSPLKKLFTSTGLKKLSGKKQKGKRGGGDEESGEHTQVPADSPDSQEEQKGESSASSPEEPEEITCLEKGLAEVQQDGEAEEGATSDGEKKREGVTPWASFKKMVTPKKRVRRPSESDKEDELDKVKSATLSSTESTASEMQEEMKGSVEEPKPEEPKRKVDTSVSWEALICVGSSKKRARRGSSSDEEGGPKAMGGDHQKADEAGKDKETGTDGILAGSQEHDPGQGSSSPEQAGSPTEGEGVSTWESFKRLVTPRKKSKSKLEEKSEDSIAGSGVEHSTPDTEPGKEESWVSIKKFIPGRRKKRPDGKQEQAPVEDAGPTGANEDDSDVPAVVPLSEYDAVEREKMEAQQAQKSAEQPEQKAATEVSKELSESQVHMMAAAVADGTRAATIIEERSPSWISASVTEPLEQVEAEAALLTEEVLEREVIAEEEPPTVTEPLPENREARGDTVVSEAELTPEAVTAAETAGPLGAEEGTEASAAEETTEMVSAVSQLTDSPDTTEEATPVQEVEGGVPDIEEQERRTQEVLQAVAEKVKEESQLPGTGGPEDVLQPVQRAEAERPEEQAEASGLKKETDVVLKVDAQEAKTEPFTQGKVVGQTTPESFEKAPQVTESIESSELVTTCQAETLAGVKSQEMVMEQAIPPDSVETPTDSETDGSTPVADFDAPGTTQKDEIVEIHEENEVASGTQSGGTEAEAVPAQKERPPAPSSFVFQEETKEQSKMEDTLEHTDKEVSVETVSILSKTEGTQEADQYADEKTKDVPFFEGLEGSIDTGITVSREKVTEVALKGEGTEEAECKKDDALELQSHAKSPPSPVEREMVVQVEREKTEAEPTHVNEEKLEHETAVTVSEEVSKQLLQTVNVPIIDGAKEVSSLEGSPPPCLGQEEAVCTKIQVQSSEASFTLTAAAEEEKVLGETANILETGETLEPAGAHLVLEEKSSEKNEDFAAHPGEDAVPTGPDCQAKSTPVIVSATTKKGLSSDLEGEKTTSLKWKSDEVDEQVACQEVKVSVAIEDLEPENGILELETKSSKLVQNIIQTAVDQFVRTEETATEMLTSELQTQAHVIKADSQDAGQETEKEGEEPQASAQDETPITSAKEESESTAVGQAHSDISKDMSEASEKTMTVEVEGSTVNDQQLEEVVLPSEEEGGGAGTKSVPEDDGHALLAERIEKSLVEPKEDEKGDDVDDPENQNSALADTDASGGLTKESPDTNGPKQKEKEDAQEVELQEGKVHSESDKAITPQAQEELQKQERESAKSELTES
VIMSS18139 1 280 0.0462232142857143 PF00083.24:Sugar_tr:28:260,PF07690.16:MFS_1:62:277 osmolyte:H+ symporter ProP 500 250 60 127 7 Escherichia coli K-12 substr. MG1655 ecocyc::PROP-MONOMER 0 ecocyc MLKRKKVKPITLRDVTIIDDGKLRKAITAASLGNAMEWFDFGVYGFVAYALGKVFFPGADPSVQMVAALATFSVPFLIRPLGGLFFGMLGDKYGRQKILAITIVIMSISTFCIGLIPSYDTIGIWAPILLLICKMAQGFSVGGEYTGASIFVAEYSPDRKRGFMGSWLDFGSIAGFVLGAGVVVLISTIVGEANFLDWGWRIPFFIALPLGIIGLYLRHALEETPAFQQHVDKLEQGDREGLQDGPKVSFKEIATKYWRSLLTCIGLVIATNVTYYMLLT
NP_001177.1 136 523 0.492184020618557 Transcription regulator protein BACH1; BTB and CNC homolog 1; HA2303 736 0 59 388 0 Homo sapiens (Human) SwissProt::O14867 1 SwissProt DQQECPRKKCFSSHCQKTDLKLSLLDQRDLETDEVEEFLENKNVQTPQCKLRRYQGNAKASPPLQDSASQTYESMCLEKDAALALPSLCPKYRKFQKAFGTDRVRTGESSVKDIHASVQPNERSENECLGGVPECRDLQVMLKCDESKLAMEPEETKKDPASQCPTEKSEVTPFPHNSSIDPHGLYSLSLLHTYDQYGDLNFAGMQNTTVLTEKPLSGTDVQEKTFGESQDLPLKSDLGTREDSSVASSDRSSVEREVAEHLAKGFWSDICSTDTPCQMQLSPAVAKDGSEQISQKRSECPWLGIRISESPEPGQRTFTTLSSVNCPFISTLSTEGCSSNLEIGNDDYVSEPQQEPCPYACVISLGDDSETDTEGDSESCSAREQECE
NP_001229295.1 1 431 0.0768491879350348 PF07690.16:MFS_1:90:385 proton-coupled folate transporter isoform 2 431 296 59 205 10 Homo sapiens NP_001229295.1 1 RefSeq MEGSASPPEKPRARPAAAVLCRGPVEPLVFLANFALVLQGPLTTQYLWHRFSADLGYNGTRQRGGCSNRSADPTMQEVETLTSHWTLYMNVGGFLVGLFSSTLLGAWSDSVGRRPLLVLASLGLLLQALVSVFVVQLQLHVGYFVLGRILCALLGDFGGLLAASFASVADVSSSRSRTFRMALLEASIGVAGMLASLLGGHWLRAQGYANPFWLALALLIAMTLYAAFCFGETLKEPKSTRLFTFRHHRSIVQLYVAPAPEKSRKHLALYSLAIFVVITVHFGAQDILTLYELSTPLCWDSKLIGYGSAAQHLPYLTSLLALKLLQYCLADAWVAEIGLAFNILGMVVFAFATITPLMFTGALFSAVACVNSLAMLTASGIFNSLYPATLNFMKGFPFLLGAGLLLIPAVLIGMLEKADPHLEFQQFPQSP
NP_001287766.1 1 263 0.647215589353612 transcription factor Sp7 isoform b 413 0 59 263 0 Homo sapiens NP_001287766.1 1 RefSeq MLTAACSKFGGSSPLRDSTTLGKAGTKKPYSVGSDLSASKTMGDAYPAPFTSTNGLLSPAGSPPAPTSGYANDYPPFSHSFPGPTGTQDPGLLVPKGHSSSDCLPSVYTSLDMTHPYGSWYKAGIHAGISPGPGNTPTPWWDMHPGGNWLGGGQGQGDGLQGTLPTGPAQPPLNPQLPTYPSDFAPLNPAPYPAPHLLQPGPQHVLPQDVYKPKAVGNSGQLEGSGGAKPPRGASTGGSGGYGGSGAGRSSCDCPNCQELERL
NP_005987.3 292 723 0.51117986111111 PF12598.8:TBX:12:93 T-box transcription factor TBX3 isoform 1 723 82 59 432 0 Homo sapiens NP_005987.3 1 RefSeq EKRKQLTLQSMRVFDERHKKENGTSDESSSEQAAFNCFAQASSPAASTVGTSNLKDLCPSEGESDAEAESKEEHGPEACDAAKISTTTSEEPCRDKGSPAVKAHLFAAERPRDSGRLDKASPDSRHSPATISSSTRGLGAEERRSPVREGTAPAKVEEARALPGKEAFAPLTVQTDAAAAHLAQGPLPGLGFAPGLAGQQFFNGHPLFLHPSQFAMGGAFSSMAAAGMGPLLATVSGASTGVSGLDSTAMASAAAAQGLSGASAATLPFHLQQHVLASQGLAMSPFGSLFPYPYTYMAAAAAASSAAASSSVHRHPFLNLNTMRPRLRYSPYSIPVPVPDGSSLLTTALPSMAAAAGPLDGKVAALAASPASVAVDSGSELNSRSSTLSSSSMSLSPKLCAEKEAATSELQSIQRLVSGLEAKPDRSRSASP
NP_009016.1 101 308 0.284188942307692 Follistatin-related protein 1; Follistatin-like protein 1 308 0 59 208 0 Homo sapiens (Human) SwissProt::Q12841 1 SwissProt KKSVSPSASPVVCYQSNRDELRRRIIQWLEAEIIPDGWFSKGSNYSEILDKYFKNFDNGDSRLDSSEFLKFVEQNETAINITTYPDQENNKLLRGLCVDALIELSDENADWKLSFQEFLKCLNPSFNPPEKKCALEDETYADGAETEVDCNRCVCACGNWVCTAMTCDGKNQKGAQTQTEEEMTRYVQELQKHQETAEKTKRVSTKEI
NP_034240.1 171 345 0.481983428571429 Ephrin-B1; CEK5 receptor ligand; CEK5-L; EFL-3; ELK ligand; ELK-L; EPH-related receptor tyrosine kinase ligand 2; LERK-2; Stimulated by retinoic acid gene 1 protein 345 0 59 152 1 Mus musculus (Mouse) SwissProt::P52795 1 SwissProt VTPEQLTTSRPSKESDNTVKTATQAPGRGSQGDSDGKHETVNQEEKSGPGAGGGGSGDSDSFFNSKVALFAAVGAGCVIFLLIIIFLTVLLLKLRKRHRKHTQQRAAALSLSTLASPKGGSGTAGTEPSDIIIPLRTTENNYCPHYEKVSGDYGHPVYIVQEMPPQSPANIYYKV
P42702 535 1097 0.371295737122557 Leukemia inhibitory factor receptor; LIF receptor; LIF-R; CD118 antigen 1097 0 59 540 1 Homo sapiens (Human) SwissProt::P42702 1 SwissProt PSKGPDTWREWSSDGKNLIIYWKPLPINEANGKILSYNVSCSSDEETQSLSEIPDPQHKAEIRLDKNDYIISVVAKNSVGSSPPSKIASMEIPNDDLKIEQVVGMGKGILLTWHYDPNMTCDYVIKWCNSSRSEPCLMDWRKVPSNSTETVIESDEFRPGIRYNFFLYGCRNQGYQLLRSMIGYIEELAPIVAPNFTVEDTSADSILVKWEDIPVEELRGFLRGYLFYFGKGERDTSKMRVLESGRSDIKVKNITDISQKTLRIADLQGKTSYHLVLRAYTDGGVGPEKSMYVVTKENSVGLIIAILIPVAVAVIVGVVTSILCYRKREWIKETFYPDIPNPENCKALQFQKSVCEGSSALKTLEMNPCTPNNVEVLETRSAFPKIEDTEIISPVAERPEDRSDAEPENHVVVSYCPPIIEEEIPNPAADEAGGTAQVIYIDVQSMYQPQAKPEEEQENDPVGGAGYKPQMHLPINSTVEDIAAEEDLDKTAGYRPQANVNTWNLVSPDSPRSIDSNSEIVSFGSPCSINSRQFLIPPKDEDSPKSNGGGWSFTNFFQNKPND
XP_006515141.1 1 4024 0.205650049701788 PF01347.22:Vitellogenin_N:46:597,PF09172.11:DUF1943:632:937,PF06448.11:DUF1081:960:1071 apolipoprotein B-100 isoform X1 4024 970 59 4024 0 Mus musculus XP_006515141.1 1 RefSeq MGPRKPALRTPLLLLFLLLFLDTSVWAQDEVLENLSFSCPKDATRFKHLRKYVYNYEAESSSGVQGTADSRSATKINCKVELEVPQICGFIMRTNQCTLKEVYGFNPEGKALMKKTKNSEEFAAAMSRYELKLAIPEGKQIVLYPDKDEPKYILNIKRGIISALLVPPETEEDQQELFLDTVYGNCSTQVTVNSRKGTVPTEMSTERNLQQCDGFQPISTSVSPLALIKGLVHPLSTLISSSQTCQYTLDPKRKHVSEAVCDEQHLFLPFSYKNKYGIMTRVTQKLSLEDTPKINSRFFSEGTNRMGLAFESTKSTSSPKQADAVLKTLQELKKLSISEQNAQRANLFNKLVTELRGLTGEAITSLLPQLIEVSSPITLQALVQCGQPQCYTHILQWLKTEKAHPLLVDIVTYLMALIPNPSTQRLQEIFNTAKEQQSRATLYALSHAVNSYFDVDHSRSPVLQDIAGYLLKQIDNECTGNEDHTFLILRVIGNMGRTMEQVMPALKSSVLSCVRSTKPSLLIQKAALQALRKMELEDEVRTILFDTFVNGVAPVEKRLAAYLLLMKNPSSSDINKIAQLLQWEQSEQVKNFVASHIANILNSEELYVQDLKVLIKNALENSQFPTIMDFRKFSRNYQISKSASLPMFDPVSVKIEGNLIFDPSSYLPRESLLKTTLTVFGLASLDLFEIGLEGKGFEPTLEALFGKQGFFPDSVNKALYWVNGRVPDGVSKVLVDHFGYTTDGKHEQDMVNGIMPIVDKLIKDLKSKEIPEARAYLRILGKELSFVRLQDLQVLGKLLLSGAQTLQGIPQMVVQAIREGSKNDLFLHYIFMDNAFELPTGAGLQLQVSSSGVFTPGIKAGVRLELANIQAELVAKPSVSLEFVTNMGIIIPDFAKSSVQMNTNFFHESGLEARVALKAGQLKVIIPSPKRPVKLFSGSNTLHLVSTTKTEVIPPLVENRQSWSTCKPLFTGMNYCTTGAYSNASSTESASYYPLTGDTRYELELRPTGEVEQYSATATYELLKEDKSLVDTLKFLVQAEGVQQSEATVLFKYNRRSRTLSSEVLIPGFDVNFGTILRVNDESAKDKNTYKLILDIQNKKITEVSLVGHLSYDKKGDGKIKGVVSIPRLQAEARSEVHTHWSSTKLLFQMDSSATAYGSTISKRVTWRYDNEIIEFDWNTGTNVDTKKVASNFPVDLSHYPRMLHEYANGLLDHRVPQTDVTFRDMGSKLIVATNTWLQMATRGLPYPQTLQDHLNSLSELNLLKMGLSDFHIPDNLFLKTDGRVKYTMNRNKINIDIPLPLGGKSSKDLKMPESVRTPALNFKSVGFHLPSREVQVPTFTIPKTHQLQVPLLGVLDLSTNVYSNLYNWSASYTGGNTSRDHFSLQAQYRMKTDSVVDLFSYSVQGSGETTYDSKNTFTLSCDGSLHHKFLDSKFKVSHVEKFGNSPVSKGLLTFETSSALGPQMSATVHLDSKKKQHLYVKDIKVDGQFRASSFYAQGKYGLSCERDVTTGQLSGESNMRFNSTYFQGTNQIVGMYQDGALSITSTSDLQDGIFKNTASLKYENYELTLKSDSSGQYENFAASNKLDVTFSTQSALLRSEHQANYKSLRLVTLLSGSLTSQGVELNADILGTDKINTGAHKATLKIARDGLSTSATTNLKYSPLLLENELNAELGLSGASMKLSTNGRFKEHHAKFSLDGRAALTEVSLGSIYQAMILGADSKNIFNFKLSREGLRLSNDLMGSYAEMKLDHTHSLNIAGLSLDFFSKMDNIYSGDKFYKQNFNLQLQPYSFITTLSNDLRYGALDLTNNGRFRLEPLKLNVGGNFKGTYQNNELKHIYTISYTDLVVASYRADTVAKVQGVEFSHRLNADIEGLTSSVDVTTSYNSDPLHFNNVFHFSLAPFTLGIDTHTSGDGKLSFWGEHTGQLYSKFLLKAEPLALIVSHDYKGSTSHSLPYESSISTALEHTVSALLTPAEQTSTWKFKTKLNDKVYSQDFEAYNTKDKIGVELSGRADLSGLYSPIKLPFFYSEPVNVLNGLEVNDAVDKPQEFTIIAVVKYDKNQDVHTINLPFFKSLPDYLERNRRGMISLLEAMRGELQRLSVDQFVRKYRAALSRLPQQIHHYLNASDWERQVAGAKEKITSFMENYRITDNDVLIAIDSAKINFNEKLSQLETYAIQFDQYIKDNYDPHDLKRTIAEIIDRIIEKLKILDEQYHIRVNLAKSIHNLYLFVENVDLNQVSSSNTSWIQNVDSNYQVRIQIQEKLQQLRTQIQNIDIQQLAAEVKRQMDAIDVTMHLDQLRTAILFQRISDIIDRVKYFVMNLIEDFKVTEKINTFRVIVRELIEKYEVDQHIQVLMDKSVELAHRYSLSEPLQKLSNVLQRIEIKDYYEKLVGFIDDTVEWLKALSFKNTIEELNRLTDMLVKKLKAFDYHQFVDKTNSKIREMTQRINAEIQALKLPQKMEALKLLVEDFKTTVSNSLERLKDTKVTVVIDWLQDILTQMKDHFQDTLEDVRDRIYQMDIQRELEHFLSLVNQVYSTLVTYMSDWWTLTAKNITDFAEQYSIQNWAESIKVLVEQGFIVPEMQTFLWTMPAFEVSLRALQEGNFQTPVFIVPLTDLRIPSIRINFKMLKNIKIPLRFSTPEFTLLNTFHVHSFTIDLLEIKAKIIRTIDQILSSELQWPLPEMYLRDLDVVNIPLARLTLPDFHVPEITIPEFTIPNVNLKDLHVPDLHIPEFQLPHLSHTIEIPAFGKLHSILKIQSPLFILDANANIQNVTTSGNKAEIVASVTAKGESQFEALNFDFQAQAQFLELNPHPPVLKESMNFSSKHVRMEHEGEIVFDGKAIEGKSDTVASLHTEKNEVEFNNGMTVKVNNQLTLDSHTKYFHKLSVPRLDFSSKASLNNEIKTLLEAGHVALTSSGTGSWNWACPNFSDEGIHSSQISFTVDGPIAFVGLSNNINGKHLRVIQKLTYESGFLNYSKFEVESKVESQHVGSSILTANGRALLKDAKAEMTGEHNANLNGKVIGTLKNSLFFSAQPFEITASTNNEGNLKVGFPLKLTGKIDFLNNYALFLSPRAQQASWQASTRFNQYKYNQNFSAINNEHNIEASIGMNGDANLDFLNIPLTIPEINLPYTEFKTPLLKDFSIWEETGLKEFLKTTKQSFDLSVKAQYKKNSDKHSIVVPLGMFYEFILNNVNSWDRKFEKVRNNALHFLTTSYNEAKIKVDKYKTENSLNQPSGTFQNHGYTIPVVNIEVSPFAVETLASSHVIPTAISTPSVTIPGPNIMVPSYKLVLPPLELPVFHGPGNLFKFFLPDFKGFNTIDNIYIPAMGNFTYDFSFKSSVITLNTNAGLYNQSDIVAHFLSSSSFVTDALQYKLEGTSRLMRKRGLKLATAVSLTNKFVKGSHDSTISLTKKNMEASVRTTANLHAPIFSMNFKQELNGNTKSKPTVSSSIELNYDFNSSKLHSTATGGIDHKFSLESLTSYFSIESFTKGNIKSSFLSQEYSGSVANEANVYLNSKGTRSSVRLQGASKVDGIWNVEVGENFAGEATLQRIYTTWEHNMKNHLQVYSYFFTKGKQTCRATLELSPWTMSTLLQVHVSQLSSLLDLHHFDQEVILKANTKNQKISWKGGVQVESRVLQHNAQFSNDQEEIRLDLAGSLDGQLWDLEAIFLPVYGKSLQELLQMDGKRQYLQASTSLLYTKNPNGYLLSLPVQELADRFIIPGIKLNDFSGVKIYKKLSTSPFALNLTMLPKVKFPGIDLLTQYSTPEGSSVPIFEATIPEIHLTVSQFTLPKSLPVGNTVFDLNKLANMIADVDLPSVTLPEQTIVIPPLEFSVPAGIFIPFFGELTARAGMASPLYNVTWSAGWKTKADHVETFLDSMCTSTLQFLEYALKVVETHKIEEDLLTYNIKGTLQHCDFNVEYNEDGLFKGLWDWQGEAHLDITSPALTDFHLYYKEDKTSLSASAASSTIGTVGLDSSTDDQSVELNVYFHPQSPPEKKLSIFKTEWRYKESDGERSHMLLNP 1
XP_011524235.1 1 125 0.637424 gastrin-releasing peptide isoform X3 125 0 59 125 0 Homo sapiens XP_011524235.1 1 RefSeq MLVKRASYLLSCHTSGQSCLGIPGHLMGKKSTGESSSVSERGSLKQQLREYIRWEEAARNLLGLIEAKENRNHQPPQPKALGNQQPSWDSEDSSNFKDVGSKGKVGRLSAPGSQREGRNPQLNQQ
NP_004398.1 1 513 0.901754970760233 PF07263.11:DMP1:1:513 Dentin matrix acidic phosphoprotein 1; DMP-1; Dentin matrix protein 1 513 513 58 513 0 Homo sapiens (Human) SwissProt::Q13316 1 SwissProt MKISILLMFLWGLSCALPVTRYQNNESEDSEEWKGHLAQAPTPPLESSESSEGSKVSSEEQANEDPSDSTQSEEGLGSDDHQYIYRLAGGFSRSTGKGGDDKDDDEDDSGDDTFGDDDSGPGPKDRQEGGNSRLGSDEDSDDTIQASEESAPQGQDSAQDTTSESRELDNEDRVDSKPEGGDSTQESESEEHWVGGGSDGESSHGDGSELDDEGMQSDDPESIRSERGNSRMNSAGMKSKESGENSEQANTQDSGGSQLLEHPSRKIFRKSRISEEDDRSELDDNNTMEEVKSDSTENSNSRDTGLSQPRRDSKGDSQEDSKENLSQEESQNVDGPSSESSQEANLSSQENSSESQEEVVSESRGDNPDPTTSYVEDQEDSDSSEEDSSHTLSHSKSESREEQADSESSESLNFSEESPESPEDENSSSQEGLQSHSSSAESQSEESHSEEDDSDSQDSSRSKEDSNSTESKSSSEEDGQLKNIEIESRKLTVDAYHNKPIGDQDDNDCQDGY
NP_004493.3 1 121 0.321330578512397 Homeobox protein Hox-B7 217 0 58 121 0 Homo sapiens P09629 1 SwissProt/TReMBL MSSLYYANTLFSKYPASSSVFATGAFPEQTSCAFASNPQRPGYGAGSGASFAASMQGLYPGGGGMAGQSAAGVYAAGYGLEPSSFNMHCAPFEQNLSGVCPGDSAKAAGAKEQRDSDLAAE
NP_057419.5 1 600 0.209378 PF01619.18:Pro_dh:202:576 proline dehydrogenase 1, mitochondrial isoform 1 precursor 600 375 58 600 0 Homo sapiens NP_057419.5 1 RefSeq MALRRALPALRPCIPRFVPLSTAPASREQPAAGPAAVPGGGSATAVRPPVPAVDFGNAQEAYRSRRTWELARSLLVLRLCAWPALLARHEQLLYVSRKLLGQRLFNKLMKMTFYGHFVAGEDQESIQPLLRHYRAFGVSAILDYGVEEDLSPEEAEHKEMESCTSAAERDGSGTNKRDKQYQAHWAFGDRRNGVISARTYFYANEAKCDSHMETFLRCIEASGRVSDDGFIAIKLTALGRPQFLLQFSEVLAKWRCFFHQMAVEQGQAGLAAMDTKLEVAVLQESVAKLGIASRAEIEDWFTAETLGVSGTMDLLDWSSLIDSRTKLSKHLVVPNAQTGQLEPLLSRFTEEEELQMTRMLQRMDVLAKKATEMGVRLMVDAEQTYFQPAISRLTLEMQRKFNVEKPLIFNTYQCYLKDAYDNVTLDVELARREGWCFGAKLVRGAYLAQERARAAEIGYEDPINPTYEATNAMYHRCLDYVLEELKHNAKAKVMVASHNEDTVRFALRRMEELGLHPADHRVYFGQLLGMCDQISFPLGQAGYPVYKYVPYGPVMEVLPYLSRRALENSSLMKGTHRERQLLWLELLRRLRTGNLFHRPA
NP_181782.1 1 139 0.41806618705036 Protein COLD-REGULATED 15A, chloroplastic; AtCOR15A 139 0 58 139 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42512 1 SwissProt MAMSFSGAVLTGMASSFHSGAKQSSFGAVRVGQKTQFVVVSQRKKSLIYAAKGDGNILDDLNEATKKASDFVTDKTKEALADGEKAKDYVVEKNSETADTLGKEAEKAAAYVEEKGKEAANKAAEFAEGKAGEAKDATK
NP_200946.1 132 618 0.681068993839835 PF06203.14:CCT:402:444 Two-component response regulator-like APRR1; ABI3-interacting protein 1; Pseudo-response regulator 1; Timing of CAB expression 1 618 43 58 487 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LKL2 1 SwissProt NLWTHMWRRRRMLGLAEKNMLSYDFDLVGSDQSDPNTNSTNLFSDDTDDRSLRSTNPQRGNLSHQENEWSVATAPVHARDGGLGADGTATSSLAVTAIEPPLDHLAGSHHEPMKRNSNPAQFSSAPKKSRLKIGESSAFFTYVKSTVLRTNGQDPPLVDGNGSLHLHRGLAEKFQVVASEGINNTKQARRATPKSTVLRTNGQDPPLVNGNGSHHLHRGAAEKFQVVASEGINNTKQAHRSRGTEQYHSQGETLQNGASYPHSLERSRTLPTSMESHGRNYQEGNMNIPQVAMNRSKDSSQVDGSGFSAPNAYPYYMHGVMNQVMMQSAAMMPQYGHQIPHCQPNHPNGMTGYPYYHHPMNTSLQHSQMSLQNGQMSMVHHSWSPAGNPPSNEVRVNKLDRREEALLKFRRKRNQRCFDKKIRYVNRKRLAERRPRVKGQFVRKMNGVNVDLNGQPDSADYDDEEEEEEEEEEENRDSSPQDDALGT
P35631 72 256 0.555964324324324 PF01486.17:K-box:13:101 Floral homeotic protein APETALA 1; Agamous-like MADS-box protein AGL7 256 89 58 185 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P35631 1 SwissProt RYSYAERQLIAPESDVNTNWSMEYNRLKAKIELLERNQRHYLGEDLQAMSPKELQNLEQQLDTALKHIRTRKNQLMYESINELQKKEKAIQEQNSMLSKQIKEREKILRAQQEQWDQQNQGHNMPPPLPPQQHQIQHPYMLSHQPSPFLNMGGLYQEDDPMAMRRNDLELTLEPVYNCNLGCFAA
XP_006508531.1 94 360 0.923418352059925 cyclin-dependent kinase inhibitor 1C isoform X1 360 0 58 267 0 Mus musculus XP_006508531.1 1 RefSeq RETVQVGRCRLQLGPRPPPVAVAVIPRSGPPAGEAPDGLEEAPEQPPSAPASAVVAEPTPPATPAPASDLTSDPIPEVTLVATSDPTPDPIPDANPDVATRDGEEQVPEQVSEQGEESGAEPGDELGTEPVSEQGEEQGAEPVEEKDEEPEEEQGAEPVEEQGAEPVEEQNGEPVEEQDENQEQRGQELKDQPLSGIPGRPAPGTAAANANGAIKKLSGPLISDFFAKRKRTAQENKASNDVPPGCPSPNVAPGVGAVEQTPRKRLR
XP_011539548.1 1 246 0.531317886178862 Zinc finger protein Gfi-1; Growth factor independent protein 1; Zinc finger protein 163 422 0 58 246 0 Homo sapiens (Human) SwissProt::Q99684 1 SwissProt MPRSFLVKSKKAHSYHQPRSPGPDYSLRLENVPAPSRADSTSNAGGAKAEPRDRLSPESQLTEAPDRASASPDSCEGSVCERSSEFEDFWRPPSPSASPASEKSMCPSLDEAQPFPLPFKPYSWSGLAGSDLRHLVQSYRPCGALERGAGLGLFCEPAPEPGHPAALYGPKRAAGGAGAGAPGSCSAGAGATAGPGLGLYGDFGSAAAGLYERPTAAAGLLYPERGHGLHADKGAGVKVESELLCT
NP_001308414.1 65 156 0.84655652173913 40S ribosomal protein S19 isoform 2 156 0 57 92 0 Homo sapiens NP_001308414.1 1 RefSeq SAAPVPPGWRWGWLHDQDLWGTSEKRRHAQPLQPRLQECGPPGPPSPGGAENGGKGPRWRPQTDTSGTKRSGQNRRTGGSCQQEALEQTMLG
NP_003349.1 1 394 0.0510761421319797 PF13506.6:Glyco_transf_21:106:278,PF13641.6:Glyco_tranf_2_3:64:277,PF13632.6:Glyco_trans_2_3:141:327 Ceramide glucosyltransferase; GLCT-1; Glucosylceramide synthase; GCS; UDP-glucose ceramide glucosyltransferase; UDP-glucose:N-acylsphingosine D-glucosyltransferase; EC 2.4.1.80 394 264 57 328 3 Homo sapiens (Human) SwissProt::Q16739 1 SwissProt MALLDLALEGMAVFGFVLFLVLWLMHFMAIIYTRLHLNKKATDKQPYSKLPGVSLLKPLKGVDPNLINNLETFFELDYPKYEVLLCVQDHDDPAIDVCKKLLGKYPNVDARLFIGGKKVGINPKINNLMPGYEVAKYDLIWICDSGIRVIPDTLTDMVNQMTEKVGLVHGLPYVADRQGFAATLEQVYFGTSHPRYYISANVTGFKCVTGMSCLMRKDVLDQAGGLIAFAQYIAEDYFMAKAIADRGWRFAMSTQVAMQNSGSYSISQFQSRMIRWTKLRINMLPATIICEPISECFVASLIIGWAAHHVFRWDIMVFFMCHCLAWFIFDYIQLRGVQGGTLCFSKLDYAVAWFIRESMTIYIFLSALWDPTISWRTGRYRLRCGGTAEEILDV
NP_006451.1 1 254 0.790316535433071 PF15313.6:HEXIM:164:254 Protein HEXIM1; Cardiac lineage protein 1; Estrogen down-regulated gene 1 protein; Hexamethylene bis-acetamide-inducible protein 1; Menage a quatre protein 1 359 91 57 254 0 Homo sapiens (Human) SwissProt::O94992 1 SwissProt MAEPFLSEYQHQPQTSNCTGAAAVQEELNPERPPGAEERVPEEDSRWQSRAFPQLGGRPGPEGEGSLESQPPPLQTQACPESSCLREGEKGQNGDDSSAGGDFPPPAEVEPTPEAELLAQPCHDSEASKLGAPAAGGEEEWGQQQRQLGKKKHRRRPSKKKRHWKPYYKLTWEEKKKFDEKQSLRASRIRAEMFAKGQPVAPYNTTQFLMDDHDQEEPDLKTGLYSKRAAAKSDDTSDDDFMEEGGEEDGGSDG
NP_008859.1 1 661 0.345297428139183 PF00801.20:PKD:233:300 Melanocyte protein PMEL; ME20-M; ME20M; Melanocyte protein Pmel 17; Melanocytes lineage-specific antigen GP100; Melanoma-associated ME20 antigen; P1; P100; Premelanosome protein; Silver locus protein homolog 661 68 57 638 1 Homo sapiens (Human) SwissProt::P40967 1 SwissProt MDLVLKRCLLHLAVIGALLAVGATKVPRNQDWLGVSRQLRTKAWNRQLYPEWTEAQRLDCWRGGQVSLKVSNDGPTLIGANASFSIALNFPGSQKVLPDGQVIWVNNTIINGSQVWGGQPVYPQETDDACIFPDGGPCPSGSWSQKRSFVYVWKTWGQYWQVLGGPVSGLSIGTGRAMLGTHTMEVTVYHRRGSRSYVPLAHSSSAFTITDQVPFSVSVSQLRALDGGNKHFLRNQPLTFALQLHDPSGYLAEADLSYTWDFGDSSGTLISRALVVTHTYLEPGPVTAQVVLQAAIPLTSCGSSPVPGTTDGHRPTAEAPNTTAGQVPTTEVVGTTPGQAPTAEPSGTTSVQVPTTEVISTAPVQMPTAESTGMTPEKVPVSEVMGTTLAEMSTPEATGMTPAEVSIVVLSGTTAAQVTTTEWVETTARELPIPEPEGPDASSIMSTESITGSLGPLLDGTATLRLVKRQVPLDCVLYRYGSFSVTLDIVQGIESAEILQAVPSGEGDAFELTVSCQGGLPKEACMEISSPGCQPPAQRLCQPVLPSPACQLVLHQILKGGSGTYCLNVSLADTNSLAVVSTQLIMPGQEAGLGQVPLIVGILLVLMAVVLASLIYRRRLMKQDFSVPQLPHSSSHWLRLPRIFCSCPIGENSPLLSGQQV
NP_038472.2 117 488 0.603019354838709 PF17830.1:STI1:92:136 Ubiquilin-2; Chap1; DSK2 homolog; Protein linking IAP with cytoskeleton 2; PLIC-2; hPLIC-2; Ubiquitin-like product Chap1/Dsk2 624 45 57 372 0 Homo sapiens (Human) SwissProt::Q9UHD9 1 SwissProt NAAGTNTTSASTPRSNSTPISTNSNPFGLGSLGGLAGLSSLGLSSTNFSELQSQMQQQLMASPEMMIQIMENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHLLNNPDIMRQTLEIARNPAMMQEMMRNQDLALSNLESIPGGYNALRRMYTDIQEPMLNAAQEQFGGNPFASVGSSSSSGEGTQPSRTENRDPLPNPWAPPPATQSSATTSTTTSTGSGSGNSSSNATGNTVAAANYVASIFSTPGMQSLLQQITENPQLIQNMLSAPYMRSMMQSLSQNPDLAAQMMLNSPLFTANPQLQEQMRPQLPAFLQQMQNPDTLSAMSNPRAMQALMQIQQGLQTLATEAPGLIPSFTPGVGVGVLGTA
NP_200206.1 170 375 0.439899514563107 Protein CUP-SHAPED COTYLEDON 2; NAC domain-containing protein 98; ANAC098; NAC domain-containing protein CUC2 375 0 57 206 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04017 1 SwissProt TTLASTGAVSEGGGGGGATVSVSSGTGPSKKTKVPSTISRNYQEQPSSPSSVSLPPLLDPTTTLGYTDSSCSYDSRSTNTTVTASAITEHVSCFSTVPTTTTALGLDVNSFSRLPPPLGFDFDPFPRFVSRNVSTQSNFRSFQENFNQFPYFGSSSASTMTSAVNLPSFQGGGGVSGMNYWLPATAEENESKVGVLHAGLDCIWNY
NP_444295.1 113 505 0.70192213740458 ubiquilin-1 isoform 2 561 0 57 393 0 Homo sapiens NP_444295.1 1 RefSeq QDHSAQQTNTAGSNVTTSSTPNSNSTSGSATSNPFGLGGLGGLAGLSSLGLNTTNFSELQSQMQRQLLSNPEMMVQIMENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHMLNNPDIMRQTLELARNPAMMQEMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMLSAAQEQFGGNPFASLVSNTSSGEGSQPSRTENRDPLPNPWAPQTSQSSSASSGTASTVGGTTGSTASGTSGQSTTAPNLVPGVGASMFNTPGMQSLLQQITENPQLMQNMLSAPYMRSMMQSLSQNPDLAAQMQNPDTLSAMSNPRAMQALLQIQQGLQTLATEAPGLIPGFTPGLGALGSTGGSSGTNGSNATPSENTSPTAGTTEPGHQQFIQQMLQAL
XP_005259305.1 1 495 0.182155555555556 PF04991.13:LicD:335:371 Fukutin-related protein; Ribitol-5-phosphate transferase; EC 2.4.2.- 495 37 57 472 1 Homo sapiens (Human) SwissProt::Q9H9S5 1 SwissProt MRLTRCQAALAAAITLNLLVLFYVSWLQHQPRNSRARGPRRASAAGPRVTVLVREFEAFDNAVPELVDSFLQQDPAQPVVVAADTLPYPPLALPRIPNVRLALLQPALDRPAAASRPETYVATEFVALVPDGARAEAPGLLERMVEALRAGSARLVAAPVATANPARCLALNVSLREWTARYGAAPAAPRCDALDGDAVVLLRARDLFNLSAPLARPVGTSLFLQTALRGWAVQLLDLTFAAARQPPLATAHARWKAEREGRARRAALLRALGIRLVSWEGGRLEWFGCNKETTRCFGTVVGDTPAYLYEERWTPPCCLRALRETARYVVGVLEAAGVRYWLEGGSLLGAARHGDIIPWDYDVDLGIYLEDVGNCEQLRGAEAGSVVDERGFVWEKAVEGDFFRVQYSESNHLHVDLWPFYPRNGVMTKDTWLDHRQDVEFPEHFLQPLVPLPFAGFVAQAPNNYRRFLELKFGPGVIENPQYPNPALLSLTGSG
XP_006252258.1 1 206 0.410256796116505 PF01160.18:Opiods_neuropep:21:65 prepronociceptin isoform X1 206 45 57 206 0 Rattus norvegicus XP_006252258.1 1 RefSeq MKILFCDVLLLSLLSSVFSSCPEDCLTCQERLHPAPGSFNLKLCILQCEEKVFPRPLWTLCTKAMASDSEQLSPADPELTSAALYQSKASEMQHLKRMPRVRSVVQARDAEPEADAEPVADEADEVEQKQLQKRFGGFTGARKSARKLANQKRFSEFMRQYLVLSMQSSQRRRTLHQNGIQVSPRTACVHTKTCRPGVRIPPSLRH
XP_017444181.1 1 123 0.270172357723577 PF00123.20:Hormone_2:81:108 VIP peptides isoform X2 169 28 57 123 0 Rattus norvegicus XP_017444181.1 1 RefSeq MESRSKPQFLAILTLFSVLFSQSLAWPLYGPPSSVRLDDRLQFEGAGDPDQVSLKADSDILQNALAENDTPYYDVSRNARHADGVFTSDYSRLLGQISAKKYLESLIGKRISSISEDPVPVKR
NP_001192028.1 1 268 0.117501119402985 PF00001.21:7tm_1:30:212,PF10320.9:7TM_GPCR_Srsx:84:226 neuropeptide Y receptor type 2 isoform 2 268 197 56 176 4 Mus musculus NP_001192028.1 1 RefSeq MGPVGAEADENQTVEVKVEPYGPGHTTPRVIALDRHRCIVYHLESKISKRISFLIIGLAWGISALLASPLAIFREYSLIEIIPDFEIVACTEKWPGEEKSVYGTVYSLSTLLILYVLPLGIISFSYTRIWSKLRNHVSPGAASDHYHQRRHKMTKMLVCVVVVFAVSWLPLHAFQLAVDIDSHVLDLKEYKLIFTVFHIIAMCSTFANPLLYGWMNSNYRKAFLSAFRCEQRLDAIHSEVSMTFKAKKNLEVKKNNGPTDSFSEATNV
NP_001238830.1 73 146 0.0876135135135135 CD83 antigen isoform c 146 0 56 54 1 Homo sapiens NP_001238830.1 0 RefSeq QRKEETFKKYRAEIVLLLALVIFYLTLIIFTCKFARLQSIFPDFSKAGMERAFLPVTSPNKHLGLVTPHKTELV
NP_056041.1 1 1053 0.327426590693257 PF09758.9:FPL:51:198 Protein CLEC16A; C-type lectin domain family 16 member A 1053 148 56 1053 0 Homo sapiens (Human) SwissProt::Q2KHT3 1 SwissProt MFGRSRSWVGGGHGKTSRNIHSLDHLKYLYHVLTKNTTVTEQNRNLLVETIRSITEILIWGDQNDSSVFDFFLEKNMFVFFLNILRQKSGRYVCVQLLQTLNILFENISHETSLYYLLSNNYVNSIIVHKFDFSDEEIMAYYISFLKTLSLKLNNHTVHFFYNEHTNDFALYTEAIKFFNHPESMVRIAVRTITLNVYKVSLDNQAMLHYIRDKTAVPYFSNLVWFIGSHVIELDDCVQTDEEHRNRGKLSDLVAEHLDHLHYLNDILIINCEFLNDVLTDHLLNRLFLPLYVYSLENQDKGGERPKISLPVSLYLLSQVFLIIHHAPLVNSLAEVILNGDLSEMYAKTEQDIQRSSAKPSIRCFIKPTETLERSLEMNKHKGKRRVQKRPNYKNVGEEEDEEKGPTEDAQEDAEKAKGTEGGSKGIKTSGESEEIEMVIMERSKLSELAASTSVQEQNTTDEEKSAAATCSESTQWSRPFLDMVYHALDSPDDDYHALFVLCLLYAMSHNKGMDPEKLERIQLPVPNAAEKTTYNHPLAERLIRIMNNAAQPDGKIRLATLELSCLLLKQQVLMSAGCIMKDVHLACLEGAREESVHLVRHFYKGEDIFLDMFEDEYRSMTMKPMNVEYLMMDASILLPPTGTPLTGIDFVKRLPCGDVEKTRRAIRVFFMLRSLSLQLRGEPETQLPLTREEDLIKTDDVLDLNNSDLIACTVITKDGGMVQRFLAVDIYQMSLVEPDVSRLGWGVVKFAGLLQDMQVTGVEDDSRALNITIHKPASSPHSKPFPILQATFIFSDHIRCIIAKQRLAKGRIQARRMKMQRIAALLDLPIQPTTEVLGFGLGSSTSTQHLPFRFYDQGRRGSSDPTVQRSVFASVDKVPGFAVAQCINQHSSPSLSSQSPPSASGSPSGSGSTSHCDSGGTSSSSTPSTAQSPADAPMSPELPKPHLPDQLVIVNETEADSKPSKNVARSAAVETASLSPSLVPARQPTISLLCEDTADTLSVESLTLVPPVDPHSLRSLTGMPPLSTPAAACTEPVGEEAACAEPVGTAED
NP_071358.1 129 380 0.103276984126984 PF03798.16:TRAM_LAG1_CLN8:4:197 Ceramide synthase 2; CerS2; LAG1 longevity assurance homolog 2; SP260; Sphingosine N-acyltransferase CERS2; Tumor metastasis-suppressor gene 1 protein; EC 2.3.1.-; EC 2.3.1.24 380 194 56 148 5 Homo sapiens (Human) SwissProt::Q96G23 1 SwissProt LLKKFREASWRFTFYLIAFIAGMAVIVDKPWFYDMKKVWEGYPIQSTIPSQYWYYMIELSFYWSLLFSIASDVKRKDFKEQIIHHVATIILISFSWFANYIRAGTLIMALHDSSDYLLESAKMFNYAGWKNTCNNIFIVFAIVFIITRLVILPFWILHCTLVYPLELYPAFFGYYFFNSMMGVLQLLHIFWAYLILRMAHKFITGKLVEDERSDREETESSEGEEAAAGGGAKSRPLANGHPILNNNHRKND
NP_619522.3 98 266 0.00506627218934911 blood group Rh(CE) polypeptide isoform 3 266 0 56 77 4 Homo sapiens NP_619522.3 0 RefSeq LSQFPPGKVVITLFSIRLATMSAMSVLISAGAVLGKVNLAQLVVMVLVEVTALGTLRMVISNIFNVCCNRVLGIHHISVMHSIFSLLGLLGEITYIVLLVLHTVWNGNGMIGFQVLLSIGELSLAIVIALTSGLLTGLLLNLKIWKAPHVAKYFDDQVFWKFPHLAVGF
NP_976072.1 1 414 0.116450483091787 PF00860.20:Xan_ur_permease:103:413 Solute carrier family 23 member 2; Na(+)/L-ascorbic acid transporter 2; Nucleobase transporter-like 1 protein; Sodium-dependent vitamin C transporter 2; hSVCT2; Yolk sac permease-like molecule 2 650 311 56 282 6 Homo sapiens (Human) SwissProt::Q9UGH3 1 SwissProt MMGIGKNTTSKSMEAGSSTEGKYEDEAKHPAFFTLPVVINGGATSSGEQDNEDTELMAIYTTENGIAEKSSLAETLDSTGSLDPQRSDMIYTIEDVPPWYLCIFLGLQHYLTCFSGTIAVPFLLADAMCVGYDQWATSQLIGTIFFCVGITTLLQTTFGCRLPLFQASAFAFLAPARAILSLDKWKCNTTDVSVANGTAELLHTEHIWYPRIREIQGAIIMSSLIEVVIGLLGLPGALLKYIGPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLLFSQYARNVKFPLPIYKSKKGWTAYKLQLFKMFPIILAILVSWLLCFIFTVTDVFPPDSTKYGFYARTDARQGVLLVAPWFKVPYPFQWGLPTVSAAGVIGMLSAVVASIIESIGDYYACARLSCAPPPPIHA
NP_976217.1 308 641 0.694210179640718 PF05902.13:4_1_CTD:223:330,PF04382.13:SAB:137:185 protein 4.1 isoform 4 641 157 56 334 0 Homo sapiens NP_976217.1 1 RefSeq TRQASALIDRPAPHFERTASKRASRSLDGAAAVDSADRSPRPTSAPAITQGQVAEGGVLDASAKKTVVPKAQKETVKAEVKKEDEPPEQAEPEPTEAWKVEKTHIEVTVPTSNGDQTQKKRERLDGENIYIRHSNLMLEDLDKSQEEIKKHHASISELKKNFMESVPEPRPSEWDKRLSTHSPFRTLNINGQIPTGEGPPLVKTQTVTISDNANAVKSEIPTKDVPIVHTETKTITYEAAQTDDNSGDLDPGVLLTAQTITSETPSSTTTTQITKTVKGGISETRIEKRIVITGDADIDHDQVLVQAIKEAKEQHPDMSVTKVVVHQETEIADE
P53987 1 494 0.131515991902834 PF07690.16:MFS_1:29:399,PF07690.16:MFS_1:326:449 Monocarboxylate transporter 1; MCT 1; Solute carrier family 16 member 1 494 421 56 249 11 Rattus norvegicus (Rat) SwissProt::P53987 1 SwissProt MPPAIGGPVGYTPPDGGWGWAVVVGAFISIGFSYAFPKSITVFFKEIEIIFSATTSEVSWISSIMLAVMYAGGPISSILVNKYGSRPVMIAGGCLSGCGLIAASFCNTVQELYFCIGVIGGLGLAFNLNPALTMIGKYFYKKRPLANGLAMAGSPVFLSTLAPLNQAFFGIFGWRGSFLILGGLLLNCCVAGSLMRPIGPQQGKVEKLKSKESLQEAGKSDANTDLIGGSPKGEKLSVFQTVNKFLDLSLFTHRGFLLYLSGNVVMFFGLFTPLVFLSNYGKSKHFSSEKSAFLLSILAFVDMVARPSMGLAANTRWIRPRVQYFFAASVVANGVCHLLAPLSTTYVGFCIYAGVFGFAFGWLSSVLFETLMDLVGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVILIIAGLYLFIGMGINYRLVAKEQKAEEKKRDGKEDETSTDVDEKPKKTMKETQSPAPLQNSSGDPAEEESPV
VIMSS10089698 1 96 0.480948958333333 Protein CLAVATA 3 96 0 56 96 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XF04 1 SwissProt MDSKSFLLLLLLFCFLFLHDASDLTQAHAHVQGLSNRKMMMMKMESEWVGANGEAEKAKTKGLGLHEELRTVPSGPDPLHHHVNPPRQPRNNFQLP
VIMSS10094898 149 565 0.639923021582734 B3 domain-containing transcription factor ABI3; Protein ABSCISIC ACID-INSENSITIVE 3 720 0 56 417 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q01593 1 SwissProt STASMEIPLDSSQGFGCGEGGGDCIDMMETFGYMDLLDSNEFFDTSAIFSQDDDTQNPNLMDQTLERQEDQVVVPMMENNSGGDMQMMNSSLEQDDDLAAVFLEWLKNNKETVSAEDLRKVKIKKATIESAARRLGGGKEAMKQLLKLILEWVQTNHLQRRRTTTTTTNLSYQQSFQQDPFQNPNPNNNNLIPPSDQTCFSPSTWVPPPPQQQAFVSDPGFGYMPAPNYPPQPEFLPLLESPPSWPPPPQSGPMPHQQFPMPPTSQYNQFGDPTGFNGYNMNPYQYPYVPAGQMRDQRLLRLCSSATKEARKKRMARQRRFLSHHHRHNNNNNNNNNNQQNQTQIGETCAAVAPQLNPVATTATGGTWMYWPNVPAVPPQLPPVMETQLPTMDRAGSASAMPRQQVVPDRRQGWKPE
XP_001352170.1 1 1606 0.360680884184308 PF07462.11:MSP1_C:981:1536 merozoite surface protein 1 1720 556 56 1606 0 Plasmodium falciparum 3D7 XP_001352170.1 1 RefSeq MKIIFFLCSFLFFIINTQCVTHESYQELVKKLEALEDAVLTGYSLFQKEKMVLNEEEITTKGASAQSGASAQSGASAQSGASAQSGASAQSGASAQSGTSGPSGPSGTSPSSRSNTLPRSNTSSGASPPADASDSDAKSYADLKHRVRNYLFTIKELKYPELFDLTNHMLTLCDNIHGFKYLIDGYEEINELLYKLNFYFDLLRAKLNDVCANDYCQIPFNLKIRANELDVLKKLVFGYRKPLDNIKDNVGKMEDYIKKNKTTIANINELIEGSKKTIDQNKNADNEEGKKKLYQAQYDLSIYNKQLEEAHNLISVLEKRIDTLKKNENIKKLLDKINEIKNPPPANSGNTPNTLLDKNKKIEEHEEKIKEIAKTIKFNIDSLFTDPLELEYYLREKNKKVDVTPKSQDPTKSVQIPKVPYPNGIVYPLPLTDIHNSLAADNDKNSYGDLMNPHTKEKINEKIITDNKERKIFINNIKKKIDLEEKNINHTKEQNKKLLEDYEKSKKDYEELLEKFYEMKFNNNFNKDVVDKIFSARYTYNVEKQRYNNKFSSSNNSVYNVQKLKKALSYLEDYSLRKGISEKDFNHYYTLKTGLEADIKKLTEEIKSSENKILEKNFKGLTHSANGSLEVSDIVKLQVQKVLLIKKIEDLRKIELFLKNAQLKDSIHVPNIYKPQNKPEPYYLIVLKKEVDKLKEFIPKVKDMLKKEQAVLSSITQPLVAASETTEDGGHSTHTLSQSGETEVTEETEETEETVGHTTTVTITLPPTQPSPPKEVKVVENSIEHKSNDNSQALTKTVYLKKLDEFLTKSYICHKYILVSNSSMDQKLLEVYNLTPEEENELKSCDPLDLLFNIQNNIPAMYSLYDSMNNDLQHLFFELYQKEMIYYLHKLKEENHIKKLLEEQKQITGTSSTSSPGNTTVNTAQSATHSNSQNQQSNASSTNTQNGVAVSSGPAVVEESHDPLTVLSISNDLKGIVSLLNLGNKTKVPNPLTISTTEMEKFYENILKNNDTYFNDDIKQFVKSNSKVITGLTETQKNALNDEIKKLKDTLQLSFDLYNKYKLKLDRLFNKKKELGQDKMQIKKLTLLKEQLESKLNSLNNPHNVLQNFSVFFNKKKEAEIAETENTLENTKILLKHYKGLVKYYNGESSPLKTLSEVSIQTEDNYANLEKFRVLSKIDGKLNDNLHLGKKKLSFLSSGLHHLITELKEVIKNKNYTGNSPSENNKKVNEALKSYENFLPEAKVTTVVTPPQPDVTPSPLSVRVSGSSGSTKEETQIPTSGSLLTELQQVVQLQNYDEEDDSLVVLPIFGESEDNDEYLDQVVTGEAISVTMDNILSGFENEYDVIYLKPLAGVYRSLKKQIEKNIFTFNLNLNDILNSRLKKRKYFLDVLESDLMQFKHISSNEYIIEDSFKLLNSEQKNTLLKSYKYIKESVENDIKFAQEGISYYEKVLAKYKDDLESIKKVIKEEKEKFPSSPPTTPPSPAKTDEQKKESKFLPFLTNIETLYNNLVNKIDDYLINLKAKINDCNVEKDEAHVKITKLSDLKAIDDKIDLFKNPYDFEAIKKLINDDTKKDMLGKLLSTGLVQNFPNTIISKLIEGKFQDML
XP_005257602.2 1 5256 0.213458808980213 PF00097.25:zf-C3HC4:4046:4084 E3 ubiquitin-protein ligase RNF213 isoform X1 5256 39 56 5256 0 Homo sapiens XP_005257602.2 1 RefSeq MECPSCQHVSKEETPKFCSQCGERLPPAAPIADSENNNSTMASASEGEMECGQELKEEGGPCLFPGSDSWQENPEEPCSKASWTVQEGATSEVLVDAAVDLISDEWEAANAIPSKRRKQDAAPLEAASVPSADCEQSKKKKRKKKKKGNKSASSELASLPLSPASPCHLTLLSNPWPQDTALPHSQAQQSGPTGQPSQPPGTATTPLEGDGLSAPTEVGDSPLQAQALGEAGVATGSEAQSSPQFQDHTEGEDQDASIPSGGRGLSQEGTGPPTSAGEGHSRTEDAAQELLLPESKGGSSEPGTELQTTEQQAGASASMAVDAVAEPANAVKGAGKEMKEKTQRMKQPPATTPPFKTHCQEAETKTKDEMAAAEEKVGKNEQGEPEDLKKPEGKNRSAAAVKNEKEQKNQEADVQEVKASTLSPGGGVTVFFHAIISLHFPFNPDLHKVFIRGGEEFGESKWDSNICELHYTRDLGHDRVLVEGIVCISKKHLDKYIPYKYVIYNGESFEYEFIYKHQQKKGEYVNRCLFIKSSLLGSGDWHQYYDIVYMKPHGRLQKVMNHITDGPRKDLVKGKQIAAALMLDSTFSILQTWDTINLNSFFTQFEQFCFVLQQPMIYEGQAQLWTDLQYREKEVKRYLWQHLKKHVVPLPDGKSTDFLPVDCPVRSKLKTGLIVLFVVEKIELLLEGSLDWLCHLLTSDASSPDEFHRDLSHILGIPQSWRLYLVNLCQRCMDTRTYTWLGALPVLHCCMELAPRHKDAWRQPEDTWAALEGLSFSPFREQMLDTSSLLQFMREKQHLLSIDEPLFRSWFSLLPLSHLVMYMENFIEHLGRFPAHILDCLSGIYYRLPGLEQVLNTQDVQDVQNVQNILEMLLRLLDTYRDKIPEEALSPSYLTVCLKLHEAICSSTKLLKFYELPALSAEIVCRMIRLLSLVDSAGQRDETGNNSVQTVFQGTLAATKRWLREVFTKNMLTSSGASFTYVKEIEVWRRLVEIQFPAEHGWKESLLGDMEWRLTKEEPLSQITAYCNSCWDTKGLEDSVAKTFEKCIIEAVSSACQSQTSILQGFSYSDLRKFGIVLSAVITKSWPRTADNFDDILKHLLTLADVKHVFRLCGTDEKILANVTEDAKRLIAVADSVLTKVVGDLLSGTILVGQLELIIKHKNQFLDIWQLREKSLSPQDEQCAVEEALDWRREELLLLKKEKRCVDSLLKMCGNVKHLIQVDFGVLAVRHSQDLSSKRLNDTVTVRLSTSSNSQRATHYHLSSQVQEMAGKIDLLRDSHIFQLFWREAAEPLSEPKEDQEAAELLSEPEEESERHILELEEVYDYLYQPSYRKFIKLHQDLKSGEVTLAEIDVIFKDFVNKYTDLDSELKIMCTVDHQDQRDWIKDRVEQIKEYHHLHQAVHAAKVILQVKESLGLNGDFSVLNTLLNFTDNFDDFRRETLDQINQELIQAKKLLQDISEARCKGLQALSLRKEFICWVREALGGINELKVFVDLASISAGENDIDVDRVACFHDAVQGYASLLFKLDPSVDFSAFMKHLKKLWKALDKDQYLPRKLCDSARNLEWLKTVNESHGSVERSSLTLATAINQRGIYVIQAPKGGQKISPDTVLHLILPESPGSHEESREYSLEEVKELLNKLMLMSGKKDRNNTEVERFSEVFCSVQRLSQAFIDLHSAGNMLFRTWIAMAYCSPKQGVSLQMDFGLDLVTELKEGGDVTELLAALCRQMEHFLDSWKRFVTQKRMEHFYLNFYTAEQLVYLSTELRKQPPSDAALTMLSFIKSNCTLRDVLRASVGCGSEAARYRMRRVMEELPLMLLSEFSLVDKLRIIMEQSMRCLPAFLPDCLDLETLGHCLAHLAGMGGSPVERCLPRGLQVGQPNLVVCGHSEVLPAALAVYMQTPSQPLPTYDEVLLCTPATTFEEVALLLRRCLTLGSLGHKVYSLLFADQLSYEVARQAEELFHNLCTQQHREDYQLVMVCDGDWEHCYLPSAFSQHKVFVTPQAPLEAIQAYLAGHYRVPKQTLSAAAVFNDRLCVGIVASERAGVGKSLYVKRLHDKMKMQLNVKNVPLKTIRLIDPQVDESRVLGALLPFLDAQYQKVPVLFHLDVTSSVQTGIWVFLFKLLILQYLMDINGKMWLRNPCHLYIVEILERRTSVPSRSSSALRTRVPQFSFLDIFPKVTCRPPKEVIDMELSALRSDTEPGMDLWEFCSETFQRPYQYLRRFNQNQDLDTFQYQEGSVEGTPEECLQHFLFHCGVINPSWSELRNFARFLNYQLRDCEASLFCNPSFIGDTLRGFKKFVVTFMIFMARDFATPSLHTSDQSPGKHMVTMDGVREEDLAPFSLRKRWESEPHPYVFFNDDHTTMTFIGFHLQPNINGSVDAISHLTGKVIKRDVMTRDLYQGLLLQRVPFNVDFDKLPRHKKLERLCLTLGIPQATDPDKTYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLIKFLSDLRRGGTNADTIKLVKVHGGTTADMIYSRVREAENVAFANKDQHQLDTILFFDEANTTEAISCIKEVLCDHMVDGQPLAEDSGLHIIAACNPYRKHSEEMICRLESAGLGYRVSMEETADRLGSIPLRQLVYRVHALPPSLIPLVWDFGQLSDVAEKLYIQQIVQRLVESISLDENGTRVITEVLCASQGFMRKTEDECSFVSLRDVERCVKVFRWFHEHSAMLLAQLNAFLSKSSVSKNHTERDPVLWSLMLAIGVCYHASLEKKDSYRKAIARFFPKPYDDSRLLLDEITRAQDLFLDGVPLRKTIAKNLALKENVFMMVVCIELKIPLFLVGKPGSSKSLAKTIVADAMQGPAAYSDLFRSLKQVHLVSFQCSPHSTPQGIISTFRQCARFQQGKDLQQYVSVVVLDEVGLAEDSPKMPLKTLHPLLEDGCIEDDPAPHKKVGFVGISNWALDPAKMNRGIFVSRGSPNETELIESAKGICSSDILVQDRVQGYFASFAKAYETVCKRQDKEFFGLRDYYSLIKMVFAAAKASNRKPSPQDIAQAVLRNFSGKDDIQALDIFLANLPEAKCSEEVSPMQLIKQNIFGPSQKVPGGEQEDAESRYLLVLTKNYVALQILQQTFFEGDQQPEIIFGSGFPKDQEYTQLCRNINRVKICMETGKMVLLLNLQNLYESLYDALNQYYVHLGGQKYVDLGLGTHRVKCRVHPNFRLIVIEEKDVVYKHFPIPLINRLEKHYLDINTVLEKWQKSIVEELCAWVEKFINVKAHHFQKRHKYSPSDVFIGYHSDACASVVLQVIERQGPRALTEELHQKVSEEAKSILLNCATPDAVVRLSAYSLGGFAAEWLSQEYFHRQRHNSFADFLQAHLHTADLERHAIFTEITTFSRLLTSHDCEILESEVTGRAPKPTLLWLQQFDTEYSFLKEVRNCLTNTAKCKILIFQTDFEDGIRSAQLIASAKYSVINEINKIRENEDRIFVYFITKLSRVGRGTAYVGFHGGLWQSVHIDDLRRSTLMVSDVTRLQHVTISQLFAPGDLPELGLEHRAEDGHEEAMETEASTSGEVAEVAEEAMETESSEKVGKETSELGGSDVSILDTTRLLRSCVQSAVGMLRDQNESCTRNMRRVVLLLGLLNEDDACHASFLRVSKMRLSVFLKKQEESQFHPLEWLAREACNQDALQEAGTFRHTLWKRVQGAVTPLLASMISFIDRDGNLELLTRPDTPPWARDLWMFIFSDTMLLNIPLVMNNERHKGEMAYIVVQNHMNLSENASNNVPFSWKIKDYLEELWVQAQYITDAEGLPKKFVDIFQQTPLGRFLAQLHGEPQQELLQCYLKDFILLTMRVSTEEELKFLQMALWSCTRKLKAASEAPEEEVSLPWVHLAYQRFRSRLQNFSRILTIYPQVLHSLMEARWNHELAGCEMTLDAFAAMACTEMLTRNTLKPSPQAWLQLVKNLSMPLELICSDEHMQGSGSLAQAVIREVRAQWSRIFSTALFVEHVLLGTESRVPELQGLVTEHVFLLDKCLRENSDVKTHGPFEAVMRTLCECKETASKTLSRFGIQPCSICLGDAKDPVCLPCDHVHCLRCLRAWFASEQMICPYCLTALPDEFSPAVSQAHREAIEKHARFRQMCNSFFVDLVSTICFKDNAPPEKEVIESLLSLLFVQKGRLRDAAQRHCEHTKSLSPFNDVVDKTPVIRSVILKLLLKYSFHDVKDYIQEYLTLLKKKAFITEDKTELYMLFINCLEDSILEKTSAYSRNDELNHLEEEGRFLKAYSPASRGREPANEASVEYLQEVARIRLCLDRAADFLSEPEGGPEMAKEKQCYLQQVKQFCIRVENDWHRVYLVRKLSSQRGMEFVQGLSKPGRPHQWVFPKDVVKQQGLRQDHPGQMDRYLVYGDEYKALRDAVAKAVLECKPLGIKTALKACKTPQSQQSAYFLLTLFREVAILYRSHNASLHPTPEQCEAVSKFIGECKILSPPDISRFATSLVDNSVPLLRAGPSDSNLDGTVTEMAIHAAAVLLCGQNELLEPLKNLAFSPATMAHAFLPTMPEDLLAQARRWKGLERVHWYTCPNGHPCSVGECGRPMEQSICIDCHAPIGGIDHKPRDGFHLVKDKADRTQTGHVLGNPQRRDVVTCDRGLPPVVFLLIRLLTHLALLLGASQSSQALINIIKPPVRDPKGFLQQHILKDLEQLAKMLGHSADETIGVVHLVLRRLLQEQHQLSSRRLLNFDTELSTKEMRNNWEKEIAAVISPELEHLDKTLPTMNNLISQDKRISSNPVAKIIYGDPVTFLPHLPRKSVVHCSKIWSCRKRITVEYLQHIVEQKNGKERVPILWHFLQKEAELRLVKFLPEILALQRDLVKQFQNVQQVEYSSIRGFLSKHSSDGLRQLLHNRITVFLSTWNKLRRSLETNGEINLPKDYCSTDLDLDTEFEILLPRRRGLGLCATALVSYLIRLHNEIVYAVEKLSKENNSYSVDAAEVTELHVISYEVERDLTPLILSNCQYQVEEGRETVQEFDLEKIQRQIVSRFLQGKPRLSLKGIPTLVYRHDWNYEHLFMDIKNKMAQDSLPSSVISAISGQLQSYSDACEVLSVVEVTLGFLSTAGGDPNMQLNVYTQDILQMGDQTIHVLKALNRCQLKHTIALWQFLSAHKSEQLLRLHKEPFGEISSRYKADLSPENAKLLSTFLNQTGLDAFLLELHEMIILKLKNPQTQTEERFRPQWSLRDTLVSYMQTKESEILPEMASQFPEEILLASCVSVWKTAAVLKWNREMR
XP_005272673.1 1 1019 0.563361334641806 BCL-6 corepressor; BCoR 1755 0 56 1019 0 Homo sapiens (Human) SwissProt::Q6W2J9 1 SwissProt MLSATPLYGNVHSWMNSERVRMCGASEDRKILVNDGDASKARLELREENPLNHNVVDASTAHRIDGLAALSMDRTGLIREGLRVPGNIVYSSLCGLGSEKGREAATSTLGGLGFSSERNPEMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAEALGLDRPASDKQSPLNINGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYSLAQPLYSPVCTNGERFLYLPPPHYVGPHIPSSLASPMRLSTPSASPAIPPLVHCADKSLPWKMGVSPGNPVDSHAYPHIQNSKQPRVPSAKAVTSGLPGDTALLLPPSPRPSPRVHLPTQPAADTYSEFHKHYARISTSPSVALSKPYMTVSSEFPAARLSNGKYPKAPEGGEGAQPVPGHARKTAVQDRKDGSSPPLLEKQTVTKDVTDKPLDLSSKVVDVDASKADHMKKMAPTVLVHSRAGSGLVLSGSEIPKETLSPPGNGCAIYRSEIISTAPSSWVVPGPSPNEENNGKSMSLKNKALDWAIPQQRSSSCPRMGGTDAVITNVSGSVSSAGRPASASPAPNANADGTKTSRSSVETTPSVIQHVGQPPATPAKHSSSTSSKGAKASNPEPSFKANENGLPPSSIFLSPNEAFRSPPIPYPRSYLPYPAPEGIAVSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLPTGRPEFVTYQDALGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRNRFSEILETSSTKLHPDVPTDKNLKPNPNWNQGKTVVKSDKLVYVDLLREEPDAKTDTNVSKPSFAAESVGQSAEPPKPSVEPALQQHRDFIALREELGRISDFHETYTFKQPVFTVSKDSVLAGTNKENLGLPVSTPFLEPPLGSDGPAVTFGKTQEDPKPFCVGSAPPSVDVTPTYTKDGADEAESNDGKVLKPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQMEGLQEDSILCLPAAYCERA
XP_011240606.1 1 156 0.590330128205129 growth/differentiation factor 15 isoform X1 264 0 56 156 0 Mus musculus XP_011240606.1 1 RefSeq MPEQRPSGPESQLNADELRGRFQDLLSRLHANQSREDSNSEPSPDPAVRILSPEVRLGSHGQLLLRVNRASLSQGLPEAYRVHRALLLLTPTARPWDITRPLKRALSLRGPRAPALRLRLTPPPDLAMLPSGGTQLELRLRVAAGRGRRSAHAHPR
XP_016858957.1 1 330 0.102939696969697 PF00001.21:7tm_1:32:250 G-protein coupled bile acid receptor 1; G-protein coupled receptor GPCR19; hGPCR19; Membrane-type receptor for bile acids; M-BAR; hBG37; BG37 330 219 56 169 7 Homo sapiens (Human) SwissProt::Q8TDU6 0 SwissProt MTPNSTGEVPSPIPKGALGLSLALASLIITANLLLALGIAWDRRLRSPPAGCFFLSLLLAGLLTGLALPTLPGLWNQSRRGYWSCLLVYLAPNFSFLSLLANLLLVHGERYMAVLRPLQPPGSIRLALLLTWAGPLLFASLPALGWNHWTPGANCSSQAIFPAPYLYLEVYGLLLPAVGAAAFLSVRVLATAHRQLQDICRLERAVCRDEPSALARALTWRQARAQAGAMLLFGLCWGPYVATLLLSVLAYEQRPPLGPGTLLSLLSLGSASAAAVPVAMGLGDQRYTAPWRAAAQRCLQGLWGRASRDSPGPSIAYHPSSQSSVDLDLN
XP_017173604.1 60 337 0.629386690647482 PF08347.11:CTNNB1_binding:3:200 transcription factor 7-like 2 isoform X8 490 198 56 278 0 Mus musculus XP_017173604.1 1 RefSeq SDSEAERRPPPRSESFRDKSRESLEEAAKRQDGGLFKGPPYPGYPFIMIPDLTSPYLPNGSLSPTARTLHFQSGSTHYSAYKTIEHQIAIQYLQMKWPLLDVQAGSLQSRQTLKDARSPSPAHIVSNKVPVVQHPHHVHPLTPLITYSNEHFTPGNPPPHLPADVDPKTGIPRPPHPPDISPYYPLSPGTVGQIPHPLGWQGQPVYPITTGGFRHPYPTALTVNASMSRFPPHMVPPHHTLHTTGIPHPAIVTPTVKQESSQSDVGSLHSSKHQDSKK
YP_401631.1 1 497 0.212676458752515 PF07415.11:Herpes_LMP2:1:497 Latent membrane protein 2; Terminal protein 497 497 56 224 12 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P13285 1 SwissProt MGSLEMVPMGAGPPSPGGDPDGYDGGNNSQYPSASGSSGNTPTPPNDEERESNEEPPPPYEDPYWGNGDRHSDYQPLGTQDQSLYLGLQHDGNDGLPPPPYSPRDDSSQHIYEEAGRGSMNPVCLPVIVAPYLFWLAAIAASCFTASVSTVVTATGLALSLLLLAAVASSYAAAQRKLLTPVTVLTAVVTFFAICLTWRIEDPPFNSLLFALLAAAGGLQGIYVLVMLVLLILAYRRRWRRLTVCGGIMFLACVLVLIVDAVLQLSPLLGAVTVVSMTLLLLAFVLWLSSPGGLGTLGAALLTLAAALALLASLILGTLNLTTMFLLMLLWTLVVLLICSSCSSCPLSKILLARLFLYALALLLLASALIAGGSILQTNFKSLSSTEFIPNLFCMLLLIVAGILFILAILTEWGSGNRTYGPVFMCLGGLLTMVAGAVWLTVMSNTLLSAWILTAGFLIFLIGFALFGVIRCCRYCCYYCLTLESEERPPTPYRNTV
NP_001070832.1 1 121 0.214393388429752 TSC22 domain family protein 3 isoform 1 201 0 55 121 0 Mus musculus NP_001070832.1 1 RefSeq MAQPKTECRSPVGLDCCNCCLDLANRCELQKEKSGESPGSPFVSNFRQLQEKLVFENLNTDKLNNIMRQDSMEPVVRDPCYLINEGICNRNIDQTMLSILLFFHSASGASVVALDNKIEQA
NP_001108107.1 158 418 0.412916475095786 zinc finger protein PLAG1 isoform b 418 0 55 261 0 Homo sapiens NP_001108107.1 1 RefSeq LLKVKTEPVDFLDPFTCNVSVPIKDELLPVMSLPSSELLSKPFTNTLQLNLYNTPFQSMQSSGSAHQMITTLPLGMTCPIDMDTVHPSHHLSFKYPFSSTSYAISIPEKEQPLKGEIESYLMELQGGVPSSSQDSQASSSSKLGLDPQIGSLDDGAGDLSLSKSSISISDPLNTPALDFSQLFNFIPLNGPPYNPLSVGSLGMSYSQEEAHSSVSQLPPQTQDLQDPANTIGLGSLHSLSAAFTSSLSTSTTLPRFHQAFQ
NP_001156620.1 1 307 0.572021824104235 PF04621.13:ETS_PEA3_N:1:307 ETS translocation variant 1 isoform c 459 307 55 307 0 Homo sapiens NP_001156620.1 1 RefSeq MDGFYDQQVPYMVTNSQRGRNCNEKPTNVRKRKFINRDLAHDSEELFQDLSQLQETWLAEVAFHGLPLKIKKEPHSPCSEISSACSQEQPFKFSYGEKCLYNVSAYDQKPQVGMRPSNPPTPSSTPVSPLHHASPNSTHTPKPDRAFPAHLPPSQSIPDSSYPMDHRFRRQLSEPCNSFPPLPTMPREGRPMYQRQMSEPNIPFPPQGFKQEYHDPVYEHNTMVGSAASQSFPPPLMIKQEPRDFAYDSEVPSCHSIYMRQEGFLAHPSRTEGCMFEKGPRQFYDDTCVVPEKFDGDIKQEPGMYRE
NP_001224.1 1 162 0.0870666666666666 PF01146.17:Caveolin:38:159 Caveolin-2 162 122 55 139 1 Homo sapiens (Human) SwissProt::P51636 1 SwissProt MGLETEKADVQLFMDDDSYSHHSGLEYADPEKFADSDQDRDPHRLNSHLKLGFEDVIAEPVTTHSFDKVWICSHALFEISKYVMYKFLTVFLAIPLAFIAGILFATLSCLHIWILMPFVKTCLMVLPSVQTIWKSVTDVIIAPLCTSVGRCFSSVSLQLSQD
NP_001273038.1 1 360 0.0653555555555556 PF02487.17:CLN3:1:358 battenin isoform d 360 358 55 158 9 Homo sapiens NP_001273038.1 1 RefSeq MLSAAHDILSHKRTSGNQSHAVLLADILPTLVIKLLAPLGLHLLPYSPRVLVSGICAAGSFVLVAFSHSVGTSLCGVVFASISSGLGEVTFLSLTAFYPRAVISWWSSGTGGAGLLGALSYLGLTQAGLSPQQTLLSMLGIPALLLASYFLLLTSPEAQDPGGEEEAESAARQPLIRTEAPESKPGSSSSLSLRERWTVFKGLLWYIVPLVVVYFAEYFINQGLFELLFFWNTSLSHAQQYRWYQMLYQAGVFASRSSLRCCRIRFTWALALLQCLNLVFLLADVWFGFLPSIYLVFLIILYEGLLGGAAYVNTFHNIALETSDEHREFAMAATCISDTLGISLSGLLALPLHDFLCQLS
NP_001295019.1 1 503 0.73420536779324 histone deacetylase 7 isoform e 974 0 55 503 0 Homo sapiens NP_001295019.1 1 RefSeq MHSPGAGCPRPCADTPGPQPQPMDLRVGQRPPVEPPPEPTLLALQRPQRLHHHLFLAGLQQQRSVEPMRLSMDTPMPELQVGPQEQELRQLLHKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPNSPGIPYRTLEPLETEGATRSMLSSFLPPVPSLPSDPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRKESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPILGSEALLGQRLRLQETSVAPFALPTVSLLPAITLGLPAPARADSDRRTHPTLGPRGPILGSPHTPLFLPHGLEPEAGGTLPSRLQPILLLDPSGSHAPLLTVPGLGPLPFHFAQSLMTTERLSGSGLHWPLSRTRSEPLPPSATAPPPPGPMQPRLEQLKTHVQVIKRSAKPSEKPRLRQIPSAEDLETDGGGPGQVVDDGLEHRELGHGQPEARGPAPLQQHPQVLLWEQQRLAGRLPRGSTGDTVLLPLAQGGHRPL
NP_001295182.1 1 252 0.265144841269841 PF00248.21:Aldo_ket_red:52:189 glutamate--cysteine ligase regulatory subunit isoform 2 252 138 55 252 0 Homo sapiens NP_001295182.1 1 RefSeq MGTDSRAAKALLARARTLHLQTGNLLNWGRLRKKCPSTHSEEEFPDVLECTVSHAVEKINPDEREEMKVSAKLFIVESNSSSSTRSAVDMACSVLGVAQLDSVIIASPPIEDGVNLSLEHLQPYWEELENLVQSKKIVAIGTSDLDKTQLEQLYQWAQVKPNSNQVNLASCCVMPPDLTAFAKQFDIQLLTHNDPKELLSEASFQEALQESIPDIQAHEWVPLWLLRYSVIVKSRGIIKSKGYILQAKRRGS
NP_002842.2 472 1647 0.52863843537415 Receptor-type tyrosine-protein phosphatase zeta; R-PTP-zeta; Protein-tyrosine phosphatase receptor type Z polypeptide 1; Protein-tyrosine phosphatase receptor type Z polypeptide 2; R-PTP-zeta-2; EC 3.1.3.48 2315 0 55 1176 0 Homo sapiens (Human) SwissProt::P23471 1 SwissProt TKYNEAKTNRSPTRGSEFSGKGDVPNTSLNSTSQPVTKLATEKDISLTSQTVTELPPHTVEGTSASLNDGSKTVLRSPHMNLSGTAESLNTVSITEYEEESLLTSFKLDTGAEDSSGSSPATSAIPFISENISQGYIFSSENPETITYDVLIPESARNASEDSTSSGSEESLKDPSMEGNVWFPSSTDITAQPDVGSGRESFLQTNYTEIRVDESEKTTKSFSAGPVMSQGPSVTDLEMPHYSTFAYFPTEVTPHAFTPSSRQQDLVSTVNVVYSQTTQPVYNGETPLQPSYSSEVFPLVTPLLLDNQILNTTPAASSSDSALHATPVFPSVDVSFESILSSYDGAPLLPFSSASFSSELFRHLHTVSQILPQVTSATESDKVPLHASLPVAGGDLLLEPSLAQYSDVLSTTHAASETLEFGSESGVLYKTLMFSQVEPPSSDAMMHARSSGPEPSYALSDNEGSQHIFTVSYSSAIPVHDSVGVTYQGSLFSGPSHIPIPKSSLITPTASLLQPTHALSGDGEWSGASSDSEFLLPDTDGLTALNISSPVSVAEFTYTTSVFGDDNKALSKSEIIYGNETELQIPSFNEMVYPSESTVMPNMYDNVNKLNASLQETSVSISSTKGMFPGSLAHTTTKVFDHEISQVPENNFSVQPTHTVSQASGDTSLKPVLSANSEPASSDPASSEMLSPSTQLLFYETSASFSTEVLLQPSFQASDVDTLLKTVLPAVPSDPILVETPKVDKISSTMLHLIVSNSASSENMLHSTSVPVFDVSPTSHMHSASLQGLTISYASEKYEPVLLKSESSHQVVPSLYSNDELFQTANLEINQAHPPKGRHVFATPVLSIDEPLNTLINKLIHSDEILTSTKSSVTGKVFAGIPTVASDTFVSTDHSVPIGNGHVAITAVSPHRDGSVTSTKLLFPSKATSELSHSAKSDAGLVGGGEDGDTDDDGDDDDDDRGSDGLSIHKCMSCSSYRESQEKVMNDSDTHENSLMDQNNPISYSLSENSEEDNRVTSVSSDSQTGMDRSPGKSPSANGLSQKHNDGKEENDIQTGSALLPLSPESKAWAVLTSDEESGSGQGTSDSLNENETSTDFSFADTNEKDADGILAAGDSEITPGFPQSPTSSVTSENSEVFHVSEAEASNSSHESRIGLAEGLESEKKAVIPLVIVSAL
NP_005556.1 84 533 0.745742222222222 PF00017.24:SH2:339:422 Lymphocyte cytosolic protein 2; SH2 domain-containing leukocyte protein of 76 kDa; SLP-76 tyrosine phosphoprotein; SLP76 533 84 55 450 0 Homo sapiens (Human) SwissProt::Q13094 1 SwissProt RKPQVPRFPEETESHEEDNGGWSSFEEDDYESPNDDQDGEDDGDYESPNEEEEAPVEDDADYEPPPSNDEEALQNSILPAKPFPNSNSMYIDRPPSGKTPQQPPVPPQRPMAALPPPPAGRNHSPLPPPQTNHEEPSRSRNHKTAKLPAPSIDRSTKPPLDRSLAPFDREPFTLGKKPPFSDKPSIPAGRSLGEHLPKIQKPPLPPTTERHERSSPLPGKKPPVPKHGWGPDRRENDEDDVHQRPLPQPALLPMSSNTFPSRSTKPSPMNPLPSSHMPGAFSESNSSFPQSASLPPYFSQGPSNRPPIRAEGRNFPLPLPNKPRPPSPAEEENSLNEEWYVSYITRPEAEAALRKINQDGTFLVRDSSKKTTTNPYVLMVLYKDKVYNIQIRYQKESQVYLLGTGLRGKEDFLSVSDIIDYFRKMPLLLIDGKNRGSRYQCTLTHAAGYP
NP_065782.2 299 719 0.653137529691211 aryl hydrocarbon receptor repressor isoform 1 719 0 55 421 0 Homo sapiens NP_065782.2 1 RefSeq SAAEMKMRSALLRAKPRADTAATADAKVKATTSLCESELHGKPNYSAGRSSRESGVLVLREQTDAGRWAQVPARAPCLCLRGGPDLVLDPKGGSGDREEEQHRMLSRASGVTGRRETPGPTKPLPWTAGKHSEDGARPRLQPSKNDPPSLRPMPRGSCLPCPCVQGTFRNSPISHPPSPSPSAYSSRTSRPMRDVGEDQVHPPLCHFPQRSLQHQLPQPGAQRFATRGYPMEDMKLQGVPMPPGDLCGPTLLLDVSIKMEKDSGCEGAADGCVPSQVWLGASDRSHPATFPTRMHLKTEPDSRQQVYISHLGHGVRGAQPHGRATAGRSRELTPFHPAHCACLEPTDGLPQSEPPHQLCARGRGEQSCTCRAAEAAPVVKREPLDSPQWATHSQGMVPGMLPKSALATLVPPQASGCTFLP
NP_777480.1 100 252 0.497051633986928 homeobox protein TGIF1 isoform d 252 0 55 153 0 Homo sapiens NP_777480.1 1 RefSeq TSSVESVMGIKNFMPALEETPFHSCTAGPNPTLGRPLSPKPSSPGSVLARPSVICHTTVTALKDVPFSLCQSVGVGQNTDIQQIAAKNFTDTSLMYPEDTCKSGPSTNTQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKRAAEMELQAKLTA
NP_974145.1 105 336 0.547162068965517 Protein BRASSINAZOLE-RESISTANT 1; Protein BIN2 SUBSTRATE 2 336 0 55 232 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S307 1 SwissProt VTPYSSQNQSPLSSAFQSPIPSYQVSPSSSSFPSPSRGEPNNNMSSTFFPFLRNGGIPSSLPSLRISNSCPVTPPVSSPTSKNPKPLPNWESIAKQSMAIAKQSMASFNYPFYAVSAPASPTHRHQFHTPATIPECDESDSSTVDSGHWISFQKFAQQQPFSASMVPTSPTFNLVKPAPQQMSPNTAAFQEIGQSSEFKFENSQVKPWEGERIHDVGMEDLELTLGNGKARG
O43312 247 723 0.715718448637316 Protein MTSS 1; Metastasis suppressor YGL-1; Metastasis suppressor protein 1; Missing in metastasis protein 755 0 55 477 0 Homo sapiens (Human) SwissProt::O43312 1 SwissProt LKGSDYSWSYQTPPSSPSTTMSRKSSVCSSLNSVNSSDSRSSGSHSHSPSSHYRYRSSNLAQQAPVRLSSVSSHDSGFISQDAFQSKSPSPMPPEAPNQLSNGFSHYSLSSESHVGPTGAGLFPHCLPASRLLPRVTSVHLPDYAHYYTIGPGMFPSSQIPSWKDWAKPGPYDQPLVNTLQRRKEKREPDPNGGGPTTASGPPAAAEEAQRPRSMTVSAATRPGEEMEACEELALALSRGLQLDTQRSSRDSLQCSSGYSTQTTTPCCSEDTIPSQVSDYDYFSVSGDQEADQQEFDKSSTIPRNSDISQSYRRMFQAKRPASTAGLPTTLGPAMVTPGVATIRRTPSTKPSVRRGTIGAGPIPIKTPVIPVKTPTVPDLPGVLPAPPDGPEERGEHSPESPSVGEGPQGVTSMPSSMWSGQASVNPPLPGPKPSIPEEHRQAIPESEAEDQEREPPSATVSPGQIPESDPADLSPR
O48946 523 1081 0.110067262969589 PF03552.14:Cellulose_synt:1:549,PF13632.6:Glyco_trans_2_3:249:370 Cellulose synthase A catalytic subunit 1 [UDP-forming]; AtCesA1; Protein RADIALLY SWOLLEN 1; AtRSW1; EC 2.4.1.12 1081 549 55 421 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48946 1 SwissProt YVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKAIKEAMCFMMDPAIGKKCCYVQFPQRFDGIDLHDRYANRNIVFFDINMKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCCGSRKKGKSSKKYNYEKRRGINRSDSNAPLFNMEDIDEGFEGYDDERSILMSQRSVEKRFGQSPVFIAATFMEQGGIPPTTNPATLLKEAIHVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHARGWISIYCNPPRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYHGRLRLLERIAYINTIVYPITSIPLIAYCILPAFCLITDRFIIPEISNYASIWFILLFISIAVTGILELRWSGVSIEDWWRNEQFWVIGGTSAHLFAVFQGLLKVLAGIDTNFTVTSKATDEDGDFAELYIFKWTALLIPPTTVLLVNLIGIVAGVSYAVNSGYQSWGPLFGKLFFALWVIAHLYPFLKGLLGRQNRTPTIVIVWSVLLASIFSLLWVRINPFVDANPNANNFNGKGGVF
P47927 1 432 0.509800000000001 PF00847.20:AP2:130:179,PF00847.20:AP2:222:272 Floral homeotic protein APETALA 2 432 101 55 432 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P47927 1 SwissProt MWDLNDAPHQTQREEESEEFCYSSPSKRVGSFSNSSSSAVVIEDGSDDDELNRVRPNNPLVTHQFFPEMDSNGGGVASGFPRAHWFGVKFCQSDLATGSSAGKATNVAAAVVEPAQPLKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVEADINFNIDDYDDDLKQMTNLTKEEFVHVLRRQSTGFPRGSSKYRGVTLHKCGRWEARMGQFLGKKYVYLGLFDTEVEAARAYDKAAIKCNGKDAVTNFDPSIYDEELNAESSGNPTTPQDHNLDLSLGNSANSKHKSQDMRLRMNQQQQDSLHSNEVLGLGQTGMLNHTPNSNHQFPGSSNIGSGGGFSLFPAAENHRFDGRASTNQVLTNAAASSGFSPHHHNQIFNSTSTPHQNWLQTNGFQPPLMRPS
Q06738 1 710 0.777888873239435 Low-temperature-induced 78 kDa protein; Desiccation-responsive protein 29A 710 0 55 710 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q06738 1 SwissProt MDQTEEPPLNTHQQHPEEVEHHENGATKMFRKVKARAKKFKNSLTKHGQSNEHEQDHDLVEEDDDDDELEPEVIDAPGVTGKPRETNVPASEEIIPPGTKVFPVVSSDYTKPTESVPVQEASYGHDAPAHSVRTTFTSDKEEKRDVPIHHPLSELSDREESRETHHESLNTPVSLLSGTEDVTSTFAPSGDDEYLDGQRKVNVETPITLEEESAVSDYLSGVSNYQSKVTDPTKEETGGVPEIAESFGNMEVTDESPDQKPGQFERDLSTRSKEFKEFDQDFDSVLGKDSPAKFPGESGVVFPVGFGDESGAELEKDFPTRSHDFDMKTETGMDTNSPSRSHEFDLKTESGNDKNSPMGFGSESGAELEKEFDQKNDSGRNEYSPESDGGLGAPLGGNFPVRSHELDLKNESDIDKDVPTGFDGEPDFLAKGRPGYGEASEEDKFPARSDDVEVETELGRDPKTETLDQFSPELSHPKERDEFKESRDDFEETRDEKTEEPKQSTYTEKFASMLGYSGEIPVGDQTQVAGTVDEKLTPVNEKDQETESAVTTKLPISGGGSGVEEQRGEDKSVSGRDYVAEKLTTEEEDKAFSDMVAEKLQIGGEEEKKETTTKEVEKISTEKAASEEGEAVEEEVKGGGGMVGRIKGWFGGGATDEVKPESPHSVEEAPKSSGWFGGGATEEVKPKSPHSVEESPQSLGSTVVPVQKEL
VIMSS10103689 1 555 0.488463603603603 PF00847.20:AP2:282:341,PF00847.20:AP2:385:435 AP2-like ethylene-responsive transcription factor ANT; Complementing a protein kinase C mutant protein 1; Protein AINTEGUMENTA; Protein DRAGON; Protein OVULE MUTANT 555 111 55 555 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38914 1 SwissProt MKSFCDNDDNNHSNTTNLLGFSLSSNMMKMGGRGGREAIYSSSTSSAATSSSSVPPQLVVGDNTSNFGVCYGSNPNGGIYSHMSVMPLRSDGSLCLMEALNRSSHSNHHQDSSPKVEDFFGTHHNNTSHKEAMDLSLDSLFYNTTHEPNTTTNFQEFFSFPQTRNHEEETRNYGNDPSLTHGGSFNVGVYGEFQQSLSLSMSPGSQSSCITGSHHHQQNQNQNHQSQNHQQISEALVETSVGFETTTMAAAKKKRGQEDVVVVGQKQIVHRKSIDTFGQRTSQYRGVTRHRWTGRYEAHLWDNSFKKEGHSRKGRQVYLGGYDMEEKAARAYDLAALKYWGPSTHTNFSAENYQKEIEDMKNMTRQEYVAHLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDVAAIKFRGTNAVTNFDITRYDVDRIMSSNTLLSGELARRNNNSIVVRNTEDQTALNAVVEGGSNKEVSTPERLLSFPAIFALPQVNQKMFGSNMGGNMSPWTSNPNAELKTVALTLPQMPVFAAWADS
XP_006503852.1 1 827 0.174818137847642 PF05478.11:Prominin:20:813 prominin-1 isoform X6 827 794 55 712 5 Mus musculus XP_006503852.1 1 RefSeq MALVFSALLLLGLCGKISSEGQPAFHNTPGAMNYELPTTKYETQDTFNAGIVGPLYKMVHIFLNVVQPNDFPLDLIKKLIQNKNFDISVDSKEIALYEIGVLICAILGLLFIILMPLVGCFFCMCRCCNKCGGEMHQRQKQNAPCRRKCLGLSLLVICLLMSLGIIYGFVANQQTRTRIKGTQKLAKSNFRDFQTLLTETPKQIDYVVEQYTNTKNKAFSDLDGIGSVLGGRIKDQLKPKVTPVLEEIKAMATAIKQTKDALQNMSSSLKSLQDAATQLNTNLSSVRNSIENSLSSSDCTSDPASKICDSIRPSLSSLGSSLNSSQLPSVDRELNTVTEVDKTDLESLVKRGYTTIDEIPNTIQNQTVDVIKDVKNTLDSISSNIKDMSQSIPIEDMLLQVSHYLNNSNRYLNQELPKLEEYDSYWWLGGLIVCFLLTLIVTFFFLGLLCGVFGYDKHATPTRRGCVSNTGGIFLMAGVGFGFLFCWILMILVVLTFVVGANVEKLLCEPYENKKLLQVLDTPYLLKEQWQFYLSGMLFNNPDINMTFEQVYRDCKRGRGIYAAFQLENVVNVSDHFNIDQISENINTELENLNVNIDSIELLDNTGRKSLEDFAHSGIDTIDYSTYLKETEKSPTEVNLLTFASTLEAKANQLPEGKLKQAFLLDVQNIRAIHQHLLPPVQQSLNTLRQSVWTLQQTSNKLPEKVKKILASLDSVQHFLTNNVSLIVIGETKKFGKTILGYFEHYLHWVFYAITEKMTSCKPMATAMDSAVNGILCGYVADPLNLFWFGIGKATVLLLPAVIIAIKLAKYYRRMDSEDVYDDPSRY
XP_006720763.1 1 2395 0.141705135699374 PF14649.6:Spatacsin_C:2048:2339 spatacsin isoform X2 2395 292 55 2395 0 Homo sapiens XP_006720763.1 1 RefSeq MAAEEGVASAASAGGSWGTAAMGRVLPMLLVPVPAEAMGQLGSRAQLRTQPEALGSLTAAGSLQVLSLTPGSRGGGRCCLEGPFWHFLWEDSRNSSTPTEKPKLLALGENYELLIYEFNLKDGRCDATILYSCSREALQKLIDDQDISISLLSLRILSFHNNTSLLFINKCVILHIIFPERDAAIRVLNCFTLPLPAQAVDMIIDTQLCRGILFVLSSLGWIYIFDVVDGTYVAHVDLALHKEDMCNEQQQEPAKISSFTSLKVSQDLDVAVIVSSSNSAVALNLNLYFRQHPGHLLCERILEDLPIQGPKGVDEDDPVNSAYNMKLAKFSFQIDRSWKAQLSSLNETIKNSKLEVSCCAPWFQDILHLESPESGNHSTSVQSWAFIPQDIMHGQYNVLQKDHAKTSDPGRSWKIMHISEQEEPIELKCVSVTGFTALFTWEVERMGYTITLWDLETQGMQCFSLGTKCIPVDSSGDQQLCFVLTENGLSLILFGLTQEEFLNRLMIHGSASTVDTLCHLNGWGRCSIPIHALEAGIENRQLDTVNFFLKSKENLFNPSSKSSVSDQFDHLSSHLYLRNVEELIPALDLLCSAIRESYSEPQSKHFSEQLLNLTLSFLNNQIKELFIHTEELDEHLQKGVNILTSYINELRTFMIKFPWKLTDAIDEYDVHENVPKVKESNIWKKLSFEEVIASAILNNKIPEAQTFFRIDSHSAQKLEELIGIGLNLVFDNLKKNNIKEASELLKNMGFDVKGQLLKICFYTTNKNIRDFLVEILKEKNYFSEKEKRTIDFVHQVEKLYLGHFQENMQIQSFPRYWIKEQDFFKHKSVLDSFLKYDCKDEFNKQDHRIVLNWALWWDQLTQESILLPRISPEEYKSYSPEALWRYLTARHDWLNIILWIGEFQTQHSYASLQQNKWPLLTVDVINQNTSCNNYMRNEILDKLARNGVFLASELEDFECFLLRLSRIGGVIQDTLPVQNYKTKEGWDFHSQFILYCLEHSLQHLLYVYLDCYKLSPENCPFLEKKELHEAHPWFEFLVQCRQVASNLTDPKLIFQASLANAQILIPTNQASVSSMLLEGHTLLALATTMYSPGGVSQVVQNEENENCLKKVDPQLLKMALTPYPKLKTALFPQCTPPSVLPSDITIYHLIQSLSPFDPSRLFGWQSANTLAIGDAWSHLPHFSSPDLVNKYAIVERLNFAYYLHNGRPSFAFGTFLVQELIKSKTPKQLIQQVGNEAYVIGLSSFHIPSIGAACVCFLELLGLDSLKLRVDMKVANIILSYKCRNEDAQYSFIRESVAEKLSKLADGEKTTTEELLVLLEEGTWNSIQQQEIKRLSSESSSQWALVVQFCRLHNMKLSISYLRECAKANDWLQFIIHSQLHNYHPAEVKSLIQYFSPVIQDHLRLAFENLPSVPTSKMDSDQVCNKCPQELQGSKQEMTDLFEILLQCSEEPDSWHWLLVEAVKQQAPILSVLASCLQGASAISCLCVWIITSVEDNVATEAMGHIQDSTEDHTWNLEDLSVIWRTLLTRQKSKTLIRGFQLFFKDSPLLLVMEMYELCMFFRNYKEAEAKLLEFQKSLETLNTAATKVHPVIPAMWLEDQVCFLLKLMLQQCKTQYELGKLLQLFVEREHLFSDGPDVKKLCILCQILKDTSIAINHTIITSYSIENLQHECRSILERLQTDGQFALARRVAELAELPVDNLVIKEAHVACEHPTGWSSMEERHLLLTLAGHWLAQEDVVPLDKLEELEKQIWLCRITQHTLGRNQEETEPRFSRQISTSGELSFDSLASEFSFSKLAALNTSKYLELNSLPSKETCENRLDWKEQESLNFLIGRLLDDGCVHEASRVCRYFHFYNPDVALVLHCRALASGEASMEDLHPEIHALLQSAELLEEEAPDIPLRRVHSTSSLDSQKFVTVPSSNEVVTNLEVLTSKCLHGKNYCRQVLCLYDLAKELGCSYTDVAAQDGEAMLRKILASQQPDRCKRAQAFISTQGLKPDTVAELVAEEVTRELLTSSQGTGHKQMFNPTEESQTFLQLTTLCQDRTLVGMKLLDKISSVPHGELSCTTELLILAHHCFTLTCHMEGIIRVLQAAHMLTDNHLAPSEEYGLVVRLLTGIGRYNEMTYIFDLLHKKHYFEVLMRKKLDPSGTLKTALLDYIKRCRPGDSEKHNMIALCFSMCREIGENHEAAARIQLKLIESQPWEDSLKDGHQLKQLLLKALTLMLDAAESYAKDSCVRQAQHCQRLTKLITLQIHFLNTGQNTMLINLGRHKLMDCILALPRFYQASIVAEAYDFVPDWAEILYQQVILKGDFNYLEEFKQQRLLKSSIFEEISKKYKQHQPTDMVMENLKKLLTYCEDVYLYYKLAYEHKFYEIVNVLLKDPQTGCCLKDMLAG
XP_016856761.1 511 1108 0.400594816053512 laminin subunit beta-3 isoform X2 1108 0 55 598 0 Homo sapiens XP_016856761.1 1 RefSeq YPVCVACHPCFQTYDADLREQALRFGRLRNATASLWSGPGLEDRGLASRILDAKSKIEQIRAVLSSPAVTEQEVAQVASAILSLRRTLQGLQLDLPLEEETLSLPRDLESLDRSFNGLLTMYQRKREQFEKISSADPSGAFRMLSTAYEQSAQAAQQVSDSSRLLDQLRDSRREAERLVRQAGGGGGTGSPKLVALRLEMSSLPDLTPTFNKLCGNSRQMACTPISCPGELCPQDNGTACGSRCRGVLPRAGGAFLMAGQVAEQLRGFNAQLQRTRQMIRAAEESASQIQSSAQRLETQVSASRSQMEEDVRRTRLLIQQVRDFLTDPDTDAATIQEVSEAVLALWLPTDSATVLQKMNEIQAIAARLPNVDLVLSQTKQDIARARRLQAEAEEARSRAHAVEGQVEDVVGNLRQGTVALQEAQDTMQGTSRSLRLIQDRVAEVQQVLRPAEKLVTSMTKQLGDFWTRMEELRHQARQQGAEAVQAQQLAEGASEQALSAQEGFERIKQKYAELKDRLGQSSMLGEQGARIQSVKTEAEELFGETMEMMDRMKDMELELLRGSQAIMLRSADLTGLEKRVEQIRDHINGRVLYYATCK
NP_001025459.1 1 400 0.700074 Leukosialin; GPL115; Galactoglycoprotein; GALGP; Leukocyte sialoglycoprotein; Sialophorin; CD43 antigen 400 0 54 377 1 Homo sapiens (Human) SwissProt::P16150 1 SwissProt MATLLLLLGVLVVSPDALGSTTAVQTPTSGEPLVSTSEPLSSKMYTTSITSDPKADSTGDQTSALPPSTSINEGSPLWTSIGASTGSPLPEPTTYQEVSIKMSSVPQETPHATSHPAVPITANSLGSHTVTGGTITTNSPETSSRTSGAPVTTAASSLETSRGTSGPPLTMATVSLETSKGTSGPPVTMATDSLETSTGTTGPPVTMTTGSLEPSSGASGPQVSSVKLSTMMSPTTSTNASTVPFRNPDENSRGMLPVAVLVALLAVIVLVALLLLWRRRQKRRTGALVLSRGGKRNGVVDAWAGPAQVPEEGAVTVTVGGSGGDKGSGFPDGEGSSRRPTLTTFFGRRKSRQGSLAMEELKSGSGPSLKGEEEPLVASEDGAVDAPAPDEPEGGDGAAP
NP_001127947.1 1 341 0.222015835777126 PF00193.17:Xlink:151:244,PF00193.17:Xlink:252:330,PF07686.17:V-set:28:147 versican core protein isoform 4 precursor 655 293 54 341 0 Mus musculus NP_001127947.1 1 RefSeq MLINMKGILWMCSTLLLTHALHQAKMETSPPVKGSLSGKVVLPCHFSTLPTLPPNYNTSEFLRIKWSKMEVDKNGKDIKETTVLVAQNGNIKIGQDYKGRVSVPTHPDDVGDASLTMVKLRASDAGVYRCDVMYGIEDTQDTMSLAVDGVVFHYRAATSRYTLNFAAAQQACLDIGAVIASPEQLFAAYEDGFEQCDAGWLSDQTVRYPIRAPREGCYGDMMGKEGVRTYGFRSPQETYDVYCYVDHLDGDVFHITAPSKFTFEEAEAECTSRDARLATVGELQAAWRNGFDQCDYGWLSDASVRHPVTVARAQCGGGLLGVRTLYRFENQTCFPLPDSRF
NP_001186233.1 1 537 0.638960893854748 PF12547.8:ATXN-1_C:403:421 Ataxin-1; Spinocerebellar ataxia type 1 protein homolog 791 19 54 537 0 Mus musculus (Mouse) SwissProt::P54254 1 SwissProt MKSNQERSNECLPPKKREIPATSRPSEEKATALPSDNHCVEGVAWLPSTPGIRGHGGGRHGSAGTSGEHGLQGMGLHKALSAGLDYSPPSAPRSVPTANTLPTVYPPPQSGTPVSPVQYAHLSHTFQFIGSSQYSGPYAGFIPSQLISPSGNPVTSAVASAAGATTPSQRSQLEAYSTLLANMGSLSQAPGHKVEPPPQQHLSRAAGLVNPGSPPPPTQQNQYIHISSSPQSSGRATSPPPIPVHLHPHQTMIPHTLTLGPSSQVVVQYSDAGGHFVPRESTKKAESSRLQQAMQAKEVLNGEMEKSRRYGASSSVELSLGKASSKSVPHPYESRHVVVHPSPADYSSRDTSGVRGSVMVLPNSSTPSADLEAQQTTHREASPSTLNDKSGLHLGKPGHRSYALSPHTVIQTTHSASEPLPVGLPATAFYAGTQPPVIGYLSGQQQAITYAGGLPQHLVIPGNQPLLIPVGSPDMDTPGAASAIVTSSPQFAAVPHTFVTTALPKSENFNPEALVTQAAYPAMVQAQIHLPVVQSVA
NP_001291453.1 1 392 0.429641326530612 PF05557.13:MAD:65:391 mitotic spindle assembly checkpoint protein MAD1 isoform b precursor 626 327 54 392 0 Homo sapiens NP_001291453.1 1 RefSeq MLPARGCVRKRTVWPRLARVLIVTLLTLELSYAPLPCQLSGVPYNTGDPVGRWARPCIWPCPWHTTINALKGRISELQWSVMDQEMRVKRLESEKQELQEQLDLQHKKCQEANQKIQELQASQEARADHEQQIKDLEQKLSLQEQDAAIVKNMKSELVRLPRLERELKQLREESAHLREMRETNGLLQEELEGLQRKLGRQEKMQETLVGLELENERLLAKLQSWERLDQTMGLSIRTPEDLSRFVVELQQRELALKDKNSAVTSSARGLEKARQQLQEELRQVSGQLLEERKKRETHEALARRLQKRVLLLTKERDGMRAILGSYDSELTPAEYSPQLTRRMREAEDMVQKVHSHSAEMEAQLSQALEELGGQKQRADMLEMELKMLKSQS
NP_005452.2 1 209 0.769308133971292 PF08383.11:Maf_N:81:113 Transcription factor MafB; Maf-B; V-maf musculoaponeurotic fibrosarcoma oncogene homolog B 323 33 54 209 0 Homo sapiens (Human) SwissProt::Q9Y5Q3 1 SwissProt MAAELSMGPELPTSPLAMEYVNDFDLLKFDVKKEPLGRAERPGRPCTRLQPAGSVSSTPLSTPCSSVPSSPSFSPTEQKTHLEDLYWMASNYQQMNPEALNLTPEDAVEALIGSHPVPQPLQSFDSFRGAHHHHHHHHPHPHHAYPGAGVAHDELGPHAHPHHHHHHQASPPPSSAASPAQQLPTSHPGPGPHATASATAAGGNGSVED
NP_005502.1 1 118 0.33331186440678 PF14991.6:MLANA:2:118 Melanoma antigen recognized by T-cells 1; MART-1; Antigen LB39-AA; Antigen SK29-AA; Protein Melan-A 118 117 54 95 1 Homo sapiens (Human) SwissProt::Q16655 1 SwissProt MPREDAHFIYGYPKKGHGHSYTTAEEAAGIGILTVILGVLLLIGCWYCRRRNGYRALMDKSLHVGTQCALTRRCPQEGFDHRDSKVSLQEKNCEPVVPNAPPAYEKLSAEQSPPPYSP
NP_009187.1 1 709 0.151278138222849 PF03137.20:OATP:50:647,PF07690.16:MFS_1:59:465 solute carrier organic anion transporter family member 2B1 isoform 1 709 598 54 456 11 Homo sapiens NP_009187.1 1 RefSeq MGPRIGPAGEVPQVPDKETKATMGTENTPGGKASPDPQDVRPSVFHNIKLFVLCHSLLQLAQLMISGYLKSSISTVEKRFGLSSQTSGLLASFNEVGNTALIVFVSYFGSRVHRPRMIGYGAILVALAGLLMTLPHFISEPYRYDNTSPEDMPQDFKASLCLPTTSAPASAPSNGNCSSYTETQHLSVVGIMFVAQTLLGVGGVPIQPFGISYIDDFAHNSNSPLYLGILFAVTMMGPGLAFGLGSLMLRLYVDINQMPEGGISLTIKDPRWVGAWWLGFLIAAGAVALAAIPYFFFPKEMPKEKRELQFRRKVLAVTDSPARKGKDSPSKQSPGESTKKQDGLVQIAPNLTVIQFIKVFPRVLLQTLRHPIFLLVVLSQVCLSSMAAGMATFLPKFLERQFSITASYANLLIGCLSFPSVIVGIVVGGVLVKRLHLGPVGCGALCLLGMLLCLFFSLPLFFIGCSSHQIAGITHQTSAHPGLELSPSCMEACSCPLDGFNPVCDPSTRVEYITPCHAGCSSWVVQDALDNSQVFYTNCSCVVEGNPVLAGSCDSTCSHLVVPFLLLVSLGSALACLTHTPSFMLILRGVKKEDKTLAVGIQFMFLRILAWMPSPVIHGSAIDTTCVHWALSCGRRAVCRYYNNDLLRNRFIGLQFFFKTGSVICFALVLAVLRQQDKEARTKESRSSPAVEQQLLVSGPGKKPEDSRV
NP_034826.2 84 533 0.732208 PF00017.24:SH2:339:422 Lymphocyte cytosolic protein 2; SH2 domain-containing leukocyte protein of 76 kDa; SLP-76 tyrosine phosphoprotein; SLP76 533 84 54 450 0 Mus musculus (Mouse) SwissProt::Q60787 1 SwissProt RKPQIPRFLEETESHEEDDGGWSSFEDDYESPNDDDPDGEDDGDYESPNEEEQALVDDAADYEPPPSNNEEALQSSILPPNSFHNTNSMYIDRPPTGKVSQQPPVPPLRPKPALPPLPTGRNHSPLSPPHPNHEEPSRSGNNKTAKLPAPSIDRSTKPPLDRSLAPLDREPFILGKKPPFSDKPSAPLGREHLPKIQKPPLPPAMDRHERNERLGPVTTRKPPVPRHGRGPDRRENDEDDVHQRPLPQPSLPSMSSNTFPSRSVQPSSKNTFPLAHMPGAFSESNIGFQQSASLPPYFSQGPGNRPPLRSEGRNLPLPVPNRPQPPSPGEEETPLDEEWYVSYITRPEAEAALRKINQDGTFLVRDSSKKTANNPYVLMVLYKDKVYNIQIRYQEESQVYLLGTGLRGKEDFLSVSDIIDYFRKMPLLLIDGKNRGSRYQCTLTHAAGCL
NP_035628.1 108 411 0.475813815789474 PF07527.13:Hairy_orange:34:72 Class E basic helix-loop-helix protein 40; bHLHe40; Class B basic helix-loop-helix protein 2; bHLHb2; Differentially expressed in chondrocytes protein 1; DEC1; E47 interaction protein 1; EIP1; Stimulated by retinoic acid gene 13 protein 411 39 54 304 0 Mus musculus (Mouse) SwissProt::O35185 1 SwissProt TNLIDQQQQKIIALQSGLQAGDLSGRNLEAGQEMFCSGFQTCAREVLQYLAKHENTRDLKSSQLVTHLHRVVSELLQGGASRKPLDSAPKAVDLKEKPSFLAKGSEGPGKNCVPVIQRTFAPSGGEQSGSDTDTDSGYGGELEKGDLRSEQPYFKSDHGRRFAVGERVSTIKQESEEPPTKKSRMQLSEEEGHFAGSDLMGSPFLGPHPHQPPFCLPFYLIPPSATAYLPMLEKCWYPTSVPVLYPGLNTSAAALSSFMNPDKIPTPLLLPQRLPSPLAHSSLDSSALLQALKQIPPLNLETKD
NP_037178.1 1 255 0.5486 PF05438.12:TRH:6:222 Pro-thyrotropin-releasing hormone 255 217 54 255 0 Rattus norvegicus P01150 1 SwissProt/TReMBL MPGPWLLLALALIFTLTGIPESCALPEAAQEEGAVTPDLPGLENVQVRPERRFLWKDLQRVRGDLGAALDSWITKRQHPGKREEEEKDIEAEERGDLGEGGAWRLHKRQHPGRRANQDKYSWADEEDSDWMPRSWLPDFFLDSWFSDVPQVKRQHPGRRSFPWMESDVTKRQHPGRRFIDPELQRSWEEKEGEGVLMPEKRQHPGKRALGHPCGPQGTCGQTGLLQLLGDLSRGQETLVKQSPQVEPWDKEPLEE
NP_057289.1 163 345 0.109283606557377 PF00341.17:PDGF:88:175 Platelet-derived growth factor C; PDGF-C; Fallotein; Spinal cord-derived growth factor; SCDGF; VEGF-E 345 88 54 183 0 Homo sapiens (Human) SwissProt::Q9NRA1 1 SwissProt VMPQFTEAVSPSVLPPSALPLDLLNNAITAFSTLEDLIRYLEPERWQLDLEDLYRPTWQLLGKAFVFGRKSRVVDLNLLTEEVRLYSCTPRNFSVSIREELKRTDTIFWPGCLLVKRCGGNCACCLHNCNECQCVPSKVTKKYHEVLQLRPKTGVRGLHKSLTDVALEHHEECDCVCRGSTGG
NP_061949.3 1 280 0.03389 PF00201.18:UDPGT:26:279 UDP-glucuronosyltransferase 1-8; UDPGT 1-8; UGT1*8; UGT1-08; UGT1.8; UDP-glucuronosyltransferase 1-H; UGT-1H; UGT1H; UDP-glucuronosyltransferase 1A8; EC 2.4.1.17 530 254 54 280 0 Homo sapiens (Human) SwissProt::Q9HAW9 1 SwissProt MARTGWTSPIPLCVSLLLTCGFAEAGKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLGKSLNCTVKTYSTSYTLEDLDREFMDFADAQWKAQVRSLFSLFLSSSNGFFNLFFSHCRSLFNDRKLVEYLKESSFDAVFLDPFDACGLIVAKYFSLPSVVFARGIACHYLEEGAQCPAPLSYVPRILLGFSDAMTFKERVRNHIMHLEEHLFCQYFSKNALEIASEILQTPVTAYDLYSHTSIWLLRTDFVLDYPKPVMPNMIFIGGINCHQG
NP_543129.3 1 582 0.138662714776632 PF07690.16:MFS_1:80:460 vesicular glutamate transporter 2 isoform 1 582 381 54 306 12 Mus musculus NP_543129.3 1 RefSeq MESVKQRILAPGKEGIKNFAGKSLGQIYRVLEKKQDNRETIELTEDGKPLEVPEKKAPLCDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWDPETVGMIHGSFFWGYIITQIPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTSFCGSYAGAVIAMPLAGILVQYTGWSSVFYVYGSFGMVWYMFWLLVSYESPAKHPTITDEERRYIEESIGESANLLGAMEKFKTPWRKFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGMLSAVPHLVMTIIVPIGGQIADFLRSKQILSTTTVRKIMNCGGFGMEATLLLVVGYSHTRGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKNKSREEWQYVFLIAALVHYGGVIFYALFASGEKQPWADPEETSEEKCGFIHEDELDEETGDITQNYINYGTTKSYGATSQENGGWPNGWEKKEEFVQEGAQDAYTYKDRDDYS
NP_563850.1 173 419 0.614155870445344 Double-stranded RNA-binding protein 1; Protein HYPONASTIC LEAVES 1; dsRNA-binding protein 1; AtDRB1 419 0 54 247 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04492 1 SwissProt KNNLANYNTQLTVLPCEKKTIQAAIPLKETVKTLKARKAQFKKKAQKGKRTVAKNPEDIIIPPQPTDHCQNDQSEKIETTPNLEPSSCMNGLKEAAFGSVETEKIETTPNLEPPSCMNGLKEAAFGSVETEKIETTPNLEPPSCMNGLKEAAFGSVETEKIETTPNLEPSSCMNGLKEAAFGSVETEKIETTPNLEPPSCMNGLKEAAFGSVETEKIETTPNLESSSCMSGLKEAAFGSVETEASHA
NP_778150.1 1 329 0.089748632218845 PF00001.21:7tm_1:31:248 G-protein coupled bile acid receptor 1; Membrane-type receptor for bile acids; M-BAR 329 218 54 171 7 Mus musculus (Mouse) SwissProt::Q80SS6 0 SwissProt MMTPNSTELSAIPMGVLGLSLALASLIVIANLLLALGIALDRHLRSPPAGCFFLSLLLAGLLTGLALPMLPGLWSRNHQGYWSCLLLHLTPNFCFLSLLANLLLVHGERYMAVLQPLRPHGSVRLALFLTWVSSLFFASLPALGWNHWSPDANCSSQAVFPAPYLYLEVYGLLLPAVGATALLSVRVLATAHRQLCEIRRLERAVCRDVPSTLARALTWRQARAQAGATLLFLLCWGPYVATLLLSVLAYERRPPLGPGTLLSLISLGSTSAAAVPVAMGLGDQRYTAPWRTAAQRCLRVLRGRAKRDNPGPSTAYHTSSQCSIDLDLN
O64645 79 214 0.510444117647059 PF01486.17:K-box:6:92 MADS-box protein SOC1; Agamous-like MADS-box protein AGL20; Protein SUPPRESSOR OF CONSTANS OVEREXPRESSION 1 214 87 54 136 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64645 1 SwissProt TKPVSEENMQHLKYEAANMMKKIEQLEASKRKLLGEGIGTCSIEELQQIEQQLEKSVKCIRARKTQVFKEQIEQLKQKEKALAAENEKLSEKWGSHESEVWSNKNQESTGRGDEESSPSSEVETQLFIGLPCSSRK
P81605 1 62 0.558196774193548 PF15291.6:Dermcidin:19:62 Dermcidin; Preproteolysin; EC 3.4.-.- 110 44 54 62 0 Homo sapiens (Human) SwissProt::P81605 1 SwissProt MRFMTLLFLTALAGALVCAYDPEAASAPGSGNPCHEASAAQKENAGEDPGLARQAPKPRKQR
VIMSS10102281 107 216 0.364791818181818 Dehydration-responsive element-binding protein 1A; Protein DREB1A; C-repeat/dehydration-responsive element-binding factor 3; C-repeat-binding factor 3; CRT/DRE-binding factor 3; Cold resistance-related AP2 transcription factor 216 0 54 110 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M0L0 1 SwissProt ADSAWRLRIPESTCAKDIQKAAAEAALAFQDEMCDATTDHGFDMEETLVEAIYTAEQSENAFYMHDEAMFEMPSLLANMAEGMLLPLPSVQWNHNHEVDGDDDDVSLWSY
XP_006497427.1 1 123 0.591473983739837 interleukin-15 receptor subunit alpha isoform X8 123 0 54 100 1 Mus musculus XP_006497427.1 1 RefSeq MTTETAIMPGSRLTPSQTTSAGTTGTGSHKSSRAPSLAATMTLEPTASTSLRITEISPHSSKMTKVAISTSVLLVGAGVVMAFLAWYIKSRQPSQPCRVEVETMETVPMTVRASSKEDEDTGA
XP_006530346.1 252 518 0.591805617977528 T-box transcription factor TBX5; T-box protein 5 518 0 54 267 0 Mus musculus (Mouse) SwissProt::P70326 1 SwissProt SKEYPVVPRSTVRHKVTSNHSPFSSETRALSTSSNLGSQYQCENGVSGPSQDLLPPPNPYPLAQEHSQIYHCTKRKDEECSSTEHPYKKPYMETSPSEEDTFYRSGYPQQQGLSTSYRTESAQRQACMYASSAPPSEPVPSLEDISCNTWPSMPSYSSCTVTTVQPMDRLPYQHFSAHFTSGPLVPRLAGMANHGSPQLGEGMFQHQTSVAHQPVVRQCGPQTGLQSPGGLQPPEFLYTHGVPRTLSPHQYHSVHGVGMVPEWSENS
XP_011248949.1 130 848 0.362534909596661 PF08205.12:C2-set_2:11:98,PF08205.12:C2-set_2:129:191,PF08205.12:C2-set_2:216:297,PF08205.12:C2-set_2:316:401,PF08205.12:C2-set_2:432:503,PF13927.6:Ig_3:113:189,PF13927.6:Ig_3:611:691,PF13895.6:Ig_2:124:207,PF07679.16:I-set:613:693,PF00047.25:ig:618:692 nephrin isoform X1 1242 506 54 719 0 Mus musculus XP_011248949.1 1 RefSeq SILVSPKVLQLTPEAGSTVTWVAGQEYVVTCVSGDAKPAPDIIFIQGGRTVEDVSSSVNEGSEEKLFFTEAEARVTPQSSDNGQLLVCEGSNPALATPIKASFTMNILFPPGPPVIDWPGLNEGHVRAGENLELPCIARGGNPPATLQWLKNGKPVSIAWGTEHAQAVAHSVLVMTVRPEDHGARLSCQSYNSVSAETQERSITLQVTFPPSAVTILGSTSQSENKNVTLCCLTKSSRPRVLLRWWLGGRQLLPTDETVMDGLHGGHISMSNLTLLVKREDNGLSLTCEAFSDAFSKETFKKSLTLNVKYPAQKLWIEGPPEGQSIRTGTRVRLVCLAIGGNPEPSLTWLKDSRPVNDPRQSQEPRRVQLGSVEKSGSTFSRELVLIIGPPDNLAKFSCKAGQLSASTQLVVQFPPTNLTILANSSALRPGDALNLTCVSISSNPPVNLSLDKEGERLDDVAAKPQSAPFKGSAASRSVFLRVSSRDHGHRVTCRAHSEALRETVSSFYRLNVLYPPEFLGEQVRAVTVVEQGQALLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSGGALQLWNVTRADDGFYQLHCQNSEGTAEALLKLDVHYAPTIRALKDPTEVNVGGSVDIVCTVDANPILPEMFSWERLGEDEEELNLDDMEKMSKGSTGRLRIRQAKLSQAGAYQCIVDNGVAPAARGLVRLVVRFAPQVDHPTPLTK
NP_001001524.2 1 377 0.0255185676392573 PF10914.8:DUF2781:218:355 Transmembrane 6 superfamily member 2 377 138 53 222 7 Homo sapiens (Human) SwissProt::Q9BZW4 1 SwissProt MDIPPLAGKIAALSLSALPVSYALNHVSALSHPLWVALMSALILGLLFVAVYSLSHGEVSYDPLYAVFAVFAFTSVVDLIIALQEDSYVVGFMEFYTKEGEPYLRTAHGVFICYWDGTVHYLLYLAMAGAICRRKRYRNFGLYWLGSFAMSILVFLTGNILGKYSSEIRPAFFLTIPYLLVPCWAGMKVFSQPRALTRCTANMVQEEQRKGLLQRPADLALVIYLILAGFFTLFRGLVVLDCPTDACFVYIYQYEPYLRDPVAYPKVQMLMYMFYVLPFCGLAAYALTFPGCSWLPDWALVFAGGIGQAQFSHMGASMHLRTPFTYRVPEDTWGCFFVCNLLYALGPHLLAYRCLQWPAFFHQPPPSDPLALHKKQH
NP_001034627.1 1 286 0.76978916083916 PF10522.9:RII_binding_1:85:103 microtubule-associated protein 2 isoform 5 559 19 53 286 0 Homo sapiens NP_001034627.1 1 RefSeq MADERKDEAKAPHWTSAPLTEASAHSHPPEIKDQGGAGEGLVRSANGFPYREDEEGAFGEHGSQGTYSNTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQTAALPLAAEETANLPPSPPPSPASEQTVTVEEAAGGESALAPSVFKQAKDKVSNSTLSKIPALQGSTKSPRYSSACPSTTKRATFSDSLLIQPTSAGSTDRLPYSKSGNKDGVTKSPEKRSSLPRPSSILPPRRGVSGDRDENSFSLNSSISSSARRTTRSEPIRRA
NP_001323428.1 1 260 0.703403461538462 phytochrome interacting factor 4 437 0 53 260 0 Arabidopsis thaliana NP_001323428.1 1 RefSeq MLLLRSDMEHQGWSFEENYSLSTNRRSIRPQDELVELLWRDGQVVLQSQTHREQTQTQKQDHHEEALRSSTFLEDQETVSWIQYPPDEDPFEPDDFSSHFFSTMDPLQRPTSETVKPKSSPEPPQVMVKPKACPDPPPQVMPPPKFRLTNSSSGIRETEMEQYSVTTVGPSHCGSNPSQNDLDVSMSHDRSKNIEEKLNPNASSSSGGSSGCSFGKDIKEMASGRCITTDRKRKRINHTDESVSLSDAIGNKSNQRSGSN
NP_001342.2 133 295 0.495336196319018 Deleted in azoospermia-like; DAZ homolog; DAZ-like autosomal; Deleted in azoospermia-like 1; SPGY-like-autosomal 295 0 53 163 0 Homo sapiens (Human) SwissProt::Q92904 1 SwissProt HPPPPQFQNVWTNPNTETYMQPTTTMNPITQYVQAYPTYPNSPVQVITGYQLPVYNYQMPPQWPVGEQRSYVVPPAYSAVNYHCNEVDPGAEVVPNECSVHEATPPSGNGPQKKSVDRSIQTVVSCLFNPENRLRNSVVTQDDYFKDKRVHHFRRSRAMLKSV
NP_003632.3 1 125 0.0630328 PF04505.12:CD225:33:99 interferon-induced transmembrane protein 1 125 67 53 79 2 Homo sapiens NP_003632.3 0 RefSeq MHKEEHEVAVLGAPPSTILPRSTVINIHSETSVPDHVVWSLFNTLFLNWCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGILMTIGFILLLVFGSVTVYHIMLQIIQEKRGY
NP_006768.1 123 470 0.661203735632184 Transcriptional regulator Kaiso; Zinc finger and BTB domain-containing protein 33 672 0 53 348 0 Homo sapiens (Human) SwissProt::Q86T24 1 SwissProt VKSISGTAQDGNTEPLPPDSGDKNLVIQKSKDEAQDNGATIMPIITESFSLSAEDYEMKKIIVTDSDDDDDDVIFCSEILPTKETLPSNNTVAQVQSNPGPVAISDVAPSASNNSPPLTNITPTQKLPTPVNQATLSQTQGSEKLLVSSAPTHLTPNIILLNQTPLSTPPNVSSSLPNHMPSSINLLVQNQQTPNSAILTGNKANEEEEEEIIDDDDDTISSSPDSAVSNTSLVPQADTSQNTSFDGSLIQKMQIPTLLQEPLSNSLKISDIITRNTNDPGVGSKHLMEGQKIITLDTATEIEGLSTGCKVYANIGEDTYDIVIPVKDDPDEGEARLENEIPKTSGSE
NP_006816.2 1 602 0.50786511627907 Cytoskeleton-associated protein 4; 63-kDa cytoskeleton-linking membrane protein; Climp-63; p63 602 0 53 579 1 Homo sapiens (Human) SwissProt::Q07065 1 SwissProt MPSAKQRGSKGGHGAASPSEKGAHPSGGADDVAKKPPPAPQQPPPPPAPHPQQHPQQHPQNQAHGKGGHRGGGGGGGKSSSSSSASAAAAAAAASSSASCSRRLGRALNFLFYLALVAAAAFSGWCVHHVLEEVQQVRRSHQDFSRQREELGQGLQGVEQKVQSLQATFGTFESILRSSQHKQDLTEKAVKQGESEVSRISEVLQKLQNEILKDLSDGIHVVKDARERDFTSLENTVEERLTELTKSINDNIAIFTEVQKRSQKEINDMKAKVASLEESEGNKQDLKALKEAVKEIQTSAKSREWDMEALRSTLQTMESDIYTEVRELVSLKQEQQAFKEAADTERLALQALTEKLLRSEESVSRLPEEIRRLEEELRQLKSDSHGPKEDGGFRHSEAFEALQQKSQGLDSRLQHVEDGVLSMQVASARQTESLESLLSKSQEHEQRLAALQGRLEGLGSSEADQDGLASTVRSLGETQLVLYGDVEELKRSVGELPSTVESLQKVQEQVHTLLSQDQAQAARLPPQDFLDRLSSLDNLKASVSQVEADLKMLRTAVDSLVAYSVKIETNENNLESAKGLLDDLRNDLDRLFVKVEKIHEKV
NP_032809.1 1 231 0.505119913419914 PF03792.13:PBC:40:231 pre-B-cell leukemia transcription factor 1 isoform b 347 192 53 231 0 Mus musculus NP_032809.1 1 RefSeq MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDEAQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFL
NP_036517.1 1 82 0.886679268292683 PF10195.9:Phospho_p8:23:77 Nuclear protein 1; Candidate of metastasis 1; Protein p8 82 55 53 82 0 Homo sapiens (Human) SwissProt::O60356 1 SwissProt MATFPPATSAPQQPPGPEDEDSSLDESDLYSLAHSYLGGGGRKGRTKREAAANTNRPSPGGHERKLVTKLQNSERKKRGARR
NP_177250.1 1 640 0.29659734375 PF03547.18:Mem_trans:9:635 Auxin efflux carrier component 3; AtPIN3 640 627 53 442 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7Z8 1 SwissProt MISWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNNPYAMNLRFIAADTLQKIIMLSLLVLWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQIVVLQCIIWYTLLLFLFEFRGAKMLIMEQFPETAASIVSFKVESDVVSLDGHDFLETDAEIGDDGKLHVTVRKSNASRRSFCGPNMTPRPSNLTGAEIYSLSTTPRGSNFNHSDFYNMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEENCAMASSPRFGYYPGGGAGSYPAPNPEFSSTTTSTANKSVNKNPKDVNTNQQTTLPTGGKSNSHDAKELHMFVWSSNGSPVSDRAGLNVFGGAPDNDQGGRSDQGAKEIRMLVPDQSHNGETKAVAHPASGDFGGEQQFSFAGKEEEAERPKDAENGLNKLAPNSTAALQSKTGLGGAEASQRKNMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWHVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSVATFAMAVRFLTGPAVMAVAAIAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL
NP_695009.1 1 506 0.128735177865613 PF00083.24:Sugar_tr:136:457,PF07690.16:MFS_1:135:451 solute carrier family 22 member 6 isoform c 506 323 53 310 9 Homo sapiens NP_695009.1 1 RefSeq MAFNDLLQQVGGVGRFQQIQVTLVVLPLLLMASHNTLQNFTAAIPTHHCRPPADANLSKNGGLEVWLPRDRQGQPESCLRFTSPQWGLPFLNGTEANGTGATEPCTDGWIYDNSTFPSTIVTEWDLVCSHRALRQLAQSLYMVGVLLGAMVFGYLADRLGRRKVLILNYLQTAVSGTCAAFAPNFPIYCAFRLLSGMALAGISLNCMTLNVEWMPIHTRACVGTLIGYVYSLGQFLLAGVAYAVPHWRHLQLLVSAPFFAFFIYSWFFIESARWHSSSGRLDLTLRALQRVARINGKREEGAKLSMEVLRASLQKELTMGKGQASAMELLRCPTLRHLFLCLSMLWFATSFAYYGLVMDLQGFGVSIYLIQVIFGAVDLPAKLVGFLVINSLGRRPAQMAALLLAGICILLNGVIPQDQSIVRTSLAVLGKGCLAASFNCIFLYTGELYPTMIRAVTVLLPETLGQPLPDTVQDLESRKGKQTRQQQEHQKYMVPLQASAQEKNGL
NP_954712.1 192 899 0.170306355932204 PF10540.9:Membr_traf_MHD:643:703 Protein unc-13 homolog D; Munc13-4 1090 61 53 708 0 Homo sapiens (Human) SwissProt::Q70J99 1 SwissProt FEDITNASFHLDMWDLDTVESVRQKLGELTDLHGLRRIFKEARKDKGQDDFLGNVVLRLQDLRCREDQWYPLEPRTETYPDRGQCHLQFQLIHKRRATSASRSQPSYTVHLHLLQQLVSHEVTQHEAGSTSWDGSLSPQAATVLFLHATQKDLSDFHQSMAQWLAYSRLYQSLEFPSSCLLHPITSIEYQWIQGRLKAEQQEELAASFSSLLTYGLSLIRRFRSVFPLSVSDSPARLQSLLRVLVQMCKMKAFGELCPNTAPLPQLVTEALQTGTTEWFHLKQQHHQPMVQGIPEAGKALLGLVQDVIGDLHQCQRTWDKIFHNTLKIHLFSMAFRELQWLVAKRVQDHTTVVGDVVSPEMGESLFQLYISLKELCQLRMSSSERDGVLALDNFHRWFQPAIPSWLQKTYNEALARVQRAVQMDELVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVKFVEDTCRLALVYCSLIKARARELSSGQKDQGQAANMLCVVVNDMEQLRLVIGKLPAQLAWEALEQRVGAVLEQGQLQNTLHAQLQSALAGLGHEIRTGVRTLAEQLEVGIAKHIQKLVGVRESVLPEDAILPLMKFLEVELCYMNTNLVQENFSSLLTLLWTHTLTVLVEAAASQRSSSLASNRLKIALQNLEICFHAEGCGLPPKALHTATFQALQRDLELQAASSRELIRKYFCSR
Q15392 240 516 0.0972916967509025 Delta(24)-sterol reductase; 24-dehydrocholesterol reductase; 3-beta-hydroxysterol Delta-24-reductase; Diminuto/dwarf1 homolog; Seladin-1; EC 1.3.1.72 516 0 53 277 0 Homo sapiens (Human) SwissProt::Q15392 1 SwissProt LRFEPVRGLEAICAKFTHESQRQENHFVEGLLYSLDEAVIMTGVMTDEAEPSKLNSIGNYYKPWFFKHVENYLKTNREGLEYIPLRHYYHRHTRSIFWELQDIIPFGNNPIFRYLFGWMVPPKISLLKLTQGETLRKLYEQHHVVQDMLVPMKCLQQALHTFQNDIHVYPIWLCPFILPSQPGLVHPKGNEAELYIDIGAYGEPRVKHFEARSCMRQLEKFVRSVHGFQMLYADCYMNREEFWEMFDGSLYHKLREKLGCQDAFPEVYDKICKAARH
VIMSS10089138 75 240 0.646738554216868 PF01486.17:K-box:18:96 MADS-box protein SVP; Protein SHORT VEGETATIVE PHASE 240 79 53 166 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FVC1 1 SwissProt NLEKLDQPSLELQLVENSDHARMSKEIADKSHRLRQMRGEELQGLDIEELQQLEKALETGLTRVIETKSDKIMSEISELQKKGMQLMDENKRLRQQGTQLTEENERLGMQICNNVHAHGGAESENAAVYEEGQSSESITNAGNSTGAPVDSESSDTSLRLGLPYGG
VIMSS10092762 122 323 0.430655445544555 Transcription factor MYB108; Myb-related protein 108; AtMYB108; Protein BOTRYTIS-SUSCEPTIBLE 1 323 0 53 202 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDE1 1 SwissProt HAKQLKCDVNSQQFKDTMKYLWMPRLVERIQSASASSAAAATTTTTTTTGSAGTSSCITTSNNQFMNYDYNNNNMGQQFGVMSNNDYITPENSSVAVSPASDLTEYYSAPNPNPEYYSGQMGNSYYPDQNLVSSQLLPDNYFDYSGLLDEDLTAMQEQSNLSWFENINGAASSSDSLWNIGETDEEFWFLQQQQQFNNNGSF
XP_006516965.1 1 433 0.532758891454964 PF03299.14:TF_AP-2:207:401 transcription factor AP-2-alpha isoform X3 433 195 53 433 0 Mus musculus XP_006516965.1 1 RefSeq MSILAKMGDWQDRHDGTSNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPPPYQPIYPQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSGLDPRRDYRRHEDLLHGPHGLGSGLGDLPIHSLPHAIEDVPHVEDPGINIPDQTVIKKGPVSLSKSNSNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAVAEFLNRQHSDPNEQVARKNMLLATKQICKEFTDLLAQDRSPLGNSRPNPILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNSHTDNSAKSSDKEEKHRK
XP_008770551.1 102 393 0.632455136986301 fractalkine isoform X1 393 0 53 269 1 Rattus norvegicus XP_008770551.1 1 RefSeq KFEKRVDNVTPRITSTTRGLSPTALAKPESATVEDLTLEPTAISQEARRPMGTSQEPPAAVTGSFPSTSKAQDAGLAAKPQSTGISEVAAVSTTIWPSSAVYQSGSSLWAEEKATESPPTIALSTQVSTTSSPKQNVGSEGQPPWVQEQDSTPEKSPGPEETNPVHTDIFQDRGPGSTVHPSVAPTSSEKTPSPELVASGSQAPKVEEPIHATADPQKLSVFITPVPDSQAATRRQAVGLLAFLGLLFCLGVAMFAYQSLQGCPRKMAGEMVEGLRYVPRSCGSNSYVLVPV
XP_016863038.1 1 1038 0.281700578034682 DDB1- and CUL4-associated factor 1; HIV-1 Vpr-binding protein; VprBP; Serine/threonine-protein kinase VPRBP; Vpr-interacting protein; EC 2.7.11.1 1507 0 53 1038 0 Homo sapiens (Human) SwissProt::Q9Y4B6 1 SwissProt MTTVVVHVDSKAELTTLLEQWEKEHGSGQDMVPILTRMSQLIEKETEEYRKGDPDPFDDRHPGRADPECMLGHLLRILFKNDDFMNALVNAYVMTSREPPLNTAACRLLLDIMPGLETAVVFQEKEGIVENLFKWAREADQPLRTYSTGLLGGAMENQDIAANYRDENSQLVAIVLRRLRELQLQEVALRQENKRPSPRKLSSEPLLPLDEEAVDMDYGDMAVDVVDGDQEEASGDMEISFHLDSGHKTSSRVNSTTKPEDGGLKKNKSAKQGDRENFRKAKQKLGFSSSDPDRMFVELSNSSWSEMSPWVIGTNYTLYPMTPAIEQRLILQYLTPLGEYQELLPIFMQLGSRELMMFYIDLKQTNDVLLTFEALKHLASLLLHNKFATEFVAHGGVQKLLEIPRPSMAATGVSMCLYYLSYNQDAMERVCMHPHNVLSDVVNYTLWLMECSHASGCCHATMFFSICFSFRAVLELFDRYDGLRRLVNLISTLEILNLEDQGALLSDDEIFASRQTGKHTCMALRKYFEAHLAIKLEQVKQSLQRTEGGILVHPQPPYKACSYTHEQIVEMMEFLIEYGPAQLYWEPAEVFLKLSCVQLLLQLISIACNWKTYYARNDTVRFALDVLAILTVVPKIQLQLAESVDVLDEAGSTVSTVGISIILGVAEGEFFIHDAEIQKSALQIIINCVCGPDNRISSIGKFISGTPRRKLPQNPKSSEHTLAKMWNVVQSNNGIKVLLSLLSIKMPITDADQIRALACKALVGLSRSSTVRQIISKLPLFSSCQIQQLMKEPVLQDKRSDHVKFCKYAAELIERVSGKPLLIGTDVSLARLQKADVVAQSRISFPEKELLLLIRNHLISKGLGETATVLTKEADLPMTAASHSSAFTPVTAAASPVSLPRTPRIANGIATRLGSHAAVGASAPSAPTAHPQPRPPQGPLALPGPSYAGNSPLIGRISFIRERPSPCNGRKIRVLRQKSDHGAYSQSPAIKKQLDRHLPSPPTLDSIITEYLREQHARCKNPVATCPPFSLFTPHQCP
XP_016866722.1 1 515 0.501415145631067 PF03299.14:TF_AP-2:285:479 transcription factor AP-2-beta isoform X1 515 195 53 515 0 Homo sapiens XP_016866722.1 1 RefSeq MNRRELLCLKILMDHYRQRSPEKPDICSSHECTHLLETRLPSCSGSLWRMSSTKISMSTQGDQVLRIREVSLQMLVHTYSSMDRHDGVPSHSSRLSQLGSVSQGPYSSAPPLSHTPSSDFQPPYFPPPYQPLPYHQSQDPYSHVNDPYSLNPLHQPQQHPWGQRQRQEVGSEAGSLLPQPRAALPQLSGLDPRRDYHSVRRPDVLLHSAHHGLDAGMGDSLSLHGLGHPGMEDVQSVEDANNSGMNLLDQSVIKKVPVPPKSVTSLMMNKDGFLGGMSVNTGEVFCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLRERLEKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYICETEFPAKAVSEYLNRQHTDPSDLHSRKNMLLATKQLCKEFTDLLAQDRTPIGNSRPSPILEPGIQSCLTHFSLITHGFGAPAICAALTALQNYLTEALKGMDKMFLNNTTTNRHTSGEGPGSKTGDKEEKHRK
NP_001129944.1 1 161 0.275675155279503 PF10601.9:zf-LITAF-like:90:159 Lipopolysaccharide-induced tumor necrosis factor-alpha factor; LPS-induced TNF-alpha factor; Small integral membrane protein of lysosome/late endosome; p53-induced gene 7 protein 161 70 52 161 0 Homo sapiens (Human) SwissProt::Q99732 1 SwissProt MSVPGPYQAATGPSSAPSAPPSYEETVAVNSYYPTPPAPMPGPTTGLVTGPDGKGMNPPSYYTQPAPIPNNNPITVQTVYVQHPITFLDRPIQMCCPSCNKMIVSQLSYNAGALTWLSCGSLCLLGCIAGCCFIPFCVDALQDVDHYCPNCRALLGTYKRL
NP_034765.3 41 263 0.599098654708521 PF16833.5:EKLF_TAD2:23:45 Krueppel-like factor 1 358 23 52 223 0 Mus musculus NP_034765.3 1 RefSeq PGPPNPTGPSHHVSLKSEDPSGEDDERDVTCAWDPDLFLTNFPGSESPGTSRTCALAPSVGPVAQFEPPESLGAYAGGPGLVTGPLGSEEHTSWAHPTPRPPAPEPFVAPALAPGLAPKAQPSYSDSRAGSVGGFFPRAGLAVPAAPGAPYGLLSGYPALYPAPQYQGHFQLFRGLAAPSAGPTAPPSFLNCLGPGTVATELGATAIAGDAGLSPGTAPPKRS
NP_036230.1 1 272 0.412173161764706 angiopoietin-related protein 2 precursor 493 0 52 272 0 Homo sapiens NP_036230.1 1 RefSeq MRPLCVTCWWLGLLAAMGAVAGQEDGFEGTEEGSPREFIYLNRYKRAGESQDKCTYTFIVPQQRVTGAICVNSKEPEVLLENRVHKQELELLNNELLKQKRQIETLQQLVEVDGGIVSEVKLLRKESRNMNSRVTQLYMQLLHEIIRKRDNALELSQLENRILNQTADMLQLASKYKDLEHKYQHLATLAHNQSEIIAQLEEHCQRVPSARPVPQPPPAAPPRVYQPPTYNRIINQISTNEIQSDQNLKVLPPPLPTMPTLTSLPSSTDKPS
NP_036961.1 1 115 0.349399130434783 PF00918.17:Gastrin:4:115 Cholecystokinin; CCK 115 112 52 95 1 Rattus norvegicus (Rat) SwissProt::P01355 1 SwissProt MKCGVCLCVVMAVLAAGALAQPVVPVEAVDPMEQRAEEAPRRQLRAVLRPDSEPRARLGALLARYIQQVRKAPSGRMSVLKNLQGLDPSHRISDRDYMGWMDFGRRSAEDYEYPS
NP_075647.3 1 246 0.442679268292683 C-X-C motif chemokine 16; Scavenger receptor for phosphatidylserine and oxidized low density lipoprotein; SR-PSOX; Small-inducible cytokine B16; Transmembrane chemokine CXCL16 246 0 52 203 2 Mus musculus (Mouse) SwissProt::Q8BSU2 1 SwissProt MRRGFGPLSLAFFLFLLALLTLPGDGNQGSVAGSCSCDRTISSGTQIPQGTLDHIRKYLKAFHRCPFFIRFQLQSKSVCGGSQDQWVRELVDCFERKECGTGHGKSFHHQKHLPQASTQTPEAAEGTPSDTSTPAHSQSTQHSTLPSGALSLNKEHTQPWEMTTLPSGYGLEARPEAEANEKQQDDRQQEAPGAGASTPAWVPVLSLLAIVFFLTAAMAYVLCNRRATQQNSAGLQLWYTPVEPRP
NP_112619.2 1 384 0.204716666666667 PF01284.23:MARVEL:57:263 Occludin 523 207 52 269 5 Rattus norvegicus (Rat) SwissProt::Q6P6T5 1 SwissProt MSVRPFESPPPYRPDEFKPNHYAPSNDMYGGEMHVRPMLSQPAYSFYPEDEILHFYKWTSPPGVIRILSMLVIVMCIAVFACVASTLAWDRAYGTGIFGGSMNYPYGSGFGSYGGGFGGYGYGYGYGYGGYTDPRAAKGFLLAMAAFCFIASLVIFVTSVIRSGMSRTRRYYLIVIIVSAILGIMVFIATIVYIMGVNPTAQASGSMYGSQIYTICSQFYTPGGTGLYVDQYLYHYCVVDPQEAIAIVLGFMIIVAFALIIVFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVSAGTQDMPPPPSDYAERVDSPMAYSSNGKVNGKRSYPDSLYKSPPLVPEVAQEIPLTLSVDDFRQPRYSSNDNLETPSKRTPT
NP_445921.1 1 59 0.257291525423729 hepcidin precursor 84 0 52 36 1 Rattus norvegicus NP_445921.1 0 RefSeq MALSTRIQAACLLLLLLASLSSGAYLRQQTRQTTALQPWHGAESKTDDSALLMLKRRKR
NP_510966.1 243 835 0.195576222596964 PF00002.24:7tm_2:304:539,PF01825.21:GPS:253:294 CD97 antigen; Leukocyte antigen CD97; CD97 antigen 835 278 52 435 7 Homo sapiens (Human) SwissProt::P48960 1 SwissProt PRHGIPNNQKDTVCEDMTFSTWTPPPGVHSQTLSRFFDKVQDLGRDSKTSSAEVTIQNVIKLVDELMEAPGDVEALAPPVRHLIATQLLSNLEDIMRILAKSLPKGPFTYISPSNTELTLMIQERGDKNVTMGQSSARMKLNWAVAAGAEDPGPAVAGILSIQNMTTLLANASLNLHSKKQAELEEIYESSIRGVQLRRLSAVNSIFLSHNNTKELNSPILFAFSHLESSDGEAGRDPPAKDVMPGPRQELLCAFWKSDSDRGGHWATEGCQVLGSKNGSTTCQCSHLSSFAILMAHYDVEDWKLTLITRVGLALSLFCLLLCILTFLLVRPIQGSRTTIHLHLCICLFVGSTIFLAGIENEGGQVGLRCRLVAGLLHYCFLAAFCWMSLEGLELYFLVVRVFQGQGLSTRWLCLIGYGVPLLIVGVSAAIYSKGYGRPRYCWLDFEQGFLWSFLGPVTFIILCNAVIFVTTVWKLTQKFSEINPDMKKLKKARALTITAIAQLFLLGCTWVFGLFIFDDRSLVLTYVFTILNCLQGAFLYLLHCLLNKKVREEYRKWACLVAGGSKYSEFTSTTSGTGHNQTRALRASESGI
VIMSS10078998 1 530 0.141294716981132 PF07690.16:MFS_1:70:425 High-affinity nitrate transporter 2.1; AtNRT2:1; Protein ACH1; Protein LATERAL ROOT INITIATION 1 530 356 52 288 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82811 1 SwissProt MGDSTGEPGSSMHGVTGREQSFAFSVQSPIVHTDKTAKFDLPVDTEHKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLVPIIRENLNLTKQDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVSDAAGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPIVYEIIRRCGSTAFTAWRIAFFVPGWLHIIMGILVLNLGQDLPDGNRATLEKAGEVAKDKFGKILWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGLIAACFGMANFFARPAGGYASDFAAKYFGMRGRLWTLWIIQTAGGLFCVWLGRANTLVTAVVAMVLFSMGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLLFFSTSHFTTEQGLTWMGVMIVACTLPVTLVHFPQWGSMFLPPSTDPVKGTEAHYYGSEWNEQEKQKNMHQGSLRFAENAKSEGGRRVRSAATPPENTPNNV
VIMSS6584304 1 602 0.102102823920266 PF00324.21:AA_permease:94:558,PF13520.6:AA_permease_2:97:520 General amino-acid permease GAP1 602 465 52 339 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P19145 1 SwissProt MSNTSSYEKNNPDNLKHNGITIDSEFLTQEPITIPSNGSAVSIDETGSGSKWQDFKDSFKRVKPIEVDPNLSEAEKVAIITAQTPLKHHLKNRHLQMIAIGGAIGTGLLVGSGTALRTGGPASLLIGWGSTGTMIYAMVMALGELAVIFPISGGFTTYATRFIDESFGYANNFNYMLQWLVVLPLEIVSASITVNFWGTDPKYRDGFVALFWLAIVIINMFGVKGYGEAEFVFSFIKVITVVGFIILGIILNCGGGPTGGYIGGKYWHDPGAFAGDTPGAKFKGVCSVFVTAAFSFAGSELVGLAASESVEPRKSVPKAAKQVFWRITLFYILSLLMIGLLVPYNDKSLIGASSVDAAASPFVIAIKTHGIKGLPSVVNVVILIAVLSVGNSAIYACSRTMVALAEQRFLPEIFSYVDRKGRPLVGIAVTSAFGLIAFVAASKKEGEVFNWLLALSGLSSLFTWGGICICHIRFRKALAAQGRGLDELSFKSPTGVWGSYWGLFMVIIMFIAQFYVAVFPVGDSPSAEGFFEAYLSFPLVMVMYIGHKIYKRNWKLFIPAEKMDIDTGRREVDLDLLKQEIAEEKAIMATKPRWYRIWNFWC
VIMSS6586052 196 702 0.438075542406312 Exodeoxyribonuclease 1; Exodeoxyribonuclease I; EXO I; Exonuclease I; Protein DHS1; EC 3.1.-.- 702 0 52 507 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39875 1 SwissProt CRDNFIKLPKKFPLGSLTNEEIITMVCLSGCDYTNGIPKVGLITAMKLVRRFNTIERIILSIQREGKLMIPDTYINEYEAAVLAFQFQRVFCPIRKKIVSLNEIPLYLKDTESKRKRLYACIGFVIHRETQKKQIVHFDDDIDHHLHLKIAQGDLNPYDFHQPLANREHKLQLASKSNIEFGKTNTTNSEAKVKPIESFFQKMTKLDHNPKVANNIHSLRQAEDKLTMAIKRRKLSNANVVQETLKDTRSKFFNKPSMTVVENFKEKGDSIQDFKEDTNSQSLEEPVSESQLSTQIPSSFITTNLEDDDNLSEEVSEVVSDIEEDRKNSEGKTIGNEIYNTDDDGDGDTSEDYSETAESRVPTSSTTSFPGSSQRSISGCTKVLQKFRYSSSFSGVNANRQPLFPRHVNQKSRGMVYVNQNRDDDCDDNDGKNQITQRPSLRKSLIGARSQRIVIDMKSVDERKSFNSSPILHEESKKRDIETTKSSQARPAVRSISLLSQFVYKGK
XP_006241507.1 1 168 0.718151190476191 PF07716.15:bZIP_2:100:150 DNA damage-inducible transcript 3 protein isoform X1 168 51 52 168 0 Rattus norvegicus XP_006241507.1 1 RefSeq MAAESLPFAFETVSSWELEAWYEDLQEVLSSDEIGGTYISSPGNEEEESKTFTTLDPASLAWLTEEPGPAEVTSTSQSPRSPDSSQSSMAQEEEEEDQGRTRKRKQSGQCAARAGKQRMKEKEQENERKVAQLAEENERLKQEIERLTREVETTRRALIDRMVSLHQA
XP_006505964.1 46 216 0.309921052631579 CD27 antigen isoform X4 216 0 52 148 1 Mus musculus XP_006505964.1 1 RefSeq EKPSWPLHRQLPNSTVYSQRSSHRPLCSSDCIRIFVTFSSMFLIFVLGAILFFHQRRNHGPSKTQAFPPVSQLCYPHLKPPHLLHPPTLAPQVSFPQTPQAPQLSALHLGFSPLFSPSPFFSPLLTDCVCTDEDRQAVPEEPCPYSCPREEEGSAIPIQEDYRKPEPAFYP
XP_006507461.1 1 180 0.810937222222222 PF15234.6:LAT:7:180 linker for activation of T-cells family member 1 isoform X4 180 174 52 180 0 Mus musculus XP_006507461.1 1 RefSeq MTALPQRVTSFPPLRQPDLLPIPRSPQPLGGSHRMPSSQQNSDDANSVASYENQEPACKNVDADEDEDDYPNGYLVVLPDSSPAAVPVVSSAPVPSNPDLGDSAFSVESCEDYVNVPESEESAEASLDGSREYVNVSPEQQPVTRAELASVNSQEVEDEGEEEGVDGEEAPDYENLQELN
XP_006516940.1 1 462 0.486708441558441 PF06529.11:Vert_IL3-reg_TF:130:461,PF07716.15:bZIP_2:72:123 Nuclear factor interleukin-3-regulated protein; E4 promoter-binding protein 4; Embryo implantation-related NFIL3/E4BP4-like transcription factor 462 384 52 462 0 Mus musculus (Mouse) SwissProt::O08750 1 SwissProt MQLRKMQTIKKEPAPLDPTSSSDKMLLLNSALAEVAEDLASGEDLLLNEGSMGKNKSSACRRKREFIPDEKKDAMYWEKRRKNNEAAKRSREKRRLNDLVLENKLIALGEENATLKAELLSLKLKFGLISSTAYAQEIQKLSNSTAVYFQDYQTSKAAVSSFVDEHEPAMVAGSCISVIKHSPQSSLSDVSEVSSVEHTQESPAQGGCRSPENKFPVIKQEPVELESFAREAREERGTYSTSIYQSYMGSSFSTYSHSPPLLQVHGSTSNSPRTSEADEGVVGKSSDGEDEQQVPKGPIHSPVELQRVHATVVKVPEVNPSALPHKLRIKAKAMQVKVEALDSEFEGMQKLSSPADAIAKRHFDLEKHGTSGMAHSSLPPFSVQVTNIQDWSLKSEHWHHKELSSKTQSSFKTGVVEVKDGGYKVSEAENLYLKQGIANLSAEVVSLKRFIATQPISASDSR
XP_006524096.1 1 151 0.397299337748344 tumor necrosis factor ligand superfamily member 9 isoform X1 151 0 52 131 1 Mus musculus XP_006524096.1 1 RefSeq MDQHTLDVEDTADARHPAGTSCPSDAALLRDTGLLADAALLSDTVRPTNAALPTDAAYPAVNVRDREAAWPPALNFCSRHPKLYGLVALVLLLLIAACVPIFTRTEPRPALTITTSPNLGTRENNADQVTPVSHIGCPNTTQQKHRCAIQL
XP_006532456.1 135 305 0.51448187134503 hepatitis A virus cellular receptor 1 homolog isoform X2 305 0 52 148 1 Mus musculus XP_006532456.1 1 RefSeq PPRRPTTTRPTATGRPTTISTRSTHVPTSTRVSTSTPPTSTHTWTHKPEPTTFCPHETTAEVTGIPSHTPTDWNGTVTSSGDTWSNHTEAIPPGKPQKNPTKGFYVGICIAALLLLLLVSTVAITRYILMKRKSASLSVVAFRVSKIEALQNAAVVHSRAEDNIYIVEDRP
XP_016870662.1 1 1563 0.218665642994241 PF14429.6:DOCK-C2:488:670,PF06920.13:DHR-2:1468:1563,PF11878.8:DUF3398:3:97 dedicator of cytokinesis protein 8 isoform X1 2031 374 52 1563 0 Homo sapiens XP_016870662.1 1 RefSeq MTHLNSLDVQLAQELGDFTDDDLDVVFTPKECRTLQPSLPEEGVELDPHVRDCVQTYIREWLIVNRKNQGSPEICGFKKTGSRKDFHKTLPKQTFESETLECSEPAAQAGPRHLNVLCDVSGKGPVTACDFDLRSLQPDKRLENLLQQVSAEDFEKQNEEARRTNRQAELFALYPSVDEEDAVEIRPVPECPKEHLGNRILVKLLTLKFEIEIEPLFASIALYDVKERKKISENFHCDLNSDQFKGFLRAHTPSVAASSQARSAVFSVTYPSSDIYLVVKIEKVLQQGEIGDCAEPYTVIKESDGGKSKEKIEKLKLQAESFCQRLGKYRMPFAWAPISLSSFFNVSTLEREVTDVDSVVGRSSVGERRTLAQSRRLSERALSLEENGVGSNFKTSTLSVSSFFKQEGDRLSDEDLFKFLADYKRSSSLQRRVKSIPGLLRLEISTAPEIINCCLTPEMLPVKPFPENRTRPHKEILEFPTREVYVPHTVYRNLLYVYPQRLNFVNKLASARNITIKIQFMCGEDASNAMPVIFGKSSGPEFLQEVYTAVTYHNKSPDFYEEVKIKLPAKLTVNHHLLFTFYHISCQQKQGASVETLLGYSWLPILLNERLQTGSYCLPVALEKLPPNYSMHSAEKVPLQNPPIKWAEGHKGVFNIEVQAVSSVHTQDNHLEKFFTLCHSLESQVTFPIRVLDQKISEMALEHELKLSIICLNSSRLEPLVLFLHLVLDKLFQLSVQPMVIAGQTANFSQFAFESVVAIANSLHNSKDLSKDQHGRNCLLASYVHYVFRLPEVQRDVPKSGAPTALLDPRSYHTYGRTSAAAVSSKLLQARVMSSSNPDLAGTHSAADEEVKNIMSSKIADRNCSRMSYYCSGSSDAPSSPAAPRPASKKHFHEELALQMVVSTGMVRETVFKYAWFFFELLVKSMAQHVHNMDKRDSFRRTRFSDRFMDDITTIVNVVTSEIAALLVKPQKENEQAEKMNISLAFFLYDLLSLMDRGFVFNLIRHYCSQLSAKLSNLPTLISMRLEFLRILCSHEHYLNLNLFFMNADTAPTSPCPSISSQNSSSCSSFQDQKIASMFDLTSEYRQQHFLTGLLFTELAAALDAEGEGISKVQRKAVSAIHSLLSSHDLDPRCVKPEVKVKIAALYLPLVGIILDALPQLCDFTVADTRRYRTSGSDEEQEGAGAINQNVALAIAGNNFNLKTSGIVLSSLPYKQYNMLNADTTRNLMICFLWIMKNADQSLIRKWIADLPSTQLNRILDLLFICVLCFEYKGKQSSDKVSTQVLQKSRDVKARLEEALLRGEGARGEMMRRRAPGNDRFPGLNENLRWKKEQTHWRQANEKLDKTKAELDQEALISGNLATEAHLIILDMQENIIQASSALDCKDSLLGGVLRVLVNSLNCDQSTTYLTHCFATLRALIAKFGDLLFEEEVEQCFDLCHQVLHHCSSSMDVTRSQACATLYLLMRFSFGATSNFARVKMQVTMSLASLVGRAPDFNEEHLRRSLRTILAYSEEDTAMQMTPFPTQVEELLCNLNSILYDTVKMREFQEDPEMLMDLMYRIA
XP_017174426.1 1 1365 0.776924542124543 TP53-binding protein 1 isoform X8 1879 0 52 1365 0 Mus musculus XP_017174426.1 1 RefSeq MPGEQMDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEEDAGSHFSVLSRHLPNLQMHKENPVLDIVSNPEQSAVEQGDSNSSFNEHLKEKKASDPVESSHLGTSGSISQVIERLPQPNRTSSALAVTVEAASLPEEEKEEEELEEEKEGVGANAPGADSLAAEDSASSQLGFGVLELSQSQDVEEHTVPYDVNQEHLQLVTTNSGSSPLSDVDASTAIKCEEQPTEDIAMIEQPSKDIPVTVQPGKGIHVVEEQNLPLVRSEDRPSSPQVSVAAVETKEQVPARELLEEGPQVQPSSEPEVSSTQEDLFDQSSKTASDGCSTPSREEGGCSPVSTPATTLQLLQLSGQKPLVQESLSTNSSDLVAPSPDAFRSTPFIVPSSPTEQGGRKDEPMDMSVIPVGGEPFQKLHDDEAMETEKPLLPSQPAVSPQASTPVSRSTPVFTPGSLPIPSQPEFSHDIFIPSPSLEEPSDDVKKGGGLHSSSLTVECSKTSESEPKNFTDDLGLSMTGDSCKLMLSTSEYSQSSKMESLGSPRTEEDRENTQIDDTEPLSPVSNSKLPADSENVLVTPSQDDQVEMSQNVDKAKEDETEDRGDCKGREDAVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMDTKEHHPEEGFSGSEVEEVPETPCGSHREEPKEEPMESIPLHLSLTETQSEALCLQKEAPKEECPEAMEVETSVISIDSPQKLQVLDQELEHKDPDTWEEATSEDSSVVIVDVKEPSPRADVSCEPLEEVEKCSDSQSWEGVAPEEEPCAENRLDTPEEKRIECDGDSKAETTEKDAVTEDSPQPPLPSVRDEPVRPDQETQQPQVQEKESPVTVDAEVADDKQLGPEGACQQLEKAPACASQSFCESSSGISNYPESTIATSDVTSESMVEINDPLLGNEKGDSESAPEMDGKLSLKMKLVSPETEASEESLQFSLEKPTTAERKNGSTAIAEPVASLQKPVPVFGCIYEAQQEKEAQSEAPPSAPDRANLLHFPSAQEEDKERPDVTPKLRQSEQPVKPVGPVMDDAAPEDSASPVSQQRASQEQRASQEPFSPAEDVMETDLLEGLAANQDRPSKMLMDRPTQSNIGIQTVDHSLCAPETVSAATQTVKSVCEQGTSTAEQNSGKQDATVQTERGSGEKPASAPVDDTESLHSQGEEEFEMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGTEVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSSHHTSSGTSLSAIHSSGSSGRGAGPLKGKASGTEAADFALPSSRGGPGKLRETVVSGPLGVEDISPSMSPDDKSFTRIMPRVPDSTKRTDASSSTLR
XP_017451782.1 1 1672 0.707324222488039 PF08377.10:MAP2_projctn:460:1593 microtubule-associated protein 2 isoform X1 1942 1134 52 1672 0 Rattus norvegicus XP_017451782.1 1 RefSeq MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGSGEGLSRSANGFPYREEEEGAFGEHGSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQPAALPLAAEETVNLPPSPPPSPASEQTAALEEEEETLEGVMAEEMEPAALPGRECGAAKSSDNPQGLSEGRVESSAEAQIVPEDSALAGAPDEKSVKEVTEVAPEVEIPSSAKEDLLTASKMEFPEQQKLPSSFAEPLDKEETEFKMQSKPGEDFEHAALVPQPDTSKTPQDKKDPQDMEGEKSPASPFAQTFGTNLEDIKQITEPSITVPSIGLSAEPLAPKDQKDWFIEMPVESKKDEWGLAAPISPGPLTPMREKDVLEDIPRWEGKQFDSPMPSPFHGGSFTLPLDTVKDERVTEGSQPFAPVFFQSDDKMSLQDTSGSATSKESSKDEEPQKDKADKVADVPVSEATTVLGDVHSPAVEGFVGENISGEEKGTTDQEKKETSTPSVQEPTLTETEPQTKLEETSKVSIEETVAKEEESLKLKDDKAGVIQTSTEHSFSKEDQKGEEQTIEALKQDSFPISLEQAVTDAAMATKTLEKVTSEPEAVSEKREIQGLFEEDIADKSKLEGAGSATVAEVEMPFYEDKSGMSKYFETSALKEDVTRSTGLGSDYYELSDSRGNAQESLDTVSPKNQQDEKELLAKASQPSPPAHEAGYSTLAQSYTSDHPSELPEEPSSPQERMFTIDPKVYGEKRDLHSKNKDDLTLSRSLGLGGRSAIEQRSMSINLPMSCLDSIALGFNFGRGHDLSPLASDILTNTSGSMDEGDDYLPPTTPAVEKIPCFPIESKEEEDKTEQAKVTGGQTTQVETSSESPFPAKEYYKNGTVMAPDLPEMLDLAGTRSRLASVSADAEVARRKSVPSEAVVAESSTGLPPVADDSQPVKPDSQLEDMGYCVFNKYTVPLPSPVQDSENLSGESGSFYEGTDDKVRRDLATDLSLIEVKLAAAGRVKDEFTAEKEASPPSSADKSGLSREFDQDRKANDKLDTVLEKSEEHVDSKEHAKESEEVGDKVELFGLGVTYEQTSAKELITTKETAPERAEKGLSSVPEVAEVETTTKADQGLDVAAKKDDQSPLDIKVSDFGQMASGMSVDAGKTIELKFEVDQQLTLSSEAPQETDSFMGIESSHVKDGAKVSETEVKEKVAKPDLVHQEAVDKEESYESSGEHESLTMESLKPDEGKKETSPETSLIQDEVALKLSVEIPCPPPVSEADSSIDEKAEVQMEFIQLPKEESTETPDIPAIPSDVTQPQPEAVVSEPAEVRGEEEEIEAEGEYDKLLFRSDTLQITDLLVPGSREEFVETCPGEHKGVVESVVTIEDDFITVVQTTTDEGELGSHSVRFAAPVQPEEERRPYPHDEELEVLMAAEAQAEPKDGSPDAPATPEKEEVPFSEYKTETYDDYKDETTIDDSIMDADSLWVDTQDDDRSILTEQLETIPKEERAEKEARRPSLEKHRKEKPFKTGRGRISTPERKVAKKEPSTVSRDEVRRKKAVYKKAELAKKSEVQAHSPSRKLILKPAIKYTRPTHLSCVKRKTTATSGESAQAPSAFKQAKDKVTDGITKSPEKRSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRA
NP_001182539.1 227 476 0.8787184 Doublecortin domain-containing protein 2; Protein RU2S 476 0 51 250 0 Homo sapiens (Human) SwissProt::Q9UHG0 1 SwissProt KSTMRRPFGQKASSLPPIVGSRKSKGSGNDRHSKSTVGSSDNSSPQPLKRKGKKEDVNSEKLTKLKQNVKLKNSQETIPNSDEGIFKAGAERSETRGAAEVQEDEDTQVEVPVDQRPAEIVDEEEDGEKANKDAEQKEDFSGMNGDLEEEGGREATDAPEQVEEILDHSEQQARPARVNGGTDEENGEELQQVNNELQLVLDKERKSQGAGSGQDEADVDPQRPPRPEVKITSPEENENNQQNKDYAAVA
NP_001183.2 55 184 0.196010769230769 Tumor necrosis factor receptor superfamily member 17; B-cell maturation protein; CD269 antigen 184 0 51 110 1 Homo sapiens (Human) SwissProt::Q02223 1 SwissProt ILWTCLGLSLIISLAVFVLMFLLRKINSEPLKDEFKNTGSGLLGMANIDLEKSRTGDEIILPRGLEYTVEECTCEDCIKSKPKVDSDHCFPLPAMEEGATILVTTKTNDYCKSLPAALSATEIEKSISAR
NP_001327617.1 1 1196 0.182588712374582 PF05183.12:RdRP:416:999 RNA-dependent RNA polymerase 6; AtRDRP6; Protein SILENCING DEFECTIVE 1; Protein SUPPRESSOR OF GENE SILENCING 2; RNA-directed RNA polymerase 6; EC 2.7.7.48 1196 584 51 1196 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SG02 1 SwissProt MGSEGNMKKSVVTQVSIGGFGESTTAKQLTDYLEDEVGIVWRCRLKTSWTPPGSYPNFEIADTSNIPSIDEYKKVEPHAFVHFAVFESAGRAMDAAGQCNLILDGQPLKVSLGPKNPYSLNQRRRTTVPYKLAGITLEIGTLVSRDDFFVSWRAEGVDFLVDPFDNTCKFCFRKSTAFSFKDAVMHAVINCDYKLELLVRDIQTVRQYKTLHGFVLILQLASSPRVWYRTADDDIYDTVPGDLLDDDDPWIRTTDFTQVGAIGRCHSYRVLISPRYENKLRTALDYFRMRRVQEERVRWPPRIRNEPCFGEPVSDHFFCIHHKEGISFEIMFLVNSVLHRGVFNQFQLTERFFDLLRNQPKDVNIASLKHLCTYKRPVFDAYKRLKLVQEWIQKNPKLLGSHEQSEDISEIRRLVITPTRAYCLPPEVELSNRVLRRYKAVAERFLRVTFMDESMQTINSNVLSYFVAPIVKDLTSSSFSQKTYVFKRVKSILTDGFKLCGRKYSFLAFSANQLRDRSAWFFAEDGKTRVSDIKTWMGKFKDKNVAKCAARMGLCFSSTYATVDVMPHEVDTEVPDIERNGYVFSDGIGTITPDLADEVMEKLKLDVHYSPCAYQIRYAGFKGVVARWPSKSDGIRLALRDSMKKFFSKHTILEICSWTRFQPGFLNRQIITLLSVLGVPDEIFWDMQESMLYKLNRILDDTDVAFEVLTASCAEQGNTAAIMLSAGFKPKTEPHLRGMLSSVRIAQLWGLREKSRIFVTSGRWLMGCLDEAGILEHGQCFIQVSKPSIENCFSKHGSRFKETKTDLEVVKGYVAIAKNPCLHPGDVRILEAVDVPQLHHMYDCLIFPQKGDRPHTNEASGSDLDGDLYFVAWDQKLIPPNRKSYPAMHYDAAEEKSLGRAVNHQDIIDFFARNLANEQLGTICNAHVVHADRSEYGAMDEECLLLAELAATAVDFPKTGKIVSMPFHLKPKLYPDFMGKEDYQTYKSNKILGRLYRRVKEVYDEDAEASSEESTDPSAIPYDAVLEIPGFEDLIPEAWGHKCLYDGQLIGLLGQYKVQKEEEIVTGHIWSMPKYTSKKQGELKERLKHSYNSLKKEFRKVFEETIPDHENLSEEEKNILYEKKASAWYHVTYHPEWVKKSLELQDPDESSHAAMLSFAWIAADYLARIKIRSREMGSIDSAKPVDSLAKFLAQRL
NP_003213.1 1 450 0.468691555555555 PF03299.14:TF_AP-2:224:419 Transcription factor AP-2 gamma; AP2-gamma; Activating enhancer-binding protein 2 gamma; Transcription factor ERF-1 450 196 51 450 0 Homo sapiens (Human) SwissProt::Q92754 1 SwissProt MLWKITDNVKYEEDCEDRHDGSSNGNPRVPHLSSAGQHLYSPAPPLSHTGVAEYQPPPYFPPPYQQLAYSQSADPYSHLGEAYAAAINPLHQPAPTGSQQQAWPGRQSQEGAGLPSHHGRPAGLLPHLSGLEAGAVSARRDAYRRSDLLLPHAHALDAAGLAENLGLHDMPHQMDEVQNVDDQHLLLHDQTVIRKGPISMTKNPLNLPCQKELVGAVMNPTEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAAHVTLLTSLVEGEAVHLARDFAYVCEAEFPSKPVAEYLTRPHLGGRNEMAARKNMLLAAQQLCKEFTELLSQDRTPHGTSRLAPVLETNIQNCLSHFSLITHGFGSQAICAAVSALQNYIKEALIVIDKSYMNPGDQSPADSNKTLEKMEKHRK
NP_055985.2 401 871 0.407725902335456 PF12548.8:DUF3740:134:279 extracellular sulfatase Sulf-1 precursor 871 146 51 471 0 Homo sapiens NP_055985.2 1 RefSeq NKKAKIWRDTFLVERGKFLRKKEESSKNIQQSNHLPKYERVKELCQQARYQTACEQPGQKWQCIEDTSGKLRIHKCKGPSDLLTVRQSTRNLYARGFHDKDKECSCRESGYRASRSQRKSQRQFLRNQGTPKYKPRFVHTRQTRSLSVEFEGEIYDINLEEEEELQVLQPRNIAKRHDEGHKGPRDLQASSGGNRGRMLADSSNAVGPPTTVRVTHKCFILPNDSIHCERELYQSARAWKDHKAYIDKEIEALQDKIKNLREVRGHLKRRKPEECSCSKQSYYNKEKGVKKQEKLKSHLHPFKEAAQEVDSKLQLFKENNRRRKKERKEKRRQRKGEECSLPGLTCFTHDNNHWQTAPFWNLGSFCACTSSNNNTYWCLRTVNETHNFLFCEFATGFLEYFDMNTDPYQLTNTVHTVERGILNQLHVQLMELRSCQGYKQCNPRPKNLDVGNKDGGSYDLHRGQLWDGWEG
NP_068839.1 1 266 0.116064661654135 PF04089.14:BRICHOS:140:230 Integral membrane protein 2B; Immature BRI2; imBRI2; Protein E25B; Transmembrane protein BRI; Bri 266 91 51 243 1 Homo sapiens (Human) SwissProt::Q9Y287 1 SwissProt MVKVTFNSALAQKEAKKDEPKSGEEALIIPPDAVAVDCKDPDDVVPVGQRRAWCWCMCFGLAFMLAGVILGGAYLYKYFALQPDDVYYCGIKYIKDDVILNEPSADAPAALYQTIEENIKIFEEEEVEFISVPVPEFADSDPANIVHDFNKKLTAYLDLNLDKCYVIPLNTSIVMPPRNLLELLINIKAGTYLPQSYLIHEHMVITDRIENIDHLGFFIYRLCHDKETYKLQRRETIKGIQKREASNCFAIRHFENKFAVETLICS
NP_073563.1 1 314 0.0697130573248407 PF01151.18:ELO:42:277 Elongation of very long chain fatty acids protein 4; 3-keto acyl-CoA synthase ELOVL4; ELOVL fatty acid elongase 4; ELOVL FA elongase 4; Very long chain 3-ketoacyl-CoA synthase 4; Very long chain 3-oxoacyl-CoA synthase 4; EC 2.3.1.199 314 236 51 165 7 Homo sapiens (Human) SwissProt::Q9GZR5 0 SwissProt MGLLDSEPGSVLNVVSTALNDTVEFYRWTWSIADKRVENWPLMQSPWPTLSISTLYLLFVWLGPKWMKDREPFQMRLVLIIYNFGMVLLNLFIFRELFMGSYNAGYSYICQSVDYSNNVHEVRIAAALWWYFVSKGVEYLDTVFFILRKKNNQVSFLHVYHHCTMFTLWWIGIKWVAGGQAFFGAQLNSFIHVIMYSYYGLTAFGPWIQKYLWWKRYLTMLQLIQFHVTIGHTALSLYTDCPFPKWMHWALIAYAISFIFLFLNFYIRTYKEPKKPKAGKTAMNGISANGVSKSEKQLMIENGKKQKNGKAKGD
NP_074038.1 1 504 0.668746825396825 PF02161.15:Prog_receptor:1:504 Progesterone receptor; PR; Nuclear receptor subfamily 3 group C member 3 923 504 51 504 0 Rattus norvegicus (Rat) SwissProt::Q63449 1 SwissProt MTELQAKDPRTLHTSGAAPSPTHVGSPLLARLDPDPFQGSQHSDASSVVSPIPISLDRLLFSRSCQAQELPDEKTQNQQSLSDVEGAFSGVEASRRRSRNPRAPEKDSRLLDSVLDTLLAPSGPEQSQTSPPACEAITSWCLFGPELPEDPRSVPATKGLLSPLMSRPESKAGDSSGTGAGQKVLPKAVSPPRQLLLPTSGSAHWPGAGVKPSQQPATVEVEEDGGLETEGSAGPLLKSKPRALEGMCSGGGVTANAPGAAPGGVTLVPKEDSRFSAPRVSLEQDAPVAPGRSPLATTVVDFIHVPILPLNHALLAARTRQLLEGDSYDGGAAAQVPFAPPRGSPSAPSPPVPCGDFPDCTYPPEGDPKEDGFPVYGEFQPPGLKIKEEEEGTEAASRSPRPYLLAGASAATFPDFPLPPRPPRAPPSRPGEAAVAAPSAAVSPVSSSGSALECILYKAEGAPPTQGSFAPLPCKPPAASSCLLPRDSLPAAPTSSAAPAIYPP
NP_612179.2 1 929 0.405979009687836 PF00609.19:DAGK_acc:447:604,PF00781.24:DAGK_cat:296:410,PF00130.22:C1_1:173:231,PF12796.7:Ank_2:795:889,PF13606.6:Ank_3:825:848,PF13637.6:Ank_4:825:874 Diacylglycerol kinase zeta; DAG kinase zeta; Diglyceride kinase zeta; DGK-zeta; EC 2.7.1.107 929 427 51 929 0 Mus musculus (Mouse) SwissProt::Q80UP3 1 SwissProt MEPRDPSPEGRSSDSESASASSSGSERDAGPEPDKAPRRLTKRRFPGLRLFGHRKAITKSGLQHLAPPPPTPGAPCGESEEQIQSTVDWSESAVYGEHIWFETNVSGDFCYVGEQHCVAKMLPKSAPRKKCAACKIVVHTQCIKQLEKINFRCKPSFRESGSRNVREPTFVRHHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMMQQIEEPCSLGVHAAVVIPPTWILRARRPQNTLKASKKKKRASFKRRSSKKGPEEGRWRPFIIRPTPSPLMKPLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPREALEMYRKVHNLRILACGGDGTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEEGNVVQLDRWDLRAEPNPEAGPEERDDGATDRLPLDVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKPQCIVFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCREVLLTTAKAIPVQVDGEPCKLSASRIRIALRNQATMVQKAKRRSTAPLHSDQQPVPEQLRIQVSRVSMHDYEALHYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQREPDGAGAKSPMCHQLSSKWCFLDATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPTPTSPLPASPCSPTPGSMQGDTALPQGEELIEAAKRNDCCKLQELHRAGGDLMHRDQKSRTLLHHAVSTGSKEVVRYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLMKTDLQGDTPRQRAEKAQDTELAAYLENRQHYQMIQREDQETAV
NP_612464.1 92 243 0.121140789473684 Collagen triple helix repeat-containing protein 1; Protein NMTC1 243 0 51 152 0 Homo sapiens (Human) SwissProt::Q96CG8 1 SwissProt ECLRESFEESWTPNYKQCSWSSLNYGIDLGKIAECTFTKMRSNSALRVLFSGSLRLKCRNACCQRWYFTFNGAECSGPLPIEAIIYLDQGSPEMNSTINIHRTSSVEGLCEGIGAGLVDVAIWVGTCSDYPKGDASTGWNSVSRIIIEELPK
NP_996267.1 1 329 0.334615197568389 PF00870.18:P53:195:319 p53, isoform B 495 125 51 329 0 Drosophila melanogaster NP_996267.1 1 RefSeq MSLHKSASFSLTFNQNTSIVSRSNSRTIFEAFKEFLDFWDIGNEVSAESAVRVSSNGAFNLPQSFGNESNEYAHLATPVDPAYGGNNTNNMMQFTNNLEILANNNSDGNNKINACNKFVCHKGTDSEDDSTEVDIKEDIPKTVEVSGSELTTEPMAFLQGLNSGNLMQFSQQSVLREMMLQDIQIQANTLPKLENHNIGGYCFSMVLDEPPKSLWMYSIPLNKLYIRMNKAFNVDVQFKSKMPIQPLNLRVFLCFSNDVSAPVVRCQNHLSVEPLTANNAKMRESLLRSENPNSVYCGNAQGKGISERFSVVVPLNMSRSVTRSGLTRQ
P42704 1 1394 0.160386441893831 PF01535.20:PPR:198:227,PF01535.20:PPR:234:263,PF01535.20:PPR:269:296,PF01535.20:PPR:714:736,PF01535.20:PPR:753:779,PF01535.20:PPR:1320:1344,PF13812.6:PPR_3:148:207,PF13812.6:PPR_3:185:226,PF13812.6:PPR_3:222:278,PF17177.4:PPR_long:222:329 Leucine-rich PPR motif-containing protein, mitochondrial; 130 kDa leucine-rich protein; LRP 130; GP130 1394 257 51 1394 0 Homo sapiens (Human) SwissProt::P42704 1 SwissProt MAALLRSARWLLRAGAAPRLPLSLRLLPGGPGRLHAASYLPAARAGPVAGGLLSPARLYAIAAKEKDIQEESTFSSRKISNQFDWALMRLDLSVRRTGRIPKKLLQKVFNDTCRSGGLGGSHALLLLRSCGSLLPELKLEERTEFAHRIWDTLQKLGAVYDVSHYNALLKVYLQNEYKFSPTDFLAKMEEANIQPNRVTYQRLIASYCNVGDIEGASKILGFMKTKDLPVTEAVFSALVTGHARAGDMENAENILTVMRDAGIEPGPDTYLALLNAYAEKGDIDHVKQTLEKVEKSELHLMDRDLLQIIFSFSKAGYPQYVSEILEKVTCERRYIPDAMNLILLLVTEKLEDVALQILLACPVSKEDGPSVFGSFFLQHCVTMNTPVEKLTDYCKKLKEVQMHSFPLQFTLHCALLANKTDLAKALMKAVKEEGFPIRPHYFWPLLVGRRKEKNVQGIIEILKGMQELGVHPDQETYTDYVIPCFDSVNSARAILQENGCLSDSDMFSQAGLRSEAANGNLDFVLSFLKSNTLPISLQSIRSSLLLGFRRSMNINLWSEITELLYKDGRYCQEPRGPTEAVGYFLYNLIDSMSDSEVQAKEEHLRQYFHQLEKMNVKIPENIYRGIRNLLESYHVPELIKDAHLLVESKNLDFQKTVQLTSSELESTLETLKAENQPIRDVLKQLILVLCSEENMQKALELKAKYESDMVTGGYAALINLCCRHDKVEDALNLKEEFDRLDSSAVLDTGKYVGLVRVLAKHGKLQDAINILKEMKEKDVLIKDTTALSFFHMLNGAALRGEIETVKQLHEAIVTLGLAEPSTNISFPLVTVHLEKGDLSTALEVAIDCYEKYKVLPRIHDVLCKLVEKGETDLIQKAMDFVSQEQGEMVMLYDLFFAFLQTGNYKEAKKIIETPGIRARSARLQWFCDRCVANNQVETLEKLVELTQKLFECDRDQMYYNLLKLYKINGDWQRADAVWNKIQEENVIPREKTLRLLAEILREGNQEVPFDVPELWYEDEKHSLNSSSASTTEPDFQKDILIACRLNQKKGAYDIFLNAKEQNIVFNAETYSNLIKLLMSEDYFTQAMEVKAFAETHIKGFTLNDAANSRLIITQVRRDYLKEAVTTLKTVLDQQQTPSRLAVTRVIQALAMKGDVENIEVVQKMLNGLEDSIGLSKMVFINNIALAQIKNNNIDAAIENIENMLTSENKVIEPQYFGLAYLFRKVIEEQLEPAVEKISIMAERLANQFAIYKPVTDFFLQLVDAGKVDDARALLQRCGAIAEQTPILLLFLLRNSRKQGKASTVKSVLELIPELNEKEEAYNSLMKSYVSEKDVTSAKALYEHLTAKNTKLDDLFLKRYASLLKYAGEPVPFIEPPESFEFYAQQLRKLRENSS
Q14865 425 1188 0.621530235602094 AT-rich interactive domain-containing protein 5B; ARID domain-containing protein 5B; MRF1-like protein; Modulator recognition factor 2; MRF-2 1188 0 51 764 0 Homo sapiens (Human) SwissProt::Q14865 1 SwissProt QENSSQENENKTKVSGTKRIKHEIPKSKKEKENAPKPQDAAEVSSEQEKEQETLISQKSIPEPLPAADMKKKIEGYQEFSAKPLASRVDPEKDNETDQGSNSEKVAEEAGEKGPTPPLPSAPLAPEKDSALVPGASKQPLTSPSALVDSKQESKLCCFTESPESEPQEASFPSFPTTQPPLANQNETEDDKLPAMADYIANCTVKVDQLGSDDIHNALKQTPKVLVVQSFDMFKDKDLTGPMNENHGLNYTPLLYSRGNPGIMSPLAKKKLLSQVSGASLSSSYPYGSPPPLISKKKLIARDDLCSSLSQTHHGQSTDHMAVSRPSVIQHVQSFRSKPSEERKTINDIFKHEKLSRSDPHRCSFSKHHLNPLADSYVLKQEIQEGKDKLLEKRALPHSHMPSFLADFYSSPHLHSLYRHTEHHLHNEQTSKYPSRDMYRESENSSFPSHRHQEKLHVNYLTSLHLQDKKSAAAEAPTDDQPTDLSLPKNPHKPTGKVLGLAHSTTGPQESKGISQFQVLGSQSRDCHPKACRVSPMTMSGPKKYPESLSRSGKPHHVRLENFRKMEGMVHPILHRKMSPQNIGAARPIKRSLEDLDLVIAGKKARAVSPLDPSKEVSGKEKASEQESEGSKAAHGGHSGGGSEGHKLPLSSPIFPGLYSGSLCNSGLNSRLPAGYSHSLQYLKNQTVLSPLMQPLAFHSLVMQRGIFTSPTNSQQLYRHLAAATPVGSSYGDLLHNSIYPLAAINPQAAFPSSQLSSVHPSTKL
VIMSS6585121 1 631 0.674739461172741 Zinc finger protein MSN2; Multicopy suppressor of SNF1 protein 2 704 0 51 631 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33748 1 SwissProt MTVDHDFNSEDILFPIESMSSIQYVENNNPNNINNDVIPYSLDIKNTVLDSADLNDIQNQETSLNLGLPPLSFDSPLPVTETIPSTTDNSLHLKADSNKNRDARTIENDSEIKSTNNASGSGANQYTTLTSPYPMNDILYNMNNPLQSPSPSSVPQNPTINPPINTASNETNLSPQTSNGNETLISPRAQQHTSIKDNRLSLPNGANSNLFIDTNPNNLNEKLRNQLNSDTNSYSNSISNSNSNSTGNLNSSYFNSLNIDSMLDDYVSSDLLLNDDDDDTNLSRRRFSDVITNQFPSMTNSRNSISHSLDLWNHPKINPSNRNTNLNITTNSTSSSNASPNTTTMNANADSNIAGNPKNNDATIDNELTQILNEYNMNFNDNLGTSTSGKNKSACPSSFDANAMTKINPSQQLQQQLNRVQHKQLTSSHNNSSTNMKSFNSDLYSRRQRASLPIIDDSLSYDLVNKQDEDPKNDMLPNSNLSSSQQFIKPSMILSDNASVIAKVATTGLSNDMPFLTEEGEQNANSTPNFDLSITQMNMAPLSPASSSSTSLATNHFYHHFPQQGHHTMNSKIGSSLRRRKSAVPLMGTVPLTNQQNNISSSSVNSTGNGAGVTKERRPSYRRKSMTPSRR
VIMSS6586807 1 260 0.616854615384616 G2/mitotic-specific cyclin-2 491 0 51 260 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P24869 1 SwissProt MSNPIENTENSQNTSSSRFLRNVQRLALNNVTNTTFQKSNANNPALTNFKSTLNSVKKEGSRIPQFTRESVSRSTAAQEEKRTLKENGIQLPKNNLLDDKENQDPSSQQFGALTSIKEGRAELPANISLQESSSAKEIIQHDPLKGVGSSTEVVHNSVENEKLHPARSQLQVRNTESETDSGKKRPISTIVEQELPKKFKVCDENGKEEYEWEDLDAEDVNDPFMVSEYVNDIFEYLHQLEVITLPKKEDLYQHRNIHQN
XP_006714745.1 61 299 0.695662761506276 PF08347.11:CTNNB1_binding:19:152 transcription factor 7 isoform X5 396 134 51 239 0 Homo sapiens XP_006714745.1 1 RefSeq GAAGGAGIPGVPGAGAGARGEAEALGREHAAQRLFPDKLPEPLEDGLKAPECTSGMYKETVYSAFNLLMHYPPPSGAGQHPQPQPPLHKANQPPHGVPQLSLYEHFNSPHPTPAPADISQKQVHRPLQTPDLSGFYSLTSGSMGQLPHTVSWPSPPLYPLSPSCGYRQHFPAPTAAPGAPYPRFTHPSLMLGSGVPGHPAAIPHPAIVPPSGKQELQPFDRNLKTQAESKAEKEAKKPT
XP_016857362.1 1 291 0.0290941580756014 PF00001.21:7tm_1:61:270,PF10328.9:7TM_GPCR_Srx:36:253,PF10323.9:7TM_GPCR_Srv:48:261,PF03383.15:Serpentine_r_xa:91:181 prostaglandin F2-alpha receptor isoform X1 291 235 51 153 6 Homo sapiens XP_016857362.1 0 RefSeq MSMNNSKQLVSPAAALLSNTTCQTENRLSVFFSVIFMTVGILSNSLAIAILMKAYQRFRQKSKASFLLLASGLVITDFFGHLINGAIAVFVYASDKEWIRFDQSNVLCSIFGICMVFSGLCPLLLGSVMAIERCIGVTKPIFHSTKITSKHVKMMLSGVCLFAVFIALLPILGHRDYKIQASRTWCFYNTEDIKDWEDRFYLLLFSFLGLLALGVSLLCNAITGITLLRVKFKSQQHRQGRSHHLEMVIQLLAIMCVSCICWSPFLGYRIILNGKEKYKVYEEQSDFLHRK
NP_000545.1 114 299 0.475775806451613 PF03529.13:TF_Otx:51:136 Cone-rod homeobox protein 299 86 50 186 0 Homo sapiens (Human) SwissProt::O43186 1 SwissProt ARPAKRKAGTSPRPSTDVCPDPLGISDSYSPPLPGPSGSPTTAVATVSIWSPASESPLPEAQRAGLVASGPSLTSAPYAMTYAPASAFCSSPSAYGSPSSYFSGLDPYLSPMVPQLGGPALSPLSGPSVGPSLAQSPTSLSGQSYGAYSPVDSLEFKDPTGTWKFTYNPMDPLDYKDQSAWKFQIL
NP_001128303.1 1 635 0.501031496062992 Jouberin; Abelson helper integration site 1 protein homolog; AHI-1 1196 0 50 635 0 Homo sapiens (Human) SwissProt::Q8N157 1 SwissProt MPTAESEAKVKTKVRFEELLKTHSDLMREKKKLKKKLVRSEENISPDTIRSNLHYMKETTSDDPDTIRSNLPHIKETTSDDVSAANTNNLKKSTRVTKNKLRNTQLATENPNGDASVEEDKQGKPNKKVIKTVPQLTTQDLKPETPENKVDSTHQKTHTKPQPGVDHQKSEKANEGREETDLEEDEELMQAYQCHVTEEMAKEIKRKIRKKLKEQLTYFPSDTLFHDDKLSSEKRKKKKEVPVFSKAETSTLTISGDTVEGEQKKESSVRSVSSDSHQDDEISSMEQSTEDSMQDDTKPKPKKTKKKTKAVADNNEDVDGDGVHEITSRDSPVYPKCLLDDDLVLGVYIHRTDRLKSDFMISHPMVKIHVVDEHTGQYVKKDDSGRPVSSYYEKENVDYILPIMTQPYDFKQLKSRLPEWEEQIVFNENFPYLLRGSDESPKVILFFEILDFLSVDEIKNNSEVQNQECGFRKIAWAFLKLLGANGNANINSKLRLQLYYPPTKPRSPLSVVEAFEWWSKCPRNHYPSTLYVTVRGLKVPDCIKPSYRSMMALQEEKGKPVHCERHHESSSVDTEPGLEESKEVIKWKRLPGQACRIPNKHLFSLNAGERGCFCLDFSHNGRILAAACASRDGYP
NP_001129.1 1 83 0.434360240963855 PF05039.12:Agouti:35:82 Agouti-related protein 132 48 50 83 0 Homo sapiens (Human) SwissProt::O00253 1 SwissProt MLTAAVLSCALLLALPATRGAQMGLAPMEGIRRPDQALLPELPGLGLRAPLKKTTAEQAEEDLLQEAQALAEVLDLQDREPRS
NP_003170.1 1 313 0.252415974440895 PF01284.23:MARVEL:22:221 Synaptophysin; Major synaptic vesicle protein p38 313 200 50 247 3 Homo sapiens (Human) SwissProt::P08247 1 SwissProt MLLLADMDVVNQLVAGGQFRVVKEPLGFVKVLQWVFAIFAFATCGSYSGELQLSVDCANKTESDLSIEVEFEYPFRLHQVYFDAPTCRGGTTKVFLVGDYSSSAEFFVTVAVFAFLYSMGALATYIFLQNKYRENNKGPMLDFLATAVFAFMWLVSSSAWAKGLSDVKMATDPENIIKEMPVCRQTGNTCKELRDPVTSGLNTSVVFGFLNLVLWVGNLWFVFKETGWAAPFLRAPPGAPEKQPAPGDAYGDAGYGQGPGGYGPQDSYGPQGGYQPDYGQPAGSGGSGYGPQGDYGQQGYGPQGAPTSFSNQM 1
NP_031546.1 136 526 0.489297953964194 Transcription regulator protein BACH1; BTB and CNC homolog 1 739 0 50 391 0 Mus musculus (Mouse) SwissProt::P97302 1 SwissProt EQQECARKKCFSSHCQKADFKFSFSEQKDLEIDEADEFLEKKRVQTPQCDSRRCQGSVKASPPLQDSVSQACQSLCTDKDGALALPSLCPKYRKFQKAFGTDKIRTLESGVRDVHTASVQPNETSELECFGGAQGCADLHVILKCEGMKAAMESEDTEGQDPSPQCPAEQPQGTPLPQDSAGPHGLYSLSALHTYEQSGDVAFAGVQSKTVKTEKPLSRPDAQDEKPSENQDLYLKSSMGPKEDSSSLASEDRSSVEREVAEHLAKGFWSDICSTDSPCQMQLSPTVAKDGPEQGYSQRRSECPWLGIRISESPEPGQRTFTTLSSVNCPFISTLSSEGCSSNLEIGNYDYVSEPQQEPCPYACVISLGDDSETDTEGDSESCSAREQDCE
NP_035862.2 141 322 0.931654395604396 Y-box-binding protein 1; YB-1; CCAAT-binding transcription factor I subunit A; CBF-A; DNA-binding protein B; DBPB; Enhancer factor I subunit A; EFI-A; Nuclease-sensitive element-binding protein 1; Y-box transcription factor 322 0 50 182 0 Mus musculus (Mouse) SwissProt::P62960 1 SwissProt NHYRRYPRRRGPPRNYQQNYQNSESGEKNEGSESAPEGQAQQRRPYRRRRFPPYYMRRPYARRPQYSNPPVQGEVMEGADNQGAGEQGRPVRQNMYRGYRPRFRRGPPRQRQPREDGNEEDKENQGDETQGQQPPQRRYRRNFNYRRRRPENPKPQDGKETKAADPPAENSSAPEAEQGGAE
NP_056605.1 1 322 0.215226397515528 PF07686.17:V-set:47:160,PF08205.12:C2-set_2:168:248 ICOS ligand precursor 322 195 50 279 2 Mus musculus NP_056605.1 1 RefSeq MQLKCPCFVSLGTRQPVWKKLHVSSGFFSGLGLFLLLLSSLCAASAETEVGAMVGSNVVLSCIDPHRRHFNLSGLYVYWQIENPEVSVTYYLPYKSPGINVDSSYKNRGHLSLDSMKQGNFSLYLKNVTPQDTQEFTCRVFMNTATELVKILEEVVRLRVAANFSTPVISTSDSSNPGQERTYTCMSKNGYPEPNLYWINTTDNSLIDTALQNNTVYLNKLGLYDVISTLRLPWTSRGDVLCCVENVALHQNITSISQAESFTGNNTKNPQETHNNELKVLVPVLAVLAAAAFVSFIIYRRTRPHRSYTGPKTVQLELTDHA
NP_149126.1 133 364 0.227211637931034 PF00341.17:PDGF:134:224 platelet-derived growth factor D isoform 2 precursor 364 91 50 232 0 Homo sapiens NP_149126.1 1 RefSeq RIKSRTNQIKITFKSDDYFVAKPGFKIYYSLLEDFQPAAASETNWESVTSSISGVSYNSPSVTDPTLIADALDKKIAEFDTVEDLLKYFNPESWQEDLENMYLDTPRYRGRSYHDRKSKVDLDRLNDDAKRYSCTPRNYSVNIREELKLANVVFFPRCLLVQRCGGNCGCGTVNWRSCTCNSGKTVKKYHEVLQFEPGHIKRRGRAKTMALVDIQLDHHERCDCICSSRPPR
NP_176057.1 112 248 0.360167883211679 Transcription factor MYB75; Myb-related protein 75; AtMYB75; Production of anthocyanin pigment 1 protein; Suc-induced anthocyanin accumulation locus 1 248 0 50 137 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FE25 1 SwissProt HEPCCKIKMKKRDITPIPTTPALKNNVYKPRPRSFTVNNDCNHLNAPPKVDVNPPCLGLNINNVCDNSIIYNKDKKKDQLVNNLIDGDNMWLEKFLEESQEVDILVPEATTTEKGDTLAFDVDQLWSLFDGETVKFD
O82804 1 695 0.651082446043166 Protein EARLY FLOWERING 3; Nematode-responsive protein 695 0 50 695 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82804 1 SwissProt MKRGKDEEKILEPMFPRLHVNDADKGGPRAPPRNKMALYEQLSIPSQRFGDHGTMNSRSNNTSTLVHPGPSSQPCGVERNLSVQHLDSSAANQATEKFVSQMSFMENVRSSAQHDQRKMVREEEDFAVPVYINSRRSQSHGRTKSGIEKEKHTPMVAPSSHHSIRFQEVNQTGSKQNVCLATCSKPEVRDQVKANARSGGFVISLDVSVTEEIDLEKSASSHDRVNDYNASLRQESRNRLYRDGGKTRLKDTDNGAESHLATENHSQEGHGSPEDIDNDREYSKSRACASLQQINEEASDDVSDDSMVDSISSIDVSPDDVVGILGQKRFWRARKAIANQQRVFAVQLFELHRLIKVQKLIAASPDLLLDEISFLGKVSAKSYPVKKLLPSEFLVKPPLPHVVVKQRGDSEKTDQHKMESSAENVVGRLSNQGHHQQSNYMPFANNPPASPAPNGYCFPPQPPPSGNHQQWLIPVMSPSEGLIYKPHPGMAHTGHYGGYYGHYMPTPMVMPQYHPGMGFPPPGNGYFPPYGMMPTIMNPYCSSQQQQQQQPNEQMNQFGHPGNLQNTQQQQQRSDNEPAPQQQQQPTKSYPRARKSRQGSTGSSPSGPQGISGSKSFRPFAAVDEDSNINNAPEQTMTTTTTTTRTTVTQTTRDGGGVTRVIKVVPHNAKLASENAARIFQSIQEERKRYDSSKP
P41161 1 355 0.663182253521127 PF04621.13:ETS_PEA3_N:1:355 ETS translocation variant 5; Ets-related protein ERM 510 355 50 355 0 Homo sapiens (Human) SwissProt::P41161 1 SwissProt MDGFYDQQVPFMVPGKSRSEECRGRPVIDRKRKFLDTDLAHDSEELFQDLSQLQEAWLAEAQVPDDEQFVPDFQSDNLVLHAPPPTKIKRELHSPSSELSSCSHEQALGANYGEKCLYNYCAYDRKPPSGFKPLTPPTTPLSPTHQNPLFPPPQATLPTSGHAPAAGPVQGVGPAPAPHSLPEPGPQQQTFAVPRPPHQPLQMPKMMPENQYPSEQRFQRQLSEPCHPFPPQPGVPGDNRPSYHRQMSEPIVPAAPPPPQGFKQEYHDPLYEHGVPGMPGPPAHGFQSPMGIKQEPRDYCVDSEVPNCQSSYMRGGYFSSSHEGFSYEKDPRLYFDDTCVVPERLEGKVKQEPTM
VIMSS10090911 118 367 0.4929024 Transcription factor AS1; Myb-related protein 91; AtMYB91; Protein ASYMMETRIC LEAVES 1; Protein PHANTASTICA; AtPHAN 367 0 50 250 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80931 1 SwissProt VEPIDESKYDRILESFAEKLVKERSNVVPAAAAAATVVMANSNGGFLHSEQQVQPPNPVIPPWLATSNNGNNVVARPPSVTLTLSPSTVAAAAPQPPIPWLQQQQPERAENGPGGLVLGSMMPSCSGSSESVFLSELVECCRELEEGHRAWADHKKEAAWRLRRLELQLESEKTCRQREKMEEIEAKMKALREEQKNAMEKIEGEYREQLVGLRRDAEAKDQKLADQWTSRHIRLTKFLEQQMGCRLDRP
VIMSS6586740 1 1178 0.276852716468591 PF10377.9:ATG11:993:1171 Autophagy-related protein 11; Cytoplasm to vacuole targeting protein 9 1178 179 50 1178 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12527 1 SwissProt MADADEYSTAPTQQEITPLQTTATIINAISGECITTNVDFFVSLDKFKQFIARKWKIPPDQLLILLPYGNKLKPSMFKELLINRSFTLNDFYVYDRRLFSLVSKPTPTNLLTSKDSNPMNSPNSNDLTETLEYLIKNSHISQYQGSDTIMIKPMPSPLEDADVDLSRLNYHSVTSLLTTNLGWLSALEIDVHYFKSLIPDIIAHIKRIFDGLTVCSQYLKLYCFDVESLYNSNVQFLNQLVDNGMTSKWEKCFNDTLSKLTALEGDSLQKFINIESLLENEKSVKILNHSINGKLNKIKREIDENASFRDIITVNIDRLRQMFTPNESKFELEDQMAESFEVLVSEMRTRSRNVLDKEEEEFNSQEFLKSMNVMLEKDKKESVKTLFTISQALYSQIGELIDLKKSLQKHAVAILGNIAFTQMEILGIKRLLLNECNKDLELYKKYEVEFAQVEDLPLIYGLYLIEKYRRLSWFQQILSFISNFNQDLELFKQNELRTRNKWVKNFGSIATVFCEDLLSSSDFKRLNEYHSHTSPPNEDEEDENENSIANYRQDLVKVSQAIDNYMTQIKETDVSEPIIDLLSKTLFETKRFHIIYSNFKNNNNNSSNGNSISPEGSIALKSDDVVKGYKTRIKKLESLLHEFQYSDIGHWPQGVLNTHLKPFRGSATSINKKKFLGASVLLEPANISEVNIDSVSQANNHQIQELESNVDDLLHQLQLLKEENNRKSMQISEMGKKISDLEVEKTAYRETLTNLNQELARLTNEEQSHRTEIFTLNASFKKQLNDIISQDNEKIEKLTGDYDDVSKSRERLQMDLDESNKKHEQEVNLLKADIERLGKQIVTSEKSYAETNSSSMEKGEKFETIPLAEDPGRENQISAYTQTLQDRIFDIISTNIFILENIGLLLTFDNNNNIQIRRVKGLKKGTAQSNILDESTQMLDAHDNSLIKSPVFQKLKDEYELIKSVANGSEKDTQQSIFLGNITQLYDNKLYEVAVIRRFKDIETLAKKLTKENKIKRTLLERFQREKVTLRNFQIGDLALFLPTRENVNSVGSMSSSTSSLSSSFSSVDLSTPPPLDAMSIQSSPSVIHSNVINQASISGRDKNKLMRPWAAFTAFEESTRYFLKDEKGLTKGKEWFVGRIVTLEHFVADSPSNNPFRLPKGSVWFQVTAVVVSYQGV
XP_005260515.1 394 870 0.305486582809225 PF12548.8:DUF3740:135:271 extracellular sulfatase Sulf-2 isoform X3 870 137 50 477 0 Homo sapiens XP_005260515.1 1 RefSeq RPVNRFHLKKKMRVWRDSFLVERGKLLHKRDNDKVDAQEENFLPKYQRVKDLCQRAEYQTACEQLGQKWQCVEDATGKLKLHKCKGPMRLGGSRALSNLVPKYYGQGSEACTCDSGDYKLSLAGRRKKLFKKKYKASYVRSRSIRSVAIEVDGRVYHVGLGDAAQPRNLTKRHWPGAPEDQDDKDGGDFSGTGGLPDYSAANPIKVTHRCYILENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLREVRGHLKKKRPEECDCHKISYHTQHKGRLKHRGSSLHPFRKGLQEKDKVWLLREQKRKKKLRKLLKRLQNNDTCSMPGLTCFTHDNQHWQTAPFWTLGPFCACTSANNNTYWCMRTINETHNFLFCEFATGFLEYFDLNTDPYQLMNAVNTLDRDVLNQLHVQLMELRSCKGYKQCNPRTRNMDLGLKDGGSYEQYRQFQRRKWPEMKRPSSKSLGQLWEGWEG
XP_006530339.1 312 741 0.520185116279069 PF12598.8:TBX:12:92 T-box transcription factor TBX3; T-box protein 3 741 81 50 430 0 Mus musculus (Mouse) SwissProt::P70324 1 SwissProt EKRKQLTLQSMRVFEERHKKETSDESSSEQAAFNCFAQASSPAVSIVGTSNLKDLCPSEAESDAEAESKEEHGPEACDAAKISTTTAEEPGRDKGSPATRAQLFPAEPSRARDTARLDKASPDSRHSPATISSSTRVPGADERRSPGREGPVATKVDEARAIPAKDAFAPLSVQTDATAHLAQGPLPGLGFAPGLAGQQFFNGHPLFLHPGQFAMGGAFSSMAAGMGPLLATVSGASTGVSGLESTAMASAAAAQGLSGASAATLPFHLQQHVLASQGLAMSPFGSLFPYPYTYMAAAAAASTAAASSSVHRHPFLNLNSMRPRLRYSPYSIPVPVPDSSSLLATALPSMASAAGPLDGKAAALAASPASVAVDSGSELNSRSSTLSSGSVSLSPKLCSEKEAATSELQSIQRLVSGLEAKPDRSCSGSP
XP_006718110.1 931 1893 0.329634475597092 Golgi-specific brefeldin A-resistance guanine nucleotide exchange factor 1 isoform X1 1893 0 50 963 0 Homo sapiens XP_006718110.1 1 RefSeq NYVWNVLLHRGATPEGIFLRVPTASYDLDLFTMTWGPTIAALSYVFDKSLEETIIQKAISGFRKCAMISAHYGLSDVFDNLIISLCKFTALSSESIENLPSVFGSNPKAHIAAKTVFHLAHRHGDILREGWKNIMEAMLQLFRAQLLPKAMIEVEDFVDPNGKISLQREETPSNRGESTVLSFVSWLTLSGPEQSSVRGPSTENQEAKRVALECIKQCDPEKMITESKFLQLESLQELMKALVSVTPDEETYDEEDAAFCLEMLLRIVLENRDRVGCVWQTVRDHLYHLCVQAQDFCFLVERAVVGLLRLAIRLLRREEISAQVLLSLRILLLMKPSVLSRVSHQVAYGLHELLKTNAANIHSGDDWATLFTLLECIGSGVKPPAALQATARADAPDAGAQSDSELPSYHQNDVSLDRGYTSDSEVYTDHGRPGKIHRSATDADVVNSGWLVVGKDDVDNSKPGPSRPGPSPLINQYSLTVGLDLGPHDTKSLLKCVESLSFIVRDAAHITPDNFELCVKTLRIFVEASLNGGCKSQEKRGKSHKYDSKGNRFKKKSKEGSMLRRPRTSSQHASRGGQSDDDEDEGVPASYHTVSLQVSQDLLDLMHTLHTRAASIYSSWAEEQRHLETGGQKIEADSRTLWAHCWCPLLQGIACLCCDARRQVRMQALTYLQRALLVHDLQKLDALEWESCFNKVLFPLLTKLLENISPADVGGMEETRMRASTLLSKVFLQHLSPLLSLSTFAALWLTILDFMDKYMHAGSSDLLSEAIPESLKNMLLVMDTAEIFHSADARGGGPSALWEITWERIDCFLPHLRDELFKQTVIQDPMPMEPQGQKPLASAHLTSAAGDTRTPGHPPPPEIPSELGACDFEKPESPRAASSSSPGSPVASSPSRLSPTPDGPPPLAQPPLILQPLASPLQVGVPPMTLPIILNPALIEATSPVPLLATPRPTDPIPTSEVN
XP_011519258.1 1 407 0.33105601965602 lymphocyte activation gene 3 protein isoform X1 444 0 50 384 1 Homo sapiens XP_011519258.1 1 RefSeq MWEAQFLGLLFLQPLWVAPVKPLQPGAEVPVVWAQEGAPAQLPCSPTIPLQDLSLLRRAGVTWQHQPDSGPPAAAPGHPLAPGPHPAAPSSWGPRPRRYTVLSVGPGGLRSGRLPLQPRVQLDERGRQRGDFSLWLRPARRADAGEYRAAVHLRDRALSCRLRLRLGQASMTASPPGSLRASDWVILNCSFSRPDRPASVHWFRNRGQGRVPVRESPHHHLAESFLFLPQVSPMDSGPWGCILTYRDGFNVSIMYNLTVLGLEPPTPLTVYAGAGSRVGLPCRLPAGVGTRSFLTAKWTPPGGGPDLLVTGDNGDFTLRLEDVSQAQAGTYTCHIHLQEQQLNATVTLAIITGAQRSGRAPGALPAGHLLLFLILGVLSLLLLVTGAFGFHLWRRQWRPRRFSALEQ
XP_011534524.2 1 158 0.148598101265823 PF00219.18:IGFBP:69:121 cellular communication network factor 6 isoform X3 158 53 50 158 0 Homo sapiens XP_011534524.2 1 RefSeq MELWPQKEASLRPGWLHGPSDMQGLLFSTLLLAGLAQFCCRVQGTGPLDTTPEGRPGEVSDAPQRKQFCHWPCKCPQQKPRCPPGVSLVRDGCGCCKICAKQPGEICNEADLCDPHKGLYCDYSVDRPRYETGVCAYLVAVGCEFNQMCAKLLICFLH
XP_016857620.1 1 218 0.323012844036697 signaling lymphocytic activation molecule isoform X3 218 0 50 195 1 Homo sapiens XP_016857620.1 1 RefSeq MVSTPEIKVLNKTQENGTCTLILGCTVEKGDHVAYSWSEKAGTHPLNPANSSHLLSLTLGPQHADNIYICTVSNPISNNSQTFSPWPGCRTDPSETKPWAVYAGLLGGVIMILIMVVILQLRRRATLTTTNQYWSQNVLTQDQERCPGCLPMVKRTITRQQWKKKALRSMPKSRNQVLFRRNLTPSQLRTLAPPYMLLPQSLSQSLSRKQIPSQSMLV
XP_016864283.1 1 834 0.295685491606715 inositol polyphosphate 4-phosphatase type II isoform X3 933 0 50 834 0 Homo sapiens XP_016864283.1 1 RefSeq MEIKEEGASEEGQHFLPTAQANDPGDCQFTSIQKTPNEPQLEFILACKDLVAPVRDRKLNTLVQISVIHPVEQSLTRYSSTEIVEGTRDPLFLTGVTFPSEYPIYEETKIKLTVYDVKDKSHDTVRTSVLPEHKDPPPEVGRSFLGYASFKVGELLKSKEQLLVLSLRTSDGGKVVGTIEVSVVKMGEIEDGEADHITTDVQGQKCALVCECTAPESVSGKDNLPFLNSVLKNPVCKLYRFPTSDNKWMRIREQMSESILSFHIPKELISLHIKEDLCRNQEIKELGELSPHWDNLRKNVLTHCDQMVNMYQDILTELSKETGSSFKSSSSKGEKTLEFVPINLHLQRMQVHSPHLKDISSGIPQIDALYDVITVGAPAAHFQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSNINQLQPLIATHADLLLNSASQHSPDSLKNSLKMLSEKTELFVHAFKDQLVRSALLALYTARPGGILKKPPSPKSSTEESSPQDQPPVMRGQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAMVDKLIERDGGSEGSGGNNDGEKEPSLTDAIPSHPREDWYEQLYPLILTLKDCMGEVVNRAKQSLTFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLQTSLYDPGFLQQLHTVGLIVQYEGLLSTYSDEIGMLEDMAVGISDLKKVAFKIIEAKSNDVLPVITGRREHYVVEVKLPARMFESLPLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELLQEYYKIFMEKMPPDYISHFQEQNDLKALLENLLQNIQSKKRKNVEIMW
XP_709961.2 315 634 0.6936540625 PF13928.6:Flocculin_t3:1:36,PF13928.6:Flocculin_t3:62:101 Hyphal wall protein 1; Cell elongation protein 2 634 76 50 320 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::P46593 1 SwissProt TVTSCSNSVCTESEVTTGVIVITSKDTIYTTYCPLTETTPVSTAPATETPTGTVSTSTEQSTTVITVTSCSESSCTESEVTTGVVVVTSEETVYTTFCPLTENTPGTDSTPEASIPPMETIPAGSEPSMPAGETSPAVPKSDVPATESAPVPEMTPAGSQPSIPAGETSPAVPKSDVSATESAPAPEMTPAGTETKPAAPKSSAPATEPSPVAPGTESAPAGPGASSSPKSSVLASETSPIAPGAETAPAGSSGAITIPESSAVVSTTEGAIPTTLESVPLMQPSANYSSVAPISTFEGAGNNMRLTFGAAIIGIAAFLI
NP_001278124.1 96 490 0.738912151898734 PF12347.8:HJURP_C:2:56 myocyte-specific enhancer factor 2A isoform c 490 55 49 395 0 Mus musculus NP_001278124.1 1 RefSeq CESPDADDYFEHSPLSEDRFSKLNEDSDFIFKRGPPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLADSSMLSPPPATLHRNVSPGAPQRPPSTGSASGMLSTTDLTVPNGAGNSPVGNGFVNSRASPNLIGNTGANSLGKVMPTKSPPPPGGGSLGMNSRKPDLRVVIPPSSKGMMPPLNAQRISSSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLGQASAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQQQQPQQQPPPQPPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNTEDRESPSVKRMRMDTWVT
NP_001280092.1 1 522 0.427216091954023 PF10491.9:Nrf1_DNA-bind:75:283,PF10492.9:Nrf1_activ_bdg:469:509 nuclear respiratory factor 1 isoform 2 522 250 49 522 0 Homo sapiens NP_001280092.1 1 RefSeq MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILNSTAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLDEYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQEVNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPWANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATATHSIAHLVPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNWATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAEATLQGGGQIVLSGETAAAVGALTGVQDANGLFMADRAGRKWILTDKATGLVQIPVSMYQTVVTSLAQGNGPVQVAMAPVTTRISDSAVTMDGQAVEVVTLEQ
NP_001442.2 153 379 0.46137577092511 Forkhead box protein F1; Forkhead-related activator 1; FREAC-1; Forkhead-related protein FKHL5; Forkhead-related transcription factor 1 379 0 49 227 0 Homo sapiens (Human) SwissProt::Q12946 1 SwissProt PMYSMMNGLGFNHLPDTYGFQGSAGGLSCPPNSLALEGGLGMMNGHLPGNVDGMALPSHSVPHLPSNGGHSYMGGCGGAAAGEYPHHDSSVPASPLLPTGAGGVMEPHAVYSGSAAAWPPSASAALNSGASYIKQQPLSPCNPAANPLSGSLSTHSLEQPYLHQNSHNAPAELQGIPRYHSQSPSMCDRKEFVFSFNAMASSSMHSAGGGSYYHQQVTYQDIKPCVM
NP_003705.1 1 302 0.369699668874172 PF03298.13:Stanniocalcin:14:213 stanniocalcin-2 precursor 302 200 49 302 0 Homo sapiens NP_003705.1 1 RefSeq MCAERLGQFMTLALVLATFDPARGTDATNPPEGPQDRSSQQKGRLSLQNTAEIQHCLVNAGDVGCGVFECFENNSCEIRGLHGICMTFLHNAGKFDAQGKSFIKDALKCKAHALRHRFGCISRKCPAIREMVSQLQRECYLKHDLCAAAQENTRVIVEMIHFKDLLLHEPYVDLVNLLLTCGEEVKEAITHSVQVQCEQNWGSLCSILSFCTSAIQKPPTAPPERQPQVDRTKLSRAHHGEAGHHLPEPSSRETGRGAKGERGSKSHPNAHARGRVGGLGAQGPSGSSEWEDEQSEYSDIRR
NP_005305.1 182 384 0.0633812807881773 PF00001.21:7tm_1:10:139,PF10320.9:7TM_GPCR_Srsx:9:155,PF10323.9:7TM_GPCR_Srv:31:158 Gastrin-releasing peptide receptor; GRP-R; GRP-preferring bombesin receptor 384 150 49 134 3 Homo sapiens (Human) SwissProt::P30550 1 SwissProt HPFHEESTNQTFISCAPYPHSNELHPKIHSMASFLVFYVIPLSIISVYYYFIAKNLIQSAYNLPVEGNIHVKKQIESRKRLAKTVLVFVGLFAFCWLPNHVIYLYRSYHYSEVDTSMLHFVTSICARLLAFTNSCVNPFALYLLSKSFRKQFNTQLLCCQPGLIIRSHSTGRSTTCMTSLKSTNPSVATFSLINGNICHERYV
NP_010674.3 1 345 0.442626376811594 Crossover junction endonuclease MUS81; MMS and UV-sensitive protein 81; EC 3.1.22.- 632 0 49 345 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04149 1 SwissProt MELSSNLKDLYIEWLQELVDGLTPKQEQLKIAYEKAKRNLQNAEGSFYYPTDLKKVKGIGNTIIKRLDTKLRNYCKIHHISPVEAPSLTQTSSTRPPKRTTTALRSIVNSCENDKNEAPEEKGTKKRKTRKYIPKKRSGGYAILLSLLELNAIPRGVSKEQIIEVAGKYSDHCMTPNFSTKEFYGAWSSIAALKKHSLVLEEGRPKRYSLTEEGVELTKSLKTADGISFPKENEEPNEYSVTRNESSEFTANLTDLRGEYGKEEEPCDINNTSFMLDITFQDLSTPQRLQNNVFKNDRLNSQTNISSHKLEEVSDDQTVPDSALKAKSTIKRRRYNGVSYELWCS
NP_010958.3 361 730 0.68001054054054 Nitrogen regulatory protein GLN3 730 0 49 370 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P18494 1 SwissProt QTDPNIAQNTPSAPATASTSVTTTNAKPIRSRKKSLQQNSLSRVIPEEIIRDNIGNTNNILNVNRGGYNFNSVPSPVLMNSQSYNSSNANFNGASNANLNSNNLMRHNSNTVTPNFRRSSRRSSTSSNTSSSSKSSSRSVVPILPKPSPNSANSQQFNMNMNLMNTTNNVSAGNSVASSPRIISSANFNSNSPLQQNLLSNSFQRQGMNIPRRKMSRNASYSSSFMAASLQQLHEQQQVDVNSNTNTNSNRQNWNSSNSVSTNSRSSNFVSQKPNFDIFNTPVDSPSVSRPSSRKSHTSLLSQQLQNSESNSFISNHKFNNRLSSDSTSPIKYEADVSAGGKISEDNSTKGSSKESSAIADELDWLKFGI
NP_057532.4 172 730 0.474548658318425 PF00400.32:WD40:47:73,PF00400.32:WD40:136:174,PF00400.32:WD40:180:217 Denticleless protein homolog; DDB1- and CUL4-associated factor 2; Lethal(2) denticleless protein homolog; Retinoic acid-regulated nuclear matrix-associated protein 730 104 49 559 0 Homo sapiens (Human) SwissProt::Q9NZJ0 1 SwissProt CNKKDGFYRQVNQISGAHNTSDKQTPSKPKKKQNSKGLAPSVDFQQSVTVVLFQDENTLVSAGAVDGIIKVWDLRKNYTAYRQEPIASKSFLYPGSSTRKLGYSSLILDSTGSTLFANCTDDNIYMFNMTGLKTSPVAIFNGHQNSTFYVKSSLSPDDQFLVSGSSDEAAYIWKVSTPWQPPTVLLGHSQEVTSVCWCPSDFTKIATCSDDNTLKIWRLNRGLEEKPGGDKLSTVGWASQKKKESRPGLVTVTSSQSTPAKAPRAKCNPSNSSPSSAACAPSCAGDLPLPSNTPTFSIKTSPAKARSPINRRGSVSSVSPKPPSSFKMSIRNWVTRTPSSSPPITPPASETKIMSPRKALIPVSQKSSQAEACSESRNRVKRRLDSSCLESVKQKCVKSCNCVTELDGQVENLHLDLCCLAGNQEDLSKDSLGPTKSSKIEGAGTSISEPPSPISPYASESCGTLPLPLRPCGEGSEMVGKENSSPENKNWLLAMAAKRKAENPSPRSPSSQTPNSRRQSGKKLPSPVTITPSSMRKICTYFHRKSQEDFCGPEHSTEL
NP_061948.1 1 280 0.0366103571428572 PF00201.18:UDPGT:26:279 UDP-glucuronosyltransferase 1-10; UDPGT 1-10; UGT1*10; UGT1-10; UGT1.10; UDP-glucuronosyltransferase 1-J; UGT-1J; UGT1J; UDP-glucuronosyltransferase 1A10; EC 2.4.1.17 530 254 49 280 0 Homo sapiens (Human) SwissProt::Q9HAW8 1 SwissProt MARAGWTSPVPLCVCLLLTCGFAEAGKLLVVPMDGSHWFTMQSVVEKLILRGHEVVVVMPEVSWQLERSLNCTVKTYSTSYTLEDQNREFMVFAHAQWKAQAQSIFSLLMSSSSGFLDLFFSHCRSLFNDRKLVEYLKESSFDAVFLDPFDTCGLIVAKYFSLPSVVFTRGIFCHHLEEGAQCPAPLSYVPNDLLGFSDAMTFKERVWNHIVHLEDHLFCQYLFRNALEIASEILQTPVTAYDLYSHTSIWLLRTDFVLDYPKPVMPNMIFIGGINCHQG
NP_062023.1 1 80 0.37671375 Urocortin; Corticotensin 122 0 49 80 0 Rattus norvegicus (Rat) SwissProt::P55090 1 SwissProt MRQRGRATLLVALLLLVQLRPESSQWSPAAAAANVVQDPNLRWNPGVRNQGGGVRALLLLLAERFPRRAGSEPAGERQRR
NP_434701.1 153 492 0.429988529411765 caspase recruitment domain-containing protein 9 isoform 2 492 0 49 340 0 Homo sapiens NP_434701.1 1 RefSeq LLRKHQERVQRLKEECEAGSRELKRCKEENYDLAMRLAHQSEEKGAALMRNRDLQLEIDQLKHSLMKAEDDCKVERKHTLKLRHAMEQRPSQELLWELQQEKALLQARVQELEASVQEGKLDRSSPYIQVLEEDWRQALRDHQEQANTIFSLRKDLRQGEARRLRCMEEKEMFELQCLALRKDSKMYKDRIEAILLQMEEVAIERDQAIATREELHAQHARGLQEKDALRKQVRELGEKADELQLQVFQCEAQLLAVEGRLRRQQLETLVLSSDLEDGSPRRSQELSLPQDLEDTQLSDKGCLAGGGSPKQPFAALHQEQVLRNPHDAGPAGLPGIGAVC
NP_444340.3 1 574 0.272313240418118 PF00801.20:PKD:276:319 Transmembrane glycoprotein NMB; DC-HIL; Dendritic cell-associated transmembrane protein; Osteoactivin 574 44 49 551 1 Mus musculus (Mouse) SwissProt::Q99P91 1 SwissProt MESLCGVLGFLLLAAGLPLQAAKRFRDVLGHEQYPDHMREHNQLRGWSSDENEWDEHLYPVWRRGDGRWKDSWEGGRVQAVLTSDSPALVGSNITFVVNLVFPRCQKEDANGNIVYEKNCRNDLGLTSDLHVYNWTAGADDGDWEDGTSRSQHLRFPDRRPFPRPHGWKKWSFVYVFHTLGQYFQKLGRCSARVSINTVNLTAGPQVMEVTVFRRYGRAYIPISKVKDVYVITDQIPVFVTMSQKNDRNLSDEIFLRDLPIVFDVLIHDPSHFLNDSAISYKWNFGDNTGLFVSNNHTLNHTYVLNGTFNLNLTVQTAVPGPCPPPSPSTPPPPSTPPSPPPSPLPTLSTPSPSLMPTGYKSMELSDISNENCRINRYGYFRATITIVEGILEVSIMQIADVPMPTPQPANSLMDFTVTCKGATPMEACTIISDPTCQIAQNRVCSPVAVDGLCLLSVRRAFNGSGTYCVNFTLGDDASLALTSTLISIPGKDPDSPLRAVNGVLISIGCLAVLVTMVTILLYKKHKAYKPIGNCPRNTVKGKGLSVLLSHAKAPFFRGDQEKDPLLQDKPRTL
NP_445912.1 111 416 0.242565359477124 PF06456.13:Arfaptin:7:242 PRKCA-binding protein; Protein interacting with C kinase 1; Protein kinase C-alpha-binding protein 416 236 49 306 0 Rattus norvegicus (Rat) SwissProt::Q9EP80 1 SwissProt GMSLDIVLKKVKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYELSQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLNKAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRCRQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRFVSTMSKYYNDCYAVLRDADVFPIEVDLAHTTLAYGPNQGGFTDGEDEEEEEEDGAAREVSKDARGATGPTDKGGSWCDS
O75807 1 346 0.710592485549133 Protein phosphatase 1 regulatory subunit 15A; Growth arrest and DNA damage-inducible protein GADD34; Myeloid differentiation primary response protein MyD116 homolog 674 0 49 346 0 Homo sapiens (Human) SwissProt::O75807 1 SwissProt MAPGQAPHQATPWRDAHPFFLLSPVMGLLSRAWSRLRGLGPLEPWLVEAVKGAALVEAGLEGEARTPLAIPHTPWGRRPEEEAEDSGGPGEDRETLGLKTSSSLPEAWGLLDDDDGMYGEREATSVPRGQGSQFADGQRAPLSPSLLIRTLQGSDKNPGEEKAEEEGVAEEEGVNKFSYPPSHRECCPAVEEEDDEEAVKKEAHRTSTSALSPGSKPSTWVSCPGEEENQATEDKRTERSKGARKTSVSPRSSGSDPRSWEYRSGEASEEKEEKAHKETGKGEAAPGPQSSAPAQRPQLKSWWCQPSDEEEGEVKALGAAEKDGEAECPPCIPPPSAFLKAWVYWP
P46639 1 299 0.653639130434783 PF03791.13:KNOX2:189:234,PF03790.13:KNOX1:133:174,PF03789.13:ELK:279:299 Homeobox protein knotted-1-like 1; Protein BREVIPEDICELLUS; Protein KNAT1 398 109 49 299 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46639 1 SwissProt MEEYQHDNSTTPQRVSFLYSPISSSNKNDNTSDTNNNNNNNNSSNYGPGYNNTNNNNHHHQHMLFPHMSSLLPQTTENCFRSDHDQPNNNNNPSVKSEASSSRINHYSMLMRAIHNTQEANNNNNDNVSDVEAMKAKIIAHPHYSTLLQAYLDCQKIGAPPDVVDRITAARQDFEARQQRSTPSVSASSRDPELDQFMEAYCDMLVKYREELTRPIQEAMEFIRRIESQLSMLCQSPIHILNNPDGKSDNMGSSDEEQENNSGGETELPEIDPRAEDRELKNHLLKKYSGYLSSLKQEL
VIMSS10109002 300 677 0.302083597883598 PF11834.8:KHA:312:375,PF00027.29:cNMP_binding:97:181 Potassium channel KAT1 677 149 49 378 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39128 1 SwissProt VHWTSRTRTFRDSVRAASEFASRNQLPHDIQDQMLSHICLKFKTEGLKQQETLNNLPKAIRSSIANYLFFPIVHNIYLFQGVSRNFLFQLVSDIDAEYFPPKEDIILQNEAPTDLYILVSGAVDFTVYVDGHDQFQGKAVIGETFGEVGVLYYRPQPFTVRTTELSQILRISRTSLMSAMHAHADDGRVIMNNLFMKLRGQQSIAIDDSNTSGHENRDFKSMGWEEWRDSRKDGYGLDVTNPTSDTALMDAIHKEDTEMVKKILKEQKIERAKVERSSSETAGRSYANDSSKKDPYCSSSNQIIKPCKREEKRVTIHMMSESKNGKLILLPSSIEELLRLASEKFGGCNFTKITNADNAEIDDLDVIWDGDHLYFSSN
VIMSS95861 1 175 0.0935434285714286 PF00196.19:GerE:117:167 DNA-binding transcriptional activator GadE 175 51 49 175 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11544-MONOMER 1 ecocyc MIFLMTKDSFLLQGFWQLKDNHEMIKINSLSEIKKVGNKPFKVIIDTYHNHILDEEAIKFLEKLDAERIIVLAPYHISKLKAKAPIYFVSRKESIKNLLEITYGKHLPHKNSQLCFSHNQFKIMQLILKNKNESNITSTLNISQQTLKIQKFNIMYKLKLRRMSDIVTLGITSYF
XP_005256921.1 335 907 0.568968586387435 myocardin isoform X3 907 0 49 573 0 Homo sapiens XP_005256921.1 1 RefSeq NFGDITTVTFPVTPNTLPNYQSSSSTSALSNGFYHFGSTSSSPPISPASSDLSVAGSLPDTFNDASPSFGLHPSPVHVCTEESLMSSLNGGSVPSELDGLDSEKDKMLVEKQKVINELTWKLQQEQRQVEELRMQLQKQKRNNCSEKKPLPFLAASIKQEEAVSSCPFASQVPVKRQSSSSECHPPACEAAQLQPLGNAHCVESSDQTNVLSSTFLSPQCSPQHSPLGAVKSPQHISLPPSPNNPHFLPSSSGAQGEGHRVSSPISSQVCTAQNSGAHDGHPPSFSPHSSSLHPPFSGAQADSSHGAGGNPCPKSPCVQQKMAGLHSSDKVGPKFSIPSPTFSKSSSAISEVTQPPSYEDAVKQQMTRSQQMDELLDVLIESGEMPADAREDHSCLQKVPKIPRSSRSPTAVLTKPSASFEQASSGSQIPFDPYATDSDEHLEVLLNSQSPLGKMSDVTLLKIGSEEPHFDGIMDGFSGKAAEDLFNAHEILPGPLSPMQTQFSPSSVDSNGLQLSFTESPWETMEWLDLTPPNSTPGFSALTTSSPSIFNIDFLDVTDLNLNSSMDLHLQQW
XP_006514291.1 176 397 0.445707207207207 interleukin-1 receptor-associated kinase 3 isoform X1 397 0 49 222 0 Mus musculus XP_006514291.1 1 RefSeq LDDPKHVQLRDLLMELMEKRGLDSCLSFLDRKIPPCPRNFSAKLFSLAGRCVATKAKLRPTMDEVLSSLESTQPSLYFAEDPPTSLKSFRCPSPLFLDNVPSIPVEDDENQNNHSVPPKEVLGTDRVTQKTPFECSQSEVTFLGLDRNRGNRGSEADCNVPSSSHEECWSPELVAPSQDLSPTVISLGSSWEVPGHSYGSKPMEKRCSSGLFCSEHEQSKKQ
XP_006517047.1 1 196 0.745793367346939 PF04440.16:Dysbindin:19:153 dysbindin isoform X1 196 135 49 196 0 Mus musculus XP_006517047.1 1 RefSeq MSSPGLQENSQRTPSELDTEHTQKALEMEHTQQLKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPMGSMSSMEVNVDVLEQMDLMDISDQEALDVFLNSGGEDNIVMSPGVEMESNPNQNEMSLQIPSPSESASQPPASPSACTDLDTADAPLIQSDEEEVQVDTALVTLHTDRKSTPGVSDDSDQCDSTQDI
XP_011249078.1 1 517 0.51240580270793 PF03036.16:Perilipin:15:398 Perilipin-1; Lipid droplet-associated protein; Perilipin A 517 384 49 517 0 Mus musculus (Mouse) SwissProt::Q8CGN5 1 SwissProt MSMNKGPTLLDGDLPEQENVLQRVLQLPVVSGTCECFQKTYNSTKEAHPLVASVCNAYEKGVQGASNLAAWSMEPVVRRLSTQFTAANELACRGLDHLEEKIPALQYPPEKIASELKGTISTRLRSARNSISVPIASTSDKVLGATLAGCELALGMAKETAEYAANTRVGRLASGGADLALGSIEKVVEFLLPPDKESAPSSGRQRTQKAPKAKPSLVRRVSTLANTLSRHTMQTTAWALKQGHSLAMWIPGVAPLSSLAQWGASAAMQVVSRRQSEVRVPWLHNLAASQDESHDDQTDTEGEETDDEEEEEESEAEENVLREVTALPNPRGLLGGVVHTVQNTLRNTISAVTWAPAAVLGTVGRILHLTPAQAVSSTKGRAMSLSDALKGVTDNVVDTVVHYVPLPRLSLMEPESEFRDIDNPSAEAERKGSGARPASPESTPRPGQPRGSLRSVRGLSAPSCPGLDDKTEASARPGFLAMPREKPARRVSDSFFRPSVMEPILGRAQYSQLRKKS
NP_001036782.1 305 868 0.403650177304964 PF13927.6:Ig_3:55:117,PF13927.6:Ig_3:229:292,PF13927.6:Ig_3:315:380,PF13895.6:Ig_2:58:119,PF13895.6:Ig_2:228:306,PF13895.6:Ig_2:319:394,PF00047.25:ig:60:122,PF00047.25:ig:231:297,PF07679.16:I-set:229:300 B-cell receptor CD22 precursor 868 227 48 546 1 Mus musculus NP_001036782.1 1 RefSeq ELEQEQQMSKLILHSVTKDMRGKYRCQASNDIGPGESEEVELTVHYAPEPSRVHIYPSPAEEGQSVELICESLASPSATNYTWYHNRKPIPGDTQEKLRIPKVSPWHAGNYSCLAENRLGHGKIDQEAKLDVHYAPKAVTTVIQSFTPILEGDSVTLVCRYNSSNPDVTSYRWNPQGSGSVLKPGVLRIQKVTWDSMPVSCAACNHKCSWALPVILNVHYAPRDVKVLKVSPASEIRAGQRVLLQCDFAESNPAEVRFFWKKNGSLVQEGRYLSFGSVSPEDSGNYNCMVNNSIGETLSQAWNLQVLYAPRRLRVSISPGDHVMEGKKATLSCESDANPPISQYTWFDSSGQDLHSSGQKLRLEPLEVQHTGSYRCKGTNGIGTGESPPSTLTVYYSPETIGKRVALGLGFCLTICILAIWGMKIQKKWKQNRSQQGLQENSSGQSFFVRNKKARRTPLSEGPQSQGCYNPAMDDTVSYAILRFPESDTHNTGDAGTPATQAPPPNNSDSVTYSVIQKRPMGDYENVNPSCPEDESIHYSELVQFGAGKRPQAKEDVDYVTLKH
NP_001167560.1 1 556 0.190849100719425 PF00955.21:HCO3_cotransp:326:508 sodium bicarbonate transporter-like protein 11 isoform 3 875 183 48 464 4 Homo sapiens NP_001167560.1 1 RefSeq MAAATRRVFHLQPCENSPTMSQNGYFEDSSYYKCDTDDTFEAREEILGDEAFDTANSSIVSGESIRFFVNVNLEMQATNTENEATSGGCVLLHTSRKYLKLKNFKEEIRAHRDLDGFLAQASIVLNETATSLDNVLRTMLRRFARDPDNNEPNCNLDLLMAMLFTDAGAPMRGKVHLLSDTIQGVTATVTGVRYQQSWLCIICTMKALQKRHVCISRLVRPQNWGENSCEVRFVILVLAPPKMKSTKTAMEVARTFATMFSDIAFRQKLLETRTEEEFKEALVHQRQLLTMVSHGPVAPRTKERSTVSLPAHRHPEPPKCKDFVPFGKGIREDIARRFPLYPLDFTDGIIGKNKAVGKYITTTLFLYFACLLPTIAFGSLNDENTDGAIDVQKTIAGQSIGGLLYALFSGQPLVILLTTAPLALYIQVIRVICDDYDLDFNSFYAWTGLWNSFFLALYAFFNLSLVMSLFKRSTEEIIALFISITFVLDAVKGTVKIFWKYYYGHYLDDYHTKRTSSLVSLSGLGASLNASLHTALNASFLASPTELPSATHSGQA
NP_001186227.1 335 719 0.549627012987012 BRCA1-A complex subunit RAP80; Receptor-associated protein 80; Retinoid X receptor-interacting protein 110; Ubiquitin interaction motif-containing protein 1 719 0 48 385 0 Homo sapiens (Human) SwissProt::Q96RL1 1 SwissProt ECGQGEQASEKNECISEDMGDEDKEERQESRASDWHSKTKDFQESSIKSLKEKLLLEEEPTTSHGQSSQGIVEETSEEGNSVPASQSVAALTSKRSLVLMPESSAEEITVCPETQLSSSETFDLEREVSPGSRDILDGVRIIMADKEVGNKEDAEKEVAISTFSSSNQVSCPLCDQCFPPTKIERHAMYCNGLMEEDTVLTRRQKEAKTKSDSGTAAQTSLDIDKNEKCYLCKSLVPFREYQCHVDSCLQLAKADQGDGPEGSGRACSTVEGKWQQRLKNPKEKGHSEGRLLSFLEQSEHKTSDADIKSSETGAFRVPSPGMEEAGCSREMQSSFTRRDLNESPVKSFVSISEATDCLVDFKKQVTVQPGSRTRTKAGRGRRRKF
NP_001188.1 1 160 0.172758125 PF12201.8:bcl-2I13:1:159 Bcl-2-interacting killer; Apoptosis inducer NBK; BIP1; BP4 160 159 48 137 1 Homo sapiens (Human) SwissProt::Q13323 1 SwissProt MSEVRPLSRDILMETLLYEQLLEPPTMEVLGMTDSEEDLDPMEDFDSLECMEGSDALALRLACIGDEMDVSLRAPRLAQLSEVAMHSLGLAFIYDQTEDIRDVLRSFMDGFTTLKENIMRFWRSPNPGSWVSCEQVLLALLLLLALLLPLLSGGLHLLLK
NP_001271285.1 1 174 0.614887356321839 PF01585.23:G-patch:27:69,PF12656.7:G-patch_2:28:70 PIN2/TERF1-interacting telomerase inhibitor 1 isoform 2 174 44 48 174 0 Homo sapiens NP_001271285.1 1 RefSeq MSMLAERRRKQKWAVDPQNTAWSNDDSKFGQRMLEKMGWSKGKGLGAQEQGATDHIKVQVKNNHLGLGATINNEDNWIAHQDDFNQLLAELNTCHGQETTDSSDKKEKKSFSLEEKSKISKNRVHYMKFTKGRCQSLHSRGERNHDNQRLHHPGVLCQADGSTEEQAPGSSSRV
NP_001274073.1 1 145 0.497711034482759 PF04201.15:TPD52:10:145 tumor protein D52 isoform 7 145 136 48 145 0 Homo sapiens NP_001274073.1 1 RefSeq MDRGEQGLLRTDPVPEEGEDVAATISATETLSEEEQEELRRELAKVEEEIQTLSQVLAAKEKHLAEIKRKLGINSLQELKQNIAKGWQDVTATSAYKKTSETLSQAGQKASAAFSSVGSVITKKLEDVKNSPTFKSFEEKVENLK
NP_001305441.1 1 178 0.279396629213483 PF00168.30:C2:20:104 toll-interacting protein isoform 2 224 85 48 178 0 Homo sapiens NP_001305441.1 1 RefSeq MATTVSTQRGPAKLAKNYGMTRMDPYCRLRLGYAVYETPTAHNGAKNPRWNKVIHCTVPPGVDSFYLEIFDERAFSMDDRIAWTHITIPESLRQGKVEDKWYSLSGRQGDDKEGMINLVMSYALLPAAMVMPPQPVVLMPTVYQQGVGYVPITGMPAVCSPGMVPVALPPAAVNAQPR
NP_001308710.1 43 124 0.259853658536585 PF07258.14:COMM_domain:11:77 COMM domain-containing protein 1 isoform 2 124 67 48 82 0 Homo sapiens NP_001308710.1 1 RefSeq LMNQSRWNSGLRGLSWRVDGKSQSRHSAQIHTPVAIIELELGKYGQESEFLCLEFDEVKVNQILKTLSEVEESISTLISQPN
NP_004620.1 1 622 0.210844533762058 Fanconi anemia group G protein; Protein FACG; DNA repair protein XRCC9 622 0 48 622 0 Homo sapiens (Human) SwissProt::O15287 1 SwissProt MSRQTTSVGSSCLDLWREKNDRLVRQAKVAQNSGLTLRRQQLAQDALEGLRGLLHSLQGLPAAVPVLPLELTVTCNFIILRASLAQGFTEDQAQDIQRSLERVLETQEQQGPRLEQGLRELWDSVLRASCLLPELLSALHRLVGLQAALWLSADRLGDLALLLETLNGSQSGASKDLLLLLKTWSPPAEELDAPLTLQDAQGLKDVLLTAFAYRQGLQELITGNPDKALSSLHEAASGLCPRPVLVQVYTALGSCHRKMGNPQRALLYLVAALKEGSAWGPPLLEASRLYQQLGDTTAELESLELLVEALNVPCSSKAPQFLIEVELLLPPPDLASPLHCGTQSQTKHILASRCLQTGRAGDAAEHYLDLLALLLDSSEPRFSPPPSPPGPCMPEVFLEAAVALIQAGRAQDALTLCEELLSRTSSLLPKMSRLWEDARKGTKELPYCPLWVSATHLLQGQAWVQLGAQKVAISEFSRCLELLFRATPEEKEQGAAFNCEQGCKSDAALQQLRAAALISRGLEWVASGQDTKALQDFLLSVQMCPGNRDTYFHLLQTLKRLDRRDEATALWWRLEAQTKGSHEDALWSLPLYLESYLSWIRPSDRDAFLEEFRTSLPKSCDL
NP_062028.1 1 151 0.0406735099337748 PF01146.17:Caveolin:16:147 Caveolin-3 151 132 48 128 1 Rattus norvegicus (Rat) SwissProt::P51638 1 SwissProt MMTEEHTDLEARIIKDIHCKEIDLVNRDPKNINEDIVKVDFEDVIAEPEGTYSFDGVWRVSYTTFTVSKYWCYRLLSTLLGVPLALLWGFLFACISFCHIWAVVPCIKSYLIEIQCISHIYSLCIRTFCNPLFAALGQVCSNIKVVLRREG
NP_077288.2 470 1256 0.298283608640407 PF06464.11:DMAP_binding:231:344,PF17103.5:Stealth_CR4:669:725,PF17102.5:Stealth_CR3:486:534,PF00066.17:Notch:35:66 N-acetylglucosamine-1-phosphotransferase subunits alpha/beta; GlcNAc-1-phosphotransferase subunits alpha/beta; Stealth protein GNPTAB; UDP-N-acetylglucosamine-1-phosphotransferase subunits alpha/beta; EC 2.7.8.17 1256 252 48 764 1 Homo sapiens (Human) SwissProt::Q3T906 1 SwissProt GNSGGSRYIAGGGGTGSIGVGQPWQFGGGINSVSYCNQGCANSWLADKFCDQACNVLSCGFDAGDCGQDHFHELYKVILLPNQTHYIIPKGECLPYFSFAEVAKRGVEGAYSDNPIIRHASIANKWKTIHLIMHSGMNATTIHFNLTFQNTNDEEFKMQITVEVDTREGPKLNSTAQKGYENLVSPITLLPEAEILFEDIPKEKRFPKFKRHDVNSTRRAQEEVKIPLVNISLLPKDAQLSLNTLDLQLEHGDITLKGYNLSKSALLRSFLMNSQHAKIKNQAIITDETNDSLVAPQEKQVHKSILPNSLGVSERLQRLTFPAVSVKVNGHDQGQNPPLDLETTARFRVETHTQKTIGGNVTKEKPPSLIVPLESQMTKEKKITGKEKENSRMEENAENHIGVTEVLLGRKLQHYTDSYLGFLPWEKKKYFQDLLDEEESLKTQLAYFTDSKNTGRQLKDTFADSLRYVNKILNSKFGFTSRKVPAHMPHMIDRIVMQELQDMFPEEFDKTSFHKVRHSEDMQFAFSYFYYLMSAVQPLNISQVFDEVDTDQSGVLSDREIRTLATRIHELPLSLQDLTGLEHMLINCSKMLPADITQLNNIPPTQESYYDPNLPPVTKSLVTNCKPVTDKIHKAYKDKNKYRFEIMGEEEIAFKMIRTNVSHVVGQLDDIRKNPRKFVCLNDNIDHNHKDAQTVKAVLRDFYESMFPIPSQFELPREYRNRFLHMHELQEWRAYRDKLKFWTHCVLATLIMFTIFSFFAEQLIALKRKIFPRRRIHKEASPNRIRV
NP_181190.3 1 883 0.548748357870894 DNA glycosylase/AP lyase ROS1; DEMETER-like protein 1; Protein REPRESSOR OF SILENCING 1; Protein ROS1; EC 4.2.99.18 1393 0 48 883 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJQ6 1 SwissProt MEKQRREESSFQQPPWIPQTPMKPFSPICPYTVEDQYHSSQLEERRFVGNKDMSGLDHLSFGDLLALANTASLIFSGQTPIPTRNTEVMQKGTEEVESLSSVSNNVAEQILKTPEKPKRKKHRPKVRREAKPKREPKPRAPRKSVVTDGQESKTPKRKYVRKKVEVSKDQDATPVESSAAVETSTRPKRLCRRVLDFEAENGENQTNGDIREAGEMESALQEKQLDSGNQELKDCLLSAPSTPKRKRSQGKRKGVQPKKNGSNLEEVDISMAQAAKRRQGPTCCDMNLSGIQYDEQCDYQKMHWLYSPNLQQGGMRYDAICSKVFSGQQHNYVSAFHATCYSSTSQLSANRVLTVEERREGIFQGRQESELNVLSDKIDTPIKKKTTGHARFRNLSSMNKLVEVPEHLTSGYCSKPQQNNKILVDTRVTVSKKKPTKSEKSQTKQKNLLPNLCRFPPSFTGLSPDELWKRRNSIETISELLRLLDINREHSETALVPYTMNSQIVLFGGGAGAIVPVTPVKKPRPRPKVDLDDETDRVWKLLLENINSEGVDGSDEQKAKWWEEERNVFRGRADSFIARMHLVQGDRRFTPWKGSVVDSVVGVFLTQNVSDHLSSSAFMSLASQFPVPFVPSSNFDAGTSSMPSIQITYLDSEETMSSPPDHNHSSVTLKNTQPDEEKDYVPSNETSRSSSEIAISAHESVDKTTDSKEYVDSDRKGSSVEVDKTDEKCRVLNLFPSEDSALTCQHSMVSDAPQNTERAGSSSEIDLEGEYRTSFMKLLQGVQVSLEDSNQVSPNMSPGDCSSEIKGFQSMKEPTKSSVDSSEPGCCSQQDGDVLSCQKPTLKEKGKKVLKEEKKAFDWDCLRREAQARAGIREKTRSTMDTV
NP_201279.1 524 1084 0.12767807486631 PF03552.14:Cellulose_synt:1:549,PF13632.6:Glyco_trans_2_3:226:360 Cellulose synthase A catalytic subunit 6 [UDP-forming]; AtCesA6; AraxCelA; Isoxaben-resistant protein 2; Protein PROCUSTE 1; Protein QUILL; EC 2.4.1.12 1084 549 48 426 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94JQ6 1 SwissProt YVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKGPRKTCNCWPKWCLLCFGSRKNRKAKTVAADKKKKNREASKQIHALENIEEGRVTKGSNVEQSTEAMQMKLEKKFGQSPVFVASARMENGGMARNASPACLLKEAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKLAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSLPLIVYCSLPAICLLTGKFIVPEISNYASILFMALFSSIAITGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVDTNFTVTSKAADDGEFSDLYLFKWTSLLIPPMTLLIINVIGVIVGVSDAISNGYDSWGPLFGRLFFALWVIIHLYPFLKGLLGKQDRMPTIIVVWSILLASILTLLWVRVNPFVAKGGPILEICGLDCL
NP_416907.1 1 184 0.783132608695651 cell division protein ZipA 328 0 48 161 1 Escherichia coli K-12 substr. MG1655 ecocyc::G7258-MONOMER 1 ecocyc MMQDLRLILIIVGAIAIIALLVHGFWTSRKERSSMFRDRPLKRMKSKRDDDSYDEDVEDDEGVGEVRVHRVNHAPANAQEHEAARPSPQHQYQPPYASAQPRQPVQQPPEAQVPPQHAPHPAQPVQQPAYQPQPEQPLQQPVSPQVAPAPQPVHSAPQPAQQAFQPAEPVAAPQPEPVAEPAPV
NP_892038.2 1 560 0.1624025 PF07690.16:MFS_1:72:452 Vesicular glutamate transporter 1; VGluT1; Brain-specific Na(+)-dependent inorganic phosphate cotransporter; Solute carrier family 17 member 7 560 381 48 330 10 Mus musculus (Mouse) SwissProt::Q3TXX4 1 SwissProt MEFRQEEFRKLAGRALGRLHRLLEKRQEGAETLELSADGRPVTTHTRDPPVVDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVSMVNNSTTHRGGHVVVQKAQFNWDPETVGLIHGSFFWGYIVTQIPGGFICQKFAANRVFGFAIVATSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTAFCGSYAGAVVAMPLAGVLVQYSGWSSVFYVYGSFGIFWYLFWLLVSYESPALHPSISEEERKYIEDAIGESAKLMNPVTKFNTPWRRFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGLVSALPHLVMTIIVPIGGQIADFLRSRHIMSTTNVRKLMNCGGFGMEATLLLVVGYSHSKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKHKTREEWQYVFLIASLVHYGGVIFYGVFASGEKQPWAEPEEMSEEKCGFVGHDQLAGSDESEMEDEAEPPGAPPAPPPSYGATHSTVQPPRPPPPVRDY
P12753 221 902 0.388406158357771 PF04423.14:Rad50_zn_hook:446:497 DNA repair protein RAD50; 153 kDa protein; EC 3.6.-.- 1312 52 48 682 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P12753 1 SwissProt AMKLNIHQLQTKIDQYNEEVSEIESQLNEITEKSDKLFKSNQDFQKILSKVENLKNTKLSISDQVKRLSNSIDILDLSKPDLQNLLANFSKVLMDKNNQLRDLETDISSLKDRQSSLQSLSNSLIRRQGELEAGKETYEKNRNHLSSLKEAFQHKFQGLSNIENSDMAQVNHEMSQFKAFISQDLTDTIDQFAKDIQLKETNLSDLIKSITVDSQNLEYNKKDRSKLIHDSEELAEKLKSFKSLSTQDSLNHELENLKTYKEKLQSWESENIIPKLNQKIEEKNNEMIILENQIEKFQDRIMKTNQQADLYAKLGLIKKSINTKLDELQKITEKLQNDSRIRQVFPLTQEFQRADLEMDFQKLFINMQKNIAINNKKMHELDRRYTNALYNLNTIEKDLQDNQKSKEKVIQLLSENLPEDCTIDEYNDVLEETELSYKTALENLKMHQTTLEFNRKALEIAERDSCCYLCSRKFENESFKSKLLQELKTKTDANFEKTLKDTVQNEKEYLHSLRLLEKHIITLNSINEKIDNSQKCLEKAKEETKTSKSKLDELEVDSTKLKDEKELAESEIRPLIEKFTYLEKELKDLENSSKTISEELSIYNTSEDGIQTVDELRDQQRKMNDSLRELRKTISDLQMEKDEKVRENSRMINLIKEKELTVSEIESSLTQKQNIDDSIRSK
VIMSS10084974 121 246 0.325761904761905 Transcription factor MYB113; Myb-related protein 113; AtMYB113 246 0 48 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FNV9 1 SwissProt MINKNITSHPTSSAQKIDVLKPRPRSFSDKNSCNDVNILPKVDVVPLHLGLNNNYVCESSITCNKDEQKDKLININLLDGDNMWWESLLEADVLGPEATETAKGVTLPLDFEQIWARFDEETLELN
VIMSS10088763 133 520 0.00792474226804124 PF03062.19:MBOAT:146:375 Diacylglycerol O-acyltransferase 1; AtDGAT1; Protein TRIACYLGLYCEROL 1; EC 2.3.1.20 520 230 48 187 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SLD2 1 SwissProt AGLFNLCVVVLIAVNSRLIIENLMKYGWLIRTDFWFSSRSLRDWPLFMCCISLSIFPLAAFTVEKLVLQKYISEPVVIFLHIIITMTEVLYPVYVTLRCDSAFLSGVTLMLLTCIVWLKLVSYAHTSYDIRSLANAADKANPEVSYYVSLKSLAYFMVAPTLCYQPSYPRSACIRKGWVARQFAKLVIFTGFMGFIIEQYINPIVRNSKHPLKGDLLYAIERVLKLSVPNLYVWLCMFYCFFHLWLNILAELLCFGDREFYKDWWNAKSVGDYWRMWNMPVHKWMVRHIYFPCLRSKIPKTLAIIIAFLVSAVFHELCIAVPCRLFKLWAFLGIMFQVPLVFITNYLQERFGSTVGNMIFWFIFCIFGQPMCVLLYYHDLMNRKGSMS
VIMSS10108730 219 485 0.244586516853933 PF09328.10:Phytochelatin_C:3:247 Glutathione gamma-glutamylcysteinyltransferase 1; Cadmium tolerance protein; Phytochelatin synthase 1; AtPCS1; EC 2.3.2.15 485 245 48 267 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7Z3 1 SwissProt PHREPGLLYTLSCKDESWIEIAKYLKEDVPRLVSSQHVDSVEKIISVVFKSLPSNFNQFIRWVAEIRITEDSNQNLSAEEKSRLKLKQLVLKEVHETELFKHINKFLSTVGYEDSLTYAAAKACCQGAEILSGSPSKEFCCRETCVKCIKGPDDSEGTVVTGVVVRDGNEQKVDLLVPSTQTECECGPEATYPAGNDVFTALLLALPPQTWSGIKDQALMHEMKQLISMASLPTLLQEEVLHLRRQLQLLKRCQENKEEDDLAAPAY
VIMSS6581134 104 341 0.354366386554622 PF03985.13:Paf1:2:237 RNA polymerase II-associated protein 1; Protein PAF1 445 236 48 238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38351 1 SwissProt IDRLTKTDISKVTFLRRTEYVSNTIAAHDNTSLKRKRRLDDGDSDDENLDVNHIISRVEGTFNKTDKWQHPVKKGVKMVKKWDLLPDTASMDQVYFILKFMGSASLDTKEKKSLNTGIFRPVELEEDEWISMYATDHKDSAILENELEKGMDEMDDDSHEGKIYKFKRIRDYDMKQVAEKPMTELAIRLNDKDGIAYYKPLRSKIELRRRRVNDIIKPLVKEHDIDQLNVTLRNPSTK
XP_006239438.1 1 120 0.39085 PF00212.18:ANP:85:114 natriuretic peptides B isoform X1 120 30 48 120 0 Rattus norvegicus XP_006239438.1 1 RefSeq MDLQKVLPQMILLLLFLNLSPLGGHSHPLGSPSQSPEQSTMQLLELIREKSEEMAQRQLSKDQGPTKELLKRVLRSQDSAFRIQERLRNSKMAHSSSCFGQKIDRIGAVSRLGCDGLRLF
XP_011510749.1 135 295 0.335868944099379 B- and T-lymphocyte attenuator isoform X1 295 0 48 138 1 Homo sapiens XP_011510749.1 1 RefSeq AFTNIPDVKSASERPSKDEMASRPWLLYRLLPLGGLPLLITTCFCLFCCLRRHQGKQNELSDTAGREINLVDAHLKSEQTEASTRQNSQVLLSETGIYDNDPDLCFRMQEGSEVYSNPCLEENKPGIVYASLNHSVIGPNSRLARNVKEAPTEYASICVRS
XP_016872552.1 1 194 0.276187628865979 Interleukin-18-binding protein; IL-18BP; Tadekinig-alfa 194 0 48 194 0 Homo sapiens (Human) SwissProt::O95998 1 SwissProt MTMRHNWTPDLSPLWVLLLCAHVVTLLVRATPVSQTTTAATASVRSTKDPCPSQPPVFPAAKQCPALEVTWPEVEVPLNGTLSLSCVACSRFPNFSILYWLGNGSFIEHLPGRLWEGSTSRERGSTGTQLCKALVLEQLTPALHSTNFSCVLVDPEQVVQRHVVLAQLWAGLRATLPPTQEALPSSHSSPQQQG
XP_017173343.1 187 553 0.725997275204359 transcription factor 4 isoform X2 669 0 48 367 0 Mus musculus XP_017173343.1 1 RefSeq ASTADYNRDSPGYPSSKPAASTFPSSFFMQDGHHSSDPWSSSSGMNQPGYGGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGTGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAVPGGHGDMHGIMGPSHNGAMGSLGSGYGTSLLSANRHSLMVGAHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITRSRSSNNDDE
NP_001137462.1 1 548 0.462941605839415 PF00400.32:WD40:281:303,PF00400.32:WD40:358:396 Telomerase Cajal body protein 1; WD repeat-containing protein 79; WD40 repeat-containing protein antisense to TP53 gene; WRAP53beta 548 62 47 548 0 Homo sapiens (Human) SwissProt::Q9BUR4 1 SwissProt MKTLETQPLAPDCCPSDQDPAPAHPSPHASPMNKNADSELMPPPPERGDPPRLSPDPVAGSAVSQELREGDPVSLSTPLETEFGSPSELSPRIEEQELSENTSLPAEEANGSLSEEEANGPELGSGKAMEDTSGEPAAEDEGDTAWNYSFSQLPRFLSGSWSEFSTQPENFLKGCKWAPDGSCILTNSADNILRIYNLPPELYHEGEQVEYAEMVPVLRMVEGDTIYDYCWYSLMSSAQPDTSYVASSSRENPIHIWDAFTGELRASFRAYNHLDELTAAHSLCFSPDGSQLFCGFNRTVRVFSTARPGRDCEVRATFAKKQGQSGIISCIAFSPAQPLYACGSYGRSLGLYAWDDGSPLALLGGHQGGITHLCFHPDGNRFFSGARKDAELLCWDLRQSGYPLWSLGREVTTNQRIYFDLDPTGQFLVSGSTSGAVSVWDTDGPGNDGKPEPVLSFLPQKDCTNGVSLHPSLPLLATASGQRVFPEPTESGDEGEELGLPLLSTRHVHLECRLQLWWCGGAPDSSIPDDHQGEKGQGGTEGGVGELI
NP_003888.2 1 156 0.487744871794872 Radiation-inducible immediate-early gene IEX-1; Differentiation-dependent gene 2 protein; Protein DIF-2; Immediate early protein GLY96; Immediate early response 3 protein; PACAP-responsive gene 1 protein; Protein PRG1 156 0 47 156 0 Homo sapiens (Human) SwissProt::P46695 1 SwissProt MCHSRSCHPTMTILQAPTPAPSTIPGPRRGSGPEIFTFDPLPEPAAAPAGRPSASRGHRKRSRRVLYPRVVRRQLPVEEPNPAKRLLFLLLTIVFCQILMAEEGVPAPLPPEDAPNAASLAPTPVSAVLEPFNLTSEPSDYALDLSTFLQQHPAAF
NP_004128.1 1 191 0.116598429319372 PF03185.15:CaKB:8:189 Calcium-activated potassium channel subunit beta-1; BK channel subunit beta-1; BKbeta; BKbeta1; Hbeta1; Calcium-activated potassium channel, subfamily M subunit beta-1; Calcium-activated potassium channel subunit beta; Charybdotoxin receptor subunit beta-1; K(VCA)beta-1; Maxi K channel subunit beta-1; Slo-beta-1; Slo-beta 191 182 47 145 2 Homo sapiens (Human) SwissProt::Q16558 1 SwissProt MVKKLVMAQKRGETRALCLGVTMVVCAVITYYILVTTVLPLYQKSVWTQESKCHLIETNIRDQEELKGKKVPQYPCLWVNVSAAGRWAVLYHTEDTRDQNQQCSYIPGSVDNYQTARADVEKVRAKFQEQQVFYCFSAPRGNETSVLFQRLYGPQALLFSLFWPTFLLTGGLLIIAMVKSNQYLSILAAQK
NP_006070.2 1 158 0.758381012658228 PF04487.12:CITED:1:158 Cbp/p300-interacting transactivator 2; MSG-related protein 1; MRG-1; P35srj 270 158 47 158 0 Homo sapiens (Human) SwissProt::Q99967 1 SwissProt MADHMMAMNHGRFPDGTNGLHHHPAHRMGMGQFPSPHHHQQQQPQHAFNALMGEHIHYGAGNMNATSGIRHAMGPGTVNGGHPPSALAPAARFNNSQFMGPPVASQGGSLPASMQLQKLNNQYFNHHPYPHNHYMPDLHPAAGHQMNGTNQHFRDCNP
NP_006740.1 1 652 0.187361656441718 PF01384.20:PHO4:24:637 Sodium-dependent phosphate transporter 2; Gibbon ape leukemia virus receptor 2; GLVR-2; Phosphate transporter 2; PiT-2; Pit2; hPit2; Solute carrier family 20 member 2 652 614 47 452 9 Homo sapiens (Human) SwissProt::Q08357 1 SwissProt MAMDEYLWMVILGFIIAFILAFSVGANDVANSFGTAVGSGVVTLRQACILASIFETTGSVLLGAKVGETIRKGIIDVNLYNETVETLMAGEVSAMVGSAVWQLIASFLRLPISGTHCIVGSTIGFSLVAIGTKGVQWMELVKIVASWFISPLLSGFMSGLLFVLIRIFILKKEDPVPNGLRALPVFYAATIAINVFSIMYTGAPVLGLVLPMWAIALISFGVALLFAFFVWLFVCPWMRRKITGKLQKEGALSRVSDESLSKVQEAESPVFKELPGAKANDDSTIPLTGAAGETLGTSEGTSAGSHPRAAYGRALSMTHGSVKSPISNGTFGFDGHTRSDGHVYHTVHKDSGLYKDLLHKIHIDRGPEEKPAQESNYRLLRRNNSYTCYTAAICGLPVHATFRAADSSAPEDSEKLVGDTVSYSKKRLRYDSYSSYCNAVAEAEIEAEEGGVEMKLASELADPDQPREDPAEEEKEEKDAPEVHLLFHFLQVLTACFGSFAHGGNDVSNAIGPLVALWLIYKQGGVTQEAATPVWLLFYGGVGICTGLWVWGRRVIQTMGKDLTPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKVGSVVAVGWIRSRKAVDWRLFRNIFVAWFVTVPVAGLFSAAVMALLMYGILPYV
NP_010493.1 1 836 0.721647488038277 PF00172.18:Zn_clus:769:803 Transcriptional regulatory protein UME6; Negative transcriptional regulator of IME2; Regulator of inducer of meiosis protein 16; Unscheduled meiotic gene expression protein 6 836 35 47 836 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39001 1 SwissProt MLDKARSQSKHMDESNAAASLLSMETTANNHHYLHNKTSRATLMNSSQDGKKHAEDEVSDGANSRHPTISSASIESLKTTYDENPLLSIMKSTCAPNNTPVHTPSGSPSLKVQSGGDIKDDPKENDTTTTTNTTLQDRRDSDNAVHAAASPLAPSNTPSDPKSLCNGHVAQATDPQISGAIQPQYTATNEDVFPYSSTSTNSNTATTTIVAGAKKKIHLPPPQAPAVSSPGTTAAGSGAGTGSGIRSRTGSDLPLIITSANKNNGKTTNSPMSILSRNNSTNNNDNNSIQSSDSRESSNNNEIGGYLRGGTKRGGSPSNDSQVQHNVHDDQCAVGVAPRNFYFNKDREITDPNVKLDENESKINISFWLNSKYRDEAYSLNESSSNNASSNTDTPTNSRHANTSSSITSRNNFQHFRFNQIPSQPPTSASSFTSTNNNNPQRNNINRGEDPFATSSRPSTGFFYGDLPNRNNRNSPFHTNEQYIPPPPPKYINSKLDGLRSRLLLGPNSASSSTKLDDDLGTAAAVLSNMRSSPYRTHDKPISNVNDMNNTNALGVPASRPHSSSFPSKGVLRPILLRIHNSEQQPIFESNNSTAVFDEDQDQNQDLSPYHLNLNSKKVLDPTFESRTRQVTWNKNGKRIDRRLSAPEQQQQLEVPPLKKSRRSVGNARVASQTNSDYNSLGESSTSSAPSSPSLKASSGLAYTADYPNATSPDFAKSKGKNVKPKAKSKAKQSSKKRPNNTTSKSKANNSQESNNATSSTSQGTRSRTGCWICRLRKKKCTEERPHCFNCERLKLDCHYDAFKPDFVSDPKKKQMKLEEIKKKTKEAKRRAMKKK
NP_032135.2 1 375 0.473441333333333 PF00688.18:TGFb_propeptide:146:333 Growth/differentiation factor 5; GDF-5; Bone morphogenetic protein 14; BMP-14 495 188 47 375 0 Mus musculus (Mouse) SwissProt::P43027 1 SwissProt MRLPKLLTLLLWHLAWLDLELICTVLGAPDLGQRTPGAKPGLTKAEAKERPPLARNVFRPGGHIYGVGATNARAKGSSGQTQAKKDEPRKMPPRSGGPETKPGPSSQTRQAAARTVTPKGQLPGGKASSKAGSAPSSFLLKKTREPGTPREPKEPFRPPPITPHEYMLSLYRTLSDADRKGGNSSVKLEAGLANTITSFIDKGQDDRGPAVRKQRYVFDISALEKDGLLGAELRILRKKPLDVAKPAVPSSGRVAQLKLSSCPSGRQPAALLDVRSVPGLDGSGWEVFDIWKLFRNFKNSAQLCLELEAWERGRAVDLRGLGFERTARQVHEKALFLVFGRTKKRDLFFNEIKARSGQDDKTVYEYLFSQRRKRR
NP_032442.1 1 262 0.653848854961832 PF03957.13:Jun:5:254 transcription factor jun-B 344 250 47 262 0 Mus musculus NP_032442.1 1 RefSeq MCTKMEQPFYHDDSYAAAGYGRSPGSLSLHDYKLLKPTLALNLADPYRGLKGPGARGPGPEGSGAGSYFSGQGSDTGASLKLASTELERLIVPNSNGVITTTPTPPGQYFYPRGGGSGGGTGGGVTEEQEGFADGFVKALDDLHKMNHVTPPNVSLGASGGPQAGPGGVYAGPEPPPVYTNLSSYSPASAPSGGSGTAVGTGSSYPTATISYLPHAPPFAGGHPAQLGLSRGASAFKEEPQTVPEARSRDATPPVSPINMED
NP_033890.1 1 138 0.588254347826087 PF06553.12:BNIP3:3:138 BCL2/adenovirus E1B 19 kDa protein-interacting protein 3 187 136 47 138 0 Mus musculus NP_033890.1 1 RefSeq MSQSGEENLQGSWVELHFSNGNGSSVPASVSIYNGDMEKILLDAQHESGRSSSKSSHCDSPPRSQTPQDTNRAEIDSHSFGEKNSTLSEEDYIERRREVESILKKNSDWIWDWSSRPENIPPKEFLFKHPKRTATLSM
NP_473368.1 1 492 0.0799715447154472 PF07260.11:ANKH:1:345 Progressive ankylosis protein homolog; ANK 492 345 47 314 8 Homo sapiens (Human) SwissProt::Q9HCJ1 1 SwissProt MVKFPALTHYWPLIRFLVPLGITNIAIDFGEQALNRGIAAVKEDAVEMLASYGLAYSLMKFFTGPMSDFKNVGLVFVNSKRDRTKAVLCMVVAGAIAAVFHTLIAYSDLGYYIINKLHHVDESVGSKTRRAFLYLAAFPFMDAMAWTHAGILLKHKYSFLVGCASISDVIAQVVFVAILLHSHLECREPLLIPILSLYMGALVRCTTLCLGYYKNIHDIIPDRSGPELGGDATIRKMLSFWWPLALILATQRISRPIVNLFVSRDLGGSSAATEAVAILTATYPVGHMPYGWLTEIRAVYPAFDKNNPSNKLVSTSNTVTAAHIKKFTFVCMALSLTLCFVMFWTPNVSEKILIDIIGVDFAFAELCVVPLRIFSFFPVPVTVRAHLTGWLMTLKKTFVLAPSSVLRIIVLIASLVVLPYLGVHGATLGVGSLLAGFVGESTMVAIAACYVYRKQKKKMENESATEGEDSAMTDMPPTEEVTDIVEMREENE
P0C054 1 137 0.24528102189781 PF00011.21:HSP20:42:137 small heat shock protein IbpA 137 96 47 137 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11534-MONOMER 1 ecocyc MRNFDLSPLYRSAIGFDRLFNHLENNQSQSNGGYPPYNVELVDENHYRIAIAVAGFAESELEITAQDNLLVVKGAHADEQKERTYLYQGIAERNFERKFQLAENIHVRGANLVNGLLYIDLERVIPEAKKPRRIEIN
Q8N695 214 610 0.0989969773299749 PF00474.17:SSF:22:236 Sodium-coupled monocarboxylate transporter 1; Apical iodide transporter; Electrogenic sodium monocarboxylate cotransporter; Sodium iodide-related cotransporter; Solute carrier family 5 member 8 610 215 47 239 7 Homo sapiens (Human) SwissProt::Q8N695 1 SwissProt ISTILNDAYDGGRLNFWNFNPNPLQRHTFWTIIIGGTFTWTSIYGVNQSQVQRYISCKSRFQAKLSLYINLVGLWAILTCSVFCGLALYSRYHDCDPWTAKKVSAPDQLMPYLVLDILQDYPGLPGLFVACAYSGTLSTVSSSINALAAVTVEDLIKPYFRSLSERSLSWISQGMSVVYGALCIGMAALASLMGALLQAALSVFGMVGGPLMGLFALGILVPFANSIGALVGLMAGFAISLWVGIGAQIYPPLPERTLPLHLDIQGCNSTYNETNLMTTTEMPFTTSVFQIYNVQRTPLMDNWYSLSYLYFSTVGTLVTLLVGILVSLSTGGRKQNLDPRYILTKEDFLSNFDIFKKKKHVLSYKSHPVEDGGTDNPAFNHIELNSDQSGKSNGTRL
VIMSS10104432 507 1065 0.135061717352415 PF03552.14:Cellulose_synt:1:551,PF13632.6:Glyco_trans_2_3:250:379 Cellulose synthase A catalytic subunit 3 [UDP-forming]; AtCesA3; Constitutive expression of VSP1 protein 1; Isoxaben-resistant protein 1; Ath-B; Protein ECTOPIC LIGNIN 1; Protein RADIALLY SWOLLEN 5; AtRSW5; EC 2.4.1.12 1065 551 47 424 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q941L0 1 SwissProt YVSREKRPGFQHHKKAGAMNALVRVSAVLTNGPFILNLDCDHYINNSKALREAMCFLMDPNLGKQVCYVQFPQRFDGIDKNDRYANRNTVFFDINLRGLDGIQGPVYVGTGCVFNRTALYGYEPPIKVKHKKPSLLSKLCGGSRKKNSKAKKESDKKKSGRHTDSTVPVFNLDDIEEGVEGAGFDDEKALLMSQMSLEKRFGQSAVFVASTLMENGGVPPSATPENLLKEAIHVISCGYEDKSDWGMEIGWIYGSVTEDILTGFKMHARGWRSIYCMPKLPAFKGSAPINLSDRLNQVLRWALGSVEILFSRHCPIWYGYNGRLKFLERFAYVNTTIYPITSIPLLMYCTLPAVCLFTNQFIIPQISNIASIWFLSLFLSIFATGILEMRWSGVGIDEWWRNEQFWVIGGVSAHLFAVFQGILKVLAGIDTNFTVTSKASDEDGDFAELYLFKWTTLLIPPTTLLIVNLVGVVAGVSYAINSGYQSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVVWSVLLASIFSLLWVRIDPFTSRVTGPDILECGINC
VIMSS6581167 1 1096 0.599427737226278 PF09444.10:MRC1:711:851 Mediator of replication checkpoint protein 1; DNA replication checkpoint mediator MRC1 1096 141 47 1096 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25588 1 SwissProt MDDALHALSSLTAKKRTTTYKKVAVPILDENDNTNGNGPNDIDNPPELTGNGFLFANATLNRVKNRLEGKKAPEQNHNNGKDRSENSLPTQLISNLYDGGEELEKSEVKDNSYSEKNVSSSFTQTQRIPVSIQQDKVFNVPIHSVNDGKPTQLIKEDGLVNETSQALKTPLTTGRPGATQRIDSSGATSQTQPIKSIEPQSQIITTSSNHSNALSPKIPIIPTELIGTSPLFQSIQNRGPDTQMDVPPQTAHDEDKTQAIGIPQATHQEQKTQIDTVAQTLQDEVPHTLKIREIQSELASEDSKREKARNVEYKKPQKPIPTKKFFSKESFLADFDDSSSNEDDDIKLENAHPKPVQNDDELHENKSVELNLTDETRINEKRVPLLSSYANNLKREIDSSKCITLDLDSDSDEYGDDDMDSIKLSKDESVLPISQLSKATILNLKARLSKQNQKLSQRPNKSKDPKVDHNVLLNTLRKASRKQILDHQKEVIETKGLKLEDMAKEKEIVENLLEQEILRNKRIRQKEKRREKLEENDFQLNAHDSGSDSGSESSGFALSGNEIADYESSGSENDNRRESDSEKEDDEIILKQKKSHHVKHIINESDSDTEVEAKPKEKADESLPKRIAINLGHYGDNIGEDTDKFQETNVLDTQNIEEVMAERNTIENEVKDDVYVNEEADEAIRRQLIDKEKLQLKQKEKEHEAKIKELKKRGVTNFFEMEAEESEDEWHGIGGADGEGSDDYDSDLEKMIDDYSKNNFNPHEIREMLAAENKEMDIKMINKILYDIKNGGFRNKRAKNSLELELSDDDEDDVLQQYRLKRRELMRKRRLEIGDDAKLVKNPKSSAFFESMVEDIIEYKNPFGAEEEYNLDITSTATDLDTQDNSINVGDNTGNNEQKPVDQKNKKVIISEDFVQKSLSFLKSNNYEDFETDKELSRIQHGNDEAIEDLYTLKQNSSIKSFTNSQTDSTTSKTVNTIIDLEKRPEDEDEVENGDTSLVGVFKHPSIIKSFASRTDINDKFKEGNKTVKILKSYKTVGSSKASITYMGKTRKLIAPKRKTEGSHRYHHDHHNKKMKMKTKTKSNKLFESGQDSFDN
VIMSS6581794 1 1309 0.452566462948814 PF08605.10:Rad9_Rad53_bind:772:900,PF00533.26:BRCT:995:1109 DNA repair protein RAD9 1309 244 47 1309 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P14737 1 SwissProt MSGQLVQWKSSPDRVTQSAIKEALHSPLADGDMNEMNVPVDPLENKVNSTNIIEGSPKANPNPVKFMNTSEIFQKSLGLLDESPRHDDELNIEVGDNDRPNANILHNERTPDLDRIANFFKSNRTPGKENLLTKYQSSDLEDTPLMLRKKMTFQTPTDPLEQKTFKKLKSDTGFCYYGEQNDGEENASLEVTEADATFVQMAERSADNYDCALEGIVTPKRYKDELSKSGGMQDERVQKTQIMISAESPNSISSYDKNKITGNGRTTRNVNKVFNNNEDNIGAIEEKNPVKKKSENYSSDDLRERNNQIIQSNESEEINELEKNLNVSGRENDVNNLDIDINSAVSGTPSRNNAEEEMYSSESVNNREPSKKWIFRYSKDKTENNSNRSTQIVNNPRTQEMPLDSISIDTQPLSKSFNTETNNELETQIIVSSLSQGISAQKGPVFHSTGQTEEIKTQIINSPEQNALNATFETPVTLSRINFEPILEVPETSSPSKNTMSKPSNSSPIPKEKDTFNIHEREVETNNVFSNDIQNSSNAATRDDIIIAGSSDFNEQKEITDRIYLQLSGKQISDSGSDETERMSPNELDTKKESTIMSEVELTQELPEVEEQQDLQTSPKKLVVEEETLMEIKKSKGNSLQLHDDNKECNSDKQDGTESLDVALIEHESKGQSSELQKNLMQLFPSESQEIIQNRRTIKRRQKDTIEIGEEEENRSTKTSPTKHLKRNSDLDAASIKREPSCSITIQTGETGSGKDSKEQSYVFPEGIRTADNSFLSKDDIIFGNAVWCQYTWNYKFYPGILLEVDTNQDGCWIYFETGRSLTKDEDIYYLDIRIGDAVTFDGNEYVVVGLECRSHDLNIIRCIRGYDTVHLKKKNASGLLGKRTLIKALSSISLDLSEWAKRAKIILEDNEKNKGDAYRYLRHPIRGRKSMTNVLSPKKHTDDEKDINTHTEVYNNEIESSSEKKEIVKKDSRDALAEHAGAPSLLFSSGEIRTGNVFDKCIFVLTSLFENREELRQTIESQGGTVIESGFSTLFNFTHPLAKSLVNKGNTDNIRELALKLAWKPHSLFADCRFACLITKRHLRSLKYLETLALGWPTLHWKFISACIEKKRIVPHLIYQYLLPSGESFRLSLDSPSKGGIIKSNNIFSFYTQFLRGSNLRDQICGVKKMLNDYIVIVWGRSELDSFVKFAFACLSAGRMLTIDLPNIDVDDTEPLLNALDSLVPRIGSELSNRKLKFLIYANENNGKSQMKLLERLRSQISLKFKKFNYIFHTESKEWLIQTIINEDTGFHDDITDNDIYNTISEVR
XP_005274062.3 1 120 0.181591666666667 PF01099.17:Uteroglobin:1:88 mammaglobin-A isoform X1 120 88 47 120 0 Homo sapiens XP_005274062.3 1 RefSeq MKLLMVLMLAALSQHCYAGSGCPLLENVISKTINPQVSKTEYKELLQEFIDDNATTNAIDELKECFLNQTDETLSNVEVFMVISFSSYKLFKSPDQGQVGSSFLTDNAKATSEQAFSYIG
XP_006241671.1 1 278 0.390961510791367 angiopoietin-1 isoform X1 498 0 47 278 0 Rattus norvegicus XP_006241671.1 1 RefSeq MTVFLSFAFFAAILTHIGCSNQRRSPENGGRRYNRIQHGQCAYTFILPEHDGNCRESATEQYNTNALQRDAPHVETDFSSQKLQHLEHVMENYTQWLQKLENYIVENMKSEMAQIQQNAVQNHTATMLEIGTSLLSQTAEQTRKLTDVETQVLNQTSRLEIQLLENSLSTYKLEKQLLQQTNEILKIQEKNSLLEHKILEMEGKHKEELDTLKEEKENLQGLVTRQTFIIQELEKQLSRATNNNSVLQKQQLELMDTVHNLVSLCTKEGVLLKGGKRE
XP_011519121.1 1 670 0.0658137313432836 PF03137.20:OATP:21:597,PF07690.16:MFS_1:28:404,PF07648.15:Kazal_2:440:486 Solute carrier organic anion transporter family member 1A2; OATP-A; Organic anion-transporting polypeptide 1; OATP-1; Sodium-independent organic anion transporter; Solute carrier family 21 member 3 670 577 47 397 12 Homo sapiens (Human) SwissProt::P46721 1 SwissProt MGETEKRIETHRIRCLSKLKMFLLAITCAFVSKTLSGSYMNSMLTQIERQFNIPTSLVGFINGSFEIGNLLLIIFVSYFGTKLHRPIMIGIGCVVMGLGCFLKSLPHFLMNQYEYESTVSVSGNLSSNSFLCMENGTQILRPTQDPSECTKEVKSLMWVYVLVGNIVRGMGETPILPLGISYIEDFAKFENSPLYIGLVETGAIIGPLIGLLLASFCANVYVDTGFVNTDDLIITPTDTRWVGAWWFGFLICAGVNVLTAIPFFFLPNTLPKEGLETNADIIKNENEDKQKEEVKKEKYGITKDFLPFMKSLSCNPIYMLFILVSVIQFNAFVNMISFMPKYLEQQYGISSSDAIFLMGIYNLPPICIGYIIGGLIMKKFKITVKQAAHIGCWLSLLEYLLYFLSFLMTCENSSVVGINTSYEGIPQDLYVENDIFADCNVDCNCPSKIWDPVCGNNGLSYLSACLAGCETSIGTGINMVFQNCSCIQTSGNSSAVLGLCDKGPDCSLMLQYFLILSAMSSFIYSLAAIPGYMVLLRCMKSEEKSLGVGLHTFCTRVFAGIPAPIYFGALMDSTCLHWGTLKCGESGACRIYDSTTFRYIYLGLPAALRGSSFVPALIILILLRKCHLPGENASSGTELIETKVKGKENECKDIYQKSTVLKDDELKTKL
XP_011533043.1 1 71 0.286438028169014 PF00879.18:Defensin_propep:8:59 neutrophil defensin 3 isoform X1 101 52 47 71 0 Homo sapiens XP_011533043.1 1 RefSeq MRMVTPAMRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDESLAPKHPGSRKNM
XP_011536486.1 1 302 0.254069867549669 PF01064.23:Activin_recp:59:119 anti-Muellerian hormone type-2 receptor isoform X11 411 61 47 279 1 Homo sapiens XP_011536486.1 1 RefSeq MLGSLGLWALLPTAVEAPPNRRTCVFFEAPGVRGSTKTLGELLDTGTELPRAIRCLYSRCCFGIWNLTQDRAQVEMQGCRDSDEPGCESLHCDPSPRAHPSPGSTLFTCSCGTDFCNANYSHLPPPGSPGTPGSQGPQAAPGESIWMALVLLGLFLLLLLLLGSIILALLQRKNYRVRGEPVPEPRPDSGRDWSVELQELPELCFSQQVIREGGHAVVWAGQLQGKLVAIKAFPPRSVAQFQAERALYELPGLQHDHIVRFITASRGGPGRLLSGPLLVLELHPKDCVCLCPRGRAPHNSLC
NP_001275967.1 153 411 0.542775289575289 zinc finger protein PLAGL1 isoform 1 411 0 46 259 0 Homo sapiens NP_001275967.1 1 RefSeq KTHSQELMKESLQTGDLLSTFHTISPSFQLKAAALPPFPLGASAQNGLASSLPAEVHSLTLSPPEQAAQPMQPLPESLASLHPSVSPGSPPPPLPNHKYNTTSTSYSPLASLPLKADTKGFCNISLFEDLPLQEPQSPQKLNPGFDLAKGNAGKVNLPKELPADAVNLTIPASLDLSPLLGFWQLPPPATQNTFGNSTLALGPGESLPHRLSCLGQQQQEPPLAMGTVSLGQLPLPPIPHVFSAGTGSAILPHFHHAFR
NP_001276400.1 1 357 0.56051568627451 Krueppel-like factor 10 isoform 2 434 0 46 357 0 Mus musculus NP_001276400.1 1 RefSeq MLNFGASLQQASEGKMELISEKPREGMHPWDKAEQSDFEAVEALMSMSCDWKSHFKKYLENRPVTPVSDTSEDDSLLPGTPDLQTVPAFCLTPPYSPSDFEPSQGSNLTASAPSTGHFKSFSDAAKPPGATPFKEEEKNPLAAPPLPKAQATSVIRHTADAQLCNHQSCPVKAASILNYQDNSFRRRTHGNVEATRKNIPCAAVSPNRSKPEPSTVSDGDEKAGAALYDFAVPSSETVICRSQPAPSSPVQKSVLVSSPTVSTGGVPPLPVICQMVPLPANNSLVSTVVPSTPPSQPPAVCSPVLFMGTQVPEGTVVFVVPQPVVQSPRPPVVSPSGTRLSPIAPAPGFSPSAARVT
NP_006409.3 1 326 0.229799079754601 PF02191.16:OLF:252:324 Olfactomedin-4; OLM4; Antiapoptotic protein GW112; G-CSF-stimulated clone 1 protein; hGC-1; hOLfD 510 73 46 326 0 Homo sapiens (Human) SwissProt::Q6UX06 1 SwissProt MRPGLSFLLALLFFLGQAAGDLGDVGPPIPSPGFSSFPGVDSSSSFSSSSRSGSSSSRSLGSGGSVSQLFSNFTGSVDDRGTCQCSVSLPDTTFPVDRVERLEFTAHVLSQKFEKELSKVREYVQLISVYEKKLLNLTVRIDIMEKDTISYTELDFELIKVEVKEMEKLVIQLKESFGGSSEIVDQLEVEIRNMTLLVEKLETLDKNNVLAIRREIVALKTKLKECEASKDQNTPVVHPPPTPGSCGHGGVVNISKPSVVQLNWRGFSYLYGAWGRDYSPQHPNKGLYWVAPLNTDGRLLEYYRLYNTLDDLLLYINARELRITYG
NP_006682.2 1 322 0.267900621118012 PF00193.17:Xlink:45:129 Lymphatic vessel endothelial hyaluronic acid receptor 1; LYVE-1; Cell surface retention sequence-binding protein 1; CRSBP-1; Extracellular link domain-containing protein 1; Hyaluronic acid receptor 322 85 46 299 1 Homo sapiens (Human) SwissProt::Q9Y5Y7 1 SwissProt MARCFSLVLLLTSIWTTRLLVQGSLRAEELSIQVSCRIMGITLVSKKANQQLNFTEAKEACRLLGLSLAGKDQVETALKASFETCSYGWVGDGFVVISRISPNPKCGKNGVGVLIWKVPVSRQFAAYCYNSSDTWTNSCIPEIITTKDPIFNTQTATQTTEFIVSDSTYSVASPYSTIPAPTTTPPAPASTSIPRRKKLICVTEVFMETSTMSTETEPFVENKAAFKNEAAGFGGVPTALLVLALLFFGAAAGLGFCYVKRYVKAFPFTNKNQQKEMIETKVVKEEKANDSNPNEESKKTDKNPEESKSPSKTTVRCLEAEV
NP_010264.3 1 531 0.528659698681732 Protein RPN4; Nuclear protein SON1; Ubiquitin fusion degradation protein 5 531 0 46 531 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03465 1 SwissProt MASTELSLKRTLTDILEDELYHTNPGHSQFTSHYQNYHPNASITPYKLVNKNKENNTFTWNHSLQHQNESSAASIPPQQTYHFPIFNKYADPTLTTTTSFTTSEATANDRQINNVHLIPNEIKGASETPLQKTVNLKNIMKVSDPYVPTRNTFNYDVKISNDFFDNGDNLYGNDEEVLFYEDNYNPKMQWSLQDNSAAINNEDARAIFNNEFDSDDDDISDDEEDEIEENCLQQEQHQEEPLLSLDVTPISMFGSDQKTGRAKSSSHLFNEYSYVDSNMDSISSVVSEDLLDERGHEKIEDEDEDNDLDEDDIYDISLLKNRRKQSFVLNKNTIDFERFPSPSTSANVPSTATTGKRKPAKSSSNRSCVSNSNENGTLERIKKPTSAVVSSNASRRKLINYTKKHLSSHSSTNSNSKPSTASPSAHTSSSDGNNEIFTCQIMNLITNEPCGAQFSRSYDLTRHQNTIHAKRKIVFRCSECIKILGSEGYQKTFSRLDALTRHIKSKHEDLSLEQRQEVTKFAKANIGYVMG
NP_035747.1 1 195 0.186537948717949 PF00229.18:TNF:77:190 CD70 antigen; CD27 ligand; CD27-L; Tumor necrosis factor ligand superfamily member 7; CD70 antigen 195 114 46 172 1 Mus musculus (Mouse) SwissProt::O55237 1 SwissProt MPEEGRPCPWVRWSGTAFQRQWPWLLLVVFITVFCCWFHCSGLLSKQQQRLLEHPEPHTAELQLNLTVPRKDPTLRWGAGPALGRSFTHGPELEEGHLRIHQDGLYRLHIQVTLANCSSPGSTLQHRATLAVGICSPAAHGISLLRGRFGQDCTVALQRLTYLVHGDVLCTNLTLPLLPSRNADETFFGVQWICP
NP_037165.1 241 665 0.0991691764705882 PF00474.17:SSF:14:252 sodium/glucose cotransporter 1 665 239 46 246 8 Rattus norvegicus NP_037165.1 1 RefSeq PTLVSDGNITVKEECYTPRADSFHIFRDPITGDMPWPGLIFGLSILALWYWCTDQVIVQRCLSAKNMSHVKAGCTLCGYLKLLPMFLMVMPGMISRILYTDKIACVLPSECKKYCGTPVGCTNIAYPTLVVELMPNGLRGLMLSVMMASLMSSLTSIFNSASTLFTMDIYTKIRKGASEKELMIAGRLFILVLIGISIAWVPIVQSAQSGQLFDYIQSITSYLGPPIAAVFLLAIFCKRVNEPGAFWGLILGFLIGISRMITEFAYGTGSCMEPSNCPKIICGVHYLYFAIILFAISVVTVLVISLLTKPIPDVHLYRLCWSLRNSTEERIDLDAGEEEPVEEDPKDTIEIDAEAPQKEKGCFRKAYDLFCGLDQDKGPKMTKEEEEAMKLKMTDTSEKPLWRTVVNINGIILLAVAVFCHAYFA
NP_060921.3 423 1151 0.584301646090534 Centromere protein J; CENP-J; Centrosomal P4.1-associated protein; LAG-3-associated protein; LYST-interacting protein 1 1338 0 46 729 0 Homo sapiens (Human) SwissProt::Q9HC77 1 SwissProt KTALKNKELCADNPILKKDSKARTKSGSVTLSQKPKMLKCSNRKSLSPSGLKIQTGKKCDGQFRDQIKFENKVTSNNKENVTECPKPCDTGCTGWNKTQGKDRLPLSTGPASRLAAKSPIRETMKESESSLDVSLQKKLETWEREKEKENLELDEFLFLEQAADEISFSSNSSFVLKILERDQQICKGHRMSSTPVKAVPQKTNPADPISHCNRSEDLDHTAREKESECEVAPKQLHSLSSADELREQPCKIRKAVQKSTSENQTEWNARDDEGVPNSDSSTDSEEQLDVTIKPSTEDRERGISSREDSPQVCDDKGPFKDTRTQEDKRRDVDLDLSDKDYSSDESIMESIKHKVSEPSRSSSLSLSKMDFDDERTWTDLEENLCNHDVVLGNESTYGTPQTCYPNNEIGILDKTIKRKIAPVKRGEDLSKSRRSRSPPTSELMMKFFPSLKPKPKSDSHLGNELKLNISQDQPPGDNARSQVLREKIIELETEIEKFKAENASLAKLRIERESALEKLRKEIADFEQQKAKELARIEEFKKEEMRKLQKERKVFEKYTTAARTFPDKKEREEIQTLKQQIADLREDLKRKETKWSSTHSRLRSQIQMLVRENTDLREEIKVMERFRLDAWKRAEAIESSLEVEKKDKLANTSVRFQNSQISSGTQVEKYKKNYLPMQGNPPRRSKSAPPRDLGNLDKGQAASPREPLEPLNFPDPEYKEEEEDQDIQG
NP_061975.2 1 173 0.727274566473988 homeobox protein A5 270 0 46 173 0 Homo sapiens NP_061975.2 1 RefSeq MSSYFVNSFCGRYPNGPDYQLHNYGDHSSVSEQFRDSASMHSGRYGYGYNGMDLSVGRSGSGHFGSGERARSYAASASAAPAEPRYSQPATSTHSPQPDPLPCSAVAPSPGSDSHHGGKNSLSNSSGASADAGSTHISSREGVGTASGAEEDAPASSEQASAQSEPSPAPPAQ
NP_080622.1 1 355 0.112016338028169 F-box only protein 32 355 0 46 355 0 Mus musculus NP_080622.1 1 RefSeq MPFLGQDWRSPGQSWVKTADGWKRFLDEKSGSFVSDLSSYCNKEVYSKENLFSSLNYDVAAKKRKKDIQNSKTKTQYFHQEKWIYVHKGSTKERHGYCTLGEAFNRLDFSTAILDSRRFNYVVRLLELIAKSQLTSLSGIAQKNFMNILEKVVLKVLEDQQNIRLIRELLQTLYTSLCTLVQRVGKSVLVGNINMWVYRMETILHWQQQLNSIQISRPAFKGLTITDLPVCLQLNIMQRLSDGRDLVSLGQAAPDLHVLSEDRLLWKRLCQYHFSERQIRKRLILSDKGQLDWKKMYFKLVRCYPRREQYGVTLQLCKHCHILSWKGTDHPCTANNPESCSVSLSPQDFINLFKF
NP_179919.1 1 638 0.505340909090909 Histone-lysine N-methyltransferase CLF; Polycomb group protein CURLY LEAF; Protein INCURVATA 1; Protein SET DOMAIN GROUP 1; Protein photoperiod insensitive flowering; EC 2.1.1.43 902 0 46 638 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93831 1 SwissProt MASEASPSSSATRSEPPKDSPAEERGPASKEVSEVIESLKKKLAADRCISIKKRIDENKKNLFAITQSFMRSSMERGGSCKDGSDLLVKRQRDSPGMKSGIDESNNNRYVEDGPASSGMVQGSSVPVKISLRPIKMPDIKRLSPYTTWVFLDRNQRMTEDQSVVGRRRIYYDQTGGEALICSDSEEEAIDDEEEKRDFLEPEDYIIRMTLEQLGLSDSVLAELASFLSRSTSEIKARHGVLMKEKEVSESGDNQAESSLLNKDMEGALDSFDNLFCRRCLVFDCRLHGCSQDLIFPAEKPAPWCPPVDENLTCGANCYKTLLKSGRFPGYGTIEGKTGTSSDGAGTKTTPTKFSSKLNGRKPKTFPSESASSNEKCALETSDSENGLQQDTNSDKVSSSPKVKGSGRRVGRKRNKNRVAERVPRKTQKRQKKTEASDSDSIASGSCSPSDAKHKDNEDATSSSQKHVKSGNSGKSRKNGTPAEVSNNSVKDDVPVCQSNEVASELDAPGSDESLRKEEFMGETVSRGRLATNKLWRPLEKSLFDKGVEIFGMNSCLIARNLLSGFKSCWEVFQYMTCSENKASFFGGDGLNPDGSSKFDINGNMVNNQVRRRSRFLRRRGKVRRLKYTWKSAAYHSIR
NP_445872.1 1 138 0.595217391304348 PF06553.12:BNIP3:3:138 BCL2/adenovirus E1B 19 kDa protein-interacting protein 3 187 136 46 138 0 Rattus norvegicus NP_445872.1 1 RefSeq MSQSGEENLQGSWVELHFSNGNGSSVPASVSIYNGDMEKILLDAQHESGRSSSKSSHCDSPPRSQTPQDTNRAEIDTHSFGEKNSTLSEEDYIERRREVESILKKNSDWIWDWSSRPENVPPKEFLFKHPKRTATLSM
NP_567721.1 104 213 0.486214545454545 Dehydration-responsive element-binding protein 1B; Protein DREB1B; C-repeat/dehydration-responsive element-binding factor 1; C-repeat-binding factor 1; CRT/DRE-binding factor 1 213 0 46 110 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93835 1 SwissProt ADSAWRLRIPESTCAKDIQKAAAEAALAFQDETCDTTTTNHGLDMEETMVEAIYTPEQSEGAFYMDEETMFGMPTLLDNMAEGMLLPPPSVQWNHNYDGEGDGDVSLWSY
NP_620570.2 1 2327 0.353512978083369 PF16184.5:Cadherin_3:436:524,PF16184.5:Cadherin_3:541:646,PF16184.5:Cadherin_3:663:754,PF16184.5:Cadherin_3:791:883,PF16184.5:Cadherin_3:893:994,PF16184.5:Cadherin_3:1008:1115,PF16184.5:Cadherin_3:1133:1222,PF16184.5:Cadherin_3:1245:1344,PF16184.5:Cadherin_3:1370:1451,PF16184.5:Cadherin_3:1461:1568,PF16184.5:Cadherin_3:1592:1668,PF16184.5:Cadherin_3:1708:1807,PF16184.5:Cadherin_3:1822:1929,PF16184.5:Cadherin_3:1963:2031,PF02210.24:Laminin_G_2:55:178,PF02210.24:Laminin_G_2:232:364,PF00054.23:Laminin_G_1:55:181,PF00054.23:Laminin_G_1:232:365 Chondroitin sulfate proteoglycan 4; Chondroitin sulfate proteoglycan NG2; Proteoglycan AN2 2327 1585 46 2304 1 Mus musculus (Mouse) SwissProt::Q8VHY0 1 SwissProt MLLGPGHPLSAPALALALTLALLVRSTAPASFFGENHLEVPVPSALTRVDLLLQFSTSQPEALLLLAAGQDDHLLLQLHSGCLQVRLALGQKELKLQTPADTVLSDSAPHTVVLTVSDSWAVLSVDGVLNTSAPIPRASHLKATYGLFVGSSGSLDLPYLKGISRPLRGCLHSAILNGRNLLRPLTSDVHEGCAEEFSAGDEVGLGFSGPHSLAAFPAWSTREEGTLEFTLTTRSQQAPLAFQAGDKRGNFIYVDIFEGHLRAVVEKGQGTMLLRNSVPVADGQPHEVSVHIDVHRLEISVDQYPTRTFNRGVLSYLEPRGSLLLGGLDTEASRHLQEHRLGLAPGAANISLVGCIEDFSVNGRRQGLRDAWLTRDMSAGCRPEEDEYEEEVYGPYETFSTLAPEAWPAMELPEPCIPEPGLPAVFANFTQLLTISPLVVAEGGTAWLEWRHVQPTLDLTEAELRKSQVLFSVSQSARHGDLELDILGAQTRKMFTLLDVVNRKARFVHDGSEDTSDQLMLEVSVTARAPVPSCLRRGQIYILPIQVNPVNDPPRIIFPHGSLMVILEHTQKPLGPEIFQAYDPDSACEGLTFQLLGVSSGVPVEHRDQPGEPATEFSCRELEVGDIVYVHRGGPAQDLTFRVSDGMQASAPATLKVVAVRPAIQILHNTGLHLAQGSAAAILPANLSVETNAVGQDVSVLFRVTGTLQFGELQKQGAGGVEGTEWWDTLAFHQRDVEQGRVRYLSTDPQHHTQDTVEDLILEVQVGQETLSNLSFPVTIQRATVWMLRLEPLHTQNPHQETLTPAHLEASLEEEEEEGSPQPHTFHYELVQAPRRGNLLLQGTRLSDGESFSQSDLQAGRVTYRATMRTSEAADDSFRFRVTSPPHFSPLYTFPIHIGGDPNAPVLTNVLLMVPEGGEGVLSADHLFVKSLNSASYLYEVMEQPHHGKLAWRDPKGKSTPVTSFTNEDLLHGRLVYQHDDSETIEDDIPFVATRQGEGSGDMAWEEVRGVFRVAIQPVNDHAPVQTISRVFHVARGGQRLLTTDDVAFSDADSGFSDAQLVLTRKDLLFGSIVAMEEPTRPIYRFTQEDLRKKQVLFVHSGADHGWLQLQVSDGQHQATAMLEVQASEPYLHVANSSSLVVPQGGQGTIDTAVLQLDTNLDIRSGNEVHYHVTAGPQWGQLLRDGQSVTSFSQRDLLDGAILYSHNGSLSPQDTLAFSVAAGPVHTNTFLQVTIALEGPLAPLQLVQHKKIYVFQGEAAEIRRDQLEVVQEAVLPADIMFSLRSPPNAGYLVMVSHGASAEEPPSLDPVQSFSQEAVNSGRVLYLHSRPGAWSDSFSLDVASGLGDPLEGISVELEVLPTVIPLDVQNFSVPEGGTRTLAPPLVQITGPYFPTLPGLVLQVLEPPQHGALQKEDHSQDGSLSTFSWREVEEQLIRYVHDGSETQTDAFVLLANASEMDRQSQPVAFTITILPVNDQPPVLTTNTGLQIWEGAIVPIPPEALRGTDNDSGPEDLVYTIEQPSNGRIALRVAPDTEVHRFTQAQLDSGLVLFSHRGALEGGFHFDLSDGAHTSPGHFFRVVAQKQALLSLEGTRKLTVCPESVQPLSSQSLSASSSTGADPRHLLYRVVRGPQLGRLLHAQQGSAEEVLVNFTQAEVNAGNILYEHEMSSEPFWEAHDTIGLLLSSPPARDLAATLAVMVSFDAACPQRPSRLWKNKGLWVPEGQRAKITVAALDAANLLASVPASQRSRHDVLFQVTQFPTRGQLLVSEEPLHARRPYFLQSELAAGQLVYAHGGGGTQQDGFRFRAHLQGPTGTSVAGPQTSEAFVITVRDVNERPPQPQASIPLRVTRGSRAPVSRAQLSVVDPDSAPGEIEYEVQRAPHNGFLSLAGDNTGPVTHFTQADVDAGRLAFVANGSSVAGVFQLSMSDGASPPIPMSLAVDVLPSTIEVQLRAPLEVPQALGRTSLSRQQLQVISDREEPDVAYRLTQGPLYGQLLVGGQPASAFSQLQVDQGDVVFVFTNFSSSQDHFKVVALARGVNASATVNVTVQALLHVWAGGPWPQGTTLRLDPTVLDASELANRTGSMPHFRLLAGPRYGRVVRVSQGRTESRSNQLVEHFTQRDLEEGQLGLEVGKPEGRSTGPAGDRLTLELWAKGVPPAVALLDFATEPYHAAKSYSVALLSVPEAVRTETEKPGRSVPTGQPGQAASSPVPTAAKGGFLGFLEANMFSIIIPVCLILLLLALILPLLFYLRKRNKTGKHDVQVLTAKPRNGLAGDTETFRKVEPGQAIPLITVPGQGPPPGGQPDPELLQFCRTPNPALRNGQYWV
NP_851565.4 260 560 0.424912956810631 interleukin-21 receptor isoform 2 precursor 560 0 46 301 0 Homo sapiens NP_851565.4 1 RefSeq LLLLLLLVIVFIPAFWSLKTHPLWRLWKKIWAVPSPERFFMPLYKGCSGDFKKWVGAPFTGSSLELGPWSPEVPSTLEVYSCHPPRSPAKRLQLTELQEPAELVESDGVPKPSFWPTAQNSGGSAYSEERDRPYGLVSIDTVTVLDAEGPCTWPCSCEDDGYPALDLDAGLEPSPGLEDPLLDAGTTVLSCGCVSAGSPGLGGPLGSLLDRLKPPLADGEDWAGGLPWGGRSPGGVSESEAGSPLAGLDMDTFDSGFVGSDCSSPVECDFTSPGDEGPPRSYLRQWVVIPPPLSSPGPQAS
NP_958829.1 1 127 0.524965354330709 Protein ADM2; Intermedin 146 0 46 104 1 Rattus norvegicus (Rat) SwissProt::P61312 1 SwissProt MAQLLMVTVTFGCISLLYLLPGTLSGSLGKGLRPREPPAKIPSSGPQPGHPSLRPVVWKPPHALQPQGRGNPALATVHLPQGGGSRHPGPQRHVGSRRPHAQLLRVGCVLGTCQVQNLSHRLWQLVR
P07825 1 307 0.254131270358306 PF01284.23:MARVEL:16:216 Synaptophysin; Major synaptic vesicle protein p38 307 201 46 218 4 Rattus norvegicus (Rat) SwissProt::P07825 1 SwissProt MDVVNQLVAGGQFRVVKEPLGFVKVLQWVFAIFAFATCGSYTGELRLSVECANKTESALNIEVEFEYPFRLHQVYFDAPSCVKGGTTKIFLVGDYSSSAEFFVTVAVFAFLYSMGALATYIFLQNKYRENNKGPMMDFLATAVFAFMWLVSSSAWAKGLSDVKMATDPENIIKEMPMCRQTGNTCKELRDPVTSGLNTSVVFGFLNLVLWVGNLWFVFKETGWAAPFMRAPPGAPEKQPAPGDAYGDAGYGQGPGGYGPQDSYGPQGGYQPDYGQPASGGGGYGPQGDYGQQGYGQQGAPTSFSNQM 1
P35503 1 284 0.0629253521126761 PF00201.18:UDPGT:29:283 UDP-glucuronosyltransferase 1-3; UDPGT 1-3; UGT1*3; UGT1-03; UGT1.3; UDP-glucuronosyltransferase 1-C; UGT-1C; UGT1C; UDP-glucuronosyltransferase 1A3; EC 2.4.1.17 534 255 46 284 0 Homo sapiens (Human) SwissProt::P35503 1 SwissProt MATGLQVPLPWLATGLLLLLSVQPWAESGKVLVVPIDGSHWLSMREVLRELHARGHQAVVLTPEVNMHIKEENFFTLTTYAISWTQDEFDRHVLGHTQLYFETEHFLKKFFRSMAMLNNMSLVYHRSCVELLHNEALIRHLNATSFDVVLTDPVNLCAAVLAKYLSIPTVFFLRNIPCDLDFKGTQCPNPSSYIPRLLTTNSDHMTFMQRVKNMLYPLALSYICHAFSAPYASLASELFQREVSVVDILSHASVWLFRGDFVMDYPRPIMPNMVFIGGINCANR
P98161 355 3048 0.257963474387528 PF00801.20:PKD:502:567,PF00801.20:PKD:670:762,PF00801.20:PKD:780:847,PF00801.20:PKD:868:930,PF00801.20:PKD:949:1015,PF00801.20:PKD:1032:1101,PF00801.20:PKD:1122:1183,PF00801.20:PKD:1199:1267,PF00801.20:PKD:1284:1353,PF00801.20:PKD:1369:1438,PF00801.20:PKD:1459:1523,PF00801.20:PKD:1539:1607,PF00801.20:PKD:1627:1696,PF00801.20:PKD:1714:1778,PF02010.15:REJ:1817:2260,PF00059.21:Lectin_C:72:177 Polycystin-1; PC1; Autosomal dominant polycystic kidney disease 1 protein 4303 1517 46 2694 0 Homo sapiens (Human) SwissProt::P98161 1 SwissProt APAALELVCPSSVQSDESLDLSIQNRGGSGLEAAYSIVALGEEPARAVHPLCPSDTEIFPGNGHCYRLVVEKAAWLQAQEQCQAWAGAALAMVDSPAVQRFLVSRVTRSLDVWIGFSTVQGVEVGPAPQGEAFSLESCQNWLPGEPHPATAEHCVRLGPTGWCNTDLCSAPHSYVCELQPGGPVQDAENLLVGAPSGDLQGPLTPLAQQDGLSAPHEPVEVMVFPGLRLSREAFLTTAEFGTQELRRPAQLRLQVYRLLSTAGTPENGSEPESRSPDNRTQLAPACMPGGRWCPGANICLPLDASCHPQACANGCTSGPGLPGAPYALWREFLFSVPAGPPAQYSVTLHGQDVLMLPGDLVGLQHDAGPGALLHCSPAPGHPGPRAPYLSANASSWLPHLPAQLEGTWACPACALRLLAATEQLTVLLGLRPNPGLRLPGRYEVRAEVGNGVSRHNLSCSFDVVSPVAGLRVIYPAPRDGRLYVPTNGSALVLQVDSGANATATARWPGGSVSARFENVCPALVATFVPGCPWETNDTLFSVVALPWLSEGEHVVDVVVENSASRANLSLRVTAEEPICGLRATPSPEARVLQGVLVRYSPVVEAGSDMVFRWTINDKQSLTFQNVVFNVIYQSAAVFKLSLTASNHVSNVTVNYNVTVERMNRMQGLQVSTVPAVLSPNATLALTAGVLVDSAVEVAFLWTFGDGEQALHQFQPPYNESFPVPDPSVAQVLVEHNVMHTYAAPGEYLLTVLASNAFENLTQQVPVSVRASLPSVAVGVSDGVLVAGRPVTFYPHPLPSPGGVLYTWDFGDGSPVLTQSQPAANHTYASRGTYHVRLEVNNTVSGAAAQADVRVFEELRGLSVDMSLAVEQGAPVVVSAAVQTGDNITWTFDMGDGTVLSGPEATVEHVYLRAQNCTVTVGAASPAGHLARSLHVLVFVLEVLRVEPAACIPTQPDARLTAYVTGNPAHYLFDWTFGDGSSNTTVRGCPTVTHNFTRSGTFPLALVLSSRVNRAHYFTSICVEPEVGNVTLQPERQFVQLGDEAWLVACAWPPFPYRYTWDFGTEEAAPTRARGPEVTFIYRDPGSYLVTVTASNNISAANDSALVEVQEPVLVTSIKVNGSLGLELQQPYLFSAVGRGRPASYLWDLGDGGWLEGPEVTHAYNSTGDFTVRVAGWNEVSRSEAWLNVTVKRRVRGLVVNASRTVVPLNGSVSFSTSLEAGSDVRYSWVLCDRCTPIPGGPTISYTFRSVGTFNIIVTAENEVGSAQDSIFVYVLQLIEGLQVVGGGRYFPTNHTVQLQAVVRDGTNVSYSWTAWRDRGPALAGSGKGFSLTVLEAGTYHVQLRATNMLGSAWADCTMDFVEPVGWLMVAASPNPAAVNTSVTLSAELAGGSGVVYTWSLEEGLSWETSEPFTTHSFPTPGLHLVTMTAGNPLGSANATVEVDVQVPVSGLSIRASEPGGSFVAAGSSVPFWGQLATGTNVSWCWAVPGGSSKRGPHVTMVFPDAGTFSIRLNASNAVSWVSATYNLTAEEPIVGLVLWASSKVVAPGQLVHFQILLAAGSAVTFRLQVGGANPEVLPGPRFSHSFPRVGDHVVSVRGKNHVSWAQAQVRIVVLEAVSGLQVPNCCEPGIATGTERNFTARVQRGSRVAYAWYFSLQKVQGDSLVILSGRDVTYTPVAAGLLEIQVRAFNALGSENRTLVLEVQDAVQYVALQSGPCFTNRSAQFEAATSPSPRRVAYHWDFGDGSPGQDTDEPRAEHSYLRPGDYRVQVNASNLVSFFVAQATVTVQVLACREPEVDVVLPLQVLMRRSQRNYLEAHVDLRDCVTYQTEYRWEVYRTASCQRPGRPARVALPGVDVSRPRLVLPRLALPVGHYCFVFVVSFGDTPLTQSIQANVTVAPERLVPIIEGGSYRVWSDTRDLVLDGSESYDPNLEDGDQTPLSFHWACVASTQREAGGCALNFGPRGSSTVTIPRERLAAGVEYTFSLTVWKAGRKEEATNQTVLIRSGRVPIVSLECVSCKAQAVYEVSRSSYVYLEGRCLNCSSGSKRGRWAARTFSNKTLVLDETTTSTGSAGMRLVLRRGVLRDGEGYTFTLTVLGRSGEEEGCASIRLSPNRPPLGGSCRLFPLGAVHALTTKVHFECTGWHDAEDAGAPLVYALLLRRCRQGHCEEFCVYKGSLSSYGAVLPPGFRPHFEVGLAVVVQDQLGAAVVALNRSLAITLPEPNGSATGLTVWLHGLTASVLPGLLRQADPQHVIEYSLALVTVLNEYERALDVAAEPKHERQHRAQIRKNITETLVSLRVHTVDDIQQIAAALAQCMGPSRELVCRSCLKQTLHKLEAMMLILQAETTAGTVTPTAIGDSILNITGDLIHLASSDVRAPQPSELGAESPSRMVASQAYNLTSALMRILMRSRVLNEEPLTLAGEEIVAQGKRSDPRSLLCYGGAPGPGCHFSIPEAFSGALANLSDVVQLIFLVDSNPFPFGYISNYTVSTKVASMAFQTQAGAQIPIERLASERAITVKVPNNSDWAARGHRSSANSANSVVVQPQASVGAVVTLDSSNPAAGLHLQLNYTLLDGHYLSEEPEPYLAVYLHSEPRPNEHNCSASRRIRPESLQGADHRPYTFFISPGSRDPAGSYHLNLSSHFRWSALQVSVGLYTSLCQYFSEEDMVWRTEGLLPLEETSPRQAVCLTRHL 1
VIMSS10105742 164 445 0.572363829787234 Chromo domain-containing protein LHP1; Protein LIKE HETEROCHROMATIN PROTEIN 1; Protein TERMINAL FLOWER 2 445 0 46 282 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q946J8 1 SwissProt PGRKRKRKYAGPHSQMKKKQRLTSTSHDATEKSDSSTSLNNSSLPDIPDPLDLSGSSLLNRDVEAKNAYVSNQVEANSGSVGMARQVRLIDNEKEYDPTLNELRGPVNNSNGAGCSQGGGIGSEGDNVRPNGLLKVYPKELDKNSRFIGAKRRKSGSVKRFKQDGSTSNNHTAPTDQNLTPDLTTLDSFGRIARMGNEYPGVMENCNLSQKTKIEELDITKILKPMSFTASVSDNVQEVLVTFLALRSDGKEALVDNRFLKAHNPHLLIEFYEQHLKYNRTP
VIMSS6586447 107 853 0.266487148594378 PF04082.18:Fungal_trans:138:321 Regulatory protein GAL4 881 184 46 747 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P04386 1 SwissProt VTDRLASVETDMPLTLRQHRISATSSSEESSNKGQRQLTVSIDSAAHHDNSTIPLDFMPRDALHGFDWSEEDDMSDGLPFLKTDPNNNGFFGDGSLLCILRSIGFKPENYTNSNVNRLPTMITDRYTLASRSTTSRLLQSYLNNFHPYCPIVHSPTLMMLYNNQIEIASKDQWQILFNCILAIGAWCIEGESTDIDVFYYQNAKSHLTSKVFESGSIILVTALHLLSRYTQWRQKTNTSYNFHSFSIRMAISLGLNRDLPSSFSDSSILEQRRRIWWSVYSWEIQLSLLYGRSIQLSQNTISFPSSVDDVQRTTTGPTIYHGIIETARLLQVFTKIYELDKTVTAEKSPICAKKCLMICNEIEEVSRQAPKFLQMDISTTALTNLLKEHPWLSFTRFELKWKQLSLIIYVLRDFFTNFTQKKSQLEQDQNDHQSYEVKRCSIMLSDAAQRTVMSVSSYMDNHNVTPYFAWNCSYYLFNAVLVPIKTLLSNSKSNAENNETAQLLQQINTVLMLLKKLATFKIQTCEKYIQVLEEVCAPFLLSQCAIPLPHISYNNSNGSAIKNIVGSATIAQYPTLPEENVNNISVKYVSPGSVGPSPVPLKSGASFSDLVKLLSNRPPSRNSPVTIPRSTPSHRSVTPFLGQQQQLQSLVPLTPSALFGGANFNQSGNIADSSLSFTFTNSSNGPNLITTQTNSQALSQPIASSNVHDNFMNNEITASKIDDGNNSKPLSPGWTDQTAYNAFGITT
XP_006521787.1 1 1073 0.323412395153774 PF05729.12:NACHT:440:609,PF17776.1:NLRC4_HD2:738:845 MHC class II transactivator isoform X2 1073 278 46 1073 0 Mus musculus XP_006521787.1 1 RefSeq MNHFQAILAQVQTLLSSQKPRQVRALLDGLLEEELLSREYHCALLHEPDGDALARKISLTLLEKGDLDLTFLSWVCNSLQAPTVERGTSYRDHGDHSLCATMDLGSPEGSYLELLNSDADPLHLYHLYDQMDLAGEEEIELSSEPDTDTINCDQFSKLLQDMELDEETREAYANIAELDQYVFQDTQLEGLSKDLFIEHIGAEEGFGENIEIPVEAGQKPQKRRFPEEHAMDSKHRKLVPTSRTSLNYLDLPTGHIQIFTTLPQGLWQISGAGTGLSSVLIYHGEMPQVNQVLPSSSLSIPSLPESPDRPGSTSPFTPSAADLPSMPEPALTSRVNETEDTSPSPCQEGPESSIKLPKWPEAVERFQHSLQDKYKALPQSPRGPLVAVELVRARLERGSNKSQERELATPDWTERQLAHGGLAEVLQVVSDCRRPGETQVVAVLGKAGQGKSHWARTVSHTWACGQLLQYDFVFYVPCHCLDRPGDTYHLRDLLCPPSLQPLAMDDEVLDYIVRQPDRVLLILDAFEELEAQDGLLHGPCGSLSPEPCSLRGLLAGIFQRKLLRGCTLLLTARPRGRLAQSLSKADAIFEVPSFSTKQAKTYMRHYFENSGTAGNQDKALGLLEGQPLLCSYSHSPVVCRAVCQLSKALLEQGTEAQLPCTLTGLYVSLLGPAAQNSPPGALVELAKLAWELGRRHQSTLQETRFSSVEVKTWAVTQGLMQQTLETTEAQLAFSSFLLQCFLGAVWLAQCNEIKDKELPQYLALTPRKKRPYDNWLEGVPRFLAGLVFQPRAHCLGALVEPAVAAVADRKQKVLTRYLKRLKLGTLRAGRLLELLHCAHETQQPGIWEHVAHQLPGHLSFLGTRLTPPDVYVLGRALETASQDFSLDLRQTGVEPSGLGNLVGLSCVTSFRASLSDTMALWESLQQQGEAQLLQAAEEKFTIEPFKAKSPKDVEDLDRLVQTQRLRNPSEDAAKDLPAIRDLKKLEFALGPILGPQAFPTLAKILPAFSSLQHLDLDSLSENKIGDKGVSKLSATFPQLKALETLKAGYHGCVATVPCEAASFQPVRKGEPST
NP_001155218.1 1 94 0.131268085106383 PF12191.8:stn_TNFRSF12A:1:34,PF12191.8:stn_TNFRSF12A:33:94 tumor necrosis factor receptor superfamily member 12A isoform 2 precursor 94 94 45 48 2 Mus musculus NP_001155218.1 0 RefSeq MASAWPRSLPQILVLGFGLVLMRAAAGEQAPGAAAPPAHFRLLWPILGGALSLVLVLALVSSFLVWRRCRRREKFTTPIEETGGEGCPGVALIQ
NP_001186715.1 29 97 0.150423188405797 peptidyl-prolyl cis-trans isomerase FKBP1A isoform b 97 0 45 69 0 Homo sapiens NP_001186715.1 1 RefSeq DECGSESQTDYISRLCLWCHWAPRHHPTTCHSRLRCGASKTGMTGMASSLSSLFLDLPWRDLVPPDMCT
NP_001197.1 1 132 0.628193181818182 Krueppel-like factor 9; Basic transcription element-binding protein 1; BTE-binding protein 1; GC-box-binding protein 1; Transcription factor BTEB1 244 0 45 132 0 Homo sapiens (Human) SwissProt::Q13886 1 SwissProt MSAAAYMDFVAAQCLVSISNRAAVPEHGVAPDAERLRLPEREVTKEHGDPGDTWKDYCTLVTIAKSLLDLNKYRPIQTPSVCSDSLESPDEDMGSDSDVTTESGSSPSHSPEERQDPGSAPSPLSLLHPGVA
NP_001229392.1 1 262 0.14743358778626 F-box only protein 32 isoform 3 262 0 45 262 0 Homo sapiens NP_001229392.1 1 RefSeq MPFLGQDWRSPGQNWVKTADGWKRFLDEKSGSFVSDLSSYCNKEVYNKENLFNSLNYDVAAKKRKKDMLNSKTKTQYFHQEKWIYVHKGSTKERHGYCTLGEAFNRLDFSTAILDSRRFNYVVRPAFKGLTFTDLPLCLQLNIMQRLSDGRDLVSLGQAAPDLHVLSEDRLLWKKLCQYHFSERQIRKRLILSDKGQLDWKKMYFKLVRCYPRKEQYGDTLQLCKHCHILSWKGTDHPCTANNPESCSVSLSPQDFINLFKF
NP_001239501.1 1 428 0.620472663551402 PF02218.15:HS1_rep:83:117,PF02218.15:HS1_rep:120:155,PF02218.15:HS1_rep:157:192,PF02218.15:HS1_rep:194:228,PF02218.15:HS1_rep:231:266,PF02218.15:HS1_rep:268:292 src substrate cortactin isoform 2 509 203 45 428 0 Mus musculus NP_001239501.1 1 RefSeq MWKASAGHAVSITQDDGGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRENVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDRSAVGHEYQSKLSKHCSQVDSVRGFGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQADRVDKSAVGFDYQGKTEKHESQKDYSKGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYVKGFGGKFGVQTDRQDKCALGWDHQEKLQLHESQKDYAKGFGGKYGVQKDRMDKNASTFEEVVQVPSAYQKTVPIEAVTSKTSNIRANFENLAKEREQEDRRKAEAERAQRMAKERQEQEEARRKLEEQARAKKQTPPASPSPQPIEDRPPSSPIYEDAAPFKAEPSYRGSEPEPEYSIEAAGIPEAGSQQGLTYTSEPV
NP_001273709.1 142 411 0.341007037037037 PF05586.11:Ant_C:176:267,PF05587.13:Anth_Ig:1:99 anthrax toxin receptor 2 isoform 3 411 191 45 247 1 Homo sapiens NP_001273709.1 1 RefSeq TEILELQPSSVCVGEEFQIVLSGRGFMLGSRNGSVLCTYTVNETYTTSVKPVSVQLNSMLCPAPILNKAGETLDVSVSFNGGKSVISGSLIVTATECSNGIAAIIVILVLLLLLGIGLMWWFWPLCCKVVIKDPPPPPAPAPKEEEEEPLPTKKWPTVDASYYGGRGVGGIKRMEVRWGDKGSTEEGARLEKAKNAVVKIPEETEEPIRPRPPRPKPTHQPPQTKWYTPIKGRLDALWALLRRQYDRVSLMRPQEGDEGRCINFSRVPSQ
NP_001319041.1 1 199 0.52012311557789 PF06200.14:tify:122:154 Protein TIFY 10A; Jasmonate ZIM domain-containing protein 1 253 33 45 199 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LMA8 1 SwissProt MSSSMECSEFVGSRRFTGKKPSFSQTCSRLSQYLKENGSFGDLSLGMACKPDVNGTLGNSRQPTTTMSLFPCEASNMDSMVQDVKPTNLFPRQPSFSSSSSSLPKEDVLKMTQTTRSVKPESQTAPLTIFYAGQVIVFNDFSAEKAKEVINLASKGTANSLAKNQTDIRSNIATIANQVPHPRKTTTQEPIQSSPTPLT
NP_033870.1 116 233 0.58083220338983 B-cell lymphoma/leukemia 10; B-cell CLL/lymphoma 10; Bcl-10; CARD-containing molecule enhancing NF-kappa-B; CARD-like apoptotic protein; mCLAP; CED-3/ICH-1 prodomain homologous E10-like regulator; mCIPER; Cellular homolog of vCARMEN; cCARMEN; Cellular-E10; c-E10; Mammalian CARD-containing adapter molecule E10; mE10 233 0 45 118 0 Mus musculus (Mouse) SwissProt::Q9Z0H7 1 SwissProt GLKCSSCEPFAAGATNNLSRCNSDESNLSEKQRASTVMYHPEGESSTAPFFSMASSLNLPVLEVGRTENSSFSSATLPRPGDPGAPPLPPDLRLEEGGSCGNSSEMFLPLRSRALSRQ
NP_033976.1 1 76 0.223844736842105 PF14984.6:CD24:26:75 Signal transducer CD24; Lymphocyte antigen 52; Ly-52; M1/69-J11D heat stable antigen; HSA; Nectadrin; R13-Ag; X62 heat stable antigen; CD24 antigen 76 50 45 76 0 Mus musculus (Mouse) SwissProt::P24807 1 SwissProt MGRAMVARLGLGLLLLALLLPTQIYCNQTSVAPFPGNQNISASPNPSNATTRGGGSSLQSTAGLLALSLSLLHLYC
NP_034958.2 1 214 0.807203738317756 PF04487.12:CITED:1:214 Cbp/p300-interacting transactivator 2; MSG-related protein 1; MRG-1; P35srj 269 214 45 214 0 Mus musculus (Mouse) SwissProt::O35740 1 SwissProt MADHMMAMNHGRFPDGTNGLHHHPAHRMGMGQFPSPHHHQQQQPQHAFNALMGEHIHYGAGNMNATSGIRHAMGPGTVNGGHPPSALAPAARFNNSQFMGPPVASQGGSLPASMQLQKLNNQYFNHHPYPHNHYMPDLHPTAGHQMNGTNQHFRDCNPKHSGGSSTPGGAGGSGTPGGSGGTSGGAGGSSAGGSGGGSTMPASVAHVPAAMLPP
NP_038493.1 1 345 0.305133913043478 PF00031.21:Cystatin:153:237 Alpha-2-HS-glycoprotein 345 85 45 345 0 Mus musculus P29699 1 SwissProt/TReMBL MKSLVLLLCFAQLWGCQSAPQGTGLGFRELACDDPEAEQVALLAVDYLNNHLLQGFKQVLNQIDKVKVWSRRPFGVVYEMEVDTLETTCHALDPTPLANCSVRQLTEHAVEGDCDFHILKQDGQFRVMHTQCHSTPDSAEDVRKLCPRCPLLTPFNDTNVVHTVNTALAAFNTQNNGTYFKLVEISRAQNVPLPVSTLVEFVIAATDCTAKEVTDPAKCNLLAEKQHGFCKANLMHNLGGEEVSVACKLFQTQPQPANANAVGPVPTANAALPADPPASVVVGPVVVPRGLSDHRTYHDLRHAFSPVASVESASGETLHSPKVGQPGAAGPVSPMCPGRIRHFKI
Q13118 1 352 0.476077840909091 Krueppel-like factor 10; EGR-alpha; Transforming growth factor-beta-inducible early growth response protein 1; TGFB-inducible early growth response protein 1; TIEG-1 480 0 45 352 0 Homo sapiens (Human) SwissProt::Q13118 1 SwissProt MLNFGASLQQTAEERMEMISERPKESMYSWNKTAEKSDFEAVEALMSMSCSWKSDFKKYVENRPVTPVSDLSEEENLLPGTPDFHTIPAFCLTPPYSPSDFEPSQVSNLMAPAPSTVHFKSLSDTAKPHIAAPFKEEEKSPVSAPKLPKAQATSVIRHTADAQLCNHQTCPMKAASILNYQNNSFRRRTHLNVEAARKNIPCAAVSPNRSKCERNTVADVDEKASAALYDFSVPSSETVICRSQPAPVSPQQKSVLVSPPAVSAGGVPPMPVICQMVPLPANNPVVTTVVPSTPPSQPPAVCPPVVFMGTQVPKGAVMFVVPQPVVQSSKPPVVSPNGTRLSPIAPAPGFSP
Q8C180 141 508 0.738224184782608 Fibroblast growth factor receptor substrate 2; FGFR substrate 2; FGFR-signaling adaptor SNT; FRS2-alpha; Suc1-associated neurotrophic factor target 1; SNT-1 508 0 45 368 0 Mus musculus (Mouse) SwissProt::Q8C180 1 SwissProt LGAQNLPNGYPRYPSFGDASSHPSSRHPSVGSARLPSVGEESTHPLLVAEEQVHTYVNTTGVQEERKNRASVHVPPEARVSNAESNTPKEEPSNPEDRDPQVLLKPEGVRFVLGPTPVQKQLMEKEKLEQLGKDPVSGSGAGNTEWDTGYDSDERRDVPPVNKLVYENINGLSIPSASGVRRGRLTSTSTSDTQNINNSAQRRPALLNYENLPSLPPVWEARKLSRDEDDNLGPKTPSLNGYHNNLDPMHNYVNTENVTVPASAHKIDYSKRRDCTPTVFNFDIRRPSLEHRQLNYIQVDLEGGSDSDNPQTPKTPTTPLPQTPTRRTELYAVIDIERTAAMSNLQKALPRDDGTSRKTRHNSTDLPM
XP_006527657.1 1 928 0.490767025862069 PF13181.6:TPR_8:207:235,PF13181.6:TPR_8:287:313,PF13181.6:TPR_8:354:382,PF13432.6:TPR_16:109:163 lysine-specific demethylase 6A isoform X1 1476 140 45 928 0 Mus musculus XP_006527657.1 1 RefSeq MKSCGVSLATAAAAAAAAAFGDEEKKMAAGKASGESEEASPSLTAEEREALGGLDSRLFGFVRFHEDGARMKALLGKAVRCYESLILKAEGKVESDFFCQLGHFNLLLEDYPKALSAYQRYYSLQSDYWKNAAFLYGLGLVYFHYNAFQWAIKAFQEVLYVDPSFCRAKEIHLRLGLMFKVNTDYESSLKHFQLALVDCNPCTLSNAEIQFHIAHLYETQRKYHSAKEAYEQLLQTENLSAQVKATILQQLGWMHHTVDLLGDKATKESYAIQYLQKSLEADPNSGQSWYFLGRCYSSIGKVQDAFISYRQSIDKSEASADTWCSIGVLYQQQNQPMDALQAYICAVQLDHGHAAAWMDLGTLYESCNQPQDAIKCYLNATRSKNCSNTSGLAARIKYLQAQLCNLPQGSLQNKTKLLPSIEEAWSLPIPAELTSRQGAMNTAQQACKPHHPNTEPVLGLSQTPISQQSLPLHMIPPSQVDDLSSPAKRKRTSSPTKNTSDNWSGGNAPPPVEQQTHSWCLTPQKLQHLEQLRANRNNLNPAQKLMLEQLESQFVLMQQHQMRQTGVAQVRPTGILNGPTVDSSLPTNSVSGQQPQLPLTRMPSVSQPGVHTACPRQTLANGPFSAGHVPCSTSRTLGSTDTVLIGNNHVTGSGSNGNVPYLQRNAPTLPHNRTNLTSSTEEPWKNQLSNSTQGLHKGPSSHLAGPNGERPLSSTGPSQHLQAAGSGIQNQNGHPTLPSNSVTQGAALNHLSSHTATSGGQQGITLTKESKPSGNTLTVPETSRQTGETPNSTASVEGLPNHVHQVMADAVCSPSHGDSKSPGLLSSDNPQLSALLMGKANNNVGPGTCDKVNNIHPTVHTKTDNSVASSPSSAISTATPSPKSTEQTTTNSVTSLNSPHSGLHTINGEGMEESQSPIKTDLLLVSHR
XP_011509866.1 1 279 0.0349795698924731 glucose-6-phosphatase 2 isoform X1 279 0 45 147 6 Homo sapiens XP_011509866.1 1 RefSeq MDFLHRNGVLIIQHLQKDYRAYYTFLNFMSNVGDPRNIFFIYFPLCFQFNQTVGTKMIWVAVIGDWLNLIFKWILFGHRPYWWVQETQIYPNHSSPCLEQFPTTCETGPGMLVAEAFEHTPGIQTASLGTYLKTNLFLFLFAVGFYLLLRVLNIDLLWSVPIAKKWCANPDWIHIDTTPFAGLVRNLGVLFGLGFAINSEMFLLSCRGGNNYTLSFRLLCALTSLTILQLYHFLQIPTHEEHLFYVLSFCKSASIPLTVVAFIPYSVHMLMKQSGKKSQ
XP_011527818.1 1 334 0.188681736526946 PF07686.17:V-set:2:103 ICOS ligand isoform X4 334 102 45 311 1 Homo sapiens XP_011527818.1 1 RefSeq MVGSDVELSCACPEGSRFDLNDVYVYWQTSESKTVVTYHIPQNSSLENVDSRYRNRALMSPAGMLRGDFSLRLFNVTPQDEQKFHCLVLSQSLGFQEVLSVEVTLHVAANFSVPVVSAPHSPSQDELTFTCTSINGYPRPNVYWINKTDNSLLDQALQNDTVFLNMRGLYDVVSVLRIARTPSVNIGCCIENVLLQQNLTVGSQTGNDIGERDKITENPVSTGEKNAATWSILAVLCLLVVVAVAIGWVCRDRCLQHSYAGAWAVSPETELTVSRHGFEQTTDVLPFILKSSLGASCEPTAFPLPPAAPGPCAHLFIWMLAECTPCSPVWSSIS
XP_016869601.1 1 914 0.433784682713347 RB1-inducible coiled-coil protein 1 isoform X15 1048 0 45 914 0 Homo sapiens XP_016869601.1 1 RefSeq MERDYMKQKNQKGNPLGNYLTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPLLCDFEPLHQHVLALHNLVKAAQSLDEMSQTITDLLSEQKASVSQTSPQSASSPRMESTAGITTTTSPRTPPPLTVQDPLCPAVCPLEELSPDSIDAHTFDFETIPHPNIEQTIHQVSLDLDSLAESPESDFMSAVNEFVIEENLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTNVCGKEDFGDHTSLNVQLERCRVVAQDSHFSIQTIKEDLCHFRTFVQKEQCDFSNSLKCTAVEIRNIIEKVKCSLEITLKEKHQKELLSLKNEYEGKLDGLIKETEENENKIKKLKGELVCLEEVLQNKDNEFALVKHEKEAVICLQNEKDQKLLEMENIMHSQNCEIKELKQSREIVLEDLKKLHVENDEKLQLLRAELQSLEQSHLKELEDTLQVRHIQEFEKVMTDHRVSLEELKKENQQIINQIQESHAEIIQEKEKQLQELKLKVSDLSDTRCKLEVELALKEAETDEIKILLEESRAQQKETLKSLLEQETENLRTEISKLNQKIQDNNENYQVGLAELRTLMTIEKDQCISELISRHEEESNILKAELNKVTSLHNQAFEIEKNLKEQIIELQSKLDSELSALERQKDEKITQQEEKYEAIIQNLEKDRQKLVSSQEQDREQLIQKLNCEKDEAIQTALKEFKLEREVVEKELLEKVKHLENQIAKSPAIDSTRGDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVRTSLIAEQQTNFNTVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEEKKKLEEEVSKLRSSSFVPSPYVATAPELYGACAPELPGESDRSAVETADEGRVDSAMETSMMSVQENIHMLSEEKQ
NP_001120968.1 1 299 0.321159866220736 PF05210.13:Sprouty:164:273 Protein sprouty homolog 4; Spry-4 299 110 44 299 0 Homo sapiens (Human) SwissProt::Q9C004 1 SwissProt MEPPIPQSAPLTPNSVMVQPLLDSRMSHSRLQHPLTILPIDQVKTSHVENDYIDNPSLALTTGPKRTRGGAPELAPTPARCDQDVTHHWISFSGRPSSVSSSSSTSSDQRLLDHMAPPPVADQASPRAVRIQPKVVHCQPLDLKGPAVPPELDKHFLLCEACGKCKCKECASPRTLPSCWVCNQECLCSAQTLVNYGTCMCLVQGIFYHCTNEDDEGSCADHPCSCSRSNCCARWSFMGALSVVLPCLLCYLPATGCVKLAQRGYDRLRRPGCRCKHTNSVICKAASGDAKTSRPDKPF
NP_001265346.1 289 675 0.340015762273902 PF13927.6:Ig_3:55:115,PF13927.6:Ig_3:138:203,PF13895.6:Ig_2:57:129,PF13895.6:Ig_2:141:217,PF00047.25:ig:53:121,PF00047.25:ig:145:207,PF07679.16:I-set:58:124 B-cell receptor CD22 isoform 5 675 157 44 364 1 Homo sapiens NP_001265346.1 1 RefSeq AWEEPSLGVLKIQNVGWDNTTIACAACNSWCSWASPVALNVQYAPRDVRVRKIKPLSEIHSGNSVSLQCDFSSSHPKEVQFFWEKNGRLLGKESQLNFDSISPEDAGSYSCWVNNSIGQTASKAWTLEVLYAPRRLRVSMSPGDQVMEGKSATLTCESDANPPVSHYTWFDWNNQSLPYHSQKLRLEPVKVQHSGAYWCQGTNSVGKGRSPLSTLTVYYSPETIGRRVAVGLGSCLAILILAICGLKLQRRWKRTQSQQGLQENSSGQSFFVRNKKVRRAPLSEGPHSLGCYNPMMEDGISYTTLRFPEMNIPRTGDAESSEMQRPPPDCDDTVTYSALHKRQVGDYENVIPDFPEDEGIHYSELIQFGVGERPQAQENVDYVILKH
NP_001293122.1 313 699 0.48817622739018 FERM domain-containing protein 7 isoform 2 699 0 44 387 0 Homo sapiens NP_001293122.1 1 RefSeq YPSQYHERQCRSSPDLLSDVSKQVEDLRLAYGGGYYQNVNGVHASEPVLESRRRNSALEVTFATELEHSKPEADPTLLHQSQSSSSFPFIYMDPVFNTEPNPNPDPRDIFSERSSLSSFQTSCKFSGNHMSIYSGLTSKVRPAKQLTYTDVPYIPCTGQQVGIMPPQVFFYVDKPPQVPRWSPIRAEERTSPHSYVEPTAMKPAERSPRNIRMKSFQQDLQVLQEAIARTSGRSNINVGLEEEDPNLEDAFVCNIQEQTPKRSQSQSDMKTIRFPFGSEFRPLGPCPALSHKADLFTDMFAEQELPAVLMDQSTAERYVASESSDSESEILKPDYYALYGKEIRSPMARIRLSSGSLQLDEEDEDAYFNTPTAEDRTSLKPCNYFLA
NP_003588.1 1 377 0.450488859416445 Krueppel-like factor 11; Transforming growth factor-beta-inducible early growth response protein 2; TGFB-inducible early growth response protein 2; TIEG-2 512 0 44 377 0 Homo sapiens (Human) SwissProt::O14901 1 SwissProt MHTPDFAGPDDARAVDIMDICESILERKRHDSERSTCSILEQTDMEAVEALVCMSSWGQRSQKGDLLRIRPLTPVSDSGDVTTTVHMDAATPELPKDFHSLSTLCITPPQSPDLVEPSTRTPVSPQVTDSKACTATDVLQSSAVVARALSGGAERGLLGLEPVPSSPCRAKGTSVIRHTGESPAACFPTIQTPDCRLSDSREGEEQLLGHFETLQDTHLTDSLLSTNLVSCQPCLHKSGGLLLTDKGQQAGWPGAVQTCSPKNYENDLPRKTTPLISVSVPAPPVLCQMIPVTGQSSMLPAFLKPPPQLSVGTVRPILAQAAPAPQPVFVGPAVPQGAVMLVLPQGALPPPAPCAANVMAAGNTKLLPLAPAPVFIT
NP_005020.1 128 302 0.279299428571428 PF03826.17:OAR:131:148 Pituitary homeobox 3; Homeobox protein PITX3; Paired-like homeodomain transcription factor 3 302 18 44 175 0 Homo sapiens (Human) SwissProt::O75364 1 SwissProt LCKGSFAAPLGGLVPPYEEVYPGYSYGNWPPKALAPPLAAKTFPFAFNSVNVGPLASQPVFSPPSSIAASMVPSAAAAPGTVPGPGALQGLGGGPPGLAPAAVSSGAVSCPYASAAAAAAAAASSPYVYRDPCNSSLASLRLKAKQHASFSYPAVHGPPPAANLSPCQYAVERPV
NP_036026.1 1 313 0.412055910543131 PF05210.13:Sprouty:180:289 protein sprouty homolog 1 313 110 44 313 0 Mus musculus NP_036026.1 1 RefSeq MDSPSQHGSHTSLVVIQPPAVEGRQRLDYDRDTQPATILSLDQIKAIRGSNEYTEGPSVARRPAPRTAPRPEKQERTHEIIPANVNSSYEHRPASHPGNARGSVLSRSTSTGSAASSGSSSSVSSEQGLLGRSPPTRPIPGHRSDRVIRTQPKQLLVEDLKASLKEDPTQHKFICEQCGKCKCGECTAPRALPSCLACDRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDDGGSYSDNPCSCSQSHCCSRYLCMGALSLCLPCLLCYPPAKGCLKLCRGCYDWTHRPGCRCRNSNTVYCKLESCPSRAQGKLS
NP_036364.2 154 390 0.550952320675106 PF15237.6:PTRF_SDPR:1:167 Caveolae-associated protein 1; Cavin-1; Polymerase I and transcript release factor 390 167 44 237 0 Homo sapiens (Human) SwissProt::Q6NZI2 1 SwissProt MIYQDEVKLPAKLSISKSLKESEALPEKEGEELGEGERPEEDAAALELSSDEAVEVEEVIEESRAERIKRSGLRRVDDFKKAFSKEKMEKTKVRTRENLEKTRLKTKENLEKTRHTLEKRMNKLGTRLVPAERREKLKTSRDKLRKSFTPDHVVYARSKTAVYKVPPFTFHVKKIREGQVEVLKATEMVEVGADDDEGGAERGEAGDLRRGSSPDVHALLEITEESDAVLVDKSDSD
NP_176426.1 1 283 0.440120141342756 PF03790.13:KNOX1:121:159,PF03791.13:KNOX2:174:220,PF03789.13:ELK:262:283 Homeobox protein SHOOT MERISTEMLESS 382 108 44 283 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38874 1 SwissProt MESGSNSTSCPMAFAGDNSDGPMCPMMMMMPPIMTSHQHHGHDHQHQQQEHDGYAYQSHHQQSSSLFLQSLAPPQGTKNKVASSSSPSSCAPAYSLMEIHHNEIVAGGINPCSSSSSSASVKAKIMAHPHYHRLLAAYVNCQKVGAPPEVVARLEEACSSAAAAAASMGPTGCLGEDPGLDQFMEAYCEMLVKYEQELSKPFKEAMVFLQRVECQFKSLSLSSPSSFSGYGETAIDRNNNGSSEEEVDMNNEFVDPQAEDRELKGQLLRKYSGYLGSLKQEFM
NP_176575.1 123 370 0.459709677419355 myb domain protein 103 370 0 44 248 0 Arabidopsis thaliana NP_176575.1 1 RefSeq YSRHQPSVTTVTLNADTTSIATTIEASTTTTSTIDNLHFDGFTDSPNQLNFTNDQETNIKIQETFFSHKPPLFMVDTTLPILEGMFSENIITNNNKNNDHDDTQRGGRENVCEQAFLTTNTEEWDMNLRQQEPFQVPTLASHVFNNSSNSNIDTVISYNLPALIEGNVDNIVHNENSNVQDGEMASTFECLKRQELSYDQWDDSQQCSNFFFWDNLNINVEGSSLVGNQDPSMNLGSSALSSSFPSSF
NP_199727.1 1 945 0.320952486772486 PF12047.8:DNMT1-RFD:79:213,PF12047.8:DNMT1-RFD:387:534,PF01426.18:BAH:735:869 DNA (cytosine-5)-methyltransferase 1; DNA methyltransferase 01; DNA methyltransferase 2; DNA methyltransferase AthI; DNA Metase AthI; M.AthI; DNA methyltransferase DDM2; Protein DECREASED DNA METHYLATION 2; EC 2.1.1.37 1534 418 44 945 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P34881 1 SwissProt MVENGAKAAKRKKRPLPEIQEVEDVPRTRRPRRAAACTSFKEKSIRVCEKSATIEVKKQQIVEEEFLALRLTALETDVEDRPTRRLNDFVLFDSDGVPQPLEMLEIHDIFVSGAILPSDVCTDKEKEKGVRCTSFGRVEHWSISGYEDGSPVIWISTELADYDCRKPAASYRKVYDYFYEKARASVAVYKKLSKSSGGDPDIGLEELLAAVVRSMSSGSKYFSSGAAIIDFVISQGDFIYNQLAGLDETAKKHESSYVEIPVLVALREKSSKIDKPLQRERNPSNGVRIKEVSQVAESEALTSDQLVDGTDDDRRYAILLQDEENRKSMQQPRKNSSSGSASNMFYIKINEDEIANDYPLPSYYKTSEEETDELILYDASYEVQSEHLPHRMLHNWALYNSDLRFISLELLPMKQCDDIDVNIFGSGVVTDDNGSWISLNDPDSGSQSHDPDGMCIFLSQIKEWMIEFGSDDIISISIRTDVAWYRLGKPSKLYAPWWKPVLKTARVGISILTFLRVESRVARLSFADVTKRLSGLQANDKAYISSDPLAVERYLVVHGQIILQLFAVYPDDNVKRCPFVVGLASKLEDRHHTKWIIKKKKISLKELNLNPRAGMAPVASKRKAMQATTTRLVNRIWGEFYSNYSPEDPLQATAAENGEDEVEEEGGNGEEEVEEEGENGLTEDTVPEPVEVQKPHTPKKIRGSSGKREIKWDGESLGKTSAGEPLYQQALVGGEMVAVGGAVTLEVDDPDEMPAIYFVEYMFESTDHCKMLHGRFLQRGSMTVLGNAANERELFLTNECMTTQLKDIKGVASFEIRSRPWGHQYRKKNITADKLDWARALERKVKDLPTEYYCKSLYSPERGGFFSLPLSDIGRSSGFCTSCKIREDEEKRSTIKLNVSKTGFFINGIEYSVEDFVYVNPDSIGGLKEGSKTSFKSGRNIGLRA
NP_460064.1 182 561 0.33642 PF05925.12:IpgD:1:378 Inositol phosphate phosphatase SopB; Effector protein SopB; EC 3.1.3.- 561 378 44 380 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::O30916 1 SwissProt GHHYTNTQLPAAEMKIGAKDIFPSAYEGKGVCSWDTKNIHHANNLWMSTVSVHEDGKDKTLFCGIRHGVLSPYHEKDPLLRHVGAENKAKEVLTAALFSKPELLNKALAGEAVSLKLVSVGLLTASNIFGKEGTMVEDQMRAWQSLTQPGKMIHLKIRNKDGDLQTVKIKPDVAAFNVGVNELALKLGFGLKASDSYNAEALHQLLGNDLRPEARPGGWVGEWLAQYPDNYEVVNTLARQIKDIWKNNQHHKDGGEPYKLAQRLAMLAHEIDAVPAWNCKSGKDRTGMMDSEIKREIISLHQTHMLSAPGSLPDSGGQKIFQKVLLNSGNLEIQKQNTGGAGNKVMKNLSPEVLNLSYQKRVGDENIWQSVKGISSLITS
NP_564919.1 173 619 0.227081879194631 PF13418.6:Kelch_4:133:183,PF13418.6:Kelch_4:184:235,PF13418.6:Kelch_4:236:284,PF13415.6:Kelch_3:142:191,PF13415.6:Kelch_3:198:245,PF13415.6:Kelch_3:247:298,PF07646.15:Kelch_2:352:399,PF00646.33:F-box:39:78 Adagio protein 3; F-box only protein 2a; FBX2a; Flavin-binding kelch repeat F-box protein 1 619 254 44 447 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9W9 1 SwissProt DRVSYPVFKHKQQLDQTSECLFPSGSPRFKEHHEDFCGILQLSDEVLAHNILSRLTPRDVASIGSACRRLRQLTKNESVRKMVCQNAWGKEITGTLEIMTKKLRWGRLARELTTLEAVCWRKFTVGGIVQPSRCNFSACAVGNRLVLFGGEGVNMQPLDDTFVLNLDAECPEWQRVRVTSSPPGRWGHTLSCLNGSWLVVFGGCGRQGLLNDVFVLDLDAKHPTWKEVAGGTPPLPRSWHSSCTIEGSKLVVSGGCTDAGVLLSDTFLLDLTTDKPTWKEIPTSWAPPSRLGHSLSVFGRTKILMFGGLANSGHLKLRSGEAYTIDLEDEEPRWRELECSAFPGVVVPPPRLDHVAVSMPCGRVIIFGGSIAGLHSPSQLFLIDPAEEKPSWRILNVPGKPPKLAWGHSTCVVGGTRVLVLGGHTGEEWILNELHELCLASRQDSDL
O81283 1 835 0.619981916167665 Translocase of chloroplast 159, chloroplastic; AtToc159; 159 kDa chloroplast outer envelope protein; Plastid protein import 2; Translocase of chloroplast 160, chloroplastic; AtToc160; Translocase of chloroplast 86, chloroplastic; AtToc86; EC 3.6.5.- 1503 0 44 835 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81283 1 SwissProt MDSKSVTPEPTNPFYASSGQSGKTYASVVAAAAAAAADKEDGGAVSSAKELDSSSEAVSGNSDKVGADDLSDSEKEKPNLVGDGKVSDEVDGSLKEDSTTPEATPKPEVVSGETIGVDDVSSLSPKPEAVSDGVGVVEENKKVKEDVEDIKDDGESKIENGSVDVDVKQASTDGESESKVKDVEEEDVGTKKDDEGESELGGKVDVDDKSDNVIEEEGVELTDKGDVIVNSSPVESVHVDVAKPGVVVVGDAEGSEELKINADAETLEVANKFDQIGDDDSGEFEPVSDKAIEEVEEKFTSESDSIADSSKLESVDTSAVEPEVVAAESGSEPKDVEKANGLEKGMTYAEVIKAASAVADNGTKEEESVLGGIVDDAEEGVKLNNKGDFVVDSSAIEAVNVDVAKPGVVVVGDVEVSEVLETDGNIPDVHNKFDPIGQGEGGEVELESDKATEEGGGKLVSEGDSMVDSSVVDSVDADINVAEPGVVVVGAAKEAVIKEDDKDDEVDKTISNIEEPDDLTAAYDGNFELAVKEISEAAKVEPDEPKVGVEVEELPVSESLKVGSVDAEEDSIPAAESQFEVRKVVEGDSAEEDENKLPVEDIVSSREFSFGGKEVDQEPSGEGVTRVDGSESEEETEEMIFGSSEAAKQFLAELEKASSGIEAHSDEANISNNMSDRIDGQIVTDSDEDVDTEDEGEEKMFDTAALAALLKAATGGGSSEGGNFTITSQDGTKLFSMDRPAGLSSSLRPLKPAAAPRANRSNIFSNSNVTMADETEINLSEEEKQKLEKLQSLRVKFLRLLQRLGHSAEDSIAAQVLYRLALLAGRQAGQLFSLD
P36046 1 248 0.785623790322581 Mitochondrial intermembrane space import and assembly protein 40; Mitochondrial import inner membrane translocase TIM40 403 0 44 228 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36046 1 SwissProt MLRNLVVRNACRNRPSIQVARGLCRHQTRRLMASSPQFGRNSNQEKTAGFIMGILSMAGALYFIAPNRKPLFASRKVESDKTAEEELSSGGEQSPENEDDNNSKSDENGDDNDSKNDETEAGPQLGGDKIGASKVAEDGELVVLAEEDNKSSEDKDTDESKVSTKDDEQSNEDNATANNQKDENISSENSEENTSDKTLDNNAGSSEKKDPEHSDDEKSQQGQSDDKTTTEDNNGEEESSKKTVSDSE
Q62356 99 306 0.267334134615385 Follistatin-related protein 1 306 0 44 208 0 Mus musculus Q62356 1 SwissProt/TReMBL KKSASPSASPVVCYQANRDELRRRLIQWLEAEIIPDGWFSKGSNYSEILDKYFKSFDNGDSHLDSSEFLKFVEQNETAINITTYADQENNKLLRSLCVDALIELSDENADWKLSFQEFLKCLNPSFNPPEKKCALEDETYADGAETEVDCNRCVCSCGHWVCTAMTCDGKNQKGVQTHTEEEKTGYVQELQKHQGTAEKTKKVNTKEI
VIMSS10095165 1 310 0.10182 PF00067.22:p450:29:302 Bifunctional dihydrocamalexate synthase/camalexin synthase; Cytochrome P450 71B15; Dihydrocamalexate:NADP(+) oxidoreductase (decarboxylating); Protein PHYTOALEXIN DEFICIENT 3; EC 1.14.19.52 490 274 44 290 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LW27 1 SwissProt MSVFLCFLVLLPLILIFLNVLKPSKYKLPPGPKKLPIIGNLHQRRTLHPRNRRNLAEMYGPVALLQYGFVPVVAISSKEAAEEVLKINDLECCSRPEAAGMRATFYNFKDIGMAPFGDEWSLMRKLSVVELFSVKKLQSFKYIIEEENNLCVKKLSEFATRQSPVNLERAIFTLVGNIVCRIGYGINLYECDFFEADRVVDLVLKAEAVIRETVFSDFFPGRIGRFIDCISGQNRRLKNNFSVVDTFFQNVLNEHLKPGRESSTIVDLMIDMKKKQENDGDALKFTTDHLKGMISDIFVAGIGGVAGITL
VIMSS10108953 1 291 0.333851202749141 PF14144.6:DOG1:28:106 Protein DELAY OF GERMINATION 1; GLUCOSE SENSING QTL 5 291 79 44 291 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A0SVK0 1 SwissProt MGSSSKNIEQAQDSYLEWMSLQSQRIPELKQLLAQRRSHGDEDNDNKLRKLTGKIIGDFKNYAAKRADLAHRCSSNYYAPTWNSPLENALIWMGGCRPSSFFRLVYALCGSQTEIRVTQFLRNIDGYESSGGGGGASLSDLSAEQLAKINVLHVKIIDEEEKMTKKVSSLQEDAADIPIATVAYEMENVGEPNVVVDQALDKQEEAMARLLVEADNLRVDTLAKILGILSPVQGADFLLAGKKLHLSMHEWGTMRDRRRRDCMVDTEVIFDACTTVNSGPRPTETTNNERN
XP_006520667.1 111 436 0.222383435582822 PF06456.13:Arfaptin:52:262 PRKCA-binding protein isoform X1 436 211 44 326 0 Mus musculus XP_006520667.1 1 RefSeq GMSLDIVLKKVKHRLVENMSSGTADALGLSRAILCNGLLFSLGLLSPQMPLVLTSTDGLVKRLEELERTAELYKGMTEHTKNLLRAFYELSQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLNKAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRCRQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRFVSTMSKYYNDCYAVLQDADVFPIEVDLAHTTLAYGPNQGSFTDGEEEDEEEEDGAAREVSKDACGATGPTDKGGSWCDS
XP_006538033.1 176 600 0.653778588235294 PF08599.10:Nbs1_C:355:418 nibrin isoform X3 600 64 44 425 0 Mus musculus XP_006538033.1 1 RefSeq GQPCTELKTTTPGPSLSQVLSANGKIIPSAPVNMTTYVADTESEPADTCMPLSERPEEVKIPGLEQSSRKLSQETFNIKEAPKPSSKANNVASDTLVRGKTPSYQLSPMKFPVANKNKDWTSQQQQNSIKNYFQPCTRKRERDEDNPELSSCKSSRMELSCSLLEQTQPAGPSLWKSKEHQSQNATLDREADTSSVGGMDIELNRKSPDRKPLPTETLRPRKRKDVDLATEEEVLEELLRSTKPELAVQVKVEKQEADDTIRKKPRMDAERNRPLNGGSEPESNSALQEDEREKKDELQTESWSTKHEIANSDGLQDSSEELPRKLLLTEFRSLVVSNHNSTSRNLCVNECGPLKNFKKFKKATFPGAGKLPHIIGGSDLVGHHARKNTELEEWLKQEMEVQKQQAKEESLADDLFRYNPNVKRR
XP_011509370.1 1 249 0.411593172690763 homeobox protein Hox-D13 isoform X1 324 0 44 249 0 Homo sapiens XP_011509370.1 1 RefSeq MTAGNKNLSGLPEGRGAGKAVAAAKPRVCRCRSLALLLRGGLRAIGADNLRSRLGTHACSRAGAAGCSGTVGPRKPGLRASGSLSSGEVRFPRVQVSLHKGRLRFRALGRPASSSLSLPGLSGCFCLSSSHSRRNPAPHGGRSWCGSWGILSSWARSTQTHRLPRVPVPSDATGKLAGTSSARRGELRAAGPGSGAEHCPSASLSAPPFVLGHFPHLHPFALPVRTMWIPHRDNGLCGMEIGDVALNQP
XP_016874825.1 1 120 0.5534175 cyclic AMP-dependent transcription factor ATF-1 isoform X3 177 0 44 120 0 Homo sapiens XP_016874825.1 1 RefSeq MSVPTPIYQTSSGQYIAIAPNGALQLASPGTDGVQGLQTLTMTNSGSTQQGTTILQYAQTSDGQQILVPSNQVVVQTASGDMQTYQIRTTPSATSLPQTVVMTSPVTLTSQTTKTDDPQL
XP_016875382.1 1 519 0.635104431599229 transcription factor SOX-5 isoform X5 751 0 44 519 0 Homo sapiens XP_016875382.1 1 RefSeq MSSKRPASPYGEADGEVAMVTSRQKVEEEESDGLPAFHLPLHVSFPNKPHSEEFQPVSLLTQETCGHRTPTSQHNTMEVDGNKVMSSFAPHNSSTSPQKAEEGGRQSGESLSSTALGTPERRKGSLADVVDTLKQRKMEELIKNEPEETPSIEKLLSKDWKDKLLAMGSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQLLAAHDEQKKLAASQIEKQRQQMELAKQQQEQIARQQQQLLQQQHKINLLQQQIQQVQGQLPPLMIPVFPPDQRTLAAAAQQGFLLPPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGPLQLQQLYAAQLAAMQVSPGGKLPGIPQGNLGAAVSPTSIHTDKSTNSPPPKSKDEVAQPLNLSAKPKTSDGKSPTSPTSPHMPALRINSGAGPLKASVPAALASPSARVSTIGYLNDHDAVTKAIQEARQMKEQLRREQQVLDGKVAVVNSLGLNNCRTEKEKTTLESLTQQLAVKQNEEGKFSHAMMDFNLSGDS
NP_001171525.1 1 103 0.447066990291262 PF03823.14:Neurokinin_B:1:54 tachykinin-3 isoform 2 precursor 103 54 43 103 0 Homo sapiens NP_001171525.1 1 RefSeq MRIMLLFTAILAFSLAQSFGAVCKEPQEEVVPGGGRSKRDPDLYQLLQRLFKSHSSLEGLLKALSQASTDPKESTSPEKHSPTDVNQENVPSFGILKYPPRAE
NP_001177667.1 1 446 0.45887331838565 PF00859.18:CTF_NFI:235:441,PF10524.9:NfI_DNAbd_pre-N:37:73,PF03165.16:MH1:96:197 nuclear factor 1 B-type isoform 2 446 346 43 446 0 Homo sapiens NP_001177667.1 1 RefSeq MERIPVSVDFWVVCCAVLKCNPGIPKRMSTLCFGFSDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFLAYYVQEQDSGQSGSPSHNDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFPIGEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPSSPAAGSRTWHERDQDMSSPTTMKKPEKPLFSSASPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPPPPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQSWYLG
NP_001276.3 1 914 0.30267964989059 PF08434.11:CLCA:25:289,PF13519.6:VWA_2:308:414,PF00092.28:VWA:307:454 Calcium-activated chloride channel regulator 1; Calcium-activated chloride channel family member 1; hCLCA1; Calcium-activated chloride channel protein 1; CaCC-1; hCaCC-1; EC 3.4.-.- 914 413 43 914 0 Homo sapiens (Human) SwissProt::A8K7I4 1 SwissProt MGPFKSSVFILILHLLEGALSNSLIQLNNNGYEGIVVAIDPNVPEDETLIQQIKDMVTQASLYLLEATGKRFYFKNVAILIPETWKTKADYVRPKLETYKNADVLVAESTPPGNDEPYTEQMGNCGEKGERIHLTPDFIAGKKLAEYGPQGRAFVHEWAHLRWGVFDEYNNDEKFYLSNGRIQAVRCSAGITGTNVVKKCQGGSCYTKRCTFNKVTGLYEKGCEFVLQSRQTEKASIMFAQHVDSIVEFCTEQNHNKEAPNKQNQKCNLRSTWEVIRDSEDFKKTTPMTTQPPNPTFSLLQIGQRIVCLVLDKSGSMATGNRLNRLNQAGQLFLLQTVELGSWVGMVTFDSAAHVQNELIQINSGSDRDTLAKRLPAAASGGTSICSGLRSAFTVIRKKYPTDGSEIVLLTDGEDNTISGCFNEVKQSGAIIHTVALGPSAAQELEELSKMTGGLQTYASDQVQNNGLIDAFGALSSGNGAVSQRSIQLESKGLTLQNSQWMNGTVIVDSTVGKDTLFLITWTMQPPQILLWDPSGQKQGGFVVDKNTKMAYLQIPGIAKVGTWKYSLQASSQTLTLTVTSRASNATLPPITVTSKTNKDTSKFPSPLVVYANIRQGASPILRASVTALIESVNGKTVTLELLDNGAGADATKDDGVYSRYFTTYDTNGRYSVKVRALGGVNAARRRVIPQQSGALYIPGWIENDEIQWNPPRPEINKDDVQHKQVCFSRTSSGGSFVASDVPNAPIPDLFPPGQITDLKAEIHGGSLINLTWTAPGDDYDHGTAHKYIIRISTSILDLRDKFNESLQVNTTALIPKEANSEEVFLFKPENITFENGTDLFIAIQAVDKVDLKSEISNIARVSLFIPPQTPPETPSPDETSAPCPNIHINSTIPGIHILKIMWKWIGELQLSIA
NP_001284365.1 1 577 0.0739455805892549 PF13641.6:Glyco_tranf_2_3:203:379,PF03142.15:Chitin_synth_2:227:381,PF13632.6:Glyco_trans_2_3:232:438,PF13506.6:Glyco_transf_21:218:380 hyaluronan synthase 1 isoform 2 577 236 43 416 7 Homo sapiens NP_001284365.1 1 RefSeq MRQDAPKPTPAACRCSGLARRVLTIAFALLILGLMTWAYAAGVPLASDRYGLLAFGLYGAFLSAHLVAQSLFAYLEHRRVAAAARGPLDAATARSVALTISAYQEDPAYLRQCLASARALLYPRARLRVLMVVDGNRAEDLYMVDMFREVFADEDPATYVWDGNYHQPWEPAAAGAVGAGAYREVEAEDPGRLAVEALVRTRRCVCVAQRWGGKREVMYTAFKALGDSVDYVQVCDSDTRLDPMALLELVRVLDEDPRVGAVGGDVRILNPLDSWVSFLSSLRYWVAFNVERACQSYFHCVSCISGPLGLYRNNLLQQFLEAWYNQKFLGTHCTFGDDRHLTNRMLSMGYATKYTSRSRCYSETPSSFLRWLSQQTRWSKSYFREWLYNALWWHRHHAWMTYEAVVSGLFPFFVAATVLRLFYAGRPWALLWVLLCVQGVALAKAAFAAWLRGCLRMVLLSLYAPLYMCGLLPAKFLALVTMNQSGWGTSGRRKLAANYVPLLPLALWALLLLGGLVRSVAHEARADWSGPSRAAEAYHLAAGAGAYVGYWVAMLTLYWVGVRRLCRRRTGGYRVQV
NP_001295130.1 1 395 0.890636202531645 PF05030.12:SSXT:1:50 protein SSXT isoform 3 395 50 43 395 0 Homo sapiens NP_001295130.1 1 RefSeq MLDDNNHLIQCIMDSQNKGKTSECSQYQQMLHTNLVYLATIADSNQNMQSLLPAPPTQNMPMGPGGMNQSGPPPPPRSHNMPSDGMVGGGPPAPHMQNQMNGQMPGPNHMPMQGPGPNQLNMTNSSMNMPSSSHGSMGGYNHSVPSSQSMPVQNQMTMSQGQPMGNYGPRPNMSMQPNQGPMMHQQPPSQQYNMPQGGGQHYQGQQPPMGMMGQVNQGNHMMGQRQIPPYRPPQQGPPQQYSGQEDYYGDQYSHGGQGPPEGMNQQYYPDGHNDYGYQQPSYPEQGYDRPYEDSSQHYYEGGNSQYGQQQDAYQGPPPQQGYPPQQQQYPGQQGYPGQQQGYGPSQGGPGPQYPNYPQGQGQQYGGYRPTQPGPPQPPQQRPYGYDQGQYGNYQQ
NP_002190.2 116 349 0.625654273504273 Interferon regulatory factor 2; IRF-2 349 0 43 234 0 Homo sapiens (Human) SwissProt::P14316 1 SwissProt ERPSKKGKKPKTEKEDKVKHIKQEPVESSLGLSNGVSDLSPEYAVLTSTIKNEVDSTVNIIVVGQSHLDSNIENQEIVTNPPDICQVVEVTTESDEQPVSMSELYPLQISPVSSYAESETTDSVPSDEESAEGRPHWRKRNIEGKQYLSNMGTRGSYLLPGMASFVTSNKPDLQVTIKEESNPVPYNSSWPPFQDLPLSSSMTPASSSSRPDRETRASVIKKTSDITQARVKSC
NP_005514.1 1 233 0.546541630901287 PF12045.8:DUF3528:25:161 Homeobox protein Hox-A11; Homeobox protein Hox-1I 313 137 43 233 0 Homo sapiens (Human) SwissProt::P31270 1 SwissProt MDFDERGPCSSNMYLPSCTYYVSGPDFSSLPSFLPQTPSSRPMTYSYSSNLPQVQPVREVTFREYAIEPATKWHPRGNLAHCYSAEELVHRDCLQAPSAAGVPGDVLAKSSANVYHHPTPAVSSNFYSTVGRNGVLPQAFDQFFETAYGTPENLASSDYPGDKSAEKGPPAATATSAAAAAAATGAPATSSSDSGGGGGCRETAAAAEEKERRRRPESSSSPESSSGHTEDKA
NP_014414.3 1 222 0.298070720720721 PF02466.19:Tim17:98:207 Mitochondrial import inner membrane translocase subunit TIM23; Membrane import machinery protein MIM23; Mitochondrial protein import protein 3; Mitochondrial protein import protein MAS6 222 110 43 222 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32897 1 SwissProt MSWLFGDKTPTDDANAAVGGQDTTKPKELSLKQSLGFEPNINNIISGPGGMHVDTARLHPLAGLDKGVEYLDLEEEQLSSLEGSQGLIPSRGWTDDLCYGTGAVYLLGLGIGGFSGMMQGLQNIPPNSPGKLQLNTVLNHITKRGPFLGNNAGILALSYNIINSTIDALRGKHDTAGSIGAGALTGALFKSSKGLKPMGYSSAMVAAACAVWCSVKKRLLEK
NP_031557.2 1 187 0.146371657754011 PF01145.25:Band_7:42:186 Prohibitin-2; B-cell receptor-associated protein BAP37; Repressor of estrogen receptor activity 299 145 43 187 0 Mus musculus (Mouse) SwissProt::O35129 1 SwissProt MAQNLKDLAGRLPAGPRGMGTALKLLLGAGAVAYGVRESVFTVEGGHRAIFFNRIGGVQQDTILAEGLHFRIPWFQYPIIYDIRARPRKISSPTGSKDLQMVNISLRVLSRPNAQELPSMYQRLGLDYEERVLPSIVNEVLKSVVAKFNASQLITQRAQVSLLIRRELTERAKDFSLILDDVAITEL
NP_036075.2 1 748 0.474377272727273 PF04434.17:SWIM:333:359 mitogen-activated protein kinase kinase kinase 1 1493 27 43 748 0 Mus musculus NP_036075.2 1 RefSeq MAAAAGDRASSSGFPGAAAASPEAGGGGGGGGALQGSGAPAAGAAGLLREPGSAGRERADWRRRQLRKVRSVELDQLPEQPLFLAAASPPCPSTSPSPEPADAAAGASRFQPAAGPPPPGAASRCGSHSAELAAARDSGARSPAGAEPPSAAAPSGREMENKETLKGLHKMEDRPEERMIREKLKATCMPAWKHEWLERRNRRGPVVVKPIPIKGDGSEMNNLAAEPQGEGQAGSAAPAPKGRRSPSPGSSPSGRSVKPESPGVRRKRVSPVPFQSGRITPPRRAPSPDGFSPYSPEETSRRVNKVMRARLYLLQQIGPNSFLIGGDSPDNKYRVFIGPQNCSCGRGAFCIHLLFVMLRVFQLEPSDPMLWRKTLKNFEVESLFQKYHSRRSSRIKAPSRNTIQKFVSRMSNCHTLSSSSTSTSSSENSIKDEEEQMCPICLLGMLDEESLTVCEDGCRNKLHHHCMSIWAEECRRNREPLICPLCRSKWRSHDFYSHELSSPVESPTSLRAVQQPSSPQQPVAGSQRRNQESSFNLTHFGTQQIPSAYKDLAEPWIQVFGMELVGCLFSRNWNVREMALRRLSHDVSGALLLANGESTGNSGGGSGGSLSAGAASGSSQPSISGDVVEACCSVLSIVCADPVYKVYVAALKTLRAMLVYTPCHSLAERIKLQRLLRPVVDTILVKCADANSRTSQLSISTVLELCKGQAGELAVGREILKAGSIGVGGVDYVLSCILGNQAESNNWQ
NP_036342.2 94 653 0.241990357142857 PF01436.21:NHL:278:305,PF01436.21:NHL:376:403,PF01436.21:NHL:523:550 E3 ubiquitin-protein ligase TRIM32; 72 kDa Tat-interacting protein; RING-type E3 ubiquitin transferase TRIM32; Tripartite motif-containing protein 32; Zinc finger protein HT2A; EC 2.3.2.27 653 84 43 560 0 Homo sapiens (Human) SwissProt::Q13049 1 SwissProt AVGLLMCRSCGRRLPRQFCRSCGLVLCEPCREADHQPPGHCTLPVKEAAEERRRDFGEKLTRLRELMGELQRRKAALEGVSKDLQARYKAVLQEYGHEERRVQDELARSRKFFTGSLAEVEKSNSQVVEEQSYLLNIAEVQAVSRCDYFLAKIKQADVALLEETADEEEPELTASLPRELTLQDVELLKVGHVGPLQIGQAVKKPRTVNVEDSWAMEATASAASTSVTFREMDMSPEEVVASPRASPAKQRGPEAASNIQQCLFLKKMGAKGSTPGMFNLPVSLYVTSQGEVLVADRGNYRIQVFTRKGFLKEIRRSPSGIDSFVLSFLGADLPNLTPLSVAMNCQGLIGVTDSYDNSLKVYTLDGHCVACHRSQLSKPWGITALPSGQFVVTDVEGGKLWCFTVDRGSGVVKYSCLCSAVRPKFVTCDAEGTVYFTQGLGLNLENRQNEHHLEGGFSIGSVGPDGQLGRQISHFFSENEDFRCIAGMCVDARGDLIVADSSRKEILHFPKGGGYSVLIREGLTCPVGIALTPKGQLLVLDCWDHCIKIYSYHLRRYSTP
NP_054798.1 1 305 0.583804918032786 Krueppel-like factor 15; Kidney-enriched krueppel-like factor 416 0 43 305 0 Homo sapiens (Human) SwissProt::Q9UIH9 1 SwissProt MVDHLLPVDENFSSPKCPVGYLGDRLVGRRAYHMLPSPVSEDDSDASSPCSCSSPDSQALCSCYGGGLGTESQDSILDFLLSQATLGSGGGSGSSIGASSGPVAWGPWRRAAAPVKGEHFCLPEFPLGDPDDVPRPFQPTLEEIEEFLEENMEPGVKEVPEGNSKDLDACSQLSAGPHKSHLHPGSSGRERCSPPPGGASAGGAQGPGGGPTPDGPIPVLLQIQPVPVKQESGTGPASPGQAPENVKVAQLLVNIQGQTFALVPQVVPSSNLNLPSKFVRIAPVPIAAKPVGSGPLGPGPAGLLM
NP_055889.2 602 1770 0.312341659538067 PF12473.8:DUF3694:619:765,PF12423.8:KIF1B:198:245,PF00169.29:PH:1056:1149 kinesin-like protein KIF1B isoform b 1770 289 43 1169 0 Homo sapiens NP_055889.2 1 RefSeq PSAETPSEPVDWTFAQRELLEKQGIDMKQEMEKRLQEMEILYKKEKEEADLLLEQQRLDYESKLQALQKQVETRSLAAETTEEEEEEEEVPWTQHEFELAQWAFRKWKSHQFTSLRDLLWGNAVYLKEANAISVELKKKVQFQFVLLTDTLYSPLPPELLPTEMEKTHEDRPFPRTVVAVEVQDLKNGATHYWSLEKLKQRLDLMREMYDRAGEMASSAQDESETTVTGSDPFYDRFHWFKLVGSSPIFHGCVNERLADRTPSPTFSTADSDITELADEQQDEMEDFDDEAFVDDAGSDAGTEEGSDLFSDGHDPFYDRSPWFILVGRAFVYLSNLLYPVPLIHRVAIVSEKGEVRGFLRVAVQAIAADEEAPDYGSGIRQSGTAKISFDNEYFNQSDFSSVAMTRSGLSLEELRIVEGQGQSSEVITPPEEISRINDLDLKSSTLLDGKMVMEGFSEEIGNHLKLGSAFTFRVTVLQASGILPEYADIFCQFNFLHRHDEAFSTEPLKNNGRGSPLAFYHVQNIAVEITESFVDYIKTKPIVFEVFGHYQQHPLHLQGQELNSPPQPCRRFFPPPMPLSKPVPATKLNTMSKTSLGQSMSKYDLLVWFEISELEPTGEYIPAVVDHTAGLPCQGTFLLHQGIQRRITVTIIHEKGSELHWKDVRELVVGRIRNKPEVDEAAVDAILSLNIISAKYLKSSHNSSRTFYRFEAVWDSSLHNSLLLNRVTPYGEKIYMTLSAYLELDHCIQPAVITKDVCMVFYSRDAKISPPRSLRSLFGSGYSKSPDSNRVTGIYELSLCKMSDTGSPGMQRRRRKILDTSVAYVRGEENLAGWRPRGDSLILEHQWELEKLELLHEVEKTRHFLLLRERLGDSIPKSLSDSLSPSLSSGTLSTSTSISSQISTTTFESAITPSESSGYDSGDIESLVDREKELATKCLQLLTHTFNREFSQVHGSVSDCKLSDISPIGRDPSESSFSSATLTPSSTCPSLVDSRSNSLDQKTPEANSRASSPCPEFEQFQIVPAVETPYLARAGKNEFLNLVPDIEEIRPSSVVSKKGYLHFKEPLYSNWAKHFVVVRRPYVFIYNSDKDPVERGIINLSTAQVEYSEDQQAMVKTPNTFAVCTKHRGVLLQALNDKDMNDWLYAFNPLLAGTIRSKLSRRCPSQSKY
NP_055981.1 1 377 0.0987580901856765 Membrane protein MLC1 377 0 43 216 7 Homo sapiens (Human) SwissProt::Q15049 1 SwissProt MTQEPFREELAYDRMPTLERGRQDPASYAPDAKPSDLQLSKRLPPCFSHKTWVFSVLMGSCLLVTSGFSLYLGNVFPAEMDYLRCAAGSCIPSAIVSFTVSRRNANVIPNFQILFVSTFAVTTTCLIWFGCKLVLNPSAININFNLILLLLLELLMAATVIIAARSSEEDCKKKKGSMSDSANILDEVPFPARVLKSYSVVEVIAGISAVLGGIIALNVDDSVSGPHLSVTFFWILVACFPSAIASHVAAECPSKCLVEVLIAISSLTSPLLFTASGYLSFSIMRIVEMFKDYPPAIKPSYDVLLLLLLLVLLLQAGLNTGTAIQCVRFKVSARLQGASWDTQNGPQERLAGEVARSPLKEFDKEKAWRAVVVQMAQ
NP_060844.2 1 274 0.212991605839416 PF07092.12:DUF1356:32:258 Transmembrane protein 106B 274 227 43 251 1 Homo sapiens (Human) SwissProt::Q9NUM4 1 SwissProt MGKSLSHLPLHSSKEDAYDGVTSENMRNGLVNSEVHNEDGRNGDVSQFPYVEFTGRDSVTCPTCQGTGRIPRGQENQLVALIPYSDQRLRPRRTKLYVMASVFVCLLLSGLAVFFLFPRSIDVKYIGVKSAYVSYDVQKRTIYLNITNTLNITNNNYYSVEVENITAQVQFSKTVIGKARLNNITIIGPLDMKQIDYTVPTVIAEEMSYMYDFCTLISIKVHNIVLMMQVTVTTTYFGHSEQISQERYQYVDCGRNTTYQLGQSEYLNVLQPQQ
NP_071348.3 1 1953 0.12483440860215 PF10350.9:DUF2428:948:1248 Thyroid adenoma-associated protein; Gene inducing thyroid adenomas protein 1953 301 43 1953 0 Homo sapiens (Human) SwissProt::Q6YHU6 1 SwissProt MGVKKKKEMQVAALTICHQDLETLKSFADVEGKNLASLLLHCVQLTDGVSQIHYIKQIVPLLEKADKNGMCDPTIQSCLDILAGIYLSLSLKNPLKKVLASSLNSLPDFFLPEAMHRFTSRLQEELNTTDLYSYRKVTDNISSCMENFNLGRASVNNLLKNVLHFLQKSLIEILEENRKCAGNHIIQTQLMNDLLVGIRVSMMLVQKVQDFQGNLWKTSDSPIWQNMCGLLSIFTKVLSDDDLLQTVQSTSGLAIILFIKTMFHPSEKIPHLISSVLLRSVDCTSVPEWFMSSCRSLCCGDISQSAVLFLCQGTLAMLDWQNGSMGRSGEALLLDTAHVLFTLSSQIKEPTLEMFLSRILASWTNSAIQVLESSSPSLTDSLNGNSSIVGRLLEYVYTHWEHPLDALRHQTKIMFKNLLQMHRLTVEGADFVPDPFFVELTESLLRLEWHIKGKYTCLGCLVECIGVEHILAIDKTIPSQILEVMGDQSLVPYASDLLETMFRNHKSHLKSQTAESSWIDQWHETWVSPLLFILCEGNLDQKSYVIDYYLPKLLSYSPESLQYMVKILQTSIDAKTGQEQSFPSLGSCNSRGALGALMACLRIARAHGHLQSATDTWENLVSDARIKQGLIHQHCQVRIDTLGLLCESNRSTEIVSMEEMQWIQFFITYNLNSQSPGVRQQICSLLKKLFCRIQESSQVLYKLEQSKSKREPENELTKQHPSVSLQQYKNFMSSICNSLFEALFPGSSYSTRFSALTILGSIAEVFHVPEGRIYTVYQLSHDIDVGRFQTLMECFTSTFEDVKILAFDLLMKLSKTAVHFQDSGKLQGLFQAALELSTSTKPYDCVTASYLLNFLIWQDALPSSLSAYLTQQVACDNGDRPAAVVERNTLMVIKCLMENLEEEVSQAENSLLQAAAAFPMYGRVHCITGALQKLSLNSLQLVSEWRPVVEKLLLMSYRLSTVVSPVIQSSSPEGLIPMDTDSESASRLQMILNEIQPRDTNDYFNQAKILKEHDSFDMKDLNASVVNIDTSTEIKGKEVKTCDVTAQMVLVCCWRSMKEVALLLGMLCQLLPMQPVPESSDGLLTVEQVKEIGDYFKQHLLQSRHRGAFELAYTGFVKLTEVLNRCPNVSLQKLPEQWLWSVLEEIKCSDPSSKLCATRRSAGIPFYIQALLASEPKKGRMDLLKITMKELISLAGPTDDIQSTVPQVHALNILRALFRDTRLGENIIPYVADGAKAAILGFTSPVWAVRNSSTLLFSALITRIFGVKRAKDEHSKTNRMTGREFFSRFPELYPFLLKQLETVANTVDSDMGEPNRHPSMFLLLLVLERLYASPMDGTSSALSMGPFVPFIMRCGHSPVYHSREMAARALVPFVMIDHIPNTIRTLLSTLPSCTDQCFRQNHIHGTLLQVFHLLQAYSDSKHGTNSDFQHELTDITVCTKAKLWLAKRQNPCLVTRAVYIDILFLLTCCLNRSAKDNQPVLESLGFWEEVRGIISGSELITGFPWAFKVPGLPQYLQSLTRLAIAAVWAAAAKSGERETNVPISFSQLLESAFPEVRSLTLEALLEKFLAAASGLGEKGVPPLLCNMGEKFLLLAMKENHPECFCKILKILHCMDPGEWLPQTEHCVHLTPKEFLIWTMDIASNERSEIQSVALRLASKVISHHMQTCVENRELIAAELKQWVQLVILSCEDHLPTESRLAVVEVLTSTTPLFLTNPHPILELQDTLALWKCVLTLLQSEEQAVRDAATETVTTAMSQENTCQSTEFAFCQVDASIALALALAVLCDLLQQWDQLAPGLPILLGWLLGESDDLVACVESMHQVEEDYLFEKAEVNFWAETLIFVKYLCKHLFCLLSKSGWRPPSPEMLCHLQRMVSEQCHLLSQFFRELPPAAEFVKTVEFTRLRIQEERTLACLRLLAFLEGKEGEDTLVLSVWDSYAESRQLTLPRTEAAC
NP_076283.2 1 355 0.658264507042253 PF04621.13:ETS_PEA3_N:1:355 ETS translocation variant 5 510 355 43 355 0 Mus musculus (Mouse) SwissProt::Q9CXC9 1 SwissProt MDGFCDQQVPFMVPGKSRSEDCRGRPLIDRKRKFVDTDLAHDSEELFQDLSQLQEAWLAEAQVPDDEQFVPDFQSDNLVLHAPPPTKIKRELHSPSSELSSCSHEQALGAKYGEKCLYNYCAYDRKPPSGFKPLTPPATPLSPTHQNSLFPPPQATLPTSGLTPGAGPVQGVGPAPTPHSLPEPGSQQQTFAVPRPPHQPLQMPKMMPESQYPSEQRFQRQLSEPSHPFPPQSGVPGDSRPSYHRQMSEPIVPAAPPPLQGFKQEYHDPLYEHGVPGMPGPPAHGFQSPMGIKQEPRDYCADSEVPNCQSSYMRGGYFSSSHEGFPYEKDPRLYFDDTCVVPERLEGKVKQEPTM
NP_197244.1 486 1026 0.117340295748614 PF03552.14:Cellulose_synt:1:534,PF13632.6:Glyco_trans_2_3:230:339 Cellulose synthase A catalytic subunit 7 [UDP-forming]; AtCesA7; Protein FRAGILE FIBER 5; Protein IRREGULAR XYLEM 3; AtIRX3; EC 2.4.1.12 1026 534 43 406 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SWW6 1 SwissProt YVSREKRPGFQHHKKAGAMNALVRVAGVLTNAPFMLNLDCDHYVNNSKAVREAMCFLMDPQIGKKVCYVQFPQRFDGIDTNDRYANRNTVFFDINMKGLDGIQGPVYVGTGCVFKRQALYGYEPPKGPKRPKMISCGCCPCFGRRRKNKKFSKNDMNGDVAALGGAEGDKEHLMSEMNFEKTFGQSSIFVTSTLMEEGGVPPSSSPAVLLKEAIHVISCGYEDKTEWGTELGWIYGSITEDILTGFKMHCRGWRSIYCMPKRPAFKGSAPINLSDRLNQVLRWALGSVEIFFSRHSPLWYGYKGGKLKWLERFAYANTTIYPFTSIPLLAYCILPAICLLTDKFIMPPISTFASLFFISLFMSIIVTGILELRWSGVSIEEWWRNEQFWVIGGISAHLFAVVQGLLKILAGIDTNFTVTSKATDDDDFGELYAFKWTTLLIPPTTVLIINIVGVVAGISDAINNGYQSWGPLFGKLFFSFWVIVHLYPFLKGLMGRQNRTPTIVVIWSVLLASIFSLLWVRIDPFVLKTKGPDTSKCGINC
NP_511119.2 1 888 0.246432882882882 PF07714.17:Pkinase_Tyr:598:834 Tyrosine-protein kinase hopscotch; EC 2.7.10.2 1177 237 43 888 0 Drosophila melanogaster (Fruit fly) SwissProt::Q24592 1 SwissProt MALANGGEDRMDDSSSGRTSLADSASLTNSSLRSGTSSQSIHTNDGTIRVFNFTTGEFERFHPNMLCEEICNTMCRQLGIAPIAQLLYGIREHSTSRRPSPLVRLDLTWCLPGERLNCQLVYCFRMRFRVPELDSQLELIDGRSHKFLYRQMRYDMRTEQIPEIRYPEHKDKSTGLAVMDMLIDDQEQSEDQQAMRSIEKLYKLYLPPSLWRAHSFFVGSKIREVFRSLKANSLSVERLKWHYVHQVSHLAPTYMTEQFTCTVQYLPNEEVARGSGPIGTSLAHSTSTLASSGSTNTLSTLTTNTNSVALGGSGKKAKRRSTSGGIDVYVRVFPHDSLEPGLKVARVTSEATLKWILVGAVEGIFMISKINDTSVRLEIVGLPKGYEMQFQTEKEMKSFISYLGIYIRLSSKWMQDLCHSYRTPSLEELSSLHCHGPIGGAYSLMKLHENGDKCGSYIVRECDREYNIYYIDINTKIMAKKTDQERCKTETFRIVRKDSQWKLSYNNGEHVLNSLHEVAHIIQADSPDRYRIPASKYDKPPLLLLLLPKNLKAKKTDLQLSEAELQRRNPQIFNPRTDLQWYPDSISLSDDGMMFTMRGDWIQQSPVKDVSVTMKMLKSDGNFMEFFRLAQTWSLIQSPQFLKLYGLTLADPYTMVMEYSRYGPLNKFLHSMPNVTLHCLLDLMHGLVRGMHYLEDNKIIHNYIRCSNLYVTKYDPNSYVLDAKISDPGYPRPYRESDSPWIPVKYYRNLQAAKTDQFAQLWAFATTIYEIFSRCKEDLSTLRQEQLLRQKNLDGNILKMLDQDICPAPIFETIMDGWSDDETKRFSHHDIFSRLNTIKAEILPNYMPPPEIATNGTGDETVIDRSDIPFLPFPRSNMLMVIPLTSEC
NP_671512.1 79 413 0.0656098507462686 PF14360.6:PAP2_C:198:271,PF01569.21:PAP2:180:275 Phosphatidylcholine:ceramide cholinephosphotransferase 1; Medulla oblongata-derived protein; Protein Mob; Sphingomyelin synthase 1; Transmembrane protein 23; EC 2.7.8.27 413 96 43 207 6 Homo sapiens (Human) SwissProt::Q86VZ5 1 SwissProt GHANGHLNIGVDIPTPDGSFSIKIKPNGMPNGYRKEMIKIPMPELERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFFDHFNRVQWAFSICEINGMILVGLWLIQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTTLPVPGMHFNCSPKLFGDWEAQLRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYLFIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQVLKEASQMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVVHLSRQVKYSRLVNDT
NP_683871.1 1 150 0.428622 tumor necrosis factor receptor superfamily member 25 isoform 7 precursor 234 0 43 150 0 Homo sapiens NP_683871.1 1 RefSeq MEQRPRGCAAVAAALLLVLLGARAQGGTRSPRCDCAGDFHKKIGLFCCRGCPADEAGMEALTPPPATHLSPLDSAHTLLAPPDSSEKICTVQLVGNSWTPGYPETQEALCPQVTWSWDQLPSRALGPAAAPTLSPESPAGSPAMMLQPGP
VIMSS10080667 1 512 0.0989349609375 PF13347.6:MFS_2:41:292 Sucrose transport protein SUC2; Sucrose permease 2; Sucrose transporter 1; Sucrose-proton symporter 2 512 252 43 246 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39231 0 SwissProt MVSHPMEKAANGASALETQTGELDQPERLRKIISVSSIAAGVQFGWALQLSLLTPYVQLLGIPHKWASLIWLCGPISGMLVQPIVGYHSDRCTSRFGRRRPFIVAGAGLVTVAVFLIGYAADIGHSMGDQLDKPPKTRAIAIFALGFWILDVANNTLQGPCRAFLADLSAGNAKKTRTANAFFSFFMAVGNVLGYAAGSYRNLYKVVPFTMTESCDLYCANLKTCFFLSITLLLIVTFVSLCYVKEKPWTPEPTADGKASNVPFFGEIFGAFKELKRPMWMLLIVTALNWIAWFPFLLFDTDWMGREVYGGNSDATATAASKKLYNDGVRAGALGLMLNAIVLGFMSLGVEWIGRKLGGAKRLWGIVNFILAICLAMTVVVTKQAENHRRDHGGAKTGPPGNVTAGALTLFAILGIPQAITFSIPFALASIFSTNSGAGQGLSLGVLNLAIVVPQMVISVGGGPFDELFGGGNIPAFVLGAIAAAVSGVLALTVLPSPPPDAPAFKATMGFH
VIMSS10091776 1 229 0.422467248908297 PF04690.13:YABBY:21:189 Axial regulator YABBY 1; Fl-54; Protein ABNORMAL FLORAL ORGANS; Protein FILAMENTOUS FLOWER; Protein antherless 229 169 43 229 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22152 1 SwissProt MSMSSMSSPSSAVCSPDHFSPSDHLCYVQCNFCQTILAVNVPYTSLFKTVTVRCGCCTNLLSVNMRSYVLPASNQLQLQLGPHSYFNPQDILEELRDAPSNMNMMMMNQHPTMNDIPSFMDLHQQHEIPKAPPVNRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLVPDNQPVKKTNMPQQEGEDNMVMKEGFYAPAAANVGVTPY
VIMSS10093731 104 420 0.697621451104101 Transcription factor TCP4; Protein MATERNAL EFFECT EMBRYO ARREST 35 420 0 43 317 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LPR5 1 SwissProt AELPPWNPADAIRLAAANAKPRRTTAKTQISPSPPPPQQQQQQQQLQFGVGFNGGGAEHPSNNESSFLPPSMDSDSIADTIKSFFPVIGSSTEAPSNHNLMHNYHHQHPPDLLSRTNSQNQDLRLSLQSFPDGPPSLLHHQHHHHTSASASEPTLFYGQSNPLGFDTSSWEQQSSEFGRIQRLVAWNSGGGGGATDTGNGGGFLFAPPTPSTTSFQPVLGQSQQLYSQRGPLQSSYSPMIRAWFDPHHHHQSISTDDLNHHHHLPPPVHQSAIPGIGFASGEFSSGFRIPARFQGQEEEQHDGLTHKPSSASSISRH
VIMSS10103267 1 454 0.502894273127753 PF00170.21:bZIP_1:374:430,PF07716.15:bZIP_2:373:421 ABSCISIC ACID-INSENSITIVE 5-like protein 6; Abscisic acid responsive elements-binding factor 3; ABRE-binding factor 3; Dc3 promoter-binding factor 5; AtDPBF5; bZIP transcription factor 37; AtbZIP37 454 58 43 454 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M7Q3 1 SwissProt MGSRLNFKSFVDGVSEQQPTVGTSLPLTRQNSVFSLTFDEFQNSWGGGIGKDFGSMNMDELLKNIWTAEESHSMMGNNTSYTNISNGNSGNTVINGGGNNIGGLAVGVGGESGGFFTGGSLQRQGSLTLPRTISQKRVDDVWKELMKEDDIGNGVVNGGTSGIPQRQQTLGEMTLEEFLVRAGVVREEPQPVESVTNFNGGFYGFGSNGGLGTASNGFVANQPQDLSGNGVAVRQDLLTAQTQPLQMQQPQMVQQPQMVQQPQQLIQTQERPFPKQTTIAFSNTVDVVNRSQPATQCQEVKPSILGIHNHPMNNNLLQAVDFKTGVTVAAVSPGSQMSPDLTPKSALDASLSPVPYMFGRVRKTGAVLEKVIERRQKRMIKNRESAARSRARKQAYTMELEAEIAQLKELNEELQKKQVEIMEKQKNQLLEPLRQPWGMGCKRQCLRRTLTGPW
VIMSS6582339 1 297 0.339315151515151 High osmolarity signaling protein SHO1; Osmosensor SHO1; Suppressor of SUA8-1 mutation; Synthetic high osmolarity-sensitive protein 1 367 0 43 213 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40073 1 SwissProt MSISSKIRPTPRKPSRMATDHSFKMKKFYADPFAISSISLAIVSWVIAIGGSISSASTNESFPRFTWWGIVYQFLIICSLMLFYCFDLVDHYRIFITTSIAVAFVYNTNSATNLVYADGPKKAAASAGVILLSIINLIWILYYGGDNASPTNRWIDSFSIKGIRPSPLENSLHRARRRGNRNTTPYQNNVYNDAIRDSGYATQFDGYPQQQPSHTNYVSSTALAGFENTQPNTSEAVNLHLNTLQQRINSASNAKETNDNSNNQTNTNIGNTFDTDFSNGNTETTMGDTLGLYSDIG
VIMSS6582381 234 912 0.431107511045655 PF00443.29:UCH:228:675,PF13423.6:UCH_1:230:649 Ubiquitin carboxyl-terminal hydrolase 3; Deubiquitinating enzyme 3; Ubiquitin thioesterase 3; Ubiquitin-specific-processing protease 3; EC 3.4.19.12 912 448 43 679 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01477 1 SwissProt LNSESLENSSVEKSSAHHHTKSHSIPKHNEEVKTETHGEEEDAHDKKPHASKDAHELKKKTEVKKEDAKQDRNEKVIQEPQATVLPVVDKKEPEESVEENTSKTSSPSPSPPAAKSWSAIASDAIKSRQASNKTVSGSMVTKTPISGTTAGVSSTNMAAATIGKSSSPLLSKQPQKKDKKYVPPSTKGIEPLGSIALRMCFDPDFISYVLRNKDVENKIPVHSIIPRGIINRANICFMSSVLQVLLYCKPFIDVINVLSTRNTNSRVGTSSCKLLDACLTMYKQFDKETYEKKFLENADDAEKTTESDAKKSSKSKSFQHCATADAVKPDEFYKTLSTIPKFKDLQWGHQEDAEEFLTHLLDQLHEELISAIDGLTDNEIQNMLQSINDEQLKVFFIRNLSRYGKAEFIKNASPRLKELIEKYGVINDDSTEENGWHEVSGSSKRGKKTKTAAKRTVEIVPSPISKLFGGQFRSVLDIPNNKESQSITLDPFQTIQLDISDAGVNDLETAFKKFSEYELLPFKSSSGNDVEAKKQTFIDKLPQVLLIQFKRFSFINNVNKDNAMTNYNAYNGRIEKIRKKIKYGHELIIPEESMSSITLKNNTSGIDDRRYKLTGVIYHHGVSSDGGHYTADVYHSEHNKWYRIDDVNITELEDDDVLKGGEEASDSRTAYILMYQKRN
VIMSS6584516 86 284 0.603497487437186 Protein SIC1; CDK inhibitor p40 284 0 43 199 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38634 1 SwissProt SVKRTLFQFESHDNGTVREEQEPLGRVNRILFPTQQNVDIDAAEEEEEGEVLLPPSRPTSARQLHLSLERDEFDQTHRKKIIKDVPGTPSDKVITFELAKNWNNNSPKNDARSQESEDEEDIIINPVRVGKNPFASDELVTQEIRNERKRAMLRENPDIEDVITYVNKKGEVVEKRRLTDEEKRRFKPKALFQSRDQEH
XP_006497809.1 1 208 0.179013942307692 PF00412.22:LIM:62:116,PF00412.22:LIM:121:178 LIM homeobox transcription factor 1-beta isoform X1 408 113 43 208 0 Mus musculus XP_006497809.1 1 RefSeq MDIATGPESLERCFPRGQTDCAKMLDGIKMLDGIKMEEHALRPGPATLGVLLGSDCPHPAVCEGCQRPISDRFLMRVNESSWHEECLQCAACQQALTTSCYFRDRKLYCKQDYQQLFAAKCSGCMEKIAPTEFVMRALECVYHLGCFCCCVCERQLRKGDEFVLKEGQLLCKGDYEKEKDLLSSVSPDESDSVKSEDEDGDMKPAKGQ
XP_006498198.1 153 542 0.486280256410256 caspase recruitment domain-containing protein 9 isoform X1 542 0 43 390 0 Mus musculus XP_006498198.1 1 RefSeq LLRKHQERVQRLKEECELSSAELKRCKDENYELAMCLAHLSEEKGAALMRNRDLQLEVDRLRHSLMKAEDDCKVERKHTLKLRHAMEQRPSQELLWELQQEKDLLQARVQELQVSVQEGKLDRNSPYIQVLEEDWRQALQEHQKQVSTIFSLRKDLRQAETLRARCTEEKEMFELQCLALRKDAKMYKDRIEAILLQMEEVSIERDQAMASREELHAQCTQSFQDKDKLRKLVRELGEKADELQLQLFQTESRLLAAEGRLKQQQLDMLILSSDLEDSSPRNSQELSLPQDLEEDAQLSDKGHGAQQQRAPGEGAAAPQGELRELPQVWGGGQDGWRVGHLCLPAHTAGPTGSGRSARCRTAGGREKGIAGIRQAATTPTPRAPSEPRRG
XP_017449539.1 349 823 0.475405894736842 aryl hydrocarbon receptor isoform X1 823 0 43 475 0 Rattus norvegicus XP_017449539.1 1 RefSeq ATQRPLTDEEGREHLQKRSMTLPFMFATGKAVLYEISSPFSPIMDPLPIRTKSNTSRKDWAPQSTPSKDSFHPNSLMSALIQQDESIYLCPPSSPAPLDSHFLMDSMSECGSWQGSFAAASNEALLKHEEIRHTQDVNLTLSGGPSELFPDNKNNDLYSIMRNLGIDFEDIRSMQNEEFFRTDSSGEVDFKDIDITDEILTYVQDSLNNSTLLNSACQQQPVSQHLSCMLQERLQLEQQQQLQQQHPTQTLEPQRQLCQVEVPQHELGQKTKHMQVNGMFASWNPAPPVSFSCPQQERKHYSLFSGLQGTAQEFPYKSEVDSMPYTQNFAPCNQSLLPEHSKGTQLDFPGRDFERSLHPNASNLEDFVSCLQVPENQRHGINSQSAMVSPQAYYAGAMSMYQCQAGPQHTPVDQMQYSPEIPGSQAFLSKFQSPSILNEAYSADLSSIGHLQTAAHLPRLAEAQPLPDITPSGFL
XP_719165.2 1 564 0.119298936170213 PF07690.16:MFS_1:123:511,PF06609.13:TRI12:101:300 Multidrug resistance protein 1; Benomyl resistance protein 1 564 411 43 288 12 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5ABU7 1 SwissProt MHYRFLRDSFVGRVTYHLSKHKYFAHPEEAKDYIVPEKYLADYKPTLADDTSINFEKEEIDNQGEPNSSQSSSSNNTIVDNNNNNDNDVDGDKIVVTWDGDDDPENPQNWPTLQKAFFIFQISFLTTSVYMGSAVYTPGIEELMHDFGIGRVVATLPLTLFVIGYGVGPLVFSPMSENAIFGRTSIYIITLFLFVILQIPTALVNNIAGLCILRFLGGFFASPCLATGGASVADVVKFWNLPVGLAAWSLGAVCGPSFGPFFGSILTVKASWRWTFWFMCIISGFSFVMLCFTLPETFGKTLLYRKAKRLRAITGNDRITSEGEVENSKMTSHELIIDTLWRPLEITVMEPVVLLINIYIAMVYSILYLFFEVFPIYFVGVKHFTLVELGTTYMSIVIGIVIAAFIYIPVIRQKFTKPILRQEQVFPEVFIPIAIVGGILLTSGLFIFGWSANRTTHWVGPLFGAATTASGAFLIFQTLFNFMGASFKPHYIASVFASNDLFRSVIASVFPLFGAPLFDNLATPEYPVAWGSSVLGFITLVMIAIPVLFYLNGPKLRARSKYAN
NP_001018052.1 1 610 0.578615409836065 Transcription factor Sp3 783 0 42 610 0 Mus musculus (Mouse) SwissProt::O70494 1 SwissProt MTAPEKPVKQEEMAALDVDGGGGGGGHGEYLQQQQQQQQQHGNGAAAAAAQDTQPSPLALLAATCSKIGPPSPGDDDEEAAVAAAAGVPAAAAGATGDLASAQLGGAPNRWEVLSATPTTIKDEAGNLVQIPGAATSSGQYVLPLQNLQNQQIFSVAPGSDSSNGTVSNVQYQVIPQIQSTDAQQVQIGFTGSSDNGGINQENSQIQIIPGSNQTLLASGTPPANIQNLIPQTGQVQVQGVAIGGSSFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGSSQTMTAGINADGHLINTGQAMDSSDNSERTGERVSPDVNETNADTDLFVPTSSSSQLPVTIDSTGILQQNTNSLTTTSGQVHSSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQDSQQPTSQAQIVQGITPQTIHGVQASGQNISQQALQNLQLQLNPGTFLIQAQTVTPSGQITWQTFQVQGVQNLQNLQIQNTAAQQITLTPVQTLTLGQVAAGGALTSTPVSLSTGQLPNLQTVTVNSIDSTGIQLHPGENADSPADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVDEEGDQQHQEGKRLRRVACTCPNCKEG
NP_001123582.1 1 242 0.633221900826447 SET-binding protein isoform b 242 0 42 242 0 Homo sapiens NP_001123582.1 1 RefSeq MESRETLSSSRQRGGESDFLPVSSAKPPAAPGCAGEPLLSTPGPGKGIPVGGERMEPEEEDELGSGRDVDSNSNADSEKWVAGDGLEEQEFSIKEANFTEGSLKLKIQTTKRAKKPPKNLENYICPPEIKITIKQSGDQKVSRAGKNSKATKEEERSHSKKKLLTASDLAASDLKGFQPQIKDSSKEEVWKRRGGQGIPFKKQFLSQERAMCFSCPRNPFPAKPGSLTLPFHSEPAVWAQEV
NP_001268464.1 307 756 0.709700222222221 PF05902.13:4_1_CTD:336:442,PF04382.13:SAB:122:169 band 4.1-like protein 3 isoform 4 756 155 42 450 0 Homo sapiens NP_001268464.1 1 RefSeq TRRASALIDRPAPYFERSSSKRYTMSRSLDGASVNENHEIYMKDSMSAAEVGTGQYATTKGISQTNLITTVTPEKKAEEERDEEEDKRRKGEEVTPISAIRHEGKTDSERTDTAADGETTATEELEKTQDDLMKHQTNISELKRTFLETSTDTAVTNEWEKRLSTSPVRLAARQEDAPMIEPLVPEEKMETKTESSGIETEPTVHHLPLSTEKVVQETVLVEERRVVHASGDASYSAGDSGDAAAQPAFTGIKGKEGSALTEGAKEEGGEEVAKAVLEQEETAAASRERQEEQSAAIHISETLEQKPHFESSTVKTETISFGSVSPGGVKLEISTKEVPVVHTETKTITYESSQVDPGTDLEPGVLMSAQTITSETTSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIKEAKEQHPDMSVTKVVVHKETEITPEDGED
NP_001274418.1 125 1997 0.329414415376401 PF00168.30:C2:131:225,PF00168.30:C2:295:399,PF00168.30:C2:837:927,PF00168.30:C2:1370:1460,PF00168.30:C2:1691:1736,PF08150.12:FerB:718:793,PF16165.5:Ferlin_C:1777:1869,PF08151.12:FerI:236:286 otoferlin isoform e 1997 648 42 1850 1 Homo sapiens NP_001274418.1 1 RefSeq VGSWDDGDFLGDESLQEEEKDSQETDGLLPGSRPSSRPPGEKSFRRAGRSVFSAMKLGKNRSHKEEPQRPDEPAVLEMEDLDHLAIRLGDGLDPDSVSLASVTALTTNVSNKRSKPDIKMEPSAGRPMDYQVSITVIEARQLVGLNMDPVVCVEVGDDKKYTSMKESTNCPYYNEYFVFDFHVSPDVMFDKIIKISVIHSKNLLRSGTLVGSFKMDVGTVYSQPEHQFHHKWAILSDPDDISSGLKGYVKCDVAVVGKGDNIKTPHKANETDEDDIEGNLLLPEGVPPERQWARFYVKIYRAEGLPRMNTSLMANVKKAFIGENKDLVDPYVQVFFAGQKGKTSVQKSSYEPLWNEQVVFTDLFPPLCKRMKVQIRDSDKVNDVAIGTHFIDLRKISNDGDKGFLPTLGPAWVNMYGSTRNYTLLDEHQDLNEGLGEGVSFRARLLLGLAVEIVDTSNPELTSSTEVQVEQATPISESCAGKMEEFFLFGAFLEASMIDRRNGDKPITFEVTIGNYGNEVDGLSRPQRPRPRKEPGDEEEVDLIQNASDDEAGDAGDLASVSSTPPMRPQVTDRNYFHLPYLERKPCIYIKSWWPDQRRRLYNANIMDHIADKLEEGLNDIQEMIKTEKSYPERRLRGVLEELSCGCCRFLSLADKDQGHSSRTRLDRERLKSCMRELENMGQQARMLRAQVKRHTVRDKLRLCQNFLQKLRFLADEPQHSIPDIFIWMMSNNKRVAYARVPSKDLLFSIVEEETGKDCAKVKTLFLKLPGKRGFGSAGWTVQAKVELYLWLGLSKQRKEFLCGLPCGFQEVKAAQGLGLHAFPPVSLVYTKKQAFQLRAHMYQARSLFAADSSGLSDPFARVFFINQSQCTEVLNETLCPTWDQMLVFDNLELYGEAHELRDDPPIIVIEIYDQDSMGKADFMGRTFAKPLVKMADEAYCPPRFPPQLEYYQIYRGNATAGDLLAAFELLQIGPAGKADLPPINGPVDVDRGPIMPVPMGIRPVLSKYRVEVLFWGLRDLKRVNLAQVDRPRVDIECAGKGVQSSLIHNYKKNPNFNTLVKWFEVDLPENELLHPPLNIRVVDCRAFGRYTLVGSHAVSSLRRFIYRPPDRSAPSWNTTVRLLRRCRVLCNGGSSSHSTGEVVVTMEPEVPIKKLETMVKLDATSEAVVKVDVAEEEKEKKKKKKGTAEEPEEEEPDESMLDWWSKYFASIDTMKEQLRQQEPSGIDLEEKEEVDNTEGLKGSMKGKEKARAAKEEKKKKTQSSGSGQGSEAPEKKKPKIDELKVYPKELESEFDNFEDWLHTFNLLRGKTGDDEDGSTEEERIVGRFKGSLCVYKVPLPEDVSREAGYDSTYGMFQGIPSNDPINVLVRVYVVRATDLHPADINGKADPYIAIRLGKTDIRDKENYISKQLNPVFGKSFDIEASFPMESMLTVAVYDWDLVGTDDLIGETKIDLENRFYSKHRATCGIAQTYSTHGYNIWRDPMKPSQILTRLCKDGKVDGPHFGPPGRVKVANRVFTGPSEIEDENGQRKPTDEHVALLALRHWEDIPRAGCRLVPEHVETRPLLNPDKPGIEQGRLELWVDMFPMDMPAPGTPLDISPRKPKKYELRVIIWNTDEVVLEDDDFFTGEKSSDIFVRGWLKGQQEDKQDTDVHYHSLTGEGNFNWRYLFPFDYLAAEEKIVISKKESMFSWDETEYKIPARLTLQIWDADHFSADDFLGAIELDLNRFPRGAKTAKQCTMEMATGEVDVPLVSIFKQKRVKGWWPLLARNENDEFELTGKVEAELHLLTAEEAEKNPVGLARNEPDPLEKPNRPDTAFVWFLNPLKSIKYLICTRYKWLIIKIVLALLGLLMLGLFLYSLPGYMVKKLLGA
NP_001287455.1 319 805 0.591809034907597 PF16421.5:E2F_CC-MB:16:108 Transcription factor E2f1; dE2F 805 93 42 487 0 Drosophila melanogaster (Fruit fly) SwissProt::Q27368 1 SwissProt CGQSMVSQERSRHIEADSLRLEQQENELNKAIDLMRENLAEISQEVENSGGMAYVTQNDLLNVDLFKDQIVIVIKAPPEAKLVLPNTKLPREIYVKAENSGEINVFLCHDTSPENSPIAPGAGYVGAPGAGCVRTATSTRLHPLTNQRLNDPLFNNIDAMSTKGLFQTPYRSARNLSKSIEEAAKQSQPEYNNICDIAMGQHHNLNQQQQQQQQQLLQQPEEDDVDVELNQLVPTLTNPVVRTHQFQQHQQPSIQELFSSLTESSPPTPTKRRREAAAAAIAAGSSTTATTTLNSHNNRNHSNHSNHSNHSSSNNSKSQPPTIGYGSSQRRSDVPMYNCAMEGATTTSATADTTAATSRSAAASSLQMQFAAVAESNNGSSSGGGGGGGGYGSIAGAGANADPHQPYSHDRNSLPPGVADCDANSNSSSVTLQGLDALFNDIGSDYFSNDIAFVSINPPDDNDYPYALNANEGIDRLFDFGSDAYGP
NP_001306596.1 1 296 0.0560601351351352 PF01770.18:Folate_carrier:28:68,PF01770.18:Folate_carrier:74:257 thiamine transporter 1 isoform 2 296 225 42 158 6 Homo sapiens NP_001306596.1 1 RefSeq MDVPGPVSRRAAAAAATVLLRTARVRRECWFLPTALLCAYGFFASLRPSEPFLTPYLLGPDKNLTEREEPKPDRLLVLKVLWNDFLMCYSSRPLLCWSVWWALSTCGYFQVVNYTQGLWEKVMPSRYAAIYNGGVEAVSTLLGAVAVFAVGYIKISWSTWGEMTLSLFSLLIAAAVYIMDTVGNIWVCYASYVVFRIIYMLLITIATFQIAANLSMERYALVFGVNTFIALALQTLLTLIVVDASGLGLEITTQFLIYASYFALIAVVFLASGAVSVMKKCRKLEDPQSSSQVTTS
NP_001810.2 1 677 0.718538257016248 PF01271.17:Granin:26:677 Secretogranin-1; Chromogranin-B; CgB; Secretogranin I; SgI 677 652 42 677 0 Homo sapiens (Human) SwissProt::P05060 1 SwissProt MQPTLLLSLLGAVGLAAVNSMPVDNRNHNEGMVTRCIIEVLSNALSKSSAPPITPECRQVLKTSRKDVKDKETTENENTKFEVRLLRDPADASEAHESSSRGEAGAPGEEDIQGPTKADTEKWAEGGGHSRERADEPQWSLYPSDSQVSEEVKTRHSEKSQREDEEEEEGENYQKGERGEDSSEEKHLEEPGETQNAFLNERKQASAIKKEELVARSETHAAGHSQEKTHSREKSSQESGEETGSQENHPQESKGQPRSQEESEEGEEDATSEVDKRRTRPRHHHGRSRPDRSSQGGSLPSEEKGHPQEESEESNVSMASLGEKRDHHSTHYRASEEEPEYGEEIKGYPGVQAPEDLEWERYRGRGSEEYRAPRPQSEESWDEEDKRNYPSLELDKMAHGYGEESEEERGLEPGKGRHHRGRGGEPRAYFMSDTREEKRFLGEGHHRVQENQMDKARRHPQGAWKELDRNYLNYGEEGAPGKWQQQGDLQDTKENREEARFQDKQYSSHHTAEKRKRLGELFNPYYDPLQWKSSHFERRDNMNDNFLEGEEENELTLNEKNFFPEYNYDWWEKKPFSEDVNWGYEKRNLARVPKLDLKRQYDRVAQLDQLLHYRKKSAEFPDFYDSEEPVSTHQEAENEKDRADQTVLTEDEKKELENLAAMDLELQKIAEKFSQRG
NP_003831.2 188 386 0.385848743718593 tumor necrosis factor receptor superfamily member 10D precursor 386 0 42 176 1 Homo sapiens NP_003831.2 1 RefSeq STGKTPAAEETVTTILGMLASPYHYLIIIVVLVIILAVVVVGFSCRKKFISYLKGICSGGGGGPERVHRVLFRRRSCPSRVPGAEDNARNETLSNRYLQPTQVSEQEIQGQELAELTGVTVELPEEPQRLLEQAEAEGCQRRRLLVPVNDADSADISTLLDASATLEEGHAKETIQDQLVGSEKLFYEEDEAGSATSCL
NP_012861.1 1 561 0.624078431372549 stress-responsive transcriptional activator MSN4 630 0 42 561 0 Saccharomyces cerevisiae S288C NP_012861.1 1 RefSeq MLVFGPNSSFVRHANKKQEDSSIMNEPNGLMDPVLSTTNVSATSSNDNSANNSISSPEYTFGQFSMDSPHRTDATNTPILTATTNTTANNSLMNLKDTASLATNWKWKNSNNAQFVNDGEKQSSNANGKKNGGDKIYSSVATPQALNDELKNLEQLEKVFSPMNPINDSHFNENIELSPHQHATSPKTNLLEAEPSIYSNLFLDARLPNNANSTTGLNDNDYNLDDTNNDNTNSMQSILEDFVSSEEALKFMPDAGRDARRYSEVVTSSFPSMTDSRNSISHSIEFWNLNHKNSSNSKPTQQIIPEGTATTERRGSTISPTTTINNSNPNFKLLDHDVSQALSGYSMDFSKDSGITKPKSISSSLNRISHSSSTTRQQRASLPLIHDIESFANDSVMANPLSDSASFLSEENEDDAFGALNYNSLDATTMSAFDNNVDPFNILKSSPAQDQQFIKPSMMLSDNASAAAKLATSGVDNITPTPAFQRRSYDISMNSSFKILPTSQAHHAAQHHQQQPTKQATVSPNTRRRKSSSVTLSPTISHNNNNGKVPVQPRKRKSITT
NP_031582.1 1 371 0.468685444743935 PF00688.18:TGFb_propeptide:57:359 Bone morphogenetic protein 6; BMP-6; VG-1-related protein; VGR-1 510 303 42 371 0 Mus musculus (Mouse) SwissProt::P20722 1 SwissProt MPGLGRRAQWLCWWWGLLCSCGPPPLRPPLPVAAAAAGGQLLGAGGSPVRAEQPPPQSSSSGFLYRRLKTHEKREMQKEILSVLGLPHRPRPLHGLQQPQPPVLPPQQQQQQQQQQTAREEPPPGRLKSAPLFMLDLYNALSNDDEEDGASEGVGQEPGSHGGASSSQLRQPSPGAAHSLNRKSLLAPGPGGGASPLTSAQDSAFLNDADMVMSFVNLVEYDKEFSPHQRHHKEFKFNLSQIPEGEAVTAAEFRVYKDCVVGSFKNQTFLISIYQVLQEHQHRDSDLFLLDTRVVWASEEGWLEFDITATSNLWVVTPQHNMGLQLSVVTRDGLHVNPRAAGLVGRDGPYDKQPFMVAFFKVSEVHVRTTR
NP_036200.2 1 209 0.641954545454546 Cyclic AMP-dependent transcription factor ATF-5; cAMP-dependent transcription factor ATF-5; Activating transcription factor 5; Transcription factor ATFx 282 0 42 209 0 Homo sapiens (Human) SwissProt::Q9Y2D1 1 SwissProt MSLLATLGLELDRALLPASGLGWLVDYGKLPPAPAPLAPYEVLGGALEGGLPVGGEPLAGDGFSDWMTERVDFTALLPLEPPLPPGTLPQPSPTPPDLEAMASLLKKELEQMEDFFLDAPPLPPPSPPPLPPPPLPPAPSLPLSLPSFDLPQPPVLDTLDLLAIYCRNEAGQEEVGMPPLPPPQQPPPPSPPQPSRLAPYPHPATTRGD
NP_037002.1 198 401 0.214844607843137 PF00531.22:Death:82:167 Tumor necrosis factor receptor superfamily member 11B 401 86 42 204 0 Rattus norvegicus O08727 1 SwissProt/TReMBL DVTLCEEAFFRFAVPTKIIPNWLSVLVDSLPGTKVNAESVERIKRRHSSQEQTFQLLKLWKHQNRDQEMVKKIIQDIDLCESSVQRHIGHANLTTEQLRILMESLPGKKISPDEIERTRKTCKPSEQLLKLLSLWRIKNGDQDTLKGLMYALKHLKAYHFPKTVTHSLRKTIRFLHSFTMYRLYQKLFLEMIGNQVQSVKISCL
NP_062033.1 1 123 0.396181300813008 PF02083.15:Urotensin_II:112:123 urotensin-2 precursor 123 12 42 123 0 Rattus norvegicus NP_062033.1 1 RefSeq MDRVPFCCLLFVGLLNPLLSFPVTDTGEMSLQLPVLEENALRALEELERTALLQTLRQTVGTEAEGSLGQADPSAETPTPRGSLRKALTGQDSNTVLSRLLARTRKQRKQHGTAPECFWKYCI
NP_065139.2 1 437 0.253631121281465 PF00021.21:UPAR_LY6:133:207,PF00021.21:UPAR_LY6:325:394 CD177 antigen precursor 437 145 42 437 0 Homo sapiens NP_065139.2 1 RefSeq MSAVLLLALLGFILPLPGVQALLCQFGTVQHVWKVSDLPRQWTPKNTSCDSGLGCQDTLMLIESGPQVSLVLSKGCTEAKDQEPRVTEHRMGPGLSLISYTFVCRQEDFCNNLVNSLPLWAPQPPADPGSLRCPVCLSMEGCLEGTTEEICPKGTTHCYDGLLRLRGGGIFSNLRVQGCMPQPVCNLLNGTQEIGPVGMTENCDMKDFLTCHRGTTIMTHGNLAQEPTDWTTSNTEMCEVGQVCQETLLLLDVGLTSTLVGTKGCSTVGAQNSQKTTIHSAPPGVLVASYTHFCSSDLCNSASSSSVLLNSLPPQAAPVPGDRQCPTCVQPLGTCSSGSPRMTCPRGATHCYDGYIHLSGGGLSTKMSIQGCVAQPSSFLLNHTRQIGIFSAREKRDVQPPASQHEGGGAEGLESLTWGVGLALAPALWWGVVCPSC
NP_066554.2 422 1020 0.862903005008348 PF07142.12:DUF1388:115:140,PF07142.12:DUF1388:169:188,PF07142.12:DUF1388:174:203,PF07142.12:DUF1388:203:222,PF07142.12:DUF1388:223:251,PF07142.12:DUF1388:237:265,PF07142.12:DUF1388:251:279,PF07142.12:DUF1388:265:293,PF07142.12:DUF1388:279:307,PF07142.12:DUF1388:293:321 neurofilament heavy polypeptide 1020 179 42 599 0 Homo sapiens NP_066554.2 1 RefSeq LPEGLPKIPSVSTHIKVKSEEKIKVVEKSEKETVIVEEQTEETQVTEEVTEEEEKEAKEEEGKEEEGGEEEEAEGGEEETKSPPAEEAASPEKEAKSPVKEEAKSPAEAKSPEKEEAKSPAEVKSPEKAKSPAKEEAKSPPEAKSPEKEEAKSPAEVKSPEKAKSPAKEEAKSPAEAKSPEKAKSPVKEEAKSPAEAKSPVKEEAKSPAEVKSPEKAKSPTKEEAKSPEKAKSPEKEEAKSPEKAKSPVKAEAKSPEKAKSPVKAEAKSPEKAKSPVKEEAKSPEKAKSPVKEEAKSPEKAKSPVKEEAKTPEKAKSPVKEEAKSPEKAKSPEKAKTLDVKSPEAKTPAKEEARSPADKFPEKAKSPVKEEVKSPEKAKSPLKEDAKAPEKEIPKKEEVKSPVKEEEKPQEVKVKEPPKKAEEEKAPATPKTEEKKDSKKEEAPKKEAPKPKVEEKKEPAVEKPKESKVEAKKEEAEDKKKVPTPEKEAPAKVEVKEDAKPKEKTEVAKKEPDDAKAKEPSKPAEKKEAAPEKKDTKEEKAKKPEEKPKTEAKAKEDDKTLSKEPSKPKAEKAEKSSSTDQKDSKPPEKATEDKAAKGK
NP_067081.2 229 574 0.519423699421965 Interleukin-22 receptor subunit alpha-1; IL-22 receptor subunit alpha-1; IL-22R-alpha-1; IL-22RA1; Cytokine receptor class-II member 9; Cytokine receptor family 2 member 9; CRF2-9; ZcytoR11 574 0 42 323 1 Homo sapiens (Human) SwissProt::Q8N6P7 1 SwissProt YSFSGAFLFSMGFLVAVLCYLSYRYVTKPPAPPNSLNVQRVLTFQPLRFIQEHVLIPVFDLSGPSSLAQPVQYSQIRVSGPREPAGAPQRHSLSEITYLGQPDISILQPSNVPPPQILSPLSYAPNAAPEVGPPSYAPQVTPEAQFPFYAPQAISKVQPSSYAPQATPDSWPPSYGVCMEGSGKDSPTGTLSSPKHLRPKGQLQKEPPAGSCMLGGLSLQEVTSLAMEESQEAKSLHQPLGICTDRTSDPNVLHSGEEGTPQYLKGQLPLLSSVQIEGHPMSLPLQPPSRPCSPSDQGPSPWGLLESLVCPKDEAKSPAPETSDLEQPTELDSLFRGLALTVQWES
NP_081715.1 1 331 0.125855589123867 PF07850.14:Renin_r:255:331 Renin receptor; ATPase H(+)-transporting lysosomal accessory protein 2; ATPase H(+)-transporting lysosomal-interacting protein 2; Renin/prorenin receptor 350 77 42 288 2 Mus musculus (Mouse) SwissProt::Q9CYN9 1 SwissProt MAVLVVLLFFLVAGALGNEFSILRSPGSVVFRNGNWPIPGDRIPDVAALSMGFSVKEDLSWPGLAVGNLFHRPRATIMVMVKGVDKLALPAGSVISYPLENAVPFSLDSVANSIHSLFSEETPVVLQLAPSEERVYMVGKANSVFEDLSVTLRQLRNRLFQENSLLNSLPLNSLSRNNEVDLLFLSELQVLHDISSLLSRHKHLAKDHSPDLYSLELAGLDELGKRYGEDSEQFRDASKILVDALQKFADDMYSLYGGNAVVELVTVKSFDTSLVRKSRTILEAKQENTQSPYNLAYKYNLEYSVVFNLVLWIMIGLALAVIITSYNIWNM
NP_115584.1 221 522 0.331478476821192 PF05586.11:Ant_C:176:268,PF05587.13:Anth_Ig:1:99 Anthrax toxin receptor 1; Tumor endothelial marker 8 564 192 42 279 1 Homo sapiens (Human) SwissProt::Q9H6X2 1 SwissProt IEILAAEPSTICAGESFQVVVRGNGFRHARNVDRVLCSFKINDSVTLNEKPFSVEDTYLLCPAPILKEVGMKAALQVSMNDGLSFISSSVIITTTHCSDGSILAIALLILFLLLALALLWWFWPLCCTVIIKEVPPPPAEESEEEDDDGLPKKKWPTVDASYYGGRGVGGIKRMEVRWGEKGSTEEGAKLEKAKNARVKMPEQEYEFPEPRNLNNNMRRPSSPRKWYSPIKGKLDALWVLLRKGYDRVSVMRPQPGDTGRCINFTRVKNNQPAKYPLNNAYHTSSPPPAPIYTPPPPAPHCP
NP_150601.1 1 240 0.455539166666667 PF14839.6:DOR:25:236 Tumor protein p53-inducible nuclear protein 1; Stress-induced protein; p53-dependent damage-inducible nuclear protein 1; p53DINP1 240 212 42 240 0 Homo sapiens (Human) SwissProt::Q96A56 1 SwissProt MFQRLNKMFVGEVSSSSNQEPEFNEKEDDEWILVDFIDTCTGFSAEEEEEEEDISEESPTEHPSVFSCLPASLECLADTSDSCFLQFESCPMEESWFITPPPCFTAGGLTTIKVETSPMENLLIEHPSMSVYAVHNSCPGLSEATRGTDELHSPSSPRVEAQNEMGQHIHCYVAALAAHTTFLEQPKSFRPSQWIKEHSERQPLNRNSLRRQNLTRDCHPRQVKHNGWVVHQPCPRQYNY
NP_182268.1 117 371 0.506674117647059 Transcription factor MYB12; Myb-related protein 12; AtMYB12; Protein PRODUCTION OF FLAVONOL GLYCOSIDES 1 371 0 42 255 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22264 1 SwissProt HNFIRKPSISQDVSAVIMTNASSAPPPPQAKRRLGRTSRSAMKPKIHRTKTRKTKKTSAPPEPNADVAGADKEALMVESSGAEAELGRPCDYYGDDCNKNLMSINGDNGVLTFDDDIIDLLLDESDPGHLYTNTTCGGDGELHNIRDSEGARGFSDTWNQGNLDCLLQSCPSVESFLNYDHQVNDASTDEFIDWDCVWQEGSDNNLWHEKENPDSMVSWLLDGDDEATIGNSNCENFGEPLDHDDESALVAWLLS
NP_187819.1 1 383 0.0879454308093995 PF00487.24:FA_desaturase:85:345,PF11960.8:DUF3474:19:63 Delta(12)-fatty-acid desaturase; Omega-6 fatty acid desaturase, endoplasmic reticulum; EC 1.14.19.22; EC 1.14.19.6 383 306 42 245 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46313 1 SwissProt MGAGGRMPVPTSSKKSETDTTKRVPCEKPPFSVGDLKKAIPPHCFKRSIPRSFSYLISDIIIASCFYYVATNYFSLLPQPLSYLAWPLYWACQGCVLTGIWVIAHECGHHAFSDYQWLDDTVGLIFHSFLLVPYFSWKYSHRRHHSNTGSLERDEVFVPKQKSAIKWYGKYLNNPLGRIMMLTVQFVLGWPLYLAFNVSGRPYDGFACHFFPNAPIYNDRERLQIYLSDAGILAVCFGLYRYAAAQGMASMICLYGVPLLIVNAFLVLITYLQHTHPSLPHYDSSEWDWLRGALATVDRDYGILNKVFHNITDTHVAHHLFSTMPHYNAMEATKAIKPILGDYYQFDGTPWYVAMYREAKECIYVEPDREGDKKGVYWYNNKL
NP_444180.2 1 447 0.320881208053692 PF01093.17:Clusterin:29:444 clusterin precursor 447 416 42 447 0 Rattus norvegicus NP_444180.2 1 RefSeq MKILLLCVALLLTWDNGMVLGEQEFSDNELQELSTQGSRYVNKEIQNAVQGVKHIKTLIEKTNAERKSLLNSLEEAKKKKEGALDDTRDSEMKLKAFPEVCNETMMALWEECKPCLKHTCMKFYARVCRSGSGLVGRQLEEFLNQSSPFYFWMNGDRIDSLLESDRQQSQVLDAMQDSFTRASGIIHTLFQDRFFTHEPQDIHHFSPMGFPHKRPHFLYPKSRLVRSLMPLSHYGPLSFHNMFQPFFDMIHQAQQAMDVQLHSPALQFPDVDFLKEGEDDPTVCKEIRHNSTGCLKMKGQCEKCQEILSVDCSTNNPAQANLRQELNDSLQVAERLTQQYNELLHSLQSKMLNTSSLLEQLNDQFTWVSQLANLTQGDDQYLRVSTVTTHSSDSEVPSRVTEVVVKLFDSDPITVVLPEEVSKDNPKFMDTVAEKALQEYRRKSRME
NP_567590.3 1 347 0.0589674351585014 PF02535.22:Zip:51:169,PF02535.22:Zip:164:344 Fe(2+) transport protein 1; Fe(II) transport protein 1; Iron-regulated transporter 1 347 294 42 171 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38856 1 SwissProt MASNSALLMKTIFLVLIFVSFAISPATSTAPEECGSESANPCVNKAKALPLKVIAIFVILIASMIGVGAPLFSRNVSFLQPDGNIFTIIKCFASGIILGTGFMHVLPDSFEMLSSICLEENPWHKFPFSGFLAMLSGLITLAIDSMATSLYTSKNAVGIMPHGHGHGHGPANDVTLPIKEDDSSNAQLLRYRVIAMVLELGIIVHSVVIGLSLGATSDTCTIKGLIAALCFHQMFEGMGLGGCILQAEYTNMKKFVMAFFFAVTTPFGIALGIALSTVYQDNSPKALITVGLLNACSAGLLIYMALVDLLAAEFMGPKLQGSIKMQFKCLIAALLGCGGMSIIAKWA
NP_567719.1 107 216 0.352129090909091 Dehydration-responsive element-binding protein 1C; Protein DREB1C; C-repeat/dehydration-responsive element-binding factor 2; C-repeat-binding factor 2; CRT/DRE-binding factor 2 216 0 42 110 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYS6 1 SwissProt ADSAWRLRIPESTCAKEIQKAAAEAALNFQDEMCHMTTDAHGLDMEETLVEAIYTPEQSQDAFYMDEEAMLGMSSLLDNMAEGMLLPSPSVQWNYNFDVEGDDDVSLWSY
NP_568107.1 185 727 0.713141988950276 PF06203.14:CCT:485:527 Two-component response regulator-like APRR7; Pseudo-response regulator 7 727 43 42 543 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93WK5 1 SwissProt RKNELKILWQHVWRRCQSSSGSGSESGTHQTQKSVKSKSIKKSDQDSGSSDENENGSIGLNASDGSSDGSGAQSSWTKKAVDVDDSPRAVSLWDRVDSTCAQVVHSNPEFPSNQLVAPPAEKETQEHDDKFEDVTMGRDLEISIRRNCDLALEPKDEPLSKTTGIMRQDNSFEKSSSKWKMKVGKGPLDLSSESPSSKQMHEDGGSSFKAMSSHLQDNREPEAPNTHLKTLDTNEASVKISEELMHVEHSSKRHRGTKDDGTLVRDDRNVLRRSEGSAFSRYNPASNANKISGGNLGSTSLQDNNSQDLIKKTEAAYDCHSNMNESLPHNHRSHVGSNNFDMSSTTENNAFTKPGAPKVSSAGSSSVKHSSFQPLPCDHHNNHASYNLVHVAERKKLPPQCGSSNVYNETIEGNNNTVNYSVNGSVSGSGHGSNGPYGSSNGMNAGGMNMGSDNGAGKNGNGDGSGSGSGSGSGNLADENKISQREAALTKFRQKRKERCFRKKVRYQSRKKLAEQRPRVRGQFVRKTAAATDDNDIKNIEDS
NP_690047.2 1 140 0.0909321428571429 PF14770.6:TMEM18:17:132 Transmembrane protein 18 140 116 42 74 3 Homo sapiens (Human) SwissProt::Q96B42 0 SwissProt MPSAFSVSSFPVSIPAVLTQTDWTEPWLMGLATFHALCVLLTCLSSRSYRLQIGHFLCLVILVYCAEYINEAAAMNWRLFSKYQYFDSRGMFISIVFSAPLLVNAMIIVVMWVWKTLNVMTDLKNAQERRKEKKRRRKED
O14746 1 960 0.2563628125 PF12009.8:Telomerase_RBD:460:591,PF00078.27:RVT_1:817:905 Telomerase reverse transcriptase; HEST2; Telomerase catalytic subunit; Telomerase-associated protein 2; TP2; EC 2.7.7.49 1132 221 42 960 0 Homo sapiens (Human) SwissProt::O14746 1 SwissProt MPRAPRCRAVRSLLRSHYREVLPLATFVRRLGPQGWRLVQRGDPAAFRALVAQCLVCVPWDARPPPAAPSFRQVSCLKELVARVLQRLCERGAKNVLAFGFALLDGARGGPPEAFTTSVRSYLPNTVTDALRGSGAWGLLLRRVGDDVLVHLLARCALFVLVAPSCAYQVCGPPLYQLGAATQARPPPHASGPRRRLGCERAWNHSVREAGVPLGLPAPGARRRGGSASRSLPLPKRPRRGAAPEPERTPVGQGSWAHPGRTRGPSDRGFCVVSPARPAEEATSLEGALSGTRHSHPSVGRQHHAGPPSTSRPPRPWDTPCPPVYAETKHFLYSSGDKEQLRPSFLLSSLRPSLTGARRLVETIFLGSRPWMPGTPRRLPRLPQRYWQMRPLFLELLGNHAQCPYGVLLKTHCPLRAAVTPAAGVCAREKPQGSVAAPEEEDTDPRRLVQLLRQHSSPWQVYGFVRACLRRLVPPGLWGSRHNERRFLRNTKKFISLGKHAKLSLQELTWKMSVRDCAWLRRSPGVGCVPAAEHRLREEILAKFLHWLMSVYVVELLRSFFYVTETTFQKNRLFFYRKSVWSKLQSIGIRQHLKRVQLRELSEAEVRQHREARPALLTSRLRFIPKPDGLRPIVNMDYVVGARTFRREKRAERLTSRVKALFSVLNYERARRPGLLGASVLGLDDIHRAWRTFVLRVRAQDPPPELYFVKVDVTGAYDTIPQDRLTEVIASIIKPQNTYCVRRYAVVQKAAHGHVRKAFKSHVSTLTDLQPYMRQFVAHLQETSPLRDAVVIEQSSSLNEASSGLFDVFLRFMCHHAVRIRGKSYVQCQGIPQGSILSTLLCSLCYGDMENKLFAGIRRDGLLLRLVDDFLLVTPHLTHAKTFLRTLVRGVPEYGCVVNLRKTVVNFPVEDEALGGTAFVQMPAHGLFPWCGLLLDTRTLEVQSDYSSYARTSIRASLTF 2
P38631 1 1876 0.16997921108742 PF02364.15:Glucan_synthase:808:1630,PF14288.6:FKS1_dom1:301:408 1,3-beta-glucan synthase component FKS1; 1,3-beta-D-glucan-UDP glucosyltransferase; Calcineurin dependent protein 1; Calcofluor white hypersensitivity protein 53; Echinocandin target gene protein 1; FK506 sensitivity protein 1; Glucan synthase of cerevisiae protein 1; Papulacandin B resistance protein 1; EC 2.4.1.34 1876 931 42 1584 13 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38631 1 SwissProt MNTDQQPYQGQTDYTQGPGNGQSQEQDYDQYGQPLYPSQADGYYDPNVAAGTEADMYGQQPPNESYDQDYTNGEYYGQPPNMAAQDGENFSDFSSYGPPGTPGYDSYGGQYTASQMSYGEPNSSGTSTPIYGNYDPNAIAMALPNEPYPAWTADSQSPVSIEQIEDIFIDLTNRLGFQRDSMRNMFDHFMVLLDSRSSRMSPDQALLSLHADYIGGDTANYKKWYFAAQLDMDDEIGFRNMSLGKLSRKARKAKKKNKKAMEEANPEDTEETLNKIEGDNSLEAADFRWKAKMNQLSPLERVRHIALYLLCWGEANQVRFTAECLCFIYKCALDYLDSPLCQQRQEPMPEGDFLNRVITPIYHFIRNQVYEIVDGRFVKRERDHNKIVGYDDLNQLFWYPEGIAKIVLEDGTKLIELPLEERYLRLGDVVWDDVFFKTYKETRTWLHLVTNFNRIWVMHISIFWMYFAYNSPTFYTHNYQQLVDNQPLAAYKWASCALGGTVASLIQIVATLCEWSFVPRKWAGAQHLSRRFWFLCIIFGINLGPIIFVFAYDKDTVYSTAAHVVAAVMFFVAVATIIFFSIMPLGGLFTSYMKKSTRRYVASQTFTAAFAPLHGLDRWMSYLVWVTVFAAKYSESYYFLVLSLRDPIRILSTTAMRCTGEYWWGAVLCKVQPKIVLGLVIATDFILFFLDTYLWYIIVNTIFSVGKSFYLGISILTPWRNIFTRLPKRIYSKILATTDMEIKYKPKVLISQVWNAIIISMYREHLLAIDHVQKLLYHQVPSEIEGKRTLRAPTFFVSQDDNNFETEFFPRDSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVLTPHYAERILLSLREIIREDDQFSRVTLLEYLKQLHPVEWECFVKDTKILAEETAAYEGNENEAEKEDALKSQIDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEIVQMFGGNAEGLERELEKMARRKFKFLVSMQRLAKFKPHELENAEFLLRAYPDLQIAYLDEEPPLTEGEEPRIYSALIDGHCEILDNGRRRPKFRVQLSGNPILGDGKSDNQNHALIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEELNVEQVNPYAPGLRYEEQTTNHPVAIVGAREYIFSENSGVLGDVAAGKEQTFGTLFARTLSQIGGKLHYGHPDFINATFMTTRGGVSKAQKGLHLNEDIYAGMNAMLRGGRIKHCEYYQCGKGRDLGFGTILNFTTKIGAGMGEQMLSREYYYLGTQLPVDRFLTFYYAHPGFHLNNLFIQLSLQMFMLTLVNLSSLAHESIMCIYDRNKPKTDVLVPIGCYNFQPAVDWVRRYTLSIFIVFWIAFVPIVVQELIERGLWKATQRFFCHLLSLSPMFEVFAGQIYSSALLSDLAIGGARYISTGRGFATSRIPFSILYSRFAGSAIYMGARSMLMLLFGTVAHWQAPLLWFWASLSSLIFAPFVFNPHQFAWEDFFLDYRDYIRWLSRGNNQYHRNSWIGYVRMSRARITGFKRKLVGDESEKAAGDASRAHRTNLIMAEIIPCAIYAAGCFIAFTFINAQTGVKTTDDDRVNSVLRIIICTLAPIAVNLGVLFFCMGMSCCSGPLFGMCCKKTGSVMAGIAHGVAVIVHIAFFIVMWVLESFNFVRMLIGVVTCIQCQRLIFHCMTALMLTREFKNDHANTAFWTGKWYGKGMGYMAWTQPSRELTAKVIELSEFAADFVLGHVILICQLPLIIIPKIDKFHSIMLFWLKPSRQIRPPIYSLKQTRLRKRMVKKYCSLYFLVLAIFAGCIIGPAVASAKIHKHIGDSLDGVVHNLFQPINTTNNDTGSQMSTYQSHYYTHTPSLKTWSTIK
P70274 1 380 0.369015789473684 PF04592.14:SelP_N:24:245,PF04593.14:SelP_C:249:380 Selenoprotein P; SeP; Plasma selenoprotein P 380 354 42 380 0 Mus musculus (Mouse) SwissProt::P70274 1 SwissProt MWRSLGLALALCLLPYGGAESQGQSSACYKAPEWYIGDQNPMLNSEGKVTVVALLQASUYLCLLQASRLEDLRIKLESQGYFNISYIVVNHQGSPSQLKHSHLKKQVSEHIAVYRQEEDGIDVWTLLNGNKDDFLIYDRCGRLVYHLGLPYSFLTFPYVEEAIKIAYCEERCGNCNLTSLEDEDFCKTVTSATANKTAEPSEAHSHHKHHNKHGQEHLGSSKPSENQQPGPSETTLPPSGLHHHHRHRGQHRQGHLESUDTTASEGLHLSLAQRKLURRGCINQLLCKLSKESEAAPSSCCCHCRHLIFEKSGSAIAUQCAENLPSLCSUQGLFAEEKVTESCQCRSPPAAUQNQPMNPMEANPNUSUDNQTRKUKUHSN
VIMSS6582751 280 833 0.701845306859205 Heat shock factor protein; HSF; Heat shock transcription factor; HSTF 833 0 42 554 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P10961 1 SwissProt NNHNSPSGNGNPANGSNIPLDNAAGSNNSNNNISSSNSFFNNGHLLQGKTLRLMNEANLGDKNDVTAILGELEQIKYNQIAISKDLLRINKDNELLWQENMMARERHRTQQQALEKMFRFLTSIVPHLDPKMIMDGLGDPKVNNEKLNSANNIGLNRDNTGTIDELKSNDSFINDDRNSFTNATTNARNNMSPNNDDNSIDTASTNTTNRKKNIDENIKNNNDIINDIIFNTNLANNLSNYNSNNNAGSPIRPYKQRYLLKNRANSSTSSENPSLTPFDIESNNDRKISEIPFDDEEEEETDFRPFTSRDPNNQTSENTFDPNRFTMLSDDDLKKDSHTNDNKHNESDLFWDNVHRNIDEQDARLQNLENMVHILSPGYPNKSFNNKTSSTNTNSNMESAVNVNSPGFNLQDYLTGESNSPNSVHSVPSNGSGSTPLPMPNDNDTEHASTSVNQGENGSGLTPFLTVDDHTLNDNNTSEGSTRVSPDIKFSATENTKVSDNLPSFNDHSYSTQADTAPENAKKRFVEEIPEPAIVEIQDPTEYNDHRLPKRAKK
XP_005262156.1 1 123 0.246525203252033 TSC22 domain family protein 3 isoform X1 200 0 42 123 0 Homo sapiens XP_005262156.1 1 RefSeq MAQSKLDCRSPVGLDCCNCCLDLAHRSGLQRGSSGENNNPGSPTVSNFRQLQEKLVFENLNTDKLNSIMRQDSLEPVLRDPCYLINEGICNRNIDQTMLSILLFFHSASGASVVAIDNKIEQA
XP_006532857.1 210 446 0.649394936708861 PF04812.13:HNF-1B_C:1:230 hepatocyte nuclear factor 1-beta isoform X3 446 230 42 237 0 Mus musculus XP_006532857.1 1 RefSeq QTHNLNPLLTHGSPHHQPSSSPPNKMSGVRYNQPGNNEVTSSSTISHHGNSAMVTSQSVLQQVSPASLDPGHSLLSPDSKMQITVSGGGLPPVSTLTNIHSLSHHNPQQSQNLIMTPLSGVMAIAQSLNTSQAQGVPVINSVASSLAALQPVQFSQQLHSPHQQPLMQQSPGSHMAQQPFMAAVTQLQNSHMYAHKQEPPQYSHTSRFPSAMVVTDTSSINTLTSMSSSKQCPLQAW
XP_016858211.1 163 347 0.514281081081081 tumor necrosis factor receptor superfamily member 18 isoform X1 347 0 42 162 1 Homo sapiens XP_016858211.1 1 RefSeq LGWLTVVLLAVAACVLLLTSAQLGLHIWQLRSQCMWPRGLSQPGAGRWEHGCLLTVAPLQRPSCCWRCRRRPKTPEAASSPRKSGASDRQRRRGGWETCGCEPGRPPGPPTAASPSPGAPQAAGALRSALGRALLPWQQKWVQEGGSDQRPGPCSSAAAAGPCRRERETQSWPPSSLAGPDGVGS
NP_001025160.1 1 65 0.420604615384615 PF15715.5:PAF:1:42 PCNA-associated factor isoform 2 65 42 41 65 0 Homo sapiens NP_001025160.1 1 RefSeq MVRTKADSVPGTYRKVVAARAPRKVLGSSTSATNSTSVSSRKEHVLCNLITQMMKKNRTFSFIFE
NP_001129162.1 1 267 0.380701123595506 PF01160.18:Opiods_neuropep:25:70 Proenkephalin-A 267 46 41 267 0 Homo sapiens P01210 1 SwissProt/TReMBL MARFLTLCTWLLLLGPGLLATVRAECSQDCATCSYRLVRPADINFLACVMECEGKLPSLKIWETCKELLQLSKPELPQDGTSTLRENSKPEESHLLAKRYGGFMKRYGGFMKKMDELYPMEPEEEANGSEILAKRYGGFMKKDAEEDDSLANSSDLLKELLETGDNRERSHHQDGSDNEEEVSKRYGGFMRGLKRSPQLEDEAKELQKRYGGFMRRVGRPEWWMDYQKRYGGFLKRFAEALPSDEEGESYSKEVPEMEKRYGGFMRF
NP_001264167.1 1 212 0.419074056603774 homeobox protein Hox-A9 isoform 2 295 0 41 212 0 Mus musculus NP_001264167.1 1 RefSeq MAFAIKIMTAKHRAINSVRSDLRVIVLPGGHVTRVANGGAGAGNLLGDCTSPPPGATKLLHEICSFIISAGRAGPARRGLLQWPPPGPWATTMWTPSCWAPTLLMSWVRDATLQGPWVNPQGRRQLWPNTPTSVLAASSPRRRCLVPRGTQCTRRAPMRCLLQCIITTTTPTCIPRRPWRRRRRTAVDREKQPSEGAFSENNAENESGGDKP
NP_001269265.1 1 76 0.314655263157895 Adropin; Energy homeostasis-associated protein 76 0 41 53 1 Homo sapiens (Human) SwissProt::Q6UWT2 0 SwissProt MGAAISQGALIAIVCNGLVGFLLLLLWVILCWACHSRSADVDSLSESSPNSSPGPCPEKAPPPQKPSHEGSYLLQP
NP_001269802.1 231 2388 0.4328218721038 E3 ubiquitin-protein ligase UBR5 isoform 2 2798 0 41 2158 0 Homo sapiens NP_001269802.1 1 RefSeq GDDGDDTASESYLPGEDLMSLLDADIHSAHPSVIIDADAMFSEDISYFGYPSFRRSSLSRLGSSRVLLLPLERDSELLRERESVLRLRERRWLDGASFDNERGSTSKEGEPNLDKKNTPVQSPVSLGEDLQWWPDKDGTKFICIGALYSELLAVSSKGELYQWKWSESEPYRNAQNPSLHHPRATFLGLTNEKIVLLSANSIRATVATENNKVATWVDETLSSVASKLEHTAQTYSELQGERIVSLHCCALYTCAQLENSLYWWGVVPFSQRKKMLEKARAKNKKPKSSAGISSMPNITVGTQVCLRNNPLYHAGAVAFSISAGIPKVGVLMESVWNMNDSCRFQLRSPESLKNMEKASKTTEAKPESKQEPVKTEMGPPPSPASTCSDASSIASSASMPYKRRRSTPAPKEEEKVNEEQWSLREVVFVEDVKNVPVGKVLKVDGAYVAVKFPGTSSNTNCQNSSGPDADPSSLLQDCRLLRIDELQVVKTGGTPKVPDCFQRTPKKLCIPEKTEILAVNVDSKGVHAVLKTGNWVRYCIFDLATGKAEQENNFPTSSIAFLGQNERNVAIFTAGQESPIILRDGNGTIYPMAKDCMGGIRDPDWLDLPPISSLGMGVHSLINLPANSTIKKKAAVIIMAVEKQTLMQHILRCDYEACRQYLMNLEQAVVLEQNLQMLQTFISHRCDGNRNILHACVSVCFPTSNKETKEEEEAERSERNTFAERLSAVEAIANAISVVSSNGPGNRAGSSSSRSLRLREMMRRSLRAAGLGRHEAGASSSDHQDPVSPPIAPPSWVPDPPAMDPDGDIDFILAPAVGSLTTAATGTGQGPSTSTIPGPSTEPSVVESKDRKANAHFILKLLCDSVVLQPYLRELLSAKDARGMTPFMSAVSGRAYPAAITILETAQKIAKAEISSSEKEEDVFMGMVCPSGTNPDDSPLYVLCCNDTCSFTWTGAEHINQDIFECRTCGLLESLCCCTECARVCHKGHDCKLKRTSPTAYCDCWEKCKCKTLIAGQKSARLDLLYRLLTATNLVTLPNSRGEHLLLFLVQTVARQTVEHCQYRPPRIREDRNRKTASPEDSDMPDHDLEPPRFAQLALERVLQDWNALKSMIMFGSQENKDPLSASSRIGHLLPEEQVYLNQQSGTIRLDCFTHCLIVKCTADILLLDTLLGTLVKELQNKYTPGRREEAIAVTMRFLRSVARVFVILSVEMASSKKKNNFIPQPIGKCKRVFQALLPYAVEELCNVAESLIVPVRMGIARPTAPFTLASTSIDAMQGSEELFSVEPLPPRPSSDQSSSSSQSQSSYIIRNPQQRRISQSQPVRGRDEEQDDIVSADVEEVEVVEGVAGEEDHHDEQEEHGEENAEAEGQHDEHDEDGSDMELDLLAAAETESDSESNHSNQDNASGRRSVVTAATAGSEAGASSVPAFFSEDDSQSNDSSDSDSSSSQSDDIEQETFMLDEPLERTTNSSHANGAAQAPRSMQWAVRNTQHQRAASTAPSSTSTPAASSAGLIYIDPSNLRRSGTISTSAAAAAAALEASNASSYLTSASSLARAYSIVIRQISDLMGLIPKYNHLVYSQIPAAVKLTYQDAVNLQNYVEEKLIPTWNWMVSIMDSTEAQLRYGSALASAGDPGHPNHPLHASQNSARRERMTAREEASLRTLEGRRRATLLSARQGMMSARGDFLNYALSLMRSHNDEHSDVLPVLDVCSLKHVAYVFQALIYWIKAMNQQTTLDTPQLERKRTRELLELGIDNEDSEHENDDDTNQSATLNDKDDDSLPAETGQNHPFFRRSDSMTFLGCIPPNPFEVPLAEAIPLADQPHLLQPNARKEDLFGRPSQGLYSSSASSGKCLMEVTVDRNCLEVLPTKMSYAANLKNVMNMQNRQKKEGEEQPVLPEETESSKPGPSAHDLAAQLKSSLLAEIGLTESEGPPLTSFRPQCSFMGMVISHDMLLGRWRLSLELFGRVFMEDVGAEPGSILTELGGFEVKESKFRREMEKLRNQQSRDLSLEVDRDRDLLIQQTMRQLNNHFGRRCATTPMAVHRVKVTFKDEPGEGSGVARSFYTAIAQAFLSNEKLPNLECIQNANKGTHTSLMQRLRNRGERDREREREREMRRSSGLRAGSRRDRDRDFRRQLSIDTRPFRPASEGNPSDDPE
NP_001275642.1 1 428 0.118805140186916 PF00093.18:VWC:301:359,PF12714.7:TILa:301:340,PF02210.24:Laminin_G_2:127:198 protein kinase C-binding protein NELL1 isoform 3 precursor 838 131 41 428 0 Homo sapiens NP_001275642.1 1 RefSeq MPMDLILVVWFCVCTARTAEDMKPPVLSLIWRTRVLKSLLQQSLQGVVGFGMDPDLQMDIVTELDLVNTTLGVAQVSGMHNASKAFLFQDIEREIHAAPHVSEKLIQLFRNKSEFTILATVQQKPSTSGVILSIRELEHSYFELESSGLRDEIRYHYIHNGKPRTEALPYRMADGQWHKVALSVSASHLLLHVDCNRIYERVIDPPDTNLPPGINLWLGQRNQKHGLFKGIIQDGKIIFMPNGYITQCPNLNHTCPTCSDFLSLVQGIMDLQELLAKMTAKLNYAETRLSQLENCHCEKTCQVSGLLYRDQDSWVDGDHCRNCTCKSGAVECRRMSCPPLNCSPDSLPVHIAGQCCKVCRPKCIYGGKVLAEGQRILTKSCRECRGGVLVKITEMCPPLNCSEKDHILPENQCCRVCRGHNFCAEGPK
NP_001280227.1 1 1361 0.222978839088904 PF15711.5:ILEI:187:277,PF15711.5:ILEI:1245:1330,PF10162.9:G8:51:165,PF13330.6:Mucin2_WxxW:325:403 Cell migration-inducing and hyaluronan-binding protein; EC 3.2.1.35 1361 371 41 1361 0 Homo sapiens (Human) SwissProt::Q8WUJ3 1 SwissProt MGAAGRQDFLFKAMLTISWLTLTCFPGATSTVAAGCPDQSPELQPWNPGHDQDHHVHIGQGKTLLLTSSATVYSIHISEGGKLVIKDHDEPIVLRTRHILIDNGGELHAGSALCPFQGNFTIILYGRADEGIQPDPYYGLKYIGVGKGGALELHGQKKLSWTFLNKTLHPGGMAEGGYFFERSWGHRGVIVHVIDPKSGTVIHSDRFDTYRSKKESERLVQYLNAVPDGRILSVAVNDEGSRNLDDMARKAMTKLGSKHFLHLGFRHPWSFLTVKGNPSSSVEDHIEYHGHRGSAAARVFKLFQTEHGEYFNVSLSSEWVQDVEWTEWFDHDKVSQTKGGEKISDLWKAHPGKICNRPIDIQATTMDGVNLSTEVVYKKGQDYRFACYDRGRACRSYRVRFLCGKPVRPKLTVTIDTNVNSTILNLEDNVQSWKPGDTLVIASTDYSMYQAEEFQVLPCRSCAPNQVKVAGKPMYLHIGEEIDGVDMRAEVGLLSRNIIVMGEMEDKCYPYRNHICNFFDFDTFGGHIKFALGFKAAHLEGTELKHMGQQLVGQYPIHFHLAGDVDERGGYDPPTYIRDLSIHHTFSRCVTVHGSNGLLIKDVVGYNSLGHCFFTEDGPEERNTFDHCLGLLVKSGTLLPSDRDSKMCKMITEDSYPGYIPKPRQDCNAVSTFWMANPNNNLINCAAAGSEETGFWFIFHHVPTGPSVGMYSPGYSEHIPLGKFYNNRAHSNYRAGMIIDNGVKTTEASAKDKRPFLSIISARYSPHQDADPLKPREPAIIRHFIAYKNQDHGAWLRGGDVWLDSCRFADNGIGLTLASGGTFPYDDGSKQEIKNSLFVGESGNVGTEMMDNRIWGPGGLDHSGRTLPIGQNFPIRGIQLYDGPINIQNCTFRKFVALEGRHTSALAFRLNNAWQSCPHNNVTGIAFEDVPITSRVFFGEPGPWFNQLDMDGDKTSVFHDVDGSVSEYPGSYLTKNDNWLVRHPDCINVPDWRGAICSGCYAQMYIQAYKTSNLRMKIIKNDFPSHPLYLEGALTRSTHYQQYQPVVTLQKGYTIHWDQTAPAELAIWLINFNKGDWIRVGLCYPRGTTFSILSDVHNRLLKQTSKTGVFVRTLQMDKVEQSYPGRSHYYWDEDSGLLFLKLKAQNEREKFAFCSMKGCERIKIKALIPKNAGVSDCTATAYPKFTERAVVDVPMPKKLFGSQLKTKDHFLEVKMESSKQHFFHLWNDFAYIEVDGKKYPSSEDGIQVVVIDGNQGRVVSHTSFRNSILQGIPWQLFNYVATIPDNSIVLMASKGRYVSRGPWTRVLEKLGADRGLKLKEQMAFVGFKGSFRPIWVTLDTEDHKAKIFQVVPIPVVKKKKL
NP_001291744.1 1187 2517 0.728318557475583 protein capicua homolog isoform CIC-L 2517 0 41 1331 0 Homo sapiens NP_001291744.1 1 RefSeq SSEAKPTSLGLAGGHKETRERSMSETGTAAAPGVSSELLSVAAQTLLSSDTKAPGSSSCGAERLHTVGGPGSARPRAFSHSGVHSLDGGEVDSQALQELTQMVSGPASYSGPKPSTQYGAPGPFAAPGEGGALAATGRPPLLPTRASRSQRAASEDMTSDEERMVICEEEGDDDVIADDGFGTTDIDLKCKERVTDSESGDSSGEDPEGNKGFGRKVFSPVIRSSFTHCRPPLDPEPPGPPDPPVAFGKGYGSAPSSSASSPASSSASAATSFSLGSGTFKAQESGQGSTAGPLRPPPPGAGGPATPSKATRFLPMDPATFRRKRPESVGGLEPPGPSVIAAPPSGGGNILQTLVLPPNKEEQEGGGARVPSAPAPSLAYGAPAAPLSRPAATMVTNVVRPVSSTPVPIASKPFPTSGRAEASPNDTAGARTEMGTGSRVPGGSPLGVSLVYSDKKSAAATSPAPHLVAGPLLGTVGKAPATVTNLLVGTPGYGAPAPPAVQFIAQGAPGGGTTAGSGAGAGSGPNGPVPLGILQPGALGKAGGITQVQYILPTLPQQLQVAPAPAPAPGTKAAAPSGPAPTTSIRFTLPPGTSTNGKVLAATAPTPGIPILQSVPSAPPPKAQSVSPVQAPPPGGSAQLLPGKVLVPLAAPSMSVRGGGAGQPLPLVSPPFSVPVQNGAQPPSKIIQLTPVPVSTPSGLVPPLSPATLPGPTSQPQKVLLPSSTRITYVQSAGGHALPLGTSPASSQAGTVTSYGPTSSVALGFTSLGPSGPAFVQPLLSAGQAPLLAPGQVGVSPVPSPQLPPACAAPGGPVITAFYSGSPAPTSSAPLAQPSQAPPSLVYTVATSTTPPAATILPKGPPAPATATPAPTSPFPSATAGSMTYSLVAPKAQRPSPKAPQKVKAAIASIPVGSFEAGASGRPGPAPRQPLEPGPVREPTAPESELEGQPTPPAPPPLPETWTPTARSSPPLPPPAEERTSAKGPETMASKFPSSSSDWRVPGQGLENRGEPPTPPSPAPAPAVAPGGSSESSSGRAAGDTPERKEAAGTGKKVKVRPPPLKKTFDSVDNRVLSEVDFEERFAELPEFRPEEVLPSPTLQSLATSPRAILGSYRKKRKNSTDLDSAPEDPTSPKRKMRRRSSCSSEPNTPKSAKCEGDIFTFDRTGTEAEDVLGELEYDKVPYSSLRRTLDQRRALVMQLFQDHGFFPSAQATAAFQARYADIFPSKVCLQLKIREVRQKIMQAATPTEQPPGAEAPLPVPPPTGTAAAPAPTPSPAGGPDPTSPSSDSGTAQAAPPLPPPPESGPGQPGWEGAPQPSPPPPGPSTAATGR
NP_001310435.1 474 980 0.287275147928994 PF00041.21:fn3:67:135 oncostatin-M-specific receptor subunit beta isoform 3 precursor 980 69 41 484 1 Homo sapiens NP_001310435.1 1 RefSeq ENLDKPSSSELHSIPAPANSTKLILDRCSYQICVIANNSVGASPASVIVISADPENKEVEEERIAGTEGGFSLSWKPQPGDVIGYVVDWCDHTQDVLGDFQWKNVGPNTTSTVISTDAFRPGVRYDFRIYGLSTKRIACLLEKKTGYSQELAPSDNPHVLVDTLTSHSFTLSWKDYSTESQPGFIQGYHVYLKSKARQCHPRFEKAVLSDGSECCKYKIDNPEEKALIVDNLKPESFYEFFITPFTSAGEGPSATFTKVTTPDEHSSMLIHILLPMVFCVLLIMVMCYLKSQWIKETCYPDIPDPYKSSILSLIKFKENPHLIIMNVSDCIPDAIEVVSKPEGTKIQFLGTRKSLTETELTKPNYLYLLPTEKNHSGPGPCICFENLTYNQAASDSGSCGHVPVSPKAPSMLGLMTSPENVLKALEKNYMNSLGEIPAGETSLNYVSQLASPMFGDKDSLPTNPVEAPHCSEYKMQMAVSLRLALPPPTENSSLSSITLLDPGEHYC
NP_002644.4 154 314 0.318455279503106 PF03826.17:OAR:123:140 Pituitary homeobox 1; Hindlimb-expressed homeobox protein backfoot; Homeobox protein PITX1; Paired-like homeodomain transcription factor 1 314 18 41 161 0 Homo sapiens (Human) SwissProt::P78337 1 SwissProt DLCKGGYVPQFSGLVQPYEDVYAAGYSYNNWAAKSLAPAPLSTKSFTFFNSMSPLSSQSMFSAPSSISSMTMPSSMGPGAVPGMPNSGLNNINNLTGSSLNSAMSPGACPYGTPASPYSVYRDTCNSSLASLRLKSKQHSSFGYGGLQGPASGLNACQYNS
NP_003358.1 1 226 0.61033982300885 Upstream stimulatory factor 2 346 0 41 226 0 Homo sapiens Q15853 1 SwissProt/TReMBL MDMLDPGLDPAASATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAITSVQQAAFGDHNIQYQFRTETNGGQVTYRVVQVTDGQLDGQGDTAGAVSVVSTAAFAGGQQAVTQVGVDGAAQRPGPAAASVPPGPAAPFPLAVIQNPFSNGGSPAAEAVSGEARFAYFPASSVGDTTAVSVQTTDQSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKID
NP_005538.2 1 585 0.76988905982906 PF10583.9:Involucrin_N:1:69,PF00904.17:Involucrin:104:112,PF00904.17:Involucrin:156:165,PF00904.17:Involucrin:166:175,PF00904.17:Involucrin:176:185,PF00904.17:Involucrin:186:195,PF00904.17:Involucrin:196:205,PF00904.17:Involucrin:206:215,PF00904.17:Involucrin:216:225,PF00904.17:Involucrin:226:235,PF00904.17:Involucrin:238:245,PF00904.17:Involucrin:247:255,PF00904.17:Involucrin:257:265,PF00904.17:Involucrin:266:274,PF00904.17:Involucrin:276:285,PF00904.17:Involucrin:286:295,PF00904.17:Involucrin:296:304,PF00904.17:Involucrin:306:315,PF00904.17:Involucrin:316:325,PF00904.17:Involucrin:326:335,PF00904.17:Involucrin:336:345,PF00904.17:Involucrin:346:355,PF00904.17:Involucrin:356:364,PF00904.17:Involucrin:366:375,PF00904.17:Involucrin:376:385,PF00904.17:Involucrin:386:395,PF00904.17:Involucrin:396:405,PF00904.17:Involucrin:406:415,PF00904.17:Involucrin:413:422,PF00904.17:Involucrin:423:432,PF00904.17:Involucrin:433:442,PF00904.17:Involucrin:443:451,PF00904.17:Involucrin:453:461,PF00904.17:Involucrin:463:472,PF00904.17:Involucrin:473:482,PF00904.17:Involucrin:483:492,PF00904.17:Involucrin:493:502,PF00904.17:Involucrin:503:512,PF00904.17:Involucrin:513:522,PF00904.17:Involucrin:523:531,PF00904.17:Involucrin:533:542 Involucrin 585 455 41 585 0 Homo sapiens P07476 1 SwissProt/TReMBL MSQQHTLPVTLSPALSQELLKTVPPPVNTHQEQMKQPTPLPPPCQKVPVELPVEVPSKQEEKHMTAVKGLPEQECEQQQKEPQEQELQQQHWEQHEEYQKAENPEQQLKQEKTQRDQQLNKQLEEEKKLLDQQLDQELVKRDEQLGMKKEQLLELPEQQEGHLKHLEQQEGQLKHPEQQEGQLELPEQQEGQLELPEQQEGQLELPEQQEGQLELPEQQEGQLELPEQQEGQLELPQQQEGQLELSEQQEGQLELSEQQEGQLKHLEHQEGQLEVPEEQMGQLKYLEQQEGQLKHLDQQEKQPELPEQQMGQLKHLEQQEGQPKHLEQQEGQLEQLEEQEGQLKHLEQQEGQLEHLEHQEGQLGLPEQQVLQLKQLEKQQGQPKHLEEEEGQLKHLVQQEGQLKHLVQQEGQLEQQERQVEHLEQQVGQLKHLEEQEGQLKHLEQQQGQLEVPEQQVGQPKNLEQEEKQLELPEQQEGQVKHLEKQEAQLELPEQQVGQPKHLEQQEKHLEHPEQQDGQLKHLEQQEGQLKDLEQQKGQLEQPVFAPAPGQVQDIQPALPTKGEVLLPVEHQQQKQEVQWPPKHK
NP_009656.2 1 691 0.393382489146165 PF02732.15:ERCC4:419:589 Crossover junction endonuclease MMS4; EC 3.1.22.- 691 171 41 691 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38257 1 SwissProt MSQIVDFVEDKDSRNDASIQIIDGPSNVEIIALSESMDQDECKRAHVSSAEMIPSSPQRKSVSNDVENVDLNKSIELSAPFFQDISISKLDDFSTTVNSIIDSSLRNENNAKGNAKKLLDDLISDEWSADLESSGKKHNKSQYNLRDIAEKWGVQSLKNPEPIAVDCEYKTQGIGKTNSDISDSPKSQIGAADILFDFPLSPVKHENPTEEKHNSIANENSSPDNSLKPAGKQNHGEDGTSMAKRVYNKGEDEQEHLPKGKKRTIALSRTLINSTKLPDTVELNLSKFLDSSDSITTDVLSTPAKGSNIVRTGSQPIFSNANCFQEAKRSKTLTAEDPKCTKNTAREVSQLENYIAYGQYYTREDSKNKIRHLLKENKNAFKRVNQIYRDNIKARSQMIIEFSPSLLQLFKKGDSDLQQQLAPAVVQSSYNDSMPLLRFLRKCDSIYDFSNDFYYPCDPKIVEENVLILYYDAQEFFEQYTSQKKELYRKIRFFSKNGKHVILILSDINKLKRAIFQLENEKYKARVEQRLSGTEEALRPRSKKSSQVGKLGIKKFDLEQRLRFIDREWHVKIHTVNSHMEFINSLPNLVSLIGKQRMDPAIRYMKYAHLNVKSAQDSTETLKKTFHQIGRMPEMKANNVVSLYPSFQSLLEDIEKGRLQSDNEGKYLMTEAVEKRLYKLFTCTDPNDTIE
NP_010337.3 251 704 0.503176431718061 PF07535.12:zf-DBF:406:450,PF08630.10:Dfp1_Him1_M:1:60 DDK kinase regulatory subunit DBF4; Dumbbell forming protein 4 704 105 41 454 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32325 1 SwissProt PRTKRDDIHYFKYPHVYLYDLWQTWAPIITLEWKPQELTNLDELPYPILKIGSFGRCPFIGDRNYDESSYKRVVKRYSRDKANKKYALQLRALFQYHADTLLNTSSVNDQTKNLIFIPHTCNDSTKSFKKWMQEKAKNFEKTELKKTDDSAVQDVRNEHADQTDEKNSILLNETETKEPPLKEEKENKQSIAEESNKYPQRKELAATPKLNHPVLATFARQETEEVPDDLCTLKTKSRQAFEIKASGAHQSNDVATSFGNGLGPTRASVMSKNMKSLSRLMVDRKLGVKQTNGNNKNYTATIATTAETSKENRHRLDFNALKKDEAPSKETGKDSAVHLETNRKPQNFPKVATKSVSADSKVHNDIKITTTESPTASKKSTSTNVTLHFNAQTAQTAQPVKKETVKNSGYCENCRVKYESLEQHIVSEKHLSFAENDLNFEAIDSLIENLRFQI
NP_032680.1 1 543 0.749413075506444 Protein phosphatase 1 regulatory subunit 15A; Growth arrest and DNA damage-inducible protein GADD34; Myeloid differentiation primary response protein MyD116 657 0 41 543 0 Mus musculus (Mouse) SwissProt::P17564 1 SwissProt MAPSPRPQHVLHWRDAHNFYLLSPLMGLLSRAWSRLRGPEVPEAWLAKTVTGADQIEAAALLTPTPVSGNLLPHGETEESGSPEQSQAAQRLCLVEAESSPPETWGLSNVDEYNAKPGQDDLREKEMERTAGKATLQPAGLQGADKRLGEVVAREEGVAEPAYPTSQLEGGPAENEEDGETVKTYQASAASIAPGYKPSTPVPFLGEAEHQATEEKGTENKADPSNSPSSGSHSRAWEYYSREKPKQEGEAKVEAHRAGQGHPCRNAEAEEGGPETTFVCTGNAFLKAWVYRPGEDTEEEDNSDSDSAEEDTAQTGATPHTSAFLKAWVYRPGEDTEEEDSDSDSAEEDTAQTGATPHTSAFLKAWVYRPGEDTEEENSDLDSAEEDTAQTGATPHTSAFLKAWVYRPGEDTEEENSDLDSAEEDTAQTGATPHTSPFLKAWVYRPGEDTEDDTEEEEDSENVAPGDSETADSSQSPCLQPQRCLPGEKTKGRGEEPPLFQVAFYLPGEKPESPWAAPKLPLRLQRRLRLFKAPTRDQDPEIP
NP_033260.4 138 395 0.579753488372093 Transcription factor SOX-11 395 0 41 258 0 Mus musculus (Mouse) SwissProt::Q7M6Y2 1 SwissProt PDKSAAGAKAAKGPGKKCAKLKAPAGKAGAGKAAQPGDCAAGKAAKCVFLDDDDEDDDEDDELQLRPKPDADDDDDEPAHSHLLPPPTQQQPPQLLRRYSVAKVPASPTLSSAAESPEGASLYDEVRAGGRLYYSFKNITKQQPPPAPPALSPASSRCVSTSSSSGSSSGSGAEDADDLMFDLSLNFSQGAHSACEQPLGAGAAGNLSLSLVDKDLDSFSEGSLGSHFEFPDYCTPELSEMIAGDWLEANFSDLVFTY
NP_033408.1 1 162 0.203145679012346 PF00047.25:ig:22:114 Thy-1 membrane glycoprotein 162 93 41 162 0 Mus musculus P01831 1 SwissProt/TReMBL MNPAISVALLLSVLQVSRGQKVTSLTACLVNQNLRLDCRHENNTKDNSIQHEFSLTREKRKHVLSGTLGIPEHTYRSRVTLSNQPYIKVLTLANFTTKDEGDYFCELQVSGANPMSSNKSISVYRDKLVKCGGISLLVQNTSWMLLLLLSLSLLQALDFISL
NP_036812.2 1 1809 0.279546213377557 PF03542.16:Tuberin:556:903,PF11864.8:DUF3384:54:469,PF02145.15:Rap_GAP:1563:1749 Tuberin; Tuberous sclerosis 2 protein homolog 1809 951 41 1809 0 Rattus norvegicus (Rat) SwissProt::P49816 1 SwissProt MAKPTSKDSGLKEKFKILLGLGTSRPNPRCAEGKQTEFIITAEILRELSGECGLNNRIRMIGQICDVAKTKKLEEHAVEALWKAVSDLLQPERPPEARHAVLALLKAIVQGQGDRLGVLRALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELAEFVLQWMDVGLSSEFLLVLVNLVKFNSCYLDEYIAPMVHMICLLCIRTVSSVDIEVSLQVLDAVVCYNCLPAESLPLFIITLCRTVNVKELCEPCWKLMRNLLGTHLGHSAIYNMCRIMENRSYMEDAPLLRGAVFFVGMALWGAHRLYSLKNSPTSVLPSFYEAMTCPNEVVSYEIVLSITRLIKKYRKELQAVTWDILLDIIERLLQQLQNLDSPELRTIVHDLLTTVEELCDQNEFHGSQERYYELVESYADQRPESSLLNLITYRAQSIHPAKDGWIQNLQLLMERFFRNECRSAVRIKVLDVLSFVLLINRQFYEEELINSVVISQLSHIPEDKDHQVRKLATQLLVDLAEGCHTHHFNSLLDIIEKVMARSLSPPLELEERDLAVYSASLEDVKTAVLGLLVILQTKLYTLPASHATRVYETLISHIQLHYKHGYSLPIASSIRLQAFDFLLLLRADSLHRLGLPNKDGVVRFSPYCLCDCAELDRASEKKASGPLSPPTGPPSPVPTGPAVRLGHLPYSLLFRVLLQCLKQETDWKVLKLVLSKLPESLRYKVLIFTSPCSVDQLSSALCSMLSAPKTLERLRGTPEGFSRTDLHLAVVPVLTALISYHNYLDKTRQREMVYCLEQGLIYRCASQCVVALAICSVEMPDIIIKALPVLVVKLTHISATASMAIPLLEFLSTLARLPHLYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRCRLPFRKDFVPYITKGLRSNVLLSFDDTPEKDKFRARSTSLNERPKSLRIARAPKQGLNNSPPVKEFKESCAAEAFRCRSISVSEHVVRSRIQTSLTSASLGSADENSMAQADDNLKNLHLELTETCLDMMARYVFSNFTAVPKRSPVGEFLLAGGRTKTWLVGNKLVTVTTSVGTGTRSLLGLDSGDLQGGSASSSDPGTHVRQTKEAPAKLESQAGQQVSRGARDRVRSMSGGHGLRVGVLDTSAPYTPGGPASLGAQAAPAARPEKPCAGAQLPAAEKANLAAYVPLLTQGWAEILVRRPTGNTSWLMSLENPLSPFSSDINNMPLQELSNALMAAERFKEHRDTALYKSLSVPAAGTAKPPTLPRSNTVASFSSLYQPSCQGQLHRSVSWADSAVVLEEGSPGEAHVPVEPPELEDFEAALGTDRHCQRPDAYSRSSSASSQEEKSHLEELAAGGIPIERAISSEGARPTVDLSFQPSQPLSKSSSSPELQTLQDILGDLGDKTDIGRLSPEAKVRSQSGILDGEAATWSAPGEESRITVPPEGPLPSSSPRSPSGLRPRGYTISDSAPSRRGKRVERDNFKSRTAASSAEKVPGINPSFVFLQLYHSPFCGDESNKPILLPNESFERSVQLLDQIPSYDTHKIAVLYVGEGQSSSELAILSNEHGSYRYTEFLTGLGRLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIMQAVFHIATLMPTKDVDKHRCDKKRHLGNDFVSIIYNDSGEDFKLGTIKGQFNFVHVIITPLDYKCNLLTLQCRKDMEGLVDTSVAKIVSDRNLSFVARQMALHANMASQVHHRRSNPTDIYPSKWIARLRHIKRLRQRIREEVHYSNPSLPLMHPPAHTKVPAQAPTEATPTYETGQRKRLISSVDDFTEFV
NP_055935.4 1 4169 0.560220436555526 PF18727.1:ALMS_repeat:540:586,PF18727.1:ALMS_repeat:587:633,PF18727.1:ALMS_repeat:634:680,PF18727.1:ALMS_repeat:681:727,PF18727.1:ALMS_repeat:728:775,PF18727.1:ALMS_repeat:777:822,PF18727.1:ALMS_repeat:823:872,PF18727.1:ALMS_repeat:873:919,PF18727.1:ALMS_repeat:920:966,PF18727.1:ALMS_repeat:967:1014,PF18727.1:ALMS_repeat:1015:1061,PF18727.1:ALMS_repeat:1063:1108,PF18727.1:ALMS_repeat:1109:1156,PF18727.1:ALMS_repeat:1157:1203,PF18727.1:ALMS_repeat:1204:1250,PF18727.1:ALMS_repeat:1251:1298,PF18727.1:ALMS_repeat:1299:1345,PF18727.1:ALMS_repeat:1346:1393,PF18727.1:ALMS_repeat:1394:1440,PF18727.1:ALMS_repeat:1441:1487,PF18727.1:ALMS_repeat:1488:1535,PF18727.1:ALMS_repeat:1540:1582,PF18727.1:ALMS_repeat:1583:1629,PF18727.1:ALMS_repeat:1630:1676,PF18727.1:ALMS_repeat:1677:1723,PF18727.1:ALMS_repeat:1724:1770,PF18727.1:ALMS_repeat:1771:1817,PF18727.1:ALMS_repeat:1818:1862,PF18727.1:ALMS_repeat:1864:1907,PF18727.1:ALMS_repeat:1908:1951,PF18727.1:ALMS_repeat:1953:1996,PF18727.1:ALMS_repeat:1995:2028,PF18727.1:ALMS_repeat:2060:2105,PF18727.1:ALMS_repeat:2108:2153,PF18727.1:ALMS_repeat:2154:2199,PF15309.6:ALMS_motif:4033:4165 Alstrom syndrome protein 1 4169 1752 41 4169 0 Homo sapiens NP_055935.4 1 RefSeq MEPEDLPWPGELEEEEEEEEEEEEEEEEEAAAAAAANVDDVVVVEEVEEEAGRELDSDSHYGPQHLESIDDEEDEEAKAWLQAHPGRILPPLSPPQHRYSEGERTSLEKIVPLTCHVWQQIVYQGNSRTQISDTNVVCLETTAQRGSGDDQKTESWHCLPQEMDSSQTLDTSQTRFNVRTEDTEVTDFPSLEEGILTQSENQVKEPNRDLFCSPLLVIQDSFASPDLPLLTCLTQDQEFAPDSLFHQSELSFAPLRGIPDKSEDTEWSSRPSEVSEALFQATAEVASDLASSRFSVSQHPLIGSTAVGSQCPFLPSEQGNNEETISSVDELKIPKDCDRYDDLCSYMSWKTRKDTQWPENNLADKDQVSVATSFDITDENIATKRSDHFDAARSYGQYWTQEDSSKQAETYLTKGLQGKVESDVITLDGLNENAVVCSERVAELQRKPTRESEYHSSDLRMLRMSPDTVPKAPKHLKAGDTSKGGIAKVTQSNLKSGITTTPVDSDIGSHLSLSLEDLSQLAVSSPLETTTGQHTDTLNQKTLADTHLTEETLKVTAIPEPADQKTATPTVLSSSHSHRGKPSIFYQQGLPDSHLTEEALKVSAAPGLADQTTGMSTLTSTSYSHREKPGTFYQQELPESNLTEEPLEVSAAPGPVEQKTGIPTVSSTSHSHVEDLLFFYRQTLPDGHLTDQALKVSAVSGPADQKTGTATVLSTPHSHREKPGIFYQQEFADSHQTEETLTKVSATPGPADQKTEIPAVQSSSYSQREKPSILYPQDLADSHLPEEGLKVSAVAGPADQKTGLPTVPSSAYSHREKLLVFYQQALLDSHLPEEALKVSAVSGPADGKTGTPAVTSTSSASSSLGEKPSAFYQQTLPNSHLTEEALKVSIVPGPGDQKTGIPSAPSSFYSHREKPIIFSQQTLPDFLFPEEALKVSAVSVLAAQKTGTPTVSSNSHSHSEKSSVFYQQELPDSDLPRESLKMSAIPGLTDQKTVPTPTVPSGSFSHREKPSIFYQQEWPDSYATEKALKVSTGPGPADQKTEIPAVQSSSYPQREKPSVLYPQVLSDSHLPEESLKVSAFPGPADQMTDTPAVPSTFYSQREKPGIFYQQTLPESHLPKEALKISVAPGLADQKTGTPTVTSTSYSQHREKPSIFHQQALPGTHIPEEAQKVSAVTGPGNQKTWIPRVLSTFYSQREKPGIFYQQTLPGSHIPEEAQKVSPVLGPADQKTGTPTPTSASYSHTEKPGIFYQQVLPDNHPTEEALKISVASEPVDQTTGTPAVTSTSYSQYREKPSIFYQQSLPSSHLTEEAKNVSAVPGPADQKTVIPILPSTFYSHTEKPGVFYQQVLPHSHPTEEALKISVASEPVDQTTGTPTVTSTSYSQHTEKPSIFYQQSLPGSHLTEEAKNVSAVPGPGDRKTGIPTLPSTFYSHTEKPGSFYQQVLPHSHLPEEALEVSVAPGPVDQTIGTPTVTSPSSSFGEKPIVIYKQAFPEGHLPEESLKVSVAPGPVGQTTGAPTITSPSYSQHRAKSGSFYQLALLGSQIPEEALRVSSAPGPADQTTGIPTITSTSYSFGEKPIVNYKQAFPDGHLPEEALKVSIVSGPTEKKTDIPAGPLGSSALGEKPITFYRQALLDSPLNKEVVKVSAAPGPADQKTETLPVHSTSYSNRGKPVIFYQQTLSDSHLPEEALKVPPVPGPDAQKTETPSVSSSLYSYREKPIVFYQQALPDSELTQEALKVSAVPQPADQKTGLSTVTSSFYSHTEKPNISYQQELPDSHLTEEALKVSNVPGPADQKTGVSTVTSTSYSHREKPIVSYQRELPHFTEAGLKILRVPGPADQKTGINILPSNSYPQREHSVISYEQELPDLTEVTLKAIGVPGPADQKTGIQIASSSSYSNREKASIFHQQELPDVTEEALNVFVVPGQGDRKTEIPTVPLSYYSRREKPSVISQQELPDSHLTEEALKVSPVSIPAEQKTGIPIGLSSSYSHSHKEKLKISTVHIPDDQKTEFPAATLSSYSQIEKPKISTVIGPNDQKTPSQTAFHSSYSQTVKPNILFQQQLPDRDQSKGILKISAVPELTDVNTGKPVSLSSSYFHREKSNIFSPQELPGSHVTEDVLKVSTIPGPAGQKTVLPTALPSSFSHREKPDIFYQKDLPDRHLTEDALKISSALGQADQITGLQTVPSGTYSHGENHKLVSEHVQRLIDNLNSSDSSVSSNNVLLNSQADDRVVINKPESAGFRDVGSEEIQDAENSAKTLKEIRTLLMEAENMALKRCNFPAPLARFRDISDISFIQSKKVVCFKEPSSTGVSNGDLLHRQPFTEESPSSRCIQKDIGTQTNLKCRRGIENWEFISSTTVRSPLQEAESKVSMALEETLRQYQAAKSVMRSEPEGCSGTIGNKIIIPMMTVIKSDSSSDASDGNGSCSWDSNLPESLESVSDVLLNFFPYVSPKTSITDSREEEGVSESEDGGGSSVDSLAAHVKNLLQCESSLNHAKEILRNAEEEESRVRAHAWNMKFNLAHDCGYSISELNEDDRRKVEEIKAELFGHGRTTDLSKGLQSPRGMGCKPEAVCSHIIIESHEKGCFRTLTSEHPQLDRHPCAFRSAGPSEMTRGRQNPSSCRAKHVNLSASLDQNNSHFKVWNSLQLKSHSPFQNFIPDEFKISKGLRMPFDEKMDPWLSELVEPAFVPPKEVDFHSSSQMPSPEPMKKFTTSITFSSHRHSKCISNSSVVKVGVTEGSQCTGASVGVFNSHFTEEQNPPRDLKQKTSSPSSFKMHSNSQDKEVTILAEGRRQSQKLPVDFERSFQEEKPLERSDFTGSHSEPSTRANCSNFKEIQISDNHTLISMGRPSSTLGVNRSSSRLGVKEKNVTITPDLPSCIFLEQRELFEQSKAPRADDHVRKHHSPSPQHQDYVAPDLPSCIFLEQRELFEQCKAPYVDHQMRENHSPLPQGQDSIASDLPSPISLEQCQSKAPGVDDQMNKHHFPLPQGQDCVVEKNNQHKPKSHISNINVEAKFNTVVSQSAPNHCTLAASASTPPSNRKALSCVHITLCPKTSSKLDSGTLDERFHSLDAASKARMNSEFNFDLHTVSSRSLEPTSKLLTSKPVAQDQESLGFLGPKSSLDFQVVQPSLPDSNTITQDLKTIPSQNSQIVTSRQIQVNISDFEGHSNPEGTPVFADRLPEKMKTPLSAFSEKLSSDAVTQITTESPEKTLFSSEIFINAEDRGHEIIEPGNQKLRKAPVKFASSSSVQQVTFSRGTDGQPLLLPYKPSGSTKMYYVPQLRQIPPSPDSKSDTTVESSHSGSNDAIAPDFPAQVLGTRDDDLSATVNIKHKEGIYSKRVVTKASLPVGEKPLQNENADASVQVLITGDENLSDKKQQEIHSTRAVTEAAQAKEKESLQKDTADSSAAAAAEHSAQVGDPEMKNLPDTKAITQKEEIHRKKTVPEEAWPNNKESLQINIEESECHSEFENTTRSVFRSAKFYIHHPVHLPSDQDICHESLGKSVFMRHSWKDFFQHHPDKHREHMCLPLPYQNMDKTKTDYTRIKSLSINVNLGNKEVMDTTKSQVRDYPKHNGQISDPQRDQKVTPEQTTQHTVSLNELWNKYRERQRQQRQPELGDRKELSLVDRLDRLAKILQNPITHSLQVSESTHDDSRGERSVKEWSGRQQQRNKLQKKKRFKSLEKSHKNTGELKKSKVLSHHRAGRSNQIKIEQIKFDKYILSKQPGFNYISNTSSDCRPSEESELLTDTTTNILSGTTSTVESDILTQTDREVALHERSSSVSTIDTARLIQAFGHERVCLSPRRIKLYSSITNQQRRYLEKRSKHSKKVLNTGHPLVTSEHTRRRHIQVANHVISSDSISSSASSFLSSNSTFCNKQNVHMLNKGIQAGNLEIVNGAKKHTRDVGITFPTPSSSEAKLEENSDVTSWSEEKREEKMLFTGYPEDRKLKKNKKNSHEGVSWFVPVENVESRSKKENVPNTCGPGISWFEPITKTRPWREPLREQNCQGQHLDGRGYLAGPGREAGRDLLRPFVRATLQESLQFHRPDFISRSGERIKRLKLIVQERKLQSMLQTERDALFNIDRERQGHQNRMCPLPKRVFLAIQKNKPISKKEMIQRSKRIYEQLPEVQKKREEEKRKSEYKSYRLRAQLYKKRVTNQLLGRKVPWD
NP_116025.1 1 333 0.790316816816817 PF15259.6:GTSE1_N:9:97 proline/serine-rich coiled-coil protein 1 isoform a 333 89 41 333 0 Homo sapiens NP_116025.1 1 RefSeq MEDLEEDVRFIVDETLDFGGLSPSDSREEEDITVLVTPEKPLRRGLSHRSDPNAVAPAPQGVRLSLGPLSPEKLEEILDEANRLAAQLEQCALQDRESAGEGLGPRRVKPSPRRETFVLKDSPVRDLLPTVNSLTRSTPSPSSLTPRLRSNDRKGSVRALRATSGKRPSNMKRESPTCNLFPASKSPASSPLTRSTPPVRGRAGPSGRAAASPPTPIRSVLAPQPSTSNSQRLPRPQGAAAKSSSQLPIPSAIPRPASRMPLTSRSVPPGRGALPPDSLSTRKGLPRPSTAGHRVRESGHKVPVSQRLNLPVMGATRSNLQPPRKVAVPGPTR
NP_194590.2 1 223 0.638766367713005 Protein PHOSPHATE STARVATION RESPONSE 1; AtPHR1 409 0 41 223 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94CL7 1 SwissProt MEARPVHRSGSRDLTRTSSIPSTQKPSPVEDSFMRSDNNSQLMSRPLGQTYHLLSSSNGGAVGHICSSSSSGFATNLHYSTMVSHEKQQHYTGSSSNNAVQTPSNNDSAWCHDSLPGGFLDFHETNPAIQNNCQIEDGGIAAAFDDIQKRSDWHEWADHLITDDDPLMSTNWNDLLLETNSNSDSKDQKTLQIPQPQIVQQQPSPSVELRPVSTTSSNSNNGT
NP_200877.1 86 842 0.210744121532364 PF08670.11:MEKHLA:609:756,PF01852.19:START:76:282 Homeobox-leucine zipper protein REVOLUTA; HD-ZIP protein REV; Homeodomain transcription factor REV; Protein AMPHIVASAL VASCULAR BUNDLE 1; Protein INTERFASCICULAR FIBERLESS 1 842 355 41 757 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SE43 1 SwissProt EASRLQSVNRKLSAMNKLLMEENDRLQKQVSQLVCENGYMKQQLTTVVNDPSCESVVTTPQHSLRDANSPAGLLSIAEETLAEFLSKATGTAVDWVQMPGMKPGPDSVGIFAISQRCNGVAARACGLVSLEPMKIAEILKDRPSWFRDCRSLEVFTMFPAGNGGTIELVYMQTYAPTTLAPARDFWTLRYTTSLDNGSFVVCERSLSGSGAGPNAASASQFVRAEMLSSGYLIRPCDGGGSIIHIVDHLNLEAWSVPDVLRPLYESSKVVAQKMTISALRYIRQLAQESNGEVVYGLGRQPAVLRTFSQRLSRGFNDAVNGFGDDGWSTMHCDGAEDIIVAINSTKHLNNISNSLSFLGGVLCAKASMLLQNVPPAVLIRFLREHRSEWADFNVDAYSAATLKAGSFAYPGMRPTRFTGSQIIMPLGHTIEHEEMLEVVRLEGHSLAQEDAFMSRDVHLLQICTGIDENAVGACSELIFAPINEMFPDDAPLVPSGFRVIPVDAKTGDVQDLLTANHRTLDLTSSLEVGPSPENASGNSFSSSSSRCILTIAFQFPFENNLQENVAGMACQYVRSVISSVQRVAMAISPSGISPSLGSKLSPGSPEAVTLAQWISQSYSHHLGSELLTIDSLGSDDSVLKLLWDHQDAILCCSLKPQPVFMFANQAGLDMLETTLVALQDITLEKIFDESGRKAICSDFAKLMQQGFACLPSGICVSTMGRHVSYEQAVAWKVFAASEENNNNLHCLAFSFVNWSFV
NP_201583.2 1 591 0.652857529610828 transcription factor SOX-6 isoform c 808 0 41 591 0 Homo sapiens NP_201583.2 1 RefSeq MSSKQATSPFACAADGEDAMTQDLTSREKEEGSDQHVASHLPLHPIMHNKPHSEELPTLVSTIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSRDREIMTSVTFGTPERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGTPESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQQQQLLQQQHKINLLQQQIQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPGDNYPVQFIPSTMAAAAASGLSPLQLQKGHVSHPQINQRLKGLSDRFGRNLDTFEHGGGHSYNHKQIEQLYAAQLASMQVSPGAKMPSTPQPPNTAGTVSPTGIKNEKRGTSPVTQVKDEAAAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQQPHGVDGKLSSINNMGLNSCRNEKERTRFENLGPQLTGKSNEDGKLGPGVIDLTRPEDAEGGATVAEARVYRDA
NP_598205.1 1 350 0.117911142857143 F-box only protein 32 350 0 41 350 0 Rattus norvegicus NP_598205.1 1 RefSeq MPFLGQDWRSPGQSWVKTADGWKRFLDEKSGTFVSDLSSYCNKENLFNSLNYDVAAKKRKKDIQNSKTKTQYFHQEKWIYVHKGSTKERHGYCTLGEAFNRLDFSTAILDSRRFNYVVRLLELIAKSQLTSLSGIAQKNFMNILEKVVLKVLEDQQNIRLIRELLQTLYTSLCTLVQRVGKSVLVGNINMWVYRMETTLHWQQQLNSIQISRPAFKGLTITDLPVCLQLNIMQRLSDGRDLVSLGQAAPDLHVLSEDRLLWKRLCQYHFSERQIRKRLILSDKGQLDWKKMYFKLVRCYPRREQYGVTLQLCKHCHILSWKGTDHPCTANNPESCSVSLSPQDFINLFKF
NP_849672.1 518 1131 0.307898534201955 PF00400.32:WD40:397:430 Protein TOPLESS; WUS-interacting protein 1 1131 34 41 614 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94AI7 1 SwissProt ENIQFIFSTALDGKIKAWLYDNMGSRVDYDAPGRWCTTMAYSADGTRLFSCGTSKDGESFIVEWNESEGAVKRTYQGFHKRSLGVVQFDTTKNRYLAAGDDFSIKFWDMDAVQLLTAIDGDGGLQASPRIRFNKEGSLLAVSGNENVIKIMANSDGLRLLHTFENISSESSKPAINSIAAAAAAAATSAGHADRSANVVSIQGMNGDSRNMVDVKPVITEESNDKSKIWKLTEVSEPSQCRSLRLPENLRVAKISRLIFTNSGNAILALASNAIHLLWKWQRNERNATGKATASLPPQQWQPASGILMTNDVAETNPEEAVPCFALSKNDSYVMSASGGKISLFNMMTFKTMATFMPPPPAATFLAFHPQDNNIIAIGMDDSTIQIYNVRVDEVKSKLKGHSKRITGLAFSNVLNVLVSSGADAQLCVWNTDGWEKQRSKVLPLPQGRPNSAPSDTRVQFHQDQAHFLVVHETQLAIYETTKLECMKQWAVRESLAPITHATFSCDSQLVYASFMDATVCVFSSANLRLRCRVNPSAYLPASLSNSNVHPLVIAAHPQEPNMFAVGLSDGGVHIFEPLESEGKWGVAPPAENGSASGAPTAPSVGASASDQPQR
P21145 1 153 0.0122483660130719 PF01284.23:MARVEL:21:145 Myelin and lymphocyte protein 153 125 41 61 4 Homo sapiens P21145 0 SwissProt/TReMBL MAPAAATGGSTLPSGFSVFTTLPDLLFIFEFIFGGLVWILVASSLVPWPLVQGWVMFVSVFCFVATTTLIILYIIGAHGGETSWVTLDAAYHCTAALFYLSASVLEALATITMQDGFTYRHYHENIAAVVFSYIATLLYVVHAVFSLIRWKSS
P32571 1 561 0.356424242424242 PF00581.20:Rhodanese:208:320 Ubiquitin carboxyl-terminal hydrolase 4; Deubiquitinating enzyme 4; Ubiquitin thioesterase 4; Ubiquitin-specific-processing protease 4; Vacuole biogenesis protein SSV7; EC 3.4.19.12 926 113 41 561 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32571 1 SwissProt MEQNIISTIRDECIRHRSKYLTIAQLTAIAEAKINEFIITGKAKDQDLSSLLDKCIDILSIYKKNSKDIKNIISCKNKGAMISSNSVMIIQLNYVYYKVIHIIVTTNIPHLSEFAKIKLHKSTSDEGNGNNNNNEFQLMNIYNTLLETLLKDENIAKIKSFIKSSIKQTKLNHEQEECNLMRTGSYITSNQLNSLISSSANSASSQMEILLIDIRSRLEFNKSHIDTKNIICLEPISFKMSYSDHDLEKKSLITSPNSEIKMFQSRNLFKFIILYTDANEYNVKQQSVLLDILVNHSFEKPISDDFTKIFILESGFPGWLKSNYGRQVSSSFPSNNNIKDDSVYINGNTSGLSLQHLPKMSPSIRHSMDDSMKEMLVAPTPLNHLQQQQQQQSDNDHVLKRSSSFKKLFSNYTSPNPKNSNSNLYSISSLSISSSPSPLPLHSPDPVKGNSLPINYPETPHLWKNSETDFMTNQREQLNHNSFAHIAPINTKAITSPSRTATPKLQRFPQTISMNLNMNSNGHSSATSTIQPSCLSLSNNDSLDHTDVTPTSSHNYDLDFA
P38432 1 576 0.499663715277778 PF15862.5:Coilin_N:7:167 Coilin; p80-coilin 576 161 41 576 0 Homo sapiens (Human) SwissProt::P38432 1 SwissProt MAASETVRLRLQFDYPPPATPHCTAFWLLVDLNRCRVVTDLISLIRQRFGFSSGAFLGLYLEGGLLPPAESARLVRDNDCLRVKLEERGVAENSVVISNGDINLSLRKAKKRAFQLEEGEETEPDCKYSKKHWKSRENNNNNEKVLDLEPKAVTDQTVSKKNKRKNKATCGTVGDDNEEAKRKSPKKKEKCEYKKKAKNPKSPKVQAVKDWANQRCSSPKGSARNSLVKAKRKGSVSVCSKESPSSSSESESCDESISDGPSKVTLEARNSSEKLPTELSKEEPSTKNTTADKLAIKLGFSLTPSKGKTSGTTSSSSDSSAESDDQCLMSSSTPECAAGFLKTVGLFAGRGRPGPGLSSQTAGAAGWRRSGSNGGGQAPGASPSVSLPASLGRGWGREENLFSWKGAKGRGMRGRGRGRGHPVSCVVNRSTDNQRQQQLNDVVKNSSTIIQNPVETPKKDYSLLPLLAAAPQVGEKIAFKLLELTSSYSPDVSDYKEGRILSHNPETQQVDIEILSSLPALREPGKFDLVYHNENGAEVVEYAVTQESKITVFWKELIDPRLIIESPSNTSSTEPA
Q13772 1 614 0.3564 PF12489.8:ARA70:35:165,PF12489.8:ARA70:199:321 Nuclear receptor coactivator 4; NCoA-4; Androgen receptor coactivator 70 kDa protein; 70 kDa AR-activator; 70 kDa androgen receptor coactivator; Androgen receptor-associated protein of 70 kDa; Ret-activating protein ELE1 614 254 41 614 0 Homo sapiens (Human) SwissProt::Q13772 1 SwissProt MNTFQDQSGSSSNREPLLRCSDARRDLELAIGGVLRAEQQIKDNLREVKAQIHSCISRHLECLRSREVWLYEQVDLIYQLKEETLQQQAQQLYSLLGQFNCLTHQLECTQNKDLANQVSVCLERLGSLTLKPEDSTVLLFEADTITLRQTITTFGSLKTIQIPEHLMAHASSANIGPFLEKRGCISMPEQKSASGIVAVPFSEWLLGSKPASGYQAPYIPSTDPQDWLTQKQTLENSQTSSRACNFFNNVGGNLKGLENWLLKSEKSSYQKCNSHSTTSSFSIEMEKVGDQELPDQDEMDLSDWLVTPQESHKLRKPENGSRETSEKFKLLFQSYNVNDWLVKTDSCTNCQGNQPKGVEIENLGNLKCLNDHLEAKKPLSTPSMVTEDWLVQNHQDPCKVEEVCRANEPCTSFAECVCDENCEKEALYKWLLKKEGKDKNGMPVEPKPEPEKHKDSLNMWLCPRKEVIEQTKAPKAMTPSRIADSFQVIKNSPLSEWLIRPPYKEGSPKEVPGTEDRAGKQKFKSPMNTSWCSFNTADWVLPGKKMGNLSQLSSGEDKWLLRKKAQEVLLNSPLQEEHNFPPDHYGLPAVCDLFACMQLKVDKEKWLYRTPLQM
Q61839 1 102 0.664557843137255 C-type natriuretic peptide 126 0 41 102 0 Mus musculus (Mouse) SwissProt::Q61839 1 SwissProt MHLSQLIACALLLALLSLRPSEAKPGTPPKVPRTPPGEELADSQAAGGNQKKGDKTPGSGGANLKGDRSRLLRDLRVDTKSRAAWARLLHEHPNARKYKGGN
VIMSS10085605 159 324 0.597398795180723 NAC domain-containing protein 30; ANAC030; Protein VASCULAR RELATED NAC-DOMAIN 7 324 0 41 166 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C8W9 1 SwissProt PIPNQRPLGYEPWQNQLYHVESSNNYSSSVTMNTSHHIGASSSSHNLNQMLMSNNHYNPNNTSSSMHQYGNIELPQLDSPSLSPSLGTNKDQNESFEQEEEKSFNCVDWRTLDTLLETQVIHPHNPNILMFETQSYNPAPSFPSMHQSYNEVEANIHHSLGCFPDS
VIMSS10086719 210 1146 0.186615368196372 PF00999.21:Na_H_Exchanger:2:240 Sodium/hydrogen exchanger 7; Na(+)/H(+) exchanger 7; NHE-7; Protein SALT OVERLY SENSITIVE 1 1146 239 41 782 7 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LKW9 1 SwissProt LFLKMAMGQNSDWSSIIKFLLKVALGAVGIGLAFGIASVIWLKFIFNDTVIEITLTIAVSYFAYYTAQEWAGASGVLTVMTLGMFYAAFARTAFKGDSQKSLHHFWEMVAYIANTLIFILSGVVIAEGILDSDKIAYQGNSWRFLFLLYVYIQLSRVVVVGVLYPLLCRFGYGLDWKESIILVWSGLRGAVALALSLSVKQSSGNSHISKETGTLFLFFTGGIVFLTLIVNGSTTQFVLRLLRMDILPAPKKRILEYTKYEMLNKALRAFQDLGDDEELGPADWPTVESYISSLKGSEGELVHHPHNGSKIGSLDPKSLKDIRMRFLNGVQATYWEMLDEGRISEVTANILMQSVDEALDQVSTTLCDWRGLKPHVNFPNYYNFLHSKVVPRKLVTYFAVERLESACYISAAFLRAHTIARQQLYDFLGESNIGSIVINESEKEGEEAKKFLEKVRSSFPQVLRVVKTKQVTYSVLNHLLGYIENLEKVGLLEEKEIAHLHDAVQTGLKKLLRNPPIVKLPKLSDMITSHPLSVALPPAFCEPLKHSKKEPMKLRGVTLYKEGSKPTGVWLIFDGIVKWKSKILSNNHSLHPTFSHGSTLGLYEVLTGKPYLCDLITDSMVLCFFIDSEKILSLQSDSTIDDFLWQESALVLLKLLRPQIFESVAMQELRALVSTESSKLTTYVTGESIEIDCNSIGLLLEGFVKPVGIKEELISSPAALSPSNGNQSFHNSSEASGIMRVSFSQQATQYIVETRARAIIFNIGAFGADRTLHRRPSSLTPPRSSSSDQLQRSFRKEHRGLMSWPENIYAKQQQEINKTTLSLSERAMQLSIFGSMVNVYRRSVSFGGIYNNKLQDNLLYKKLPLNPAQGLVSAKSESSIVTKKQLETRKHACQLPLKGESSTRQNTMVESSDEEDEDEGIVVRIDSPSKIVFRNDL
VIMSS10094937 14 141 0.902834375 RPM1-interacting protein 4 211 0 41 128 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GYN5 1 SwissProt AEENVPYTAYFDKARKTRAPGSKIMNPNDPEYNSDSQSQAPPHPPSSRTKPEQVDTVRRSREHMRSREESELKQFGDAGGSSNEAANKRQGRASQNNSYDNKSPLHKNSYDGTGKSRPKPTNLRADES
VIMSS10103593 1 201 0.650703482587065 Transcription factor SPATULA; Basic helix-loop-helix protein 24; AtbHLH24; bHLH 24; Transcription factor EN 99; bHLH transcription factor bHLH024 373 0 41 201 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FUA4 1 SwissProt MISQREEREEKKQRVMGDKKLISSSSSSSVYDTRINHHLHHPPSSSDEISQFLRHIFDRSSPLPSYYSPATTTTTASLIGVHGSGDPHADNSRSLVSHHPPSDSVLMSKRVGDFSEVLIGGGSGSAAACFGFSGGGNNNNVQGNSSGTRVSSSSVGASGNETDEYDCESEEGGEAVVDEAPSSKSGPSSRSSSKRCRAAEV
VIMSS16015 1 266 0.273982330827068 PF02358.16:Trehalose_PPase:18:229 trehalose-6-phosphate phosphatase (EC 3.1.3.12) 266 212 41 266 0 Escherichia coli K-12 substr. MG1655 ecocyc::TREHALOSEPHOSPHASYN-MONOMER 1 ecocyc MTEPLTETPELSAKYAWFFDLDGTLAEIKPHPDQVVVPDNILQGLQLLATASDGALALISGRSMVELDALAKPYRFPLAGVHGAERRDINGKTHIVHLPDAIARDISVQLHTVIAQYPGAELEAKGMAFALHYRQAPQHEDALMTLAQRITQIWPQMALQQGKCVVEIKPRGTSKGEAIAAFMQEAPFIGRTPVFLGDDLTDESGFAVVNRLGGMSVKIGTGATQASWRLAGVPDVWSWLEMITTALQQKRENNRSDDYESFSRSI
VIMSS32246 1 140 0.467738571428571 Heparin-binding hemagglutinin; Adhesin 199 0 41 140 0 Mycobacterium tuberculosis (strain ATCC 25177 / H37Ra) SwissProt::A5TZK3 1 SwissProt MAENSNIDDIKAPLLAALGAADLALATVNELITNLRERAEETRTDTRSRVEESRARLTKLQEDLPEQLTELREKFTAEELRKAAEGYLEAATSRYNELVERGEAALERLRSQQSFEEVSARAEGYVDQAVELTQEALGTV
VIMSS93352 1 119 0.102776470588235 PF04024.12:PspC:8:65 phage shock protein C 119 58 41 96 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG10778-MONOMER 1 ecocyc MAGINLNKKLWRIPQQGMVRGVCAGIANYFDVPVKLVRILVVLSIFFGLALFTLVAYIILSFALDPMPDNMAFGEQLPSSSELLDEVDRELAASETRLREMERYVTSDTFTLRSRFRQL
XP_006721526.1 1 400 0.0720255 PF04193.14:PQ-loop:126:183,PF04193.14:PQ-loop:267:324 cystinosin isoform X1 400 116 41 242 7 Homo sapiens XP_006721526.1 1 RefSeq MIRNWLTIFILFPLKLVEKCESSVSLTVPPVVKLENGSSTNVSLTLRPPLNATLVITFEITFRSKNITILELPDEVVVPPGVTNSSFQVTSQNVGQLTVYLHGNHSNQTGPRIRFLVIRSSAISIINQVIGWIYFVAWSISFYPQVIMNWRRKSVIGLSFDFVALNLTGFVAYSVFNIGLLWVPYIKEQFLLKYPNGVNPVNSNDVFFSLHAVVLTLIIIVQCCLYERGGQRVSWPAIGFLVLAWLFAFVTMIVAAVGVTTWLQFLFCFSYIKLAVTLVKYFPQAYMNFYYKSTEGWSIGNVLLDFTGGSFSLLQMFLQSYNNDQWTLIFGDPTKFGLGVFSIVFDVVFFIQHFCLYRKRPGLQAARTGSGSRLRQDWAPSLQPKALPQTTSVSASSLKG
XP_011523515.1 140 1004 0.34071676300578 PF00625.21:Guanylate_kin:719:812 Caspase recruitment domain-containing protein 14; CARD-containing MAGUK protein 2; Carma 2 1004 94 41 865 0 Homo sapiens (Human) SwissProt::Q9BXL6 1 SwissProt NQEKGQKEVLLRRCQQLQEHLGLAETRAEGLHQLEADHSRMKREVSAHFHEVLRLKDEMLSLSLHYSNALQEKELAASRCRSLQEELYLLKQELQRANMVSSCELELQEQSLRTASDQESGDEELNRLKEENEKLRSLTFSLAEKDILEQSLDEARGSRQELVERIHSLRERAVAAERQREQYWEEKEQTLLQFQKSKMACQLYREKVNALQAQVCELQKERDQAYSARDSAQREISQSLVEKDSLRRQVFELTDQVCELRTQLRQLQAEPPGVLKQEARTREPCPREKQRLVRMHAICPRDDSDCSLVSSTESQLLSDLSATSSRELVDSFRSSSPAPPSQQSLYKRVAEDFGEEPWSFSSCLEIPEGDPGALPGAKAGDPHLDYELLDTADLPQLESSLQPVSPGRLDVSESGVLMRRRPARRILSQVTMLAFQGDALLEQISVIGGNLTGIFIHRVTPGSAADQMALRPGTQIVMVDYEASEPLFKAVLEDTTLEEAVGLLRRVDGFCCLSVKVNTDGYKRLLQDLEAKVATSGDSFYIRVNLAMEGRAKGELQVHCNEVLHVTDTMFQGCGCWHAHRVNSYTMKDTAAHGTIPNYSRAQQQLIALIQDMTQQCTVTRKPSSGGPQKLVRIVSMDKAKASPLRLSFDRGQLDPSRMEGSSTCFWAESCLTLVPYTLVRPHRPARPRPVLLVPRAVGKILSEKLCLLQGFKKCLAEYLSQEEYEAWSQRGDIIQEGEVSGGRCWVTRHAVESLMEKNTHALLDVQLDSVCTLHRMDIFPIVIHVSVNEKMAKKLKKGLQRLGTSEEQLLEAARQEEGDLDRAPCLYSSLAPDGWSDLDGLLSCVRQAIADEQKKVVWTEQSPR
XP_016870499.1 54 110 0.377987719298246 prorelaxin H1 isoform X6 110 0 41 57 0 Homo sapiens XP_016870499.1 1 RefSeq KRSLSQEDAPQTPRPVAGDFIQTVSLGISPDGGKALRTGSCFTREFLGALSVFPAVC
XP_016882834.1 1 242 0.427595454545455 bcl-2-like protein 12 isoform X1 242 0 41 242 0 Homo sapiens XP_016882834.1 1 RefSeq MGRPAGLFPPLCPFLGFRPEACWERHMQIERAPSVPPFLRWAGYRPGPVRRRGKVELIKFVRVQWRRPQVEWRRRRWGPGPGASMAGSEELGLREDTLRVLAAFLRRGEAAGSPVPTPPSPAQEEPTDFLSRLRRCLPCSLGRGAAPSESPRPCSLPIRPCYGLEPGPATPDFYALVAQRLEQLVQEQLKSPPSPELQGPPSTEKEAILRRLVALLEEEAEVINQKEGILAVSPVDLNLPLD
XP_017177493.1 1 293 0.298308532423208 lutropin subunit beta isoform X3 293 0 41 293 0 Mus musculus XP_017177493.1 1 RefSeq MGKGRLVPLPESACLSLCLLYSLTSPPVSFLLLCWESPPFPTFLFPLRPSSLKVLVGGSPSPPHAIGWVQSGQVLLPGATVTTSRFLGFPEKVRTMAGRTLALRYGPPWSPISETEVLGTWPNWHLTSSGVAHHWIPPASFPLPTLQALIPWTKNPEMAGQPFTVNKMGILDRLQPYQTITASDFTRNVSGYPHQKPVMGLSWPRKASHRDRASLPRVRPARPRPSQPYLGALSLTRESYGPLVHPLCGMDRICPVHASWGSPHRKPVLGLYSVPKAYCTENSRYGSARAELL
YP_001129401.1 1 691 0.413764544138929 PF03326.13:Herpes_TAF50:85:651 ORF50 691 567 41 691 0 Human gammaherpesvirus 8 YP_001129401.1 1 RefSeq MAQDDKGKKLRRSCVESFVGLSDELKAQLYQCVLLINDAYETIYDPSDLNRVVEDVCIRIMKECSKLGALCGLFTDINMFNLFCFFRASRMRTKGAAGYNVPCAEASQGIIRILTERILFCTEKAFLTAACSGVSLPPAICKLLHEIYTEMKAKCLGAWRRLVCNRRPIMILTSSLLKLYNTYDTAGLLSEQSRALCLLVFQPVYLPRIMAPLEIMTKGQLAPENFYSITGSAEKRRPITTGKVTGLSYPGSGLMPESLILPILEPGLLPASMVDLSDVLAKPAVILSAPALSQFVISKPHPNMPHTVSIIPFNPSGTDPAFISTWQAASQNMVYNTSTAPLKPATGSSQTVSVKAVAQGAVITATTVPQAMPARGTGGELPVMSASTPARDQVAACFVAENTGDSPDNPSSFLTSCHPCDPNTVIVAQQFQPPQCVTLLQVTCAPSSTPPPDSTVRAPVVQLPTVVPLPASAFLPALAQPEASGEELPGGHDGDQGVPCRDSTAAATAAEATTPKRKQRSKERSSKKRKALTVPEADTTPSTTTPGTSLGSITTPQDVHATDVATSEGPSEAQPPLLSLPPPLDVDQSLFALLDEAGPETWDVGSPLSPTDDALLSSILQGLYQLDTPPPLRSPSPASFGPESPADIPSPSGGEYTQLQPVRATSATPANEVQESGTLYQLHQWRNYFRD
YP_009173866.1 1 832 0.207226201923077 PF00336.18:DNA_pol_viral_C:592:822,PF00242.17:DNA_pol_viral_N:4:341,PF00078.27:RVT_1:502:588 polymerase 832 656 41 832 0 Hepatitis B virus YP_009173866.1 1 RefSeq MPLSYQHFRRLLLLDDEAGPLEEELPRLADEGLNRRVAEDLNLGNLNVSIPWTHKVGNFTGLYSSTVPVFNPHWKTPSFPNIHLHQDIIKKCEQFVGPLTVNEKRRLQLIMPARFYPKVTKYLPLDKGIKPYYPEHLVNHYFQTRHYLHTLWKAGILYKRETTHSASFCGSPYSWEQDLQHGAESFHQQSSGILSRPPVGSSLQSKHRKSRLGLQSQQGHLARRQQGRSWSIRAGFHPTARRPFGVEPSGSGHTTNFASKSASCLHQSPVRKAAYPAVSTFEKHSSSGHAVEFHNLPPNSARSQSERPVFPCWWLQFRNSKPCSDYCLSLIVNLLEDWGPCAEHGEHHIRIPRTPSRVTGGVFLVDKNPHNTAESRLVVDFSQFSRGNYRVSWPKFAVPNLQSLTNLLSSNLSWLSLDVSAAFYHLPLHPAAMPHLLVGSSGLSRYVARLSSNSRILNNQHGTMPDLHDYCSRNLYVSLLLLYQTFGRKLHLYSHPIILGFRKIPMGVGLSPFLLAQFTSAICSVVRRAFPHCLAFSYMDDVVLGAKSVQHLESLFTAVTNFLLSLGIHLNPNKTKRWGYSLNFMGYVIGCYGSLPQEHIIQKIKECFRKLPINRPIDWKVCQRIVGLLGFAAPFTQCGYPALMPLYACIQSKQAFTFSPTYKAFLCKQYLNLYPVARQRPGLCQVFADATPTGWGLVMGHQRMRGTFSAPLPIHTAELLAACFARSRSGANIIGTDNSVVLSRKYTSFPWLLGCAANWILRGTSFVYVPSALNPADDPSRGRLGLSRPLLRLPFRPTTGRTSLYADSPSVPSHLPDRVHFASPLHVAWRPP
NP_001157753.1 1 564 0.531266843971632 PF08357.11:SEFIR:401:542 adapter protein CIKS isoform 3 564 142 40 564 0 Homo sapiens NP_001157753.1 1 RefSeq MNRSIPVEVDESEPYPSQLLKPIPEYSPEEESEPPAPNIRNMAPNSLSAPTMLHNSSGDFSQAHSTLKLANHQRPVSRQVTCLRTQVLEDSEDSFCRRHPGLGKAFPSGCSAVSEPASESVVGALPAEHQFSFMEKRNQWLVSQLSAASPDTGHDSDKSDQSLPNASADSLGGSQEMVQRPQPHRNRAGLDLPTIDTGYDSQPQDVLGIRQLERPLPLTSVCYPQDLPRPLRSREFPQFEPQRYPACAQMLPPNLSPHAPWNYHYHCPGSPDHQVPYGHDYPRAAYQQVIQPALPGQPLPGASVRGLHPVQKVILNYPSPWDHEERPAQRDCSFPGLPRHQDQPHHQPPNRAGAPGESLECPAELRPQVPQPPSPAAVPRPPSNPPARGTLKTSNLPEELRKVFITYSMDTAMEVVKFVNFLLVNGFQTAIDIFEDRIRGIDIIKWMERYLRDTVMIIVAISPKYKQDVEGAESQLDEDEHGLHTKYIHRMMQIEFIKQGSMNFRFIPVLFPNAKKEHVPTWLQNTHVYSWPKNKKNILLRLLREEEYVAPPRGPLPTLQVVPL
NP_001184189.1 132 342 0.663323696682465 docking protein 1 isoform b 342 0 40 211 0 Homo sapiens NP_001184189.1 1 RefSeq EGEVAEGKLPSPPGPQELLDSPPALYAEPLDSLRIAPCPSQDSLYSDPLDSTSAQAGEGVQRKKPLYWDLYEHAQQQLLKAKLTDPKEDPIYDEPEGLAPVPPQGLYDLPREPKDAWWCQARVKEEGYELPYNPATDDYAVPPPRSTKPLLAPKPQGPAFPEPGTATGSGIKSHNSALYSQVQKSGASGSWDCGLSRVGTDKTGVKSEGST
NP_001257478.1 1 309 0.0453582524271844 PF00876.18:Innexin:47:235 pannexin-1 isoform d 309 189 40 217 4 Rattus norvegicus NP_001257478.1 1 RefSeq MAIAHLATEYVFSDFLLKEPTEPKFKGLRLELAVDKMVTCIAVGLPLLLISLAFAQEISIGTQISCFSPSSFSWRQAAFVDSYCWAAVQQKNSLQSESGNLPLWLHKFFPYILLLFAILLYLPALFWRFAAAPHLCSDLKFIMEELDKVYNRAIKAAKSARDLDLRDGPGPPGVTENVGQSLWEISESHFKYPIVEQYLKTKKNSSHLIMKYISCRLVTFAVVLLACIYLSYYFSLSSLSDEFLCSIKSGVLRNDSTIPDSFQCKLIAVGIFQLLSLINLLVYALLVPVVIYTLFVPFRQKTDVLKIWT
NP_001275956.2 107 269 0.58658527607362 leukocyte-associated immunoglobulin-like receptor 1 isoform g 269 0 40 140 1 Homo sapiens NP_001275956.2 1 RefSeq GGPDSPDTEPGSSAGPTQRPSDNSHNEHAPASQGLKAEHLYILIGVSVVFLFCLLLLVLFCLHRQNQIKQGPPRSKDEEQKPQQRPDLAVDVLERTADKATVNGLPEKDRETDTSALAAGSSQEVTYAQLDHWALTQRTARAVSPQSTKPMAESITYAAVARH
NP_001873.2 1 322 0.158210559006211 PF05428.11:CRF-BP:1:307 Corticotropin-releasing factor-binding protein 322 307 40 322 0 Homo sapiens P24387 1 SwissProt/TReMBL MSPNFKLQCHFILIFLTALRGESRYLELREAADYDPFLLFSANLKRELAGEQPYRRALRCLDMLSLQGQFTFTADRPQLHCAAFFISEPEEFITIHYDQVSIDCQGGDFLKVFDGWILKGEKFPSSQDHPLPSAERYIDFCESGLSRRSIRSSQNVAMIFFRVHEPGNGFTLTIKTDPNLFPCNVISQTPNGKFTLVVPHQHRNCSFSIIYPVVIKISDLTLGHVNGLQLKKSSAGCEGIGDFVELLGGTGLDPSKMTPLADLCYPFHGPAQMKVGCDNTVVRMVSSGKHVNRVTFEYRQLEPYELENPNGNSIGEFCLSGL
NP_006398.1 1 188 0.0469478723404255 PF03208.19:PRA1:4:150 PRA1 family protein 3; ADP-ribosylation factor-like protein 6-interacting protein 5; ARL-6-interacting protein 5; Aip-5; Cytoskeleton-related vitamin A-responsive protein; Dermal papilla-derived protein 11; GTRAP3-18; Glutamate transporter EAAC1-interacting protein; JM5; Prenylated Rab acceptor protein 2; Protein JWa; Putative MAPK-activating protein PM27 188 147 40 119 3 Homo sapiens (Human) SwissProt::O75915 1 SwissProt MDVNIAPLRAWDDFFPGSDRFARPDFRDISKWNNRVVSNLLYYQTNYLVVAAMMISIVGFLSPFNMILGGIVVVLVFTGFVWAAHNKDVLRRMKKRYPTTFVMVVMLASYFLISMFGGVMVFVFGITFPLLLMFIHASLRLRNLKNKLENKMEGIGLKRTPMGIVLDALEQQEEGINRLTDYISKVKE
NP_010513.1 1 734 0.673497683923705 Regulatory protein SIR4; Silent information regulator 4 1358 0 40 734 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P11978 1 SwissProt MPNDNKTPNRSSTPKFTKKPVTPNDKIPEREEKSNEVKTPKIPLFTFAKSKNYSRPSTAIHTSPHQPSDVKPTSHKQLQQPKSSPLKKNNYNSFPHSNLEKISNSKLLSLLRSKTSAGRIESNNPSHDASRSLASFEQTAFSRHAQQQTSTFNSKPVRTIVPISTSQTNNSFLSGVKSLLSEEKIRDYSKEILGINLANEQPVLEKPLKKGSADIGASVISLTKDKSIRKDTVEEKKEEKLNIGKNFAHSDSLSVPKVSAGDSGISPEESKARSPGIAKPNAIQTEVYGINEESTNERLEINQEKPVKLDENSANSTVASALDTNGTSATTETLTSKKIVPSPKKVAIDQDKITLHDEKTLAPSKHQPITSEQKMKEDADLKRMEILKSPHLSKSPADRPQGRRNSRNFSTRDEETTKLAFLVEYEGQENNYNSTSRSTEKKNDMNTSAKNKNGENKKIGKRPPEIMSTEAHVNKVTEETTKQIQSVRIDGRKVLQKVQGESHIDSRNNTLNVTPSKRPQLGEIPNPMKKHKPNEGRTPNISNGTINIQKKLEPKEIVRDILHTKESSNEAKKTIQNPLNKSQNTALPSTHKVTQKKDIKIGTNDLFQVESAPKISSEIDRENVKSKDEPVSKAVESKSLLNLFSNVLKAPFIKSESKPFSSDALSKEKANFLETIASTEKPENKTDKVSLSQPVSASKHEYSDNFPVSLSQPSKKSFANHTEDEQIEKKKICR
NP_057090.2 42 349 0.168898376623377 PF00561.20:Abhydrolase_1:36:143,PF12697.7:Abhydrolase_6:37:297,PF12146.8:Hydrolase_4:36:142 1-acylglycerol-3-phosphate O-acyltransferase ABHD5; Abhydrolase domain-containing protein 5; Lipid droplet-binding protein CGI-58; EC 2.3.1.51 349 262 40 308 0 Homo sapiens (Human) SwissProt::Q8WTS1 1 SwissProt MLKCVPCTYKKEPVRISNGNKIWTLKFSHNISNKTPLVLLHGFGGGLGLWALNFGDLCTNRPVYAFDLLGFGRSSRPRFDSDAEEVENQFVESIEEWRCALGLDKMILLGHNLGGFLAAAYSLKYPSRVNHLILVEPWGFPERPDLADQDRPIPVWIRALGAALTPFNPLAGLRIAGPFGLSLVQRLRPDFKRKYSSMFEDDTVTEYIYHCNVQTPSGETAFKNMTIPYGWAKRPMLQRIGKMHPDIPVSVIFGARSCIDGNSGTSIQSLRPHSYVKTIAILGAGHYVYADQPEEFNQKVKEICDTVD
NP_067628.1 1 395 0.143951139240506 PF00685.27:Sulfotransfer_1:42:356,PF13469.6:Sulfotransfer_3:195:296 Carbohydrate sulfotransferase 6; Corneal N-acetylglucosamine-6-O-sulfotransferase; C-GlcNAc6ST; hCGn6ST; Galactose/N-acetylglucosamine/N-acetylglucosamine 6-O-sulfotransferase 4-beta; GST4-beta; N-acetylglucosamine 6-O-sulfotransferase 5; GlcNAc6ST-5; Gn6st-5; EC 2.8.2.- 395 315 40 395 0 Homo sapiens (Human) SwissProt::Q9GZX3 1 SwissProt MWLPRVSSTAVTALLLAQTFLLLFLVSRPGPSSPAGGEARVHVLVLSSWRSGSSFVGQLFNQHPDVFYLMEPAWHVWTTLSQGSAATLHMAVRDLVRSVFLCDMDVFDAYLPWRRNLSDLFQWAVSRALCSPPACSAFPRGAISSEAVCKPLCARQSFTLAREACRSYSHVVLKEVRFFNLQVLYPLLSDPALNLRIVHLVRDPRAVLRSREQTAKALARDNGIVLGTNGTWVEADPGLRVVREVCRSHVRIAEAATLKPPPFLRGRYRLVRFEDLAREPLAEIRALYAFTGLSLTPQLEAWIHNITHGSGPGARREAFKTSSRNALNVSQAWRHALPFAKIRRVQELCAGALQLLGYRPVYSEDEQRNLALDLVLPRGLNGFTWASSTASHPRN
NP_190808.1 1 211 0.536129383886256 PF00804.25:Syntaxin:43:208 syntaxin of plants 122 341 166 40 211 0 Arabidopsis thaliana NP_190808.1 1 RefSeq MNDLLSGSFKTSVADGSSPPHSHNIEMSKAKVSGGSCHGGNNLDTFFLDVEVVNEDLKELDRLCHNLRSSNEQSKTLHNANAVKELKKKMDADVTAALKTARRLKGNLEALDRANEVNRSLPESGPGSSSDRQRTSVVNGLRKKLKDEMEKFSRVRETITNEYKETVGRMCFTVTGEYPDEATLERLISTGESETFLQKAIQEQGRGRILD
NP_201531.1 114 305 0.620921354166667 Transcription factor MYB44; Myb-related protein 44; AtMYB44; Myb-related protein R1; AtMYBR1 305 0 40 192 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FDW1 1 SwissProt GYDGSEDHRPVKRSVSAGSPPVVTGLYMSPGSPTGSDVSDSSTIPILPSVELFKPVPRPGAVVLPLPIETSSSSDDPPTSLSLSLPGADVSEESNRSHESTNINNTTSSRHNHNNTVSFMPFSGGFRGAIEEMGKSFPGNGGEFMAVVQEMIKAEVRSYMTEMQRNNGGGFVGGFIDNGMIPMSQIGVGRIE
NP_524397.2 343 688 0.700736705202312 PF02892.15:zf-BED:301:336 fruitless, isoform F 688 36 40 346 0 Drosophila melanogaster NP_524397.2 1 RefSeq RELSTTPVEQLSSSKRRRKNSSSNCDNSLSSSHQDRHYPQDSQANFKSSPVPKTGGSTSESEDAGGRHDSPLSMTTSVHLGGGGGNVGAASALSGLSQSLSIKQELMDAQQQQQHREHHVALPPDYLPSAALKLHAEDMSTLLTQHALQAADARDEHNDAKQLQLDQTDNIDGSSARHHLSTPLSTSSSASPPPPPFGMHLSAALKREYHPLHYMAAGNGHNGPSALGYGNQGSGNAPNSAGGAGSVAGGVGAGGGAGGATGAAGHNSHHTMSYHNMFTPSRDPGTMWRCRSCGKEVTNRWHHFHSHTAQRSMCPYCPATYSRIDTLRSHLRVKHPDRLLKLNSSI
NP_563650.1 1 292 0.435450342465754 PF00010.26:HLH:144:184 Transcription factor HFR1; Basic helix-loop-helix protein 26; AtbHLH26; bHLH 26; Protein LONG HYPOCOTYL IN FAR-RED 1; Protein REDUCED PHYTOCHROME SIGNALING; Reduced sensitivity to far-red light; Transcription factor EN 68; bHLH transcription factor bHLH026 292 41 40 292 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FE22 1 SwissProt MSNNQAFMELGWRNDVGSLAVKDQGMMSERARSDEDRLINGLKWGYGYFDHDQTDNYLQIVPEIHKEVENAKEDLLVVVPDEHSETDDHHHIKDFSERSDHRFYLRNKHENPKKRRIQVLSSDDESEEFTREVPSVTRKGSKRRRRDEKMSNKMRKLQQLVPNCHKTDKVSVLDKTIEYMKNLQLQLQMMSTVGVNPYFLPATLGFGMHNHMLTAMASAHGLNPANHMMPSPLIPALNWPLPPFTNISFPHSSSQSLFLTTSSPASSPQSLHGLVPYFPSFLDFSSHAMRRL
NP_741985.1 1 138 0.774344202898551 PF06773.11:Bim_N:4:39 Bcl-2-like protein 11; Bcl2-L-11; Bcl-2-related ovarian death protein; Bcl2-interacting mediator of cell death 196 36 40 138 0 Rattus norvegicus (Rat) SwissProt::O88498 1 SwissProt MAKQPSDVNSECDREGGQLQPAERPPQLRPGAPTSLQTESQGNPDGEGDRCPHGSPQGPLAPPASPGPFATRSPLFIFVRRSSLLSRSSSGYFSFDTDRSPAPMSCDKSTQTPSPPCQAFNHYLSAMASIRQSQEEPE
NP_872621.1 1 129 0.351989147286822 PF02948.15:Amelogenin:21:127 amelogenin, X isoform isoform 3 205 107 40 129 0 Homo sapiens NP_872621.1 1 RefSeq MGTWILFACLLGAAFAMPLPPHPGHPGYINFSYENSHSQAINVDRTALVLTPLKWYQSIRPPYPSYGYEPMGGWLHHQIIPVLSQQHPPTHTLQPHHHIPVVPAQQPVIPQQPMMPVPGQHSMTPIQHH
NP_958427.1 1 93 0.200544086021505 PF00727.18:IL4:27:89 interleukin-4 precursor 147 63 40 93 0 Rattus norvegicus NP_958427.1 1 RefSeq MGLSPHLAVTLFCFLICTGNGIHGCNDSPLREIINTLNQVTEKGTPCTEMFVPDVLTATRNTTENELICRASRVLRKFYFPRDVPPCLKNKSG
P19544 77 309 0.505268240343348 PF02165.15:WT1:1:233 Wilms tumor protein; WT33 449 233 40 233 0 Homo sapiens (Human) SwissProt::P19544 1 SwissProt SWGGAEPHEEQCLSAFTVHFSGQFTGTAGACRYGPFGPPPPSQASSGQARMFPNAPYLPSCLESQPAIRNQGYSTVTFDGTPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKGVAAGSSSSVKWTEGQSNHSTGYESDNHTTPILCGAQYRIHTHGVFRGIQDVRRVPGVAPT
P31213 1 254 0.033503937007874 PF02544.16:Steroid_dh:106:254 3-oxo-5-alpha-steroid 4-dehydrogenase 2; 5 alpha-SR2; SR type 2; Steroid 5-alpha-reductase 2; S5AR 2; Type II 5-alpha reductase; EC 1.3.1.22 254 149 40 119 6 Homo sapiens (Human) SwissProt::P31213 0 SwissProt MQVQCQQSPVLAGSATLVALGALALYVAKPSGYGKHTESLKPAATRLPARAAWFLQELPSFAVPAGILARQPLSLFGPPGTVLLGLFCVHYFHRTFVYSLLNRGRPYPAILILRGTAFCTGNGVLQGYYLIYCAEYPDGWYTDIRFSLGVFLFILGMGINIHSDYILRQLRKPGEISYRIPQGGLFTYVSGANFLGEIIEWIGYALATWSLPALAFAFFSLCFLGLRAFHHHRFYLKMFEDYPKSRKALIPFIF
P53267 1 343 0.558484839650146 PF08653.10:DASH_Dam1:63:118 DASH complex subunit DAM1; DUO1 and MPS1-interacting protein 1; Kinetochore assembly protein DAM1; Outer kinetochore protein DAM1 343 56 40 343 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53267 1 SwissProt MSEDKAKLGTTRSATEYRLSIGSAPTSRRSSMGESSSLMKFADQEGLTSSVGEYNENTIQQLLLPKIRELSDSIITLDSNFTRLNFIHESLADLNESLGSLLYGIMSNSWCVEFSQAPHDIQDDLIAIKQLKSLEDEKNNLVMELSNMERGIKRKKDEQGENDLAKASQNKQFNQPLFPSSQVRKYRSYDNRDKRKPSKIGNNLQVENEEDYEDDTSSEASFVLNPTNIGMSKSSQGHVTKTTRLNNNTNSKLRRKSILHTIRNSIASGADLPIENDNVVNLGDLHPNNRISLGSGAARVVNGPVTKNRNSMFSGRAERKPTESRHSVAKKTEKKINTRPPFR
P95832 1 187 0.236679679144385 PF03264.14:Cytochrom_NNT:9:186 quinol—cytochrome-c reductase CymA (EC 7.1.1.8) 187 178 40 167 1 Shewanella oneidensis (strain MR-1) metacyc::MONOMER-20175 1 metacyc MNWRALFKPSAKYSILALLVVGIVIGVVGYFATQQTLHATSTDAFCMSCHSNHSLKNEVLASAHGGGKAGVTVQCQDCHLPHGPVDYLIKKIIVSKDLYGFLTIDGFNTQAWLDENRKEQADKALAYFRGNDSANCQHCHTRIYENQPETMKPMAVRMHTNNFKKDPETRKTCVDCHKGVAHPYPKG
VIMSS10100409 1 506 0.0572843873517786 PF02386.16:TrkH:148:491 Sodium transporter HKT1; AtHKT1 506 344 40 284 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84TI7 1 SwissProt MDRVVAKIAKIRSQLTKLRSLFFLYFIYFLFFSFLGFLALKITKPRTTSRPHDFDLFFTSVSAITVSSMSTVDMEVFSNTQLIFLTILMFLGGEIFTSFLNLYVSYFTKFVFPHNKIRHILGSYNSDSSIEDRCDVETVTDYREGLIKIDERASKCLYSVVLSYHLVTNLVGSVLLLVYVNFVKTARDVLSSKEISPLTFSVFTTVSTFANCGFVPTNENMIIFRKNSGLIWLLIPQVLMGNTLFPCFLVLLIWGLYKITKRDEYGYILKNHNKMGYSHLLSVRLCVLLGVTVLGFLIIQLLFFCAFEWTSESLEGMSSYEKLVGSLFQVVNSRHTGETIVDLSTLSPAILVLFILMMYLPPYTLFMPLTEQKTIEKEGGDDDSENGKKVKKSGLIVSQLSFLTICIFLISITERQNLQRDPINFNVLNITLEVISAYGNVGFTTGYSCERRVDISDGGCKDASYGFAGRWSPMGKFVLIIVMFYGRFKQFTAKSGRAWILYPSSS
VIMSS10101807 117 350 0.464689316239316 Transcription factor MYB102; Myb-related protein 102; AtMYB102; Myb-related protein M4; AtM4 350 0 40 234 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDR8 1 SwissProt LRMGIDPVTHSPRLDLLDISSILASSLYNSSSHHMNMSRLMMDTNRRHHQQHPLVNPEILKLATSLFSQNQNQNLVVDHDSRTQEKQTVYSQTGVNQYQTNQYFENTITQELQSSMPPFPNEARQFNNMDHHFNGFGEQNLVSTSTTSVQDCYNPSFNDYSSSNFVLDPSYSDQSFNFANSVLNTPSSSPSPTTLNSSYINSSSCSTEDEIESYCSNLMKFDIPDFLDVNGFII
VIMSS17147 1 256 0.23155625 PF08447.12:PAS_3:31:113,PF13426.7:PAS_9:24:107,PF00989.25:PAS:21:111 aerotaxis sensor receptor, flavoprotein 506 93 40 233 1 Escherichia coli K-12 substr. MG1655 ecocyc::G7595-MONOMER 1 ecocyc MSSHPYVTQQNTPLADDTTLMSTTDLQSYITHANDTFVQVSGYTLQELQGQPHNMVRHPDMPKAAFADMWFTLKKGEPWSGIVKNRRKNGDHYWVRANAVPMVREGKISGYMSIRTRATDEEIAAVEPLYKALNAGRTSKRIHKGLVVRKGWLGKLPSLPLRWRARGVMTLMFILLAAMLWFVAAPVVTYILCALVVLLASACFEWQIVRPIENVAHQALKVATGERNSVEHLNRSDELGLTLRAVGQLGLMCRWL
VIMSS6583153 236 625 0.441573076923077 pH-response transcription factor pacC/RIM101; Regulator of IME2 protein 1; pH-response regulator protein RIM101 625 0 40 390 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33400 1 SwissProt ESGGILKRKRGPKWGSKRTSKKNKSCASDAVSSCSASVPSAIAGSFKSHSTSPQILPPLPVGISQHLPSQQQQRAISLNQLCSDELSQYKPVYSPQLSARLQTILPPLYYNNGSTVSQGANSRSMNVYEDGCSNKTIANATQFFTKLSRNMTNNYILQQSGGSTESSSSSGRIPVAQTSYVQPPNAPSYQSVQGGSSISATANTATYVPVRLAKYPTGPSLTEHLPPLHSNTAGGVFNRQSQYAMPHYPSVRAAPSYSSSGCSILPPLQSKIPMLPSRRTMAGGTSLKPNWEFSLNQKSCTNDIIMSKLAIEEVDDESEIEDDFVEMLGIVNIIKDYLLCCVMEDLDDEESEDKDEENAFLQESLEKLSLQNQMGTNSVRILTKYPKILV
WP_000281668.1 1 848 0.149459316037736 PF01295.18:Adenylate_cycl:228:825,PF12633.7:Adenyl_cycl_N:5:200 adenylate cyclase (EC 4.6.1.1) 848 794 40 848 0 Escherichia coli K-12 substr. MG1655 ecocyc::ADENYLATECYC-MONOMER 1 ecocyc MYLYIETLKQRLDAINQLRVDRALAAMGPAFQQVYSLLPTLLHYHHPLMPGYLDGNVPKGICLYTPDETQRHYLNELELYRGMSVQDPPKGELPITGVYTMGSTSSVGQSCSSDLDIWVCHQSWLDSEERQLLQRKCSLLENWAASLGVEVSFFLIDENRFRHNESGSLGGEDCGSTQHILLLDEFYRTAVRLAGKRILWNMVPCDEEEHYDDYVMTLYAQGVLTPNEWLDLGGLSSLSAEEYFGASLWQLYKSIDSPYKAVLKTLLLEAYSWEYPNPRLLAKDIKQRLHDGEIVSFGLDPYCMMLERVTEYLTAIEDFTRLDLVRRCFYLKVCEKLSRERACVGWRRAVLSQLVSEWGWDEARLAMLDNRANWKIDQVREAHNELLDAMMQSYRNLIRFARRNNLSVSASPQDIGVLTRKLYAAFEALPGKVTLVNPQISPDLSEPNLTFIYVPPGRANRSGWYLYNRAPNIESIISHQPLEYNRYLNKLVAWAWFNGLLTSRTRLYIKGNGIVDLPKLQEMVADVSHHFPLRLPAPTPKALYSPCEIRHLAIIVNLEYDPTAAFRNQVVHFDFRKLDVFSFGENQNCLVGSVDLLYRNSWNEVRTLHFNGEQSMIEALKTILGKMHQDAAPPDSVEVFCYSQHLRGLIRTRVQQLVSECIELRLSSTRQETGRFKALRVSGQTWGLFFERLNVSVQKLENAIEFYGAISHNKLHGLSVQVETNHVKLPAVVDGFASEGIIQFFFEETQDENGFNIYILDESNRVEVYHHCEGSKEELVRDVSRFYSSSHDRFTYGSSFINFNLPQFYQIVKVDGREQVIPFRTKSIGNMPPANQDHDTPLLQQYFS
XP_006254776.1 1 206 0.600577184466019 Protein-lysine 6-oxidase; Lysyl oxidase; EC 1.4.3.13 411 0 40 206 0 Rattus norvegicus (Rat) SwissProt::P16636 1 SwissProt MRFAWTVLFLGQLQFCPLLRCAPQAPREPPAAPGAWRQTIQWENNGQVFSLLSLGAQYQPQRRRDSSATAPRADGNAAAQPRTPILLLRDNRTASARARTPSPSGVAAGRPRPAARHWFQVGFSPSGAGDGASRRAANRTASPQPPQLSNLRPPSHVDRMVGDDPYNPYKYSDDNPYYNYYDTYERPRSGSRHRPGYGTGYFQYGL
XP_006533914.1 82 249 0.500339880952381 Tumor necrosis factor receptor superfamily member 13B; Transmembrane activator and CAML interactor; CD267 antigen 249 0 40 145 1 Mus musculus (Mouse) SwissProt::Q9ET35 1 SwissProt SQANLQPELGRPQAGEVEVRSDNSGRHQGSEHGPGLRLSSDQLTLYCTLGVCLCAIFCCFLVALASFLRRRGEPLPSQPAGPRGSQANSPHAHRPVTEACDEVTASPQPVETCSFCFPERSSPTQESAPRSLGIHGFAGTAAPQPCMRATVGGLGVLRASTGDARPAT
XP_011509081.1 726 2110 0.326276534296029 PF01504.18:PIP5K:1145:1314,PF01504.18:PIP5K:1316:1370,PF00118.24:Cpn60_TCP1:14:142 1-phosphatidylinositol 3-phosphate 5-kinase isoform X1 2110 354 40 1385 0 Homo sapiens XP_011509081.1 1 RefSeq LYREETKFTCIDPIVLQEREFLKNYVQRIVDVRPTLVLVEKTVSRIAQDMLLEHGITLVINVKSQVLERISRMTQGDLVMSMDQLLTKPHLGTCHKFYMQIFQLPNEQTKTLMFFEGCPQHLGCTIKLRGGSDYELARVKEILIFMICVAYHSQLEISFLMDEFAMPPTLMQNPSFHSLIEGRGHEGAVQEQYGGGSIPWDPDIPPESLPCDDSSLLELRIVFEKGEQENKNLPQAVASVKHQEHSTTACPAGLPCAFFAPVPESLLPLPVDDQQDALGSEQPETLQQTVVLQDPKSQIRAFRDPLQDDTGLYVTEEVTSSEDKRKTYSLAFKQELKDVILCISPVITFREPFLLTEKGMRCSTRDYFAEQVYWSPLLNKEFKEMENRRKKQLLRDLSGLQGMNGSIQAKSIQVLPSHELVSTRIAEHLGDSQSLGRMLADYRARGGRIQPKNSDPFAHSKDASSTSSGQSGSKNEGDEERGLILSDAVWSTKVDCLNPINHQRLCVLFSSSSAQSSNAPSACVSPWIVTMEFYGKNDLTLGIFLERYCFRPSYQCPSMFCDTPMVHHIRRFVHGQGCVQIILKELDSPVPGYQHTILTYSWCRICKQVTPVVALSNESWSMSFAKYLELRFYGHQYTRRANAEPCGHSIHHDYHQYFSYNQMVASFSYSPIRLLEVCVPLPKIFIKRQAPLKVSLLQDLKDFFQKVSQVYVAIDERLASLKTDTFSKTREEKMEDIFAQKEMEEGEFKNWIEKMQARLMSSSVDTPQQLQSVFESLIAKKQSLCEVLQAWNNRLQDLFQQEKGRKRPSVPPSPGRLRQGEESKISAMDASPRNISPGLQNGEKEDRFLTTLSSQSSTSSTHLQLPTPPEVMSEQSVGGPPELDTASSSEDVFDGHLLGSTDSQVKEKSTMKAIFANLLPGNSYNPIPFPFDPDKHYLMYEHERVPIAVCEKEPSSIIAFALSCKEYRNALEELSKATQWNSAEEGLPTNSTSDSRPKSSSPIRLPEMSGGQTNRTTETEPQPTKKASGMLSFFRGTAGKSPDLSSQKRETLRGADSAYYQVGQTGKEGTENQGVEPQDEVDGGDTQKKQLINPHVELQFSDANAKFYCRLYYAGEFHKMREVILDSSEEDFIRSLSHSSPWQARGGKSGAAFYATEDDRFILKQMPRLEVQSFLDFAPHYFNYITNAVQQKRPTALAKILGVYRIGYKNSQNNTEKKLDLLVMENLFYGRKMAQVFDLKGSLRNRNVKTDTGKESCDVVLLDENLLKMVRDNPLYIRSHSKAVLRTSIHSDSHFLSSHLIIDYSLLVGRDDTSNELVVGIIDYIRTFTWDKKLEMVVKSTGILGGQGKMPTVVSPELYRTRFCEAMDKYFLMVPDHWTGLGLNC
XP_016863826.1 97 755 0.473087860394537 PF14545.6:DBB:73:200 B-cell scaffold protein with ankyrin repeats isoform X1 755 128 40 659 0 Homo sapiens XP_016863826.1 1 RefSeq LLNISQSRWEISTEQEPEDYISVIQSIIFKDSEDYFEVNIPTDLRAKHSGEISERKEIEELSEASRNTIPLAVVLPTEIPCENPGEIFIILRDEVIGDTVEVEFTSSNKRIRTRPALWNKKVWCMKALEFPAGSVHVNVYCDGIVKATTKIKYYPTAKAKECLFRMADSGESLCQNSIEELDGVLTSIFKHEIPYYEFQSLQTEICSQNKYTHFKELPTLLHCAAKFGLKNLAIHLLQCSGATWASKMKNMEGSDPAHIAERHGHKELKKIFEDFSIQEIDINNEQENDYEEDIASFSTYIPSTQNPAFHHESRKTYGQSADGAEANEMEGEGKQNGSGMETKHSPLEVGSESSEDQYDDLYVFIPGADPENNSQEPLMSSRPPLPPPRPVANAFQLERPHFTLPGTMVEGQMERSQNWGHPGVRQETGDEPKGEKEKKEEEKEQEEEEDPYTFAEIDDSEYDMILANLSIKKKTGSRSFIINRPPAPTPRPTSIPPKEETTPYIAQVFQQKTARRQSDDDKFCGLPKKQDRARIESPAFSTLRGCLTDGQEELILLQEKVKNGKMSMDEALEKFKHWQMGKSGLEMIQQEKLRQLRDCIIGKRPEEENVYNKLTIVHHPGGKETAHNENKFYNVHFSNKLPARPQVEKEFGFCCKKDH
NP_001074747.2 1 809 0.474470704573547 PF11830.8:DUF3350:746:809,PF00640.23:PID:112:185,PF00640.23:PID:351:447 TBC1 domain family member 4 1243 235 39 809 0 Mus musculus NP_001074747.2 1 RefSeq MESPSCIQDEPFPHPLEPEPSAPAQPGATKPGDKRFRLWYVGGSCLDRRTTLPMLPWLMAEIRRRSQKPDAGGCGAPAAREVILVLSAPFLRCVPAPGAGVGGGAGSGAVQPNTGVFIFEHKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPNQVPDVISSIRQLSKAAMKEDSKPSKDNEDAFYNSQKFEVLYCGRVIVTHKKAPSSLIDDCKDKFSLHEQQRLKLQGERGGDPGDEMGVLEVESPVSPDDSLPEKADGTVNSPRALPSLASLPALASQPALASSRVCFPERILEDCGFDEQQEFRSRCSSVTGVMQKKVHENNQKTQPRRRHASAPSHVQPSDSEKNRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQYICYVFQCANESLVDEVMLTLKQAFSTAAALQSAKTQIKLCETCPMHSLHKLCERIEGLYPPRAKLVIQRHLSSLTDNEQADIFERVQKMKPISDQEENELVILHLRQLCEAKQRTHVHIGEGPAIISNSTIPENVTSGGRFKLDVLKNKAKRSLTSSLENIFSRGANRMRGRLGSMDSFERANSLASEKDFSPGDSPPGTPPASPLSSAWHAFPEEDSDSPQFRRRAHTFSHPPSSSRRKLNLQDGKAHGLRSPLLRQSSSEQCSDGEGRKRTSSTCSNESLNAGGTPVTPRRVSWRQRIFLRVASPVNKSPSAMQQQKDGLDRTELLPLSPLSPTMEEEPLIIFLSGDEDTEKVEEKKKSKELKSLWKKAIHQQILLLRMEKENQKLE
NP_001243376.1 1 167 0.116526347305389 PF05529.12:Bap31:1:135 B-cell receptor-associated protein 31; BCR-associated protein 31; Bap31; 6C6-AG tumor-associated antigen; Protein CDM; p28 246 135 39 104 3 Homo sapiens (Human) SwissProt::P51572 0 SwissProt MSLQWTAVATFLYAEVFVVLLLCIPFISPKRWQKIFKSRLVELLVSYGNTFFVVLIVILVLLVIDAVREIRKYDDVTEKVNLQNNPGAMEHFHMKLFRAQRNLYIAGFSLLLSFLLRRLVTLISQQATLLASNEAFKKQAESASEAAKKYMEENDQLKKGAAVDGGK
NP_001288227.1 1 725 0.628200551724137 PF00169.29:PH:6:115 GRB2-associated-binding protein 1 isoform 1 725 110 39 725 0 Mus musculus NP_001288227.1 1 RefSeq MSGGEVVCSGWLRKSPPEKKLKRYAWKRRWFVLRSGRLTGDPDVLEYYKNDHAKKPIRIIDLNLCQQVDAGLTFNKKEFENSYIFDINTIDRIFYLVADSEEDMNKWVRCICDICGFNPTEEDPVKPLTGSSQAPVDSPFAISTAPASSQMEASSVALPPPYQVISLPPHPDTLGLQDDPQDYLLLINCQSKKPEPNRTLFDSAKPTFSETDCNDNVPSHQTPASSQSKHGMNGFFQQQMMYDCPPSRLTSVSGESSLYNLPRSYSHDVLPKESPSSTEADGELYTFNTPSGTAGVETQMRHVSISYDIPPTPGNTYQIPRTFPESTLGQSSKLDTIPDIPPPRPPKPHPTHDRSPVETCGVPRTASDTDSSYCIPPPAGMTPSRSNTISTVDLNKLRKDASSQDCYDIPRTFPSDRSSSLEGFHSQYKIKSVLTAGGVSGEELDENYVPMNPNSPPRQHSGSFTEPIQEPNYVPMTPGTFDFSSFGMQVPPPAHMGFRSSPKTPPRRPVPVADCEPPPVDRNLKPDRKGQSPKILRPKPHGLERTDSQTIGDFATRRKVKPAPLDIKPLSEWEELQAPVRSPITRSFARDSSRFPMSPRPDSVHSTTSSSDSHDSEENYVPMNPNLSGEDPNLFASNSLDGGSSPMNKPKGDKQVEYLDLDLDSGKSTPPRKQKSSGSGSSMADERVDYVVVDQQKTLALKSTREAWTDGRQSTESETPTKNVK
NP_001297367.1 1 134 0.0290022388059701 PF00021.21:UPAR_LY6:29:105 lymphocyte antigen 6G precursor 134 77 39 111 1 Mus musculus NP_001297367.1 1 RefSeq MDTCHIAKSCVLILLVVLLCAERAQGLECYNCIGVPPETSCNTTTCPFSDGFCVALEIEVIVDSHRSKVKSNLCLPICPTTLDNTEITGNAVNVKTYCCKEDLCNAAVPTGGSSWTMAGVLLFSLVSVLLQTFL
NP_001311034.1 1 258 0.58823992248062 Krueppel-like factor 8 isoform 6 356 0 39 258 0 Homo sapiens NP_001311034.1 1 RefSeq MDKLINNLEVQLNSEGGSMQVFKQVTASVRNRDPPEIEYRSNMTSPTLLDANPMENPALFNDIKIEPPEELLASDFSLPQVEPVDLSFHKPKAPLQPASMLQAPIRPPKPQSSPQTLVVSTSTSDMSTSANIPTVLTPGSVLTSSQSTGSQQILHVIHTIPSVSLPNKMGGLKTIPVVVQSLPMVYTTLPADGGPAAITVPLIGGDGKNAGSVKVDPTSMSPLEIPSDSEESTIESGSSALQSLQGLQQEPAAMAQMQ
NP_003208.2 1 237 0.0241257383966245 PF01027.20:Bax1-I:24:225 Bax inhibitor 1; BI-1; Testis-enhanced gene transcript protein; Transmembrane BAX inhibitor motif-containing protein 6 237 202 39 107 6 Homo sapiens (Human) SwissProt::P55061 1 SwissProt MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHMVTHFIQAGLLSALGSLILMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALEFCIAVNPSILPTAFMGTAMIFTCFTLSALYARRRSYLFLGGILMSALSLLLLSSLGNVFFGSIWLFQANLYVGLVVMCGFVLFDTQLIIEKAEHGDQDYIWHCIDLFLDFITVFRKLMMILAMNEKDKKKEKK
NP_013315.1 1 686 0.0916405247813411 PF08022.12:FAD_binding_8:413:519,PF01794.19:Ferric_reduct:261:374,PF08030.12:NAD_binding_6:528:665 Ferric/cupric reductase transmembrane component 1; Ferric-chelate reductase 1; EC 1.16.1.9 686 359 39 533 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32791 1 SwissProt MVRTRVLFCLFISFFATVQSSATLISTSCISQAALYQFGCSSKSKSCYCKNINWLGSVTACAYENSKSNKTLDSALMKLASQCSSIKVYTLEDMKNIYLNASNYLRAPEKSDKKTVVSQPLMANETAYHYYYEENYGIHLNLMRSQWCAWGLVFFWVAVLTAATILNILKRVFGKNIMANSVKKSLIYPSVYKDYNERTFYLWKRLPFNFTTRGKGLVVLIFVILTILSLSFGHNIKLPHPYDRPRWRRSMAFVSRRADLMAIALFPVVYLFGIRNNPFIPITGLSFSTFNFYHKWSAYVCFMLAVVHSIVMTASGVKRGVFQSLVRKFYFRWGIVATILMSIIIFQSEKVFRNRGYEIFLLIHKAMNIMFIIAMYYHCHTLGWMGWIWSMAGILCFDRFCRIVRIIMNGGLKTATLSTTDDSNVIKISVKKPKFFKYQVGAFAYMYFLSPKSAWFYSFQSHPFTVLSERHRDPNNPDQLTMYVKANKGITRVLLSKVLSAPNHTVDCKIFLEGPYGVTVPHIAKLKRNLVGVAAGLGVAAIYPHFVECLRLPSTDQLQHKFYWIVNDLSHLKWFENELQWLKEKSCEVSVIYTGSSVEDTNSDESTKGFDDKEESEITVECLNKRPDLKELVRSEIKLSELENNNITFYSCGPATFNDDFRNAVVQGIDSSLKIDVELEEESFTW
NP_032505.1 1 521 0.290332053742802 PF00047.25:ig:263:335 Lymphocyte activation gene 3 protein; LAG-3; Activation-induced cytidine deaminase-linked autoimmunity protein; Aida; CD223 antigen 521 73 39 498 1 Mus musculus (Mouse) SwissProt::Q61790 1 SwissProt MREDLLLGFLLLGLLWEAPVVSSGPGKELPVVWAQEGAPVHLPCSLKSPNLDPNFLRRGGVIWQHQPDSGQPTPIPALDLHQGMPSPRQPAPGRYTVLSVAPGGLRSGRQPLHPHVQLEERGLQRGDFSLWLRPALRTDAGEYHATVRLPNRALSCSLRLRVGQASMIASPSGVLKLSDWVLLNCSFSRPDRPVSVHWFQGQNRVPVYNSPRHFLAETFLLLPQVSPLDSGTWGCVLTYRDGFNVSITYNLKVLGLEPVAPLTVYAAEGSRVELPCHLPPGVGTPSLLIAKWTPPGGGPELPVAGKSGNFTLHLEAVGLAQAGTYTCSIHLQGQQLNATVTLAVITVTPKSFGLPGSRGKLLCEVTPASGKERFVWRPLNNLSRSCPGPVLEIQEARLLAERWQCQLYEGQRLLGATVYAAESSSGAHSARRISGDLKGGHLVLVLILGALSLFLLVAGAFGFHWWRKQLLLRRFSALEHGIQPFPAQRKIEELERELETEMGQEPEPEPEPQLEPEPRQL
NP_032660.2 721 2237 0.748720962425841 PF00414.17:MAP1B_neuraxin:1171:1187,PF00414.17:MAP1B_neuraxin:1188:1204,PF00414.17:MAP1B_neuraxin:1222:1238,PF00414.17:MAP1B_neuraxin:1239:1255,PF00414.17:MAP1B_neuraxin:1307:1323,PF00414.17:MAP1B_neuraxin:1324:1340 Microtubule-associated protein 1B; MAP-1B; MAP1(X); MAP1.2 2464 102 39 1517 0 Mus musculus (Mouse) SwissProt::P14873 1 SwissProt KEEKEPKKEIKKISKDIKKSTPLSDTKKPSALKPKVAKKEESTKKEPLAAGKLKDKGKVKVIKKEGKTTEAAATAVGTAATTAAVVAAAGIAASGPVKELEAERSLMSSPEDLTKDFEELKAEEIDVAKDIKPQLELIEDEEKLKETQPGEAYVIQKETEVSKGSAESPDEGITTTEGEGECEQTPEELEPVEKQGVDDIEKFEDEGAGFEESSETGDYEEKAETEEAEEPEEDGEDNASGSASKHSPTEDDESAKAEADVHLKEKRESVVSGDDRAEEDMDDVLEKGEAEQSEEEGEEEDKAEDAREEGYEPDKTEAEDYVMAVADKAAEAGVTEEQYGYLGTSAKQPGIQSPSREPASSIHDETLPGGSESEATASDEENREDQPEEFTATSGYTQSTIEISSEPTPMDEMSTPRDVMSDETNNEETESPSQEFVNITKYESSLYSQEYSKPAVASFNGLSEGSKTDATDGKDYNASASTISPPSSMEEDKFSKSALRDAYCSEEKELKASAELDIKDVSDERLSPAKSPSLSPSPPSPIEKTPLGERSVNFSLTPNEIKVSAEGEARSVSPGVTQAVVEEHCASPEEKTLEVVSPSQSVTGSAGHTPYYQSPTDEKSSHLPTEVTEKPQAVPVSFEFSEAKDENERASLSPMDEPVPDSESPVEKVLSPLRSPPLLGSESPYEDFLSADSKVLGRRSESPFEGKNGKQGFPDRESPVSDLTSTGLYQDKQEEKSTGFIPIKEDFGPEKKTSDVETMSSQSALALDERKLGGDVSPTQIDVSQFGSFKEDTKMSISEGTVSDKSATPVDEGVAEDTYSHMEGVASVSTASVATSSFPEPTTDDVSPSLHAEVGSPHSTEVDDSLSVSVVQTPTTFQETEMSPSKEECPRPMSISPPDFSPKTAKSRTPVQDHRSEQSSMSIEFGQESPEHSLAMDFSRQSPDHPTLGASVLHITENGPTEVDYSPSDIQDSSLSHKIPPTEEPSYTQDNDLSELISVSQVEASPSTSSAHTPSQIASPLQEDTLSDVVPPREMSLYASLASEKVQSLEGEKLSPKSDISPLTPRESSPLYSPGFSDSTSAAKETAAAHQASSSPPIDAATAEPYGFRSSMLFDTMQHHLALNRDLTTSSVEKDSGGKTPGDFNYAYQKPENAAGSPDEEDYDYESQEKTIRTHDVGGYYYEKTERTIKSPCDSGYSYETIEKTIKTPEDGGYTCEITEKTTRTPEEGGYSYEISEKTTRTPEVSGYTYEKTERSRRLLDDISNGYDDTEDGGHTLGDCSYSYETTEKITSFPESESYSYETSTKTTRSPDTSAYCYETMEKITKTPQASTYSYETSDRCYTTEKKSPSEARQDVDLCLVSSCEFKHPKTELSPSFINPNPLEWFAGEEPTEESEKPLTQSGGAPPPSGGKQQGRQCDETPPTSVSESAPSQTDSDVPPETEECPSITADANIDSEDESETIPTDKTVTYKHMDPPPAPMQDRSPSPRHPDVSMVDPDALAVDQNLGKALKKDLKE
NP_041330.2 1 83 0.000737349397590362 PF03025.14:Papilloma_E5:11:82 Probable protein E5 83 72 39 17 3 Human papillomavirus type 16 SwissProt::P06927 0 SwissProt MTNLDTASTTLLACFLLCFCVLLCVCLLIRPLLLSVSTYTSLIILVLLLWITAASAFRCFIVYIIFVYIPLFLIHTHARFLIT
NP_055213.2 1 221 0.714390045248868 Lysosome-associated membrane glycoprotein 3; LAMP-3; Lysosomal-associated membrane protein 3; DC-lysosome-associated membrane glycoprotein; DC LAMP; Protein TSC403; CD208 antigen 416 0 39 221 0 Homo sapiens (Human) SwissProt::Q9UQV4 1 SwissProt MPRQLSAAAALFASLAVILHDGSQMRAKAFPETRDYSQPTAAATVQDIKKPVQQPAKQAPHQTLAARFMDGHITFQTAATVKIPTTTPATTKNTATTSPITYTLVTTQATPNNSHTAPPVTEVTVGPSLAPYSLPPTITPPAHTTGTSSSTVSHTTGNTTQPSNQTTLPATLSIALHKSTTGQKPVQPTHAPGTTAAAHNTTRTAAPASTVPGPTLAPQPS
NP_055655.1 323 661 0.596207374631268 NUAK family SNF1-like kinase 1; AMPK-related protein kinase 5; ARK5; Omphalocele kinase 1; EC 2.7.11.1 661 0 39 339 0 Homo sapiens (Human) SwissProt::O60285 1 SwissProt SESPLLARIIDWHHRSTGLQADTEAKMKGLAKPTTSEVMLERQRSLKKSKKENDFAQSGQDAVPESPSKLSSKRPKGILKKRSNSEHRSHSTGFIEGVVGPALPSTFKMEQDLCRTGVLLPSSPEAEVPGKLSPKQSATMPKKGILKKTQQRESGYYSSPERSESSELLDSNDVMGSSIPSPSPPDPARVTSHSLSCRRKGILKHSSKYSAGTMDPALVSPEMPTLESLSEPGVPAEGLSRSYSRPSSVISDDSVLSSDSFDLLDLQENRPARQRIRSCVSAENFLQIQDFEGLQNRPRPQYLKRYRNRLADSSFSLLTDMDDVTQVYKQALEICSKLN
NP_181018.1 86 852 0.2886370273794 PF01852.19:START:89:297,PF08670.11:MEKHLA:621:766 Homeobox-leucine zipper protein ATHB-14; HD-ZIP protein ATHB-14; Homeodomain transcription factor ATHB-14; Protein PHABULOSA 852 355 39 767 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04291 1 SwissProt EAARLQTVNRKLNAMNKLLMEENDRLQKQVSNLVYENGHMKHQLHTASGTTTDNSCESVVVSGQQHQQQNPNPQHQQRDANNPAGLLSIAEEALAEFLSKATGTAVDWVQMIGMKPGPDSIGIVAISRNCSGIAARACGLVSLEPMKVAEILKDRPSWLRDCRSVDTLSVIPAGNGGTIELIYTQMYAPTTLAAARDFWTLRYSTCLEDGSYVVCERSLTSATGGPTGPPSSNFVRAEMKPSGFLIRPCDGGGSILHIVDHVDLDAWSVPEVMRPLYESSKILAQKMTVAALRHVRQIAQETSGEVQYGGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSPMGSDGAEDVTVMINLSPGKFGGSQYGNSFLPSFGSGVLCAKASMLLQNVPPAVLVRFLREHRSEWADYGVDAYAAASLRASPFAVPCARAGGFPSNQVILPLAQTVEHEESLEVVRLEGHAYSPEDMGLARDMYLLQLCSGVDENVVGGCAQLVFAPIDESFADDAPLLPSGFRIIPLEQKSTPNGASANRTLDLASALEGSTRQAGEADPNGCNFRSVLTIAFQFTFDNHSRDSVASMARQYVRSIVGSIQRVALAIAPRPGSNISPISVPTSPEALTLVRWISRSYSLHTGADLFGSDSQTSGDTLLHQLWNHSDAILCCSLKTNASPVFTFANQTGLDMLETTLVALQDIMLDKTLDEPGRKALCSEFPKIMQQGYAHLPAGVCASSMGRMVSYEQATVWKVLEDDESNHCLAFMFVNWSFV
NP_198067.1 1 538 0.0936485130111524 PF00999.21:Na_H_Exchanger:25:439 Sodium/hydrogen exchanger 1; Na(+)/H(+) exchanger 1; NHE-1 538 415 39 278 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q68KI4 1 SwissProt MLDSLVSKLPSLSTSDHASVVALNLFVALLCACIVLGHLLEENRWMNESITALLIGLGTGVTILLISKGKSSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMLFGAVGTIISCTIISLGVTQFFKKLDIGTFDLGDYLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVVFNAIQSFDLTHLNHEAAFHLLGNFLYLFLLSTLLGAATGLISAYVIKKLYFGRHSTDREVALMMLMAYLSYMLAELFDLSGILTVFFCGIVMSHYTWHNVTESSRITTKHTFATLSFLAETFIFLYVGMDALDIDKWRSVSDTPGTSIAVSSILMGLVMVGRAAFVFPLSFLSNLAKKNQSEKINFNMQVVIWWSGLMRGAVSMALAYNKFTRAGHTDVRGNAIMITSTITVCLFSTVVFGMLTKPLISYLLPHQNATTSMLSDDNTPKSIHIPLLDQDSFIEPSGNHNVPRPDSIRGFLTRPTRTVHYYWRQFDDSFMRPVFGGRGFVPFVPGSPTERNPPDLSKA
NP_476576.1 1 359 0.553905013927576 PF16493.5:Meis_PKNOX_N:127:211 Homeobox protein homothorax; Homeobox protein dorsotonals 487 85 39 359 0 Drosophila melanogaster (Fruit fly) SwissProt::O46339 1 SwissProt MAQPRYDDGLHGYGMDSGAAAAAMYDPHAGHRPPGLQGLPSHHSPHMTHAAAAAATVGMHGYHSGAGGHGTPSHVSPVGNHLMGAIPEVHKRDKDAIYEHPLFPLLALIFEKCELATCTPREPGVQGGDVCSSESFNEDIAMFSKQIRSQKPYYTADPEVDSLMVQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDERDTTKPPELGSANGEGRSNADSTSHTDGASTPDVRPPSSSLSYGGAMNDDARSPGAGSTPGPLSQQPPALDTSDPDGKFLSSLNPSELTYDGRWCRREWSSPADARNADASRRLYSSVFLGSPDNFGTSASGDASNASIGSGEGTGEEDDD
NP_941004.2 1 676 0.409612426035503 PF08172.12:CASP_C:421:639 protein CASP isoform b 676 219 39 653 1 Mus musculus NP_941004.2 1 RefSeq MAANVGSMFQYWKRFDLQQLQRELDATATVLANRQDESEQSRKRLIEQSREFKKNTPEDLRKQVAPLLKSFQGEIDALSKRSKEAEAAFLTVYKRLIDVPDPVPALDVGQQLEIKVQRLHDIETENQKLRETLEEYNKEFAEVKNQEVTIKALKEKIREYEQTLKSQAETIALEKEQKLQNDFAEKERKLQETQMSTTSKLEEAEHKLQTLQTALEKTRTELFDLKTKYDEETTAKADEIEMIMTDLERANQRAEVAQREAETLREQLSSANHSLQLASQIQKAPDVAIEVLTRSSLEVELAAKEREIAQLVEDVQRLQASLTKLRENSASQISQLEQQLNAKNSTLKQLEEKLKGQADYEEVKKELNTLKSMEFAPSEGAGTQDSTKPLEVLLLEKNRSLQSENATLRISNSDLSGRCAELQIHLTEATAKAVEQKELIARLEQDLSTIQSIQRPDAEGASEQGLEKIPEPIKEATALFYGPSMSSSGTLPEGQVDSLLSIISSQRERFRTRNQELEAESRMAQHTIQALQSELDSLRADNIKLFEKIKFLQSYPGRGIGSDDTELRYSSQYEERLDPFSSFSKRERQRKYLGLSPWDKATLGMGRLILSNKMARTISFFYTLFLHCLVFLVLYKLAWSESVERDCAATCAKKFADHLHKFHESDNGAAAGDLWQ
O43609 1 319 0.386338557993731 PF05210.13:Sprouty:186:295 Protein sprouty homolog 1 319 110 39 319 0 Homo sapiens O43609 1 SwissProt/TReMBL MDPQNQHGSGSSLVVIQQPSLDSRQRLDYEREIQPTAILSLDQIKAIRGSNEYTEGPSVVKRPAPRTAPRQEKHERTHEIIPINVNNNYEHRHTSHLGHAVLPSNARGPILSRSTSTGSAASSGSNSSASSEQGLLGRSPPTRPVPGHRSERAIRTQPKQLIVDDLKGSLKEDLTQHKFICEQCGKCKCGECTAPRTLPSCLACNRQCLCSAESMVEYGTCMCLVKGIFYHCSNDDEGDSYSDNPCSCSQSHCCSRYLCMGAMSLFLPCLLCYPPAKGCLKLCRRCYDWIHRPGCRCKNSNTVYCKLESCPSRGQGKPS
Q14626 1 215 0.323935813953489 Interleukin-11 receptor subunit alpha; IL-11 receptor subunit alpha; IL-11R subunit alpha; IL-11R-alpha; IL-11RA 422 0 39 215 0 Homo sapiens (Human) SwissProt::Q14626 1 SwissProt MSSSCSGLSRVLVAVATALVSASSPCPQAWGPPGVQYGQPGRSVKLCCPGVTAGDPVSWFRDGEPKLLQGPDSGLGHELVLAQADSTDEGTYICQTLDGALGGTVTLQLGYPPARPVVSCQAADYENFSCTWSPSQISGLPTRYLTSYRKKTVLGADSQRRSPSTGPWPCPQDPLGAARCVVHGAEFWSQYRINVTEVNPLGASTRLLDVSLQSI
VIMSS10079511 1 556 0.205378057553957 PF03595.17:SLAC1:188:494 Guard cell S-type anion channel SLAC1; Protein CARBON DIOXIDE INSENSITIVE 3; Protein OZONE-SENSITIVE 1; Protein RADICAL-INDUCED CELL DEATH 3; Protein SLOW ANION CHANNEL-ASSOCIATED 1 556 307 39 337 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LD83 1 SwissProt MERKQSNAHSTFADINEVEDEAEQELQQQENNNNKRFSGNRGPNRGKQRPFRGFSRQVSLETGFSVLNRESRERDDKKSLPRSGRSFGGFESGGIINGGDGRKTDFSMFRTKSTLSKQKSLLPSIIRERDIENSLRTEDGETKDDSINENVSAGRYFAALRGPELDEVKDNEDILLPKEEQWPFLLRFPIGCFGICLGLSSQAVLWLALAKSPATNFLHITPLINLVVWLFSLVVLVSVSFTYILKCIFYFEAVKREYFHPVRVNFFFAPWVVCMFLAISVPPMFSPNRKYLHPAIWCVFMGPYFFLELKIYGQWLSGGKRRLCKVANPSSHLSVVGNFVGAILASKVGWDEVAKFLWAVGFAHYLVVFVTLYQRLPTSEALPKELHPVYSMFIAAPSAASIAWNTIYGQFDGCSRTCFFIALFLYISLVARINFFTGFKFSVAWWSYTFPMTTASVATIKYAEAVPGYPSRALALTLSFISTAMVCVLFVSTLLHAFVWQTLFPNDLAIAITKRKLTREKKPFKRAYDLKRWTKQALAKKISAEKDFEAEEESHH
VIMSS10080958 1 663 0.449594268476621 PF11265.8:Med25_VWA:3:226 Mediator of RNA polymerase II transcription subunit 25; AtMED25; Phytochrome and flowering time 1 protein; Phytochrome and flowering time regulatory protein 1 836 224 39 663 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q7XYY2 1 SwissProt MSSEVKQLIVVAEGTAALGPYWQTIVSDYLEKIIRSFCGSELNGERNPVSTVELSLVIFNSHGSYCACLVQRSGWTRDVDIFLHWLSSIQFGGGGFNEVATAEGLAEALMMFSPPSGQAQPSNDLKRHCILITASNPHILPTPVYRPRLQNVERNENGDAQAESRLSDAETVASYFAKCSVSLSVVCPKQLPTIRALYNAGKPNQQSADLSIDTAKNTFYLVLISENFVEACAALSHSATNLPQTQSPVKVDRATVAPSIPVTGQPPAPVSSANGPIQNRQPVSVGPVPTATVKVEPSTVTSMAPVPSFPHIPAVARPATQAIPSIQTSSASPVSQDMVSNAENAPDIKPVVVSGMTPPLRTGPPGGANVNLLNNLSQVRQVMSSAALAGAASSVGQSAVAMHMSNMISTGMATSLPPSQTVFSTGQQGITSMAGSGALMGSAQTGQSPGPNNAFSPQTTSNVASNLGVSQPMQGMNQGSHSGAMMQGGISMNQNMMSGLGQGNVSSGTGGMMPTPGVGQQAQSGIQQLGGSNSSAPNMQLSQPSSGAMQTSQSKYVKVWEGNLSGQRQGQPVLITRLEGYRSASASDSLAANWPPTMQIVRLISQDHMNNKQYVGKADFLVFRAMSQHGFLGQLQDKKLCAVIQLPSQTLLLSVSDKACRLI
VIMSS10088770 1 289 0.534093771626298 PF12265.8:CAF1C_H4-bd:66:132 WD-40 repeat-containing protein MSI4; Altered cold-responsive gene 1 protein 507 67 39 289 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22607 1 SwissProt MESDEAAAVSPQATTPSGGTGASGPKKRGRKPKTKEDSQTPSSQQQSDVKMKESGKKTQQSPSVDEKYSQWKGLVPILYDWLANHNLVWPSLSCRWGPQLEQATYKNRQRLYLSEQTDGSVPNTLVIANCEVVKPRVAAAEHISQFNEEARSPFVKKYKTIIHPGEVNRIRELPQNSKIVATHTDSPDVLIWDVETQPNRHAVLGAANSRPDLILTGHQDNAEFALAMCPTEPFVLSGGKDKSVVLWSIQDHITTIGTDSKSSGSIIKQTGEGTDKNESPTVGPRGVYH
VIMSS10104536 136 520 0.426191168831169 Transcription factor MYB33; Myb-related protein 33; AtMYB33 520 0 39 385 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W1W6 1 SwissProt QRAGLPLYPPEMHVEALEWSQEYAKSRVMGEDRRHQDFLQLGSCESNVFFDTLNFTDMVPGTFDLADMTAYKNMGNCASSPRYENFMTPTIPSSKRLWESELLYPGCSSTIKQEFSSPEQFRNTSPQTISKTCSFSVPCDVEHPLYGNRHSPVMIPDSHTPTDGIVPYSKPLYGAVKLELPSFQYSETTFDQWKKSSSPPHSDLLDPFDTYIQSPPPPTGGEESDLYSNFDTGLLDMLLLEAKIRNNSTKNNLYRSCASTIPSADLGQVTVSQTKSEEFDNSLKSFLVHSEMSTQNADETPPRQREKKRKPLLDITRPDVLLASSWLDHGLGIVKETGSMSDALAVLLGDDIGNDYMNMSVGASSGVGSCSWSNMPPVCQMTELP
VIMSS6583952 1 206 0.0478349514563107 PF04191.13:PEMT:100:199 Phosphatidyl-N-methylethanolamine N-methyltransferase; Overproducer of inositol protein 3; Phospholipid methyltransferase; PLMT; EC 2.1.1.17; EC 2.1.1.71 206 100 39 206 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P05375 1 SwissProt MKESVQEIIQQLIHSVDLQSSKFQLAIVCTMFNPIFWNIVARMEYHKHSLTKMCGGARKGCYMLAATIFSLGIVRDMVYESALREQPTCSLITGENWTKLGVALFGLGQVLVLSSMYKLGITGTYLGDYFGILMDERVTGFPFNVSNNPMYQGSTLSFLGIALYKGKPAGLVVSAVVYFMYKIALRWEEPFTAMIYANRDKAKKNM
XP_006530234.1 1 326 0.535336196319018 PF08916.11:Phe_ZIP:23:76,PF00169.29:PH:190:276 SH2B adapter protein 3 isoform X1 548 141 39 326 0 Mus musculus XP_006530234.1 1 RefSeq MNEPTVQPSRTSSAPASPASPRGWSDFCEQHAAAAARELARQYWLFARAHPQPPRADLVSLQFAELFQRHFCREVRESLAGPPGHDYRATAPPRPALPKARSSEDLGPRPACALQHLRRGLRQLFRRRSAGELPGATSDTNDIDTTAASRPGPARKLLPWGLREPPTEALKEVVLRYSLADEAAMDSGARWQRGRLVLRSPGPGHSHFLQLFDPPKSSKPKLQEACSSIREVRPCTRLEMPDNLYTFVLKVQDQTDIIFEVGDEQQLNSWLAELRASTGLGLEHPDTELPLSLAAEPGPARSPRGSTDSLDQGASPGVLLDPACQK
XP_006721481.2 1 215 0.0333604651162791 PF04191.13:PEMT:106:207 phosphatidylethanolamine N-methyltransferase isoform X1 215 102 39 123 4 Homo sapiens XP_006721481.2 0 RefSeq MFPGLPVCSQRADFCVMTRLLGYVDPLDPSFVAAVITITFNPLYWNVVARWEHKTRKLSRAFGSPYLACYSLSVTILLLNFLRSHCFTQAMLSQPRMESLDTPAAYSLGLALLGLGVVLVLSSFFALGFAGTFLGDYFGILKEARVTVFPFNILDNPMYWGSTANYLGWAIMHASPTGLLLTVLVALTYIVALLYEEPFTAEIYRQKASGSHKRS
XP_008757409.1 148 845 0.347295415472779 PF08205.12:C2-set_2:8:94,PF08205.12:C2-set_2:125:186,PF08205.12:C2-set_2:213:293,PF08205.12:C2-set_2:313:397,PF08205.12:C2-set_2:412:482,PF13927.6:Ig_3:109:185,PF13927.6:Ig_3:590:670,PF13895.6:Ig_2:120:203,PF07679.16:I-set:120:203,PF07679.16:I-set:592:672,PF00047.25:ig:120:195,PF00047.25:ig:598:671 nephrin isoform X2 1235 502 39 698 0 Rattus norvegicus XP_008757409.1 1 RefSeq SPKVLLLTPEAGSTVTWVAGQEYVVTCVSGDAKPAPDITFIQSGRTILDVSSNVNEGSEEKLCITEAEARVIPQSSDNGQLLVCEGSNPALDTPIKASFTMNILFPPGPPVIDWPGLNEGHVRAGENLELPCTARGGNPPATLQWLKNGKPVSTAWGTEHAQAVAHSVLVMTVRPEDHGARLSCQSYNSVSAGTQERSITLQVTFPPSAITILGSVSQSENKNVTLCCLTKSSRPRVLLRWWLGGRQLLPTDETVMDGLHGGHISMSNLTFLVRREDNGLPLTCEAFSDAFSKETFKKSLTLNVKYPAQKLWIEGPPEGQYIRTGTRVRLVCLAIGGNPDPSLIWFKDSRPVSEPRQPQEPRRVQLGSVEKSGSTFSRELVLIIGPPDNRAKFSCKAGQLSASTQLVVQCDALNLTCVSISSNPPVNLSWDKEGERLEDVAAKPQSAPFKGSAASRSVFLRVSSRDHGQRVTCRAHSEALRETVSSFYRFNVLYPPEFLGEQVRAVTVVEQGQVLLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSGGALQLWNVTRADDGFYQLHCQNSEGTAEALLKLDVHYAPTIRALRDPTEVNVGGSVDIVCTVDANPILPEMFSWERLGEEEEDLNLDDMEKVSKGSTGRLRIRQAKLSQAGAYQCIVDNGVAPAARGLVRLVVRFAPQVDQPTPLTK
XP_008770696.1 1 82 0.313341463414634 agouti-related protein isoform X1 129 0 39 59 1 Rattus norvegicus XP_008770696.1 1 RefSeq MLTAMLLSCVLLLALPPTLGVHMGVAPLKGIRRSDQALFPEFSGLSLKKTAADRAEDVLLQKAEALAEVLDPQNRESRSPRR
XP_011538221.1 49 295 0.418113765182186 centrosomal protein of 55 kDa isoform X1 295 0 39 247 0 Homo sapiens XP_011538221.1 1 RefSeq QQTKKPESEGYLQEEKQKCYNDLLASAKKDLEVERQTITQLSFELSEFRRKYEETQKEVHNLNQLLYSQRRADVQHLEDDRHKTEKIQKLREENDIARGKLEEEKKRSEELLSQVQFLYTSLLKQQEEQTRVALLEQQMQACTLDFENEKLDRQHVQHQLHVILKELRKARNQITQLESLKQLHEFAITEPLVTFQGETENREKVAASPKSPTAALNESLVECPKCNIQYPATEHRDLLVHVEYCSK
XP_016865857.1 1 361 0.597474515235457 eyes absent homolog 4 isoform X1 654 0 39 361 0 Homo sapiens XP_016865857.1 1 RefSeq MEDSQDLNEQSVKKTCTESDVSQSQNSRSMEMQDLASPHTLVGGGDTPGSSKLEKSNLSSTSVTTNGTGGENMTVLNTADWLLSCNTPSSATMSLLAVKTEPLNSSETTATTGDGALDTFTGSVITSSGYSPRSAHQYSPQLYPSKPYPHILSTPAAQTMSAYAGQTQYSGMQQPAVYTAYSQTGQPYSLPTYDLGVMLPAIKTESGLSQTQSPLQSGCLSYSPGFSTPQPGQTPYSYQMPGSSFAPSSTIYANNSVSNSTNFSGSQQDYPSYTAFGQNQYAQYYSASTYGAYMTSNNTADGTPSSTSTYQLQESLPGLTNQPGTDLHPGEFDTMQSPSTPIKDLDERTCRSSGSKSRGRG
XP_017174961.1 96 514 0.747726014319808 PF12347.8:HJURP_C:2:58 Myocyte-specific enhancer factor 2D 514 57 39 419 0 Mus musculus (Mouse) SwissProt::Q63943 1 SwissProt CDSPEPDGEDSLEQSPLLEDKYRRASEELDGLFRRYGSSVPAPNFAMPVTVPVSNQSSMQFSNPSSSLVTPSLVTSSLTDPRLLSPQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANGNSLNKVIPAKSPPPPTHNTQLGAPSRKPDLRVITSQGGKGLMHHLTEDHLDLNNAQRLGVSQSTHSLTTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLPSAELSSLPAFSSPAGLALGNVTAWQQPQPPQQPQPPQPPQSQPQPPQPQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAALTVTTHPHISIKSEPVSPSRERSPAPPPPAVFPAARPEPGEGLSSPAGGSYETGDRDDGRGDFGPTLGLLRPAPEPEAEGSAVKRMRLDTWTLK
YP_009361005.1 1 103 0.267153398058252 PF10824.8:T7SS_ESX_EspC:1:100 ESX-1 secretion-associated protein EspC 103 100 39 103 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJD7 1 SwissProt MTENLTVQPERLGVLASHHDNAAVDASSGVEAAAGLGESVAITHGPYCSQFNDTLNVYLTAHNALGSSLHTAGVDLAKSLRIAAKIYSEADEAWRKAIDGLFT
YP_081544.1 1 707 0.301218246110325 PF06734.12:UL97:461:642 tegument serine/threonine protein kinase 707 182 39 707 0 Human betaherpesvirus 5 YP_081544.1 1 RefSeq MSSALRSRARSASLGTTTQGWDPPPLRRPSRARRRQWMREAAQAAAQAAVQAAQAAAAQVAQAHVDEDEVVDLMTDEAGGGVTTLTTLSSVSTTTVLGHATFSACVRSDVMRDGEKEDAASDKENQRRPVVPSTSSRGSAASGDGYHGLRCRETSAMWSFEYDRDGDVTSVRRALFTGGSDPSDSVSGVRGGRKRPLRPPLVSLARTPLCRRRVGGVDAVLEENDVELRAESQDSAVASGPGRVPQPLSGSSGEESATAVEADSTSHDDVHCTCSNDQIITTSIRGLTCDPRMFLRLTHPELCELSISYLLVYVPKEDDFCHKICYAVDMSDESYRLGQGSFGEVWPLDRYRVVKVARKHSETVLTVWMSGLIRTRAAGEQQQPPSLVGTGVHRGLLTATGCCLLHNVTVHRRFHTDMFHHDQWKLACIDSYRRAFCTLADAIKFLNHQCRVCHFDITPMNVLIDVNPHNPSEIVRAALCDYSLSEPYPDYNERCVAVFQETGTARRIPNCSHRLRECYHPAFRPMPLQKLLICDPHARFPVAGLRRYCMSELSALGNVLGFCLMRLLDRRGLDEVRMGTEALLFKHAGAACRALENGKLTHCSDACLLILAAQMSYGACLLGEHGAALVSHTLRFVEAKMSSCRVRAFRRFYHECSQTMLHEYVRKNVERLLATSDGLYLYNAFRRTTSIICEEDLDGDCRQLFPE
NP_001001412.3 93 346 0.231193700787402 PF14798.6:Ca_hom_mod:2:163 Calcium homeostasis modulator protein 1; Protein FAM26C 346 162 38 211 2 Homo sapiens (Human) SwissProt::Q8IU99 1 SwissProt PAVLRYMFCSMAQRALIAPVVWVAVTLLDGKCFLCAFCTAVPVSALGNGSLAPGLPAPELARLLARVPCPEIYDGDWLLAREVAVRYLRCISQALGWSFVLLTTLLAFVVRSVRPCFTQAAFLKSKYWSHYIDIERKLFDETCTEHAKAFAKVCIQQFFEAMNHDLELGHTHGTLATAPASAAAPTTPDGAEEEREKLRGITDQGTMNRLLTSWHKCKPPLRLGQEEPPLMGNGWAGGGPRPPRKEVATYFSKV
NP_001138434.1 90 358 0.630905204460967 NF-kappa-B inhibitor-like protein 1 isoform 3 358 0 38 269 0 Homo sapiens NP_001138434.1 1 RefSeq YTDFFLPLLSRCPSAMGIKNKDGETPGQILGWGPPWDSAEEEEEDDASKEREWRQKLQGELEDEWQEVMGRFEGDASHETQEPESFSAWSDRLAREHAQKCQQQQREAEGSRRPPRAEGSSQSWRQQEEEQRLFRERARAKEEELRESRARRAQEALGDREPKPTRAGPREEHPRGAGRGSLWRFGDVPWPCPGGGDPEAMAAALVARGPPLEEQGALRRYLRVQQVRWHPDRFLQRFRSQIETWELGRVMGAVTALSQALNRHAEALK
NP_003646.2 72 376 0.773900983606557 E3 SUMO-protein ligase CBX4; Chromobox protein homolog 4; Polycomb 2 homolog; Pc2; hPc2; EC 2.3.2.- 560 0 38 305 0 Homo sapiens (Human) SwissProt::O00257 1 SwissProt RGPKPKPLVVQVPTFARRSNVLTGLQDSSTDNRAKLDLGAQGKGQGHQYELNSKKHHQYQPHSKERAGKPPPPGKSGKYYYQLNSKKHHPYQPDPKMYDLQYQGGHKEAPSPTCPDLGAKSHPPDKWAQGAGAKGYLGAVKPLAGAAGAPGKGSEKGPPNGMMPAPKEAVTGNGIGGKMKIVKNKNKNGRIVIVMSKYMENGMQAVKIKSGEVAEGEARSPSHKKRAADERHPPADRTFKKAAGAEEKKVEAPPKRREEEVSGVSDPQPQDAGSRKLSPTKEAFGEQPLQLTTKPDLLAWDPARN
NP_004421.2 1 256 0.473703515625 PF11928.8:DUF3446:87:156 early growth response protein 3 isoform 1 387 70 38 256 0 Homo sapiens NP_004421.2 1 RefSeq MTGKLAEKLPVTMSSLLNQLPDNLYPEEIPSALNLFSGSSDSVVHYNQMATENVMDIGLTNEKPNPELSYSGSFQPAPGNKTVTYLGKFAFDSPSNWCQDNIISLMSAGILGVPPASGALSTQTSTASMVQPPQGDVEAMYPALPPYSNCGDLYSEPVSFHDPQGNPGLAYSPQDYQSAKPALDSNLFPMIPDYNLYHHPNDMGSIPEHKPFQGMDPIRVNPPPITPLETIKAFKDKQIHPGFGSLPQPPLTLKPI
NP_005621.2 1 643 0.0655087091757387 PF03137.20:OATP:33:602,PF07690.16:MFS_1:41:419,PF07648.15:Kazal_2:447:494 Solute carrier organic anion transporter family member 2A1; Prostaglandin transporter; PGT; Solute carrier family 21 member 2 643 570 38 393 11 Homo sapiens (Human) SwissProt::Q92959 1 SwissProt MGLLPKLGASQGSDTSTSRAGRCARSVFGNIKVFVLCQGLLQLCQLLYSAYFKSSLTTIEKRFGLSSSSSGLISSLNEISNAILIIFVSYFGSRVHRPRLIGIGGLFLAAGAFILTLPHFLSEPYQYTLASTGNNSRLQAELCQKHWQDLPPSKCHSTTQNPQKETSSMWGLMVVAQLLAGIGTVPIQPFGISYVDDFSEPSNSPLYISILFAISVFGPAFGYLLGSVMLQIFVDYGRVNTAAVNLVPGDPRWIGAWWLGLLISSALLVLTSFPFFFFPRAMPIGAKRAPATADEARKLEEAKSRGSLVDFIKRFPCIFLRLLMNSLFVLVVLAQCTFSSVIAGLSTFLNKFLEKQYGTSAAYANFLIGAVNLPAAALGMLFGGILMKRFVFSLQAIPRIATTIITISMILCVPLFFMGCSTPTVAEVYPPSTSSSIHPQSPACRRDCSCPDSIFHPVCGDNGIEYLSPCHAGCSNINMSSATSKQLIYLNCSCVTGGSASAKTGSCPVPCAHFLLPAIFLISFVSLIACISHNPLYMMVLRVVNQEEKSFAIGVQFLLMRLLAWLPSPALYGLTIDHSCIRWNSLCLGRRGACAYYDNDALRDRYLGLQMGYKALGMLLLCFISWRVKKNKEYNVQKAAGLI
NP_010676.1 1 417 0.397825419664269 PF03114.18:BAR:6:246 Reduced viability upon starvation protein 167 482 241 38 417 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39743 1 SwissProt MSFKGFTKAVSRAPQSFRQKFKMGEQTEDPVYEDAERRFQELEQETKKLSEESKRYSTAVNGMLTHQIGFAKSMEEIFKPISGKMSDPNATIPEDNPQGIEASEQYRAIVAELQETLKPDLALVEEKIVTPCQELLKIITYIRKMATKRNHKKLDLDRHLNTYNKHEKKKEPTAKDEERLYKAQAQVEVAQQEYDYYNDLLKTQLPILFSLEAEFVKPLFVSFYFMQLNIFYTLYNRLQDMKIPYFDLNSDIVESYIAKKGNVEEQTDALTITHFKLGYSKAKLEMTRRKYGVATAEGSPVSGASSGVGYGAGYDPATATSPTPTGYGYGAAAPSYAAQPAAQYGTAAAVGTAAAVGTAAGAAAGAVPGTYPQYAAAQSPPLTGLGFQQSPQQQQGPPPAYSNPLTSPVAGTPAAAV
NP_031465.2 1 1061 0.315373609802073 PF00629.23:MAM:270:429,PF00629.23:MAM:484:638,PF12810.7:Gly_rich:735:965 ALK tyrosine kinase receptor; Anaplastic lymphoma kinase; CD246 antigen; EC 2.7.10.1 1621 546 38 1038 1 Mus musculus (Mouse) SwissProt::P97793 1 SwissProt MGAAGFLWLLPPLLLAAASYSGAATDQRAGSPASGPPLQPREPLSYSRLQRKSLAVDFVVPSLFRVYARDLLLPQPRSPSEPEAGGLEARGSLALDCEPLLRLLGPLPGISWADGASSPSPEAGPTLSRVLKGGSVRKLRRAKQLVLELGEETILEGCIGPPEEVAAVGILQFNLSELFSWWILHGEGRLRIRLMPEKKASEVGREGRLSSAIRASQPRLLFQIFGTGHSSMESPSETPSPPGTFMWNLTWTMKDSFPFLSHRSRYGLECSFDFPCELEYSPPLHNHGNQSWSWRHVPSEEASRMNLLDGPEAEHSQEMPRGSFLLLNTSADSKHTILSPWMRSSSDHCTLAVSVHRHLQPSGRYVAQLLPHNEAGREILLVPTPGKHGWTVLQGRVGRPANPFRVALEYISSGNRSLSAVDFFALKNCSEGTSPGSKMALQSSFTCWNGTVLQLGQACDFHQDCAQGEDEGQLCSKLPAGFYCNFENGFCGWTQSPLSPHMPRWQVRTLRDAHSQGHQGRALLLSTTDILASEGATVTSATFPAPMKNSPCELRMSWLIRGVLRGNVSLVLVENKTGKEQSRTVWHVATDEGLSLWQHTVLSLLDVTDRFWLQIVTWWGPGSRATVGFDNISISLDCYLTISGEEKMSLNSVPKSRNLFEKNPNKESKSWANISGPTPIFDPTVHWLFTTCGASGPHGPTQAQCNNAYQNSNLSVVVGSEGPLKGVQIWKVPATDTYSISGYGAAGGKGGKNTMMRSHGVSVLGIFNLEKGDTLYILVGQQGEDACPRANQLIQKVCVGENNVIEEEIRVNRSVHEWAGGGGGGGGATYVFKMKDGVPVPLIIAAGGGGRAYGAKTETFHPERLESNSSVLGLNGNSGAAGGGGGWNDNTSLLWAGKSLLEGAAGGHSCPQAMKKWGWETRGGFGGGGGGCSSGGGGGGYIGGNAASNNDPEMDGEDGVSFISPLGILYTPALKVMEGHGEVNIKHYLNCSHCEVDECHMDPESHKVICFCDHGTVLADDGVSCIVSPTPEPHLPLSLILSVVTSALVAALVLAFSGIMI
NP_033404.1 1 299 0.230746822742475 PF00059.21:Lectin_C:43:167 thrombomodulin precursor 577 125 38 299 0 Mus musculus NP_033404.1 1 RefSeq MLGIFFLGVLAPASLGLSALAKLQPTGSQCVEHECFALFQGPATFLDASQACQRLQGHLMTVRSSVAADVISLLLSQSSMDLGPWIGLQLPQGCDDPVHLGPLRGFQWVTGDNHTSYSRWARPNDQTAPLCGPLCVTVSTATEAAPGEPAWEEKPCETETQGFLCEFYFTASCRPLTVNTRDPEAAHISSTYNTPFGVSGADFQTLPVGSSAAVEPLGLELVCRAPPGTSEGHWAWEATGAWNCSVENGGCEYLCNRSTNEPRCLCPRDMDLQADGRSCARPVVQSCNELCEHFCVSNA
NP_036247.1 138 1915 0.578965523059617 CASP8-associated protein 2; FLICE-associated huge protein 1982 0 38 1778 0 Homo sapiens (Human) SwissProt::Q9UKL3 1 SwissProt QRLSEFPHFRNNHKTARTFDTVKTKDLKSRSPHLDDCSKTDHRAKSDVSKDVHHSTSLPNLEKEGKPHSDKRSTSHLPTSVEKHCTNGVWSRSHYQVGEGSSNEDSRRGRKDIRHSQFNRGTERVRKDLSTGCGDGEPRILEASQRLQGHPEKYGKGEPKTESKSSKFKSNSDSDYKGERINSSWEKETPGERSHSRVDSQSDKKLERQSERSQNINRKEVKSQDKEERKVDQKPKSVVKDQDHWRRSERASLPHSKNEITFSHNSSKYHLEERRGWEDCKRDKSVNSHSFQDGRCPSSLSNSRTHKNIDSKEVDAMHQWENTPLKAERHRTEDKRKREQESKEENRHIRNEKRVPTEHLQKTNKETKKTTTDLKKQNEPKTDKGEVLDNGVSEGADNKELAMKAESGPNETKNKDLKLSFMKKLNLTLSPAKKQPVSQDNQHKITDIPKSSGVCDSESSMQVKTVAYVPSISEHILGEAAVSEHTMGETKSTLLEPKVALLAVTEPRIGISETNKEDENSLLVRSVDNTMHCEEPICGTETSFPSPMEIQQTESLFPSTGMKQTINNGRAAAPVVMDVLQTDVSQNFGLELDTKRNDNSDYCGISEGMEMKVALSTTVSETTESILQPSIEEADILPIMLSEDNNPKFEPSVIVTPLVESKSCHLEPCLPKETLDSSLQQTELMDHRMATGETNSVYHDDDNSVLSIDLNHLRPIPEAISPLNSPVRPVAKVLRNESPPQVPVYNNSHKDVFLPNSAHSTSKSQSDLNKENQKPIYKSDKCTEADTCKNSPLDELEEGEIRSDSETSKPQESFEKNSKRRVSADVRKSKTIPRRGKSTVCLDKDSRKTHVRIHQTNNKWNKRPDKSSRSSKTEKKDKVMSTSSLEKIVPIIAVPSSEQEIMHMLRMIRKHVRKNYMKFKAKFSLIQFHRIIESAILSFTSLIKHLNLHKISKSVTTLQKNLCDIIESKLKQVKKNGIVDRLFEQQLPDMKKKLWKFVDDQLDYLFAKLKKILVCDSKSFGRDSDEGKLEKTSKQNAQYSNSQKRSVDNSNRELLKEKLSKSEDPVHYKSLVGCKKSEENYQDQNNSSINTVKHDIKKNFNICFDNIKNSQSEERSLEVHCPSTPKSEKNEGSSIEDAQTSQHATLKPERSFEILTEQQASSLTFNLVSDAQMGEIFKSLLQGSDLLDSSVNCTEKSEWELKTPEKQLLETLKCESIPACTTEELVSGVASPCPKMISDDNWSLLSSEKGPSLSSGLSLPVHPDVLDESCMFEVSTNLPLSKDNVCSVEKSKPCVSSILLEDLAVSLTVPSPLKSDGHLSFLKPDMSSSSTPEEVISAHFSEDALLEEEDASEQDIHLALESDNSSSKSSCSSSWTSRSVAPGFQYHPNLPMHAVIMEKSNDHFIVKIRRATPSTSSGLKQSMMPDELLTSLPRHGKEADEGPEKEYISCQNTVFKSVEELENSNKNVDGSKSTHEEQSSMIQTQVPDIYEFLKDASDKMGHSDEVADECFKLHQVWETKVPESIEELPSMEEISHSVGEHLPNTYVDLTKDPVTETKNLGEFIEVTVLHIDQLGCSGGNLNQSAQILDNSLQADTVGAFIDLTQDASSEAKSEGNHPALAVEDLGCGVIQVDEDNCKEEKAQVANRPLKCIVEETYIDLTTESPSSCEVKKDELKSEPGSNCDNSELPGTLHNSHKKRRNISDLNHPHKKQRKETDLTNKEKTKKPTQDSCENTEAHQKKASKKKAPPVTKDPSSLKATPGIKDSSAALATSTSLSA
NP_038547.2 172 494 0.667194427244582 Forkhead box protein C2; Brain factor 3; BF-3; Forkhead-related protein FKHL14; Mesenchyme fork head protein 1; MFH-1 protein; Transcription factor FKH-14 494 0 38 323 0 Mus musculus (Mouse) SwissProt::Q61850 1 SwissProt VPKDKEERAHLKEPPSTTAKGAPTGTPVADGPKEAEKKVVVKSEAASPALPVITKVETLSPEGALQASPRSASSTPAGSPDGSLPEHHAAAPNGLPGFSVETIMTLRTSPPGGDLSPAAARAGLVVPPLALPYAAAPPAAYTQPCAQGLEAAGSAGYQCSMRAMSLYTGAERPAHVCVPPALDEALSDHPSGPGSPLGALNLAAGQEGALGASGHHHQHHGHLHPQAPPPAPQPPPAPQPATQATSWYLNHGGDLSHLPGHTFATQQQTFPNVREMFNSHRLGLDNSSLGESQVSNASCQLPYRATPSLYRHAAPYSYDCTKY
NP_055572.1 75 1016 0.769969214437368 Mastermind-like protein 1; Mam-1 1016 0 38 942 0 Homo sapiens (Human) SwissProt::Q92585 1 SwissProt RQPPAATAPAPAAPAPRLDAADGPEHGRPATHLHDTVKRNLDSATSPQNGDQQNGYGDLFPGHKKTRREAPLGVAISSNGLPPASPLGQSDKPSGADALQSSGKHSLGLDSLNKKRLADSSLHLNGGSNPSESFPLSLNKELKQEPVEDLPCMITGTVGSISQSNLMPDLNLNEQEWKELIEELNRSVPDEDMKDLFNEDFEEKKDPESSGSATQTPLAQDINIKTEFSPAAFEQEQLGSPQVRAGSAGQTFLGPSSAPVSTDSPSLGGSQTLFHTSGQPRADNPSPNLMPASAQAQNAQRALAGVVLPSQGPGGASELSSAHQLQQIAAKQKREQMLQNPQQATPAPAPGQMSTWQQTGPSHSSLDVPYPMEKPASPSSYKQDFTNSKLLMMPSVNKSSPRPGGPYLQPSHVNLLSHQPPSNLNQNSANNQGSVLDYGNTKPLSHYKADCGQGSPGSGQSKPALMAYLPQQLSHISHEQNSLFLMKPKPGNMPFRSLVPPGQEQNPSSVPVQAQATSVGTQPPAVSVASSHNSSPYLSSQQQAAVMKQHQLLLDQQKQREQQQKHLQQQQFLQRQQHLLAEQEKQQFQRHLTRPPPQYQDPTQGSFPQQVGQFTGSSAAVPGMNTLGPSNSSCPRVFPQAGNLMPMGPGHASVSSLPTNSGQQDRGVAQFPGSQNMPQSSLYGMASGITQIVAQPPPQATNGHAHIPRQTNVGQNTSVSAAYGQNSLGSSGLSQQHNKGTLNPGLTKPPVPRVSPAMGGQNSSWQHQGMPNLSGQTPGNSNVSPFTAASSFHMQQQAHLKMSSPQFSQAVPNRPMAPMSSAAAVGSLLPPVSAQQRTSAPAPAPPPTAPQQGLPGLSPAGPELGAFSQSPASQMGGRAGLHCTQAYPVRTAGQELPFAYSGQPGGSGLSSVAGHTDLIDSLLKNRTSEEWMSDLDDLLGSQ
NP_056525.2 1 395 0.591047848101266 PF07767.11:Nop53:41:393 Ribosome biogenesis protein NOP53; Glioma tumor suppressor candidate region gene 2 protein; Protein interacting with carboxyl terminus 1; PICT-1; p60 478 353 38 395 0 Homo sapiens (Human) SwissProt::Q9NZM5 1 SwissProt MAAGGSGVGGKRSSKSDADSGFLGLRPTSVDPALRRRRRGPRNKKRGWRRLAQEPLGLEVDQFLEDVRLQERTSGGLLSEAPNEKLFFVDTGSKEKGLTKKRTKVQKKSLLLKKPLRVDLILENTSKVPAPKDVLAHQVPNAKKLRRKEQLWEKLAKQGELPREVRRAQARLLNPSATRAKPGPQDTVERPFYDLWASDNPLDRPLVGQDEFFLEQTKKKGVKRPARLHTKPSQAPAVEVAPAGASYNPSFEDHQTLLSAAHEVELQRQKEAEKLERQLALPATEQAATQESTFQELCEGLLEESDGEGEPGQGEGPEAGDAEVCPTPARLATTEKKTEQQRRREKAVHRLRVQQAALRAARLRHQELFRLRGIKAQVALRLAELARRQRRRQAR
NP_065970.2 604 1657 0.196868595825427 PF02493.20:MORN:446:467,PF02493.20:MORN:469:488,PF02493.20:MORN:497:518,PF02493.20:MORN:520:536,PF02493.20:MORN:548:563,PF02493.20:MORN:572:586,PF02493.20:MORN:595:614,PF02493.20:MORN:618:640,PF02204.18:VPS9:951:1050,PF00621.20:RhoGEF:92:275 Alsin; Amyotrophic lateral sclerosis 2 chromosomal region candidate gene 6 protein; Amyotrophic lateral sclerosis 2 protein 1657 439 38 1054 0 Homo sapiens (Human) SwissProt::Q96Q42 1 SwissProt AKISSENGVWSIAAGRDYSLFLVDTEDFQPGLYYSGRQDPTEGDNLPENHSGSKTPVLLSCSKLGYISRVTAGKDSYLALVDKNIMGYIASLHELATTERRFYSKLSDIKSQILRPLLSLENLGTTTTVQLLQEVASRFSKLCYLIGQHGASLSSFLHGVKEARSLVILKHSSLFLDSYTEYCTSITNFLVMGGFQLLAKPAIDFLNKNQELLQDLSEVNDENTQLMEILNTLFFLPIRRLHNYAKVLLKLATCFEVASPEYQKLQDSSSCYECLALHLGRKRKEAEYTLGFWKTFPGKMTDSLRKPERRLLCESSNRALSLQHAGRFSVNWFILFNDALVHAQFSTHHVFPLATLWAEPLSEEAGGVNGLKITTPEEQFTLISSTPQEKTKWLRAISQAVDQALRGMSDLPPYGSGSSVQRQEPPISRSAKYTFYKDPRLKDATYDGRWLSGKPHGRGVLKWPDGKMYSGMFRNGLEDGYGEYRIPNKAMNKEDHYVGHWKEGKMCGQGVYSYASGEVFEGCFQDNMRHGHGLLRSGKLTSSSPSMFIGQWVMDKKAGYGVFDDITRGEKYMGMWQDDVCQGNGVVVTQFGLYYEGNFHLNKMMGNGVLLSEDDTIYEGEFSDDWTLSGKGTLTMPNGDYIEGYFSGEWGSGIKITGTYFKPSLYESDKDRPKVFRKLGNLAVPADEKWKAVFDECWRQLGCEGPGQGEVWKAWDNIAVALTTSRRQHRDSPEILSRSQTQTLESLEFIPQHVGAFSVEKYDDIRKYLIKACDTPLHPLGRLVETLVAVYRMTYVGVGANRRLLQEAVKEIKSYLKRIFQLVRFLFPELPEEGSTIPLSAPLPTERKSFCTGKSDSRSESPEPGYVVTSSGLLLPVLLPRLYPPLFMLYALDNDREEDIYWECVLRLNKQPDIALLGFLGVQRKFWPATLSILGESKKVLPTTKDACFASAVECLQQISTTFTPSDKLKVIQQTFEEISQSVLASLHEDFLWSMDDLFPVFLYVVLRARIRNLGSEVHLIEDLMDPYLQHGEQGIMFTTLKACYYQIQREKLN
NP_071731.1 1 448 0.281774330357143 Tumor necrosis factor receptor superfamily member EDAR; Anhidrotic ectodysplasin receptor 1; Downless homolog; EDA-A1 receptor; Ectodermal dysplasia receptor; Ectodysplasin-A receptor 448 0 38 425 1 Homo sapiens (Human) SwissProt::Q9UNE0 1 SwissProt MAHVGDCTQTPWLPVLVVSLMCSARAEYSNCGENEYYNQTTGLCQECPPCGPGEEPYLSCGYGTKDEDYGCVPCPAEKFSKGGYQICRRHKDCEGFFRATVLTPGDMENDAECGPCLPGYYMLENRPRNIYGMVCYSCLLAPPNTKECVGATSGASANFPGTSGSSTLSPFQHAHKELSGQGHLATALIIAMSTIFIMAIAIVLIIMFYILKTKPSAPACCTSHPGKSVEAQVSKDEEKKEAPDNVVMFSEKDEFEKLTATPAKPTKSENDASSENEQLLSRSVDSDEEPAPDKQGSPELCLLSLVHLAREKSATSNKSAGIQSRRKKILDVYANVCGVVEGLSPTELPFDCLEKTSRMLSSTYNSEKAVVKTWRHLAESFGLKRDEIGGMTDGMQLFDRISTAGYSIPELLTKLVQIERLDAVESLCADILEWAGVVPPASQPHAAS
NP_113627.1 128 388 0.655673180076628 PF12067.8:Sox17_18_mid:64:104 Transcription factor SOX-7 388 41 38 261 0 Homo sapiens (Human) SwissProt::Q9BT81 1 SwissProt RVDPGFLLSSLSRDQNALPEKRSGSRGALGEKEDRGEYSPGTALPSLRGCYHEGPAGGGGGGTPSSVDTYPYGLPTPPEMSPLDVLEPEQTFFSSPCQEEHGHPRRIPHLPGHPYSPEYAPSPLHCSHPLGSLALGQSPGVSMMSPVPGCPPSPAYYSPATYHPLHSNLQAHLGQLSPPPEHPGFDALDQLSQVELLGDMDRNEFDQYLNTPGHPDSATGAMALSGHVPVSQVTPTGPTETSLISVLADATATYYNSYSVS
NP_115582.4 96 1220 0.211361333333333 PF05729.12:NACHT:127:287,PF17776.1:NLRC4_HD2:419:533,PF13516.6:LRR_6:775:794,PF13516.6:LRR_6:913:930 Protein NLRC5; Caterpiller protein 16.1; CLR16.1; Nucleotide-binding oligomerization domain protein 27; Nucleotide-binding oligomerization domain protein 4 1866 314 38 1125 0 Homo sapiens (Human) SwissProt::Q86WI3 1 SwissProt YDDGFTSQLGAEGKSQPESQLHHGLKRPHQSCGSSPRRKQCKKQQLELAKKYLQLLRTSAQQRYRSQIPGSGQPHAFHQVYVPPILRRATASLDTPEGAIMGDVKVEDGADVSISDLFNTRVNKGPRVTVLLGKAGMGKTTLAHRLCQKWAEGHLNCFQALFLFEFRQLNLITRFLTPSELLFDLYLSPESDHDTVFQYLEKNADQVLLIFDGLDEALQPMGPDGPGPVLTLFSHLCNGTLLPGCRVMATSRPGKLPACLPAEAAMVHMLGFDGPRVEEYVNHFFSAQPSREGALVELQTNGRLRSLCAVPALCQVACLCLHHLLPDHAPGQSVALLPNMTQLYMQMVLALSPPGHLPTSSLLDLGEVALRGLETGKVIFYAKDIAPPLIAFGATHSLLTSFCVCTGPGHQQTGYAFTHLSLQEFLAALHLMASPKVNKDTLTQYVTLHSRWVQRTKARLGLSDHLPTFLAGLASCTCRPFLSHLAQGNEDCVGAKQAAVVQVLKKLATRKLTGPKVVELCHCVDETQEPELASLTAQSLPYQLPFHNFPLTCTDLATLTNILEHREAPIHLDFDGCPLEPHCPEALVGCGQIENLSFKSRKCGDAFAEALSRSLPTMGRLQMLGLAGSKITARGISHLVKALPLCPQLKEVSFRDNQLSDQVVLNIVEVLPHLPRLRKLDLSSNSICVSTLLCLARVAVTCPTVRMLQAREADLIFLLSPPTETTAELQRAPDLQESDGQRKGAQSRSLTLRLQKCQLQVHDAEALIALLQEGPHLEEVDLSGNQLEDEGCRLMAEAASQLHIARKLDLSNNGLSVAGVHCVLRAVSACWTLAELHISLQHKTVIFMFAQEPEEQKGPQERAAFLDSLMLQMPSELPLSSRRMRLTHCGLQEKHLEQLCKALGGSCHLGHLHLDFSGNALGDEGAARLAQLLPGLGALQSLNLSENGLSLDAVLGLVRCFSTLQWLFRLDISFESQHILLRGDKTSRDMWATGSLPDFPAAAKFLGFRQRCIPRSLCLSECPLEPPSLTRLCATLKDCPGPLELQLSCEFLSDQSLETLLDCLPQLPQLSLLQLSQTGLSPKSPFLLANTLSLCPRVKKVDLRSLHHATLHFRSNEEEEGVCCG
NP_174094.1 1 227 0.507696035242291 PF13912.6:zf-C2H2_6:80:104,PF13912.6:zf-C2H2_6:136:159,PF13894.6:zf-C2H2_4:80:102,PF12874.7:zf-met:80:102 Zinc finger protein ZAT10; Salt-tolerance zinc finger 227 49 38 227 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96289 1 SwissProt MALEALTSPRLASPIPPLFEDSSVFHGVEHWTKGKRSKRSRSDFHHQNLTEEEYLAFCLMLLARDNRQPPPPPAVEKLSYKCSVCDKTFSSYQALGGHKASHRKNLSQTLSGGGDDHSTSSATTTSAVTTGSGKSHVCTICNKSFPSGQALGGHKRCHYEGNNNINTSSVSNSEGAGSTSHVSSSHRGFDLNIPPIPEFSMVNGDDEVMSPMPAKKPRFDFPVKLQL
NP_189533.1 126 323 0.477811616161617 Transcription factor MYB30; Myb-related protein 30; AtMYB30 323 0 38 198 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SCU7 1 SwissProt ELDRSSLSSSPSSSSANSNSNISRGQWERRLQTDIHLAKKALSEALSPAVAPIITSTVTTTSSSAESRRSTSSASGFLRTQETSTTYASSTENIAKLLKGWVKNSPKTQNSADQIASTEVKEVIKSDDGKECAGAFQSFSEFDHSYQQAGVSPDHETKPDITGCCSNQSQWSLFEKWLFEDSGGQIGDILLDENTNFF
NP_416800.1 1 399 0.303028070175439 PF13500.6:AAA_26:4:230,PF07085.12:DRTGG:234:345 phosphate acetyltransferase (EC 2.3.1.8; EC 2.3.1.222) 714 339 38 399 0 Escherichia coli K-12 substr. MG1655 ecocyc::PHOSACETYLTRANS-MONOMER 1 ecocyc MSRIIMLIPTGTSVGLTSVSLGVIRAMERKGVRLSVFKPIAQPRTGGDAPDQTTTIVRANSSTTTAAEPLKMSYVEGLLSSNQKDVLMEEIVANYHANTKDAEVVLVEGLVPTRKHQFAQSLNYEIAKTLNAEIVFVMSQGTDTPEQLKERIELTRNSFGGAKNTNITGVIVNKLNAPVDEQGRTRPDLSEIFDDSSKAKVNNVDPAKLQESSPLPVLGAVPWSFDLIATRAIDMARHLNATIINEGDINTRRVKSVTFCARSIPHMLEHFRAGSLLVTSADRPDVLVAACLAAMNGVEIGALLLTGGYEMDARISKLCERAFATGLPVFMVNTNTWQTSLSLQSFNLEVPVDDHERIEKVQEYVANYINADWIESLTATSERSRRLSPPAFRYQLTEL
NP_446165.1 1 381 0.643807874015748 Krueppel-like factor 4 482 0 38 381 0 Rattus norvegicus NP_446165.1 1 RefSeq MRQPPGESDMAVSDALLPSFSTFASGPAGREKTLRPAGAPTNRWREELSHMKRLPPLPGRPYDLAATVATDLESGGAGAACSSNNPALPRRETEEFNDLLDLDFILSNSLSHQESVAATVTTSASASSSSSPASSGPASAPSTCSFSYPIRAGGDPGVAAGNTGGGLLYSRESAPPPTAPFNLADINDVSPSGGFVAELLRPELDPVYIPPQQPQPPGGGLMGKFVLKASLSTPGSEYTSPSVISVSKGSPDGSHPVVVAPYSGGPPRMCPKIKQEAVPSCTVSRSLEAHLSAGPQLSNGHRPNTHDFPLGRQLPTRTTPTLSPEELLNSRDCHPGLPLPPGFHPHPGPSYPPFLPDQMQSQVPSLHYQELMPPGSCLPEE
NP_491686.1 1 729 0.264160219478738 PF01858.17:RB_A:505:690,PF11934.8:DUF3452:200:316 Retinoblastoma-like protein homolog lin-35; Abnormal cell lineage protein 35; Synthetic multivulva protein lin-35 961 303 38 729 0 Caenorhabditis elegans SwissProt::G5EDT1 1 SwissProt MPKRAADEPGTSTTDPFHEQSPFDAVLAGTETTDTICEEPPAKRIDLDIKQEFNGGVQSGGLIKNESELTQMTIKQETEGNINEARREEEDEEQDEDSRTSMPPALGEDDDYEEDDADSFIDKTNTPPPSQSFLEGCRAANLPNDIVTGAWETYNHAVQRVSLEGSESAWQLSAIYYYLLSKGIKRRGKTIRILIQPFPVSILTIANSFDISVAEMLDKTARFVEIIHSRKIRRYQEYIRRIQEGLAVSCVIFKKFCRIFCKIFEEIKVGSENCPSSHELFTVLWTSFLVMKSRMTVDDLISNYQLLFSILDQVYTEMCSMKEGIVHHLNQKFVEDLLENDCTIIRALCTQFGGSVLDARHFSDHTFKKMEKTGIPSTWNFQEFRDLIMNVPKTAYENYLLQRGSIDERIFIPSVEDFSKIFQSPDTYSVADILKVSYSGRRFRDAEFLTKISNNHCLEKLALGGKVASEKLVTQSKEQPRVPCVEYNLELGNYPDDLESNNQSLYNRLTKIIGSWKLENSKLEEVCGTMSDSPMATILLKSDEMTNKFERTLSAELGETINENIPKYHYNVRKELELVFLIFMEKIIVAELKKKVREEDLLNVIRREEFLDSVFCFCVELILVSNGYDRPFPWSAELCGVHPFMFHKVIDLMITHEKQLSRQMVQHFSRIEETVIEYFSWKSDSPLWPMVVRCPFAHFQEFGEDWADKLNSYSPIKFTPIKKPDDLRD
NP_777118.2 1 489 0.102376891615542 PF03062.19:MBOAT:154:474 diacylglycerol O-acyltransferase 1 489 321 38 310 8 Bos taurus NP_777118.2 1 RefSeq MGDRGGAGGSRRRRTGSRPSIQGGSGPAAAEEEVRDVGAGGDAPVRDTDKDGDVDVGSGHWDLRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLFLENLIKYGILVDPIQVVSLFLKDPYSWPALCLVIVANIFAVAAFQVEKRLAVGALTEQAGLLLHGVNLATILCFPAAVAFLLESITPVGSVLALMVYTILFLKLFSYRDVNLWCRERRAGAKAKAALAGKAANGGAAQRTVSYPDNLTYRDLYYFLFAPTLCYELNFPRSPRIRKRFLLRRLLEMLFLTQLQVGLIQQWMVPAIQNSMKPFKDMDYSRIVERLLKLAVPNHLIWLIFFYWLFHSCLNAVAELMQFGDREFYRDWWNSESITYFWQNWNIPVHKWCIRHFYKPMLRRGSSKWAARTAVFLASAFFHEYLVSIPLRMFRLWAFTGMMAQIPLAWIVGRFFRGNYGNAAVWLSLIIGQPVAVLMYVHDYYVLNREAPAAGT
P32353 1 365 0.090067397260274 PF04116.13:FA_hydroxylase:187:311 Delta(7)-sterol 5(6)-desaturase; C-5 sterol desaturase; Ergosterol Delta(5,6) desaturase; Sterol-C5-desaturase; EC 1.14.19.20 365 125 38 296 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32353 1 SwissProt MDLVLEVADHYVLDDLYAKVLPASLAANIPVKWQKLLGLNSGFSNSTILQETLNSKNAVKECRRFYGQVPFLFDMSTTSFASLLPRSSILREFLSLWVIVTIFGLLLYLFTASLSYVFVFDKSIFNHPRYLKNQMAMEIKLAVSAIPWMSMLTVPWFVMELNGHSKLYMKIDYENHGVRKLIIEYFTFIFFTDCGVYLAHRWLHWPRVYRALHKPHHKWLVCTPFASHSFHPVDGFLQSISYHIYPLILPLHKVSYLILFTFVNFWTVMIHDGQYLSNNPAVNGTACHTVHHLYFNYNYGQFTTLWDRLGGSYRRPDDSLFDPKLRDAKETWDAQVKEVEHFIKEVEGDDNDRIYENDPNTKKNN
P48623 1 386 0.118788341968912 PF00487.24:FA_desaturase:82:333,PF11960.8:DUF3474:17:70 Acyl-lipid omega-3 desaturase (cytochrome b5), endoplasmic reticulum; Omega-3 fatty acid desaturase 3, endoplasmic reticulum; EC 1.14.19.25 386 306 38 317 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48623 1 SwissProt MVVAMDQRTNVNGDPGAGDRKKEERFDPSAQPPFKIGDIRAAIPKHCWVKSPLRSMSYVVRDIIAVAALAIAAVYVDSWFLWPLYWAAQGTLFWAIFVLGHDCGHGSFSDIPLLNSVVGHILHSFILVPYHGWRISHRTHHQNHGHVENDESWVPLPERVYKKLPHSTRMLRYTVPLPMLAYPLYLCYRSPGKEGSHFNPYSSLFAPSERKLIATSTTCWSIMFVSLIALSFVFGPLAVLKVYGVPYIIFVMWLDAVTYLHHHGHDEKLPWYRGKEWSYLRGGLTTIDRDYGIFNNIHHDIGTHVIHHLFPQIPHYHLVDATKAAKHVLGRYYREPKTSGAIPIHLVESLVASIKKDHYVSDTGDIVFYETDPDLYVYASDKSKIN
P49815 1 1615 0.286402786377709 PF03542.16:Tuberin:556:903,PF11864.8:DUF3384:54:469,PF02145.15:Rap_GAP:1561:1614 Tuberin; Tuberous sclerosis 2 protein 1807 818 38 1615 0 Homo sapiens (Human) SwissProt::P49815 1 SwissProt MAKPTSKDSGLKEKFKILLGLGTPRPNPRSAEGKQTEFIITAEILRELSMECGLNNRIRMIGQICEVAKTKKFEEHAVEALWKAVADLLQPERPLEARHAVLALLKAIVQGQGERLGVLRALFFKVIKDYPSNEDLHERLEVFKALTDNGRHITYLEEELADFVLQWMDVGLSSEFLLVLVNLVKFNSCYLDEYIARMVQMICLLCVRTASSVDIEVSLQVLDAVVCYNCLPAESLPLFIVTLCRTINVKELCEPCWKLMRNLLGTHLGHSAIYNMCHLMEDRAYMEDAPLLRGAVFFVGMALWGAHRLYSLRNSPTSVLPSFYQAMACPNEVVSYEIVLSITRLIKKYRKELQVVAWDILLNIIERLLQQLQTLDSPELRTIVHDLLTTVEELCDQNEFHGSQERYFELVERCADQRPESSLLNLISYRAQSIHPAKDGWIQNLQALMERFFRSESRGAVRIKVLDVLSFVLLINRQFYEEELINSVVISQLSHIPEDKDHQVRKLATQLLVDLAEGCHTHHFNSLLDIIEKVMARSLSPPPELEERDVAAYSASLEDVKTAVLGLLVILQTKLYTLPASHATRVYEMLVSHIQLHYKHSYTLPIASSIRLQAFDFLLLLRADSLHRLGLPNKDGVVRFSPYCVCDYMEPERGSEKKTSGPLSPPTGPPGPAPAGPAVRLGSVPYSLLFRVLLQCLKQESDWKVLKLVLGRLPESLRYKVLIFTSPCSVDQLCSALCSMLSGPKTLERLRGAPEGFSRTDLHLAVVPVLTALISYHNYLDKTKQREMVYCLEQGLIHRCASQCVVALSICSVEMPDIIIKALPVLVVKLTHISATASMAVPLLEFLSTLARLPHLYRNFAAEQYASVFAISLPYTNPSKFNQYIVCLAHHVIAMWFIRCRLPFRKDFVPFITKGLRSNVLLSFDDTPEKDSFRARSTSLNERPKSLRIARPPKQGLNNSPPVKEFKESSAAEAFRCRSISVSEHVVRSRIQTSLTSASLGSADENSVAQADDSLKNLHLELTETCLDMMARYVFSNFTAVPKRSPVGEFLLAGGRTKTWLVGNKLVTVTTSVGTGTRSLLGLDSGELQSGPESSSSPGVHVRQTKEAPAKLESQAGQQVSRGARDRVRSMSGGHGLRVGALDVPASQFLGSATSPGPRTAPAAKPEKASAGTRVPVQEKTNLAAYVPLLTQGWAEILVRRPTGNTSWLMSLENPLSPFSSDINNMPLQELSNALMAAERFKEHRDTALYKSLSVPAASTAKPPPLPRSNTVASFSSLYQSSCQGQLHRSVSWADSAVVMEEGSPGEVPVLVEPPGLEDVEAALGMDRRTDAYSRSSSVSSQEEKSLHAEELVGRGIPIERVVSSEGGRPSVDLSFQPSQPLSKSSSSPELQTLQDILGDPGDKADVGRLSPEVKARSQSGTLDGESAAWSASGEDSRGQPEGPLPSSSPRSPSGLRPRGYTISDSAPSRRGKRVERDALKSRATASNAEKVPGINPSFVFLQLYHSPFFGDESNKPILLPNESQSFERSVQLLDQIPSYDTHKIAVLYVGEGQSNSELAILSNEHGSYRYTEFLTGLGRLIELKDCQPDKVYLGGLDVCGEDGQFTYCWHDDIM
Q14118 316 874 0.593690876565296 PF05454.11:DAG1:292:559 Dystroglycan; Dystrophin-associated glycoprotein 1 895 268 38 536 1 Homo sapiens (Human) SwissProt::Q14118 1 SwissProt ATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPVPGKPTVTIRTRGAIIQTPTLGPIQPTRVSEAGTTVPGQIRPTMTIPGYVEPTAVATPPTTTTKKPRVSTPKPATPSTDSTTTTTRRPTKKPRTPRPVPRVTTKVSITRLETASPPTRIRTTTSGVPRGGEPNQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDHEDTTTDKLKLTLKLREQQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHRRPQGDRAPARFKAKFVGDPALVLNDIHKKIALVKKLAFAFGDRNCSTITLQNITRGSIVVEWTNNTLPLEPCPKEQIAGLSRRIAEDDGKPRPAFSNALEPDFKATSITVTGSGSCRHLQFIPVVPPRRVPSEAPPTEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSVPETTPLNQDTMGEYTPLRDEDPNAPPYQPPPPFTAPME
Q14515 1 432 0.866586805555555 SPARC-like protein 1; High endothelial venule protein; Hevin; MAST 9 664 0 38 432 0 Homo sapiens (Human) SwissProt::Q14515 1 SwissProt MKTGLFFLCLLGTAAAIPTNARLLSDHSKPTAETVAPDNTAIPSLRAEAEENEKETAVSTEDDSHHKAEKSSVLKSKEESHEQSAEQGKSSSQELGLKDQEDSDGHLSVNLEYAPTEGTLDIKEDMSEPQEKKLSENTDFLAPGVSSFTDSNQQESITKREENQEQPRNYSHHQLNRSSKHSQGLRDQGNQEQDPNISNGEEEEEKEPGEVGTHNDNQERKTELPREHANSKQEEDNTQSDDILEESDQPTQVSKMQEDEFDQGNQEQEDNSNAEMEEENASNVNKHIQETEWQSQEGKTGLEAISNHKETEEKTVSEALLMEPTDDGNTTPRNHGVDDDGDDDGDDGGTDGPRHSASDDYFIPSQAFLEAERAQSIAYHLKIEEQREKVHENENIGTTEPGEHQEAKKAENSSNEEETSSEGNMRVHAVDS
Q16621 1 213 0.625630046948357 Transcription factor NF-E2 45 kDa subunit; Leucine zipper protein NF-E2; Nuclear factor, erythroid-derived 2 45 kDa subunit; p45 NF-E2 373 0 38 213 0 Homo sapiens (Human) SwissProt::Q16621 1 SwissProt MSPCPPQQSRNRVIQLSTSELGEMELTWQEIMSITELQGLNAPSEPSFEPQAPAPYLGPPPPTTYCPCSIHPDSGFPLPPPPYELPASTSHVPDPPYSYGNMAIPVSKPLSLSGLLSEPLQDPLALLDIGLPAGPPKPQEDPESDSGLSLNYSDAESLELEGTEAGRRRSEYVEMYPVEYPYSLMPNSLAHSNYTLPAAETPLALEPSSGPVR
VIMSS10083408 78 836 0.245205665349143 PF08670.11:MEKHLA:616:758,PF01852.19:START:84:292 Homeobox-leucine zipper protein ATHB-15; HD-ZIP protein ATHB-15; Homeodomain transcription factor ATHB-15; Protein CORONA; Protein INCURVATA 4 836 352 38 759 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZU11 1 SwissProt EASRLQAVNRKLTAMNKLLMEENDRLQKQVSQLVHENSYFRQHTPNPSLPAKDTSCESVVTSGQHQLASQNPQRDASPAGLLSIAEETLAEFLSKATGTAVEWVQMPGMKPGPDSIGIIAISHGCTGVAARACGLVGLEPTRVAEIVKDRPSWFRECRAVEVMNVLPTANGGTVELLYMQLYAPTTLAPPRDFWLLRYTSVLEDGSLVVCERSLKSTQNGPSMPLVQNFVRAEMLSSGYLIRPCDGGGSIIHIVDHMDLEACSVPEVLRPLYESPKVLAQKTTMAALRQLKQIAQEVTQTNSSVNGWGRRPAALRALSQRLSRGFNEAVNGFTDEGWSVIGDSMDDVTITVNSSPDKLMGLNLTFANGFAPVSNVVLCAKASMLLQNVPPAILLRFLREHRSEWADNNIDAYLAAAVKVGPCSARVGGFGGQVILPLAHTIEHEEFMEVIKLEGLGHSPEDAIVPRDIFLLQLCSGMDENAVGTCAELIFAPIDASFADDAPLLPSGFRIIPLDSAKEVSSPNRTLDLASALEIGSAGTKASTDQSGNSTCARSVMTIAFEFGIESHMQEHVASMARQYVRGIISSVQRVALALSPSHISSQVGLRTPLGTPEAQTLARWICQSYRGYMGVELLKSNSDGNESILKNLWHHTDAIICCSMKALPVFTFANQAGLDMLETTLVALQDISLEKIFDDNGRKTLCSEFPQIMQQGFACLQGGICLSSMGRPVSYERAVAWKVLNEEENAHCICFVFINWSFV
VIMSS10095146 1 304 0.53541875 Transcription factor ICE1; Basic helix-loop-helix protein 116; AtbHLH116; bHLH 116; Inducer of CBF expression 1; Transcription factor EN 45; Transcription factor SCREAM; bHLH transcription factor bHLH116 494 0 38 304 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSE2 1 SwissProt MGLDGNNGGGVWLNGGGGEREENEEGSWGRNQEDGSSQFKPMLEGDWFSSNQPHPQDLQMLQNQPDFRYFGGFPFNPNDNLLLQHSIDSSSSCSPSQAFSLDPSQQNQFLSTNNNKGCLLNVPSSANPFDNAFEFGSESGFLNQIHAPISMGFGSLTQLGNRDLSSVPDFLSARSLLAPESNNNNTMLCGGFTAPLELEGFGSPANGGFVGNRAKVLKPLEVLASSGAQPTLFQKRAAMRQSSGSKMGNSESSGMRRFSDDGDMDETGIEVSGLNYESDEINESGKAAESVQIGGGGKGKKKGM
VIMSS10097783 60 232 0.39942774566474 PF01486.17:K-box:23:109 Floral homeotic protein APETALA 3 232 87 38 173 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P35632 1 SwissProt PNTTTKEIVDLYQTISDVDVWATQYERMQETKRKLLETNRNLRTQIKQRLGECLDELDIQELRRLEDEMENTFKLVRERKFKSLGNQIETTKKKNKSQQDIQKNLIHELELRAEDPHYGLVDNGGDYDSVLGYQIEGSRAYALRFHQNHHHYYPNHGLHAPSASDIITFHLLE
VIMSS10103330 1 387 0.158018087855297 PF08392.12:FAE1_CUT1_RppA:69:368,PF08545.10:ACP_syn_III:222:286,PF00195.19:Chal_sti_synt_N:197:281 3-ketoacyl-CoA synthase 18; KCS-18; Protein FATTY ACID ELONGATION 1; Very long-chain fatty acid condensing enzyme 18; VLCFA condensing enzyme 18; EC 2.3.1.199 506 300 38 346 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38860 1 SwissProt MTSVNVKLLYRYVLTNFFNLCLFPLTAFLAGKASRLTINDLHNFLSYLQHNLITVTLLFAFTVFGLVLYIVTRPNPVYLVDYSCYLPPPHLKVSVSKVMDIFYQIRKADTSSRNVACDDPSSLDFLRKIQERSGLGDETYSPEGLIHVPPRKTFAASREETEKVIIGALENLFENTKVNPREIGILVVNSSMFNPTPSLSAMVVNTFKLRSNIKSFNLGGMGCSAGVIAIDLAKDLLHVHKNTYALVVSTENITQGIYAGENRSMMVSNCLFRVGGAAILLSNKSGDRRRSKYKLVHTVRTHTGADDKSFRCVQQEDDESGKIGVCLSKDITNVAGTTLTKNIATLGPLILPLSEKFLFFATFVAKKLLKDKIKHYYVPDFKLAVDH
VIMSS10104078 1 354 0.337608757062147 PF07526.11:POX:170:291 BEL1-like homeodomain protein 9; BEL1-like protein 9; Protein BELLRINGER; Protein LARSON; Protein PENNYWISE; Protein REPLUMLESS; Protein VAAMANA 575 122 38 354 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZM8 1 SwissProt MADAYEPYHVLQQSRRDKLRIPSLDSHFHFHPPPPPSSGGGGGVFPLADSDFLAAGGFHSNNNNNHISNPSYSNFMGFLGGPSSSSSTAVAVAGDHSFNAGLSSGDVLVFKPEPLSLSLSSHPRLAYDLVVPGVVNSGFCRSAGEANAAAVTIASRSSGPLGPFTGYASILKGSRFLKPAQMLLDEFCNVGRGIYTDKVIDDDDSSLLFDPTVENLCGVSDGGGGDNGKKKSKLISMLDEVYKRYKQYYEQLQAVMGSFECVAGLGHAAPYANLALKALSKHFKCLKNAITDQLQFSHNNKIQQQQQCGHPMNSENKTDSLRFGGSDSSRGLCSAGQRHGFPDHHAPVWRPHRG
VIMSS6581198 1 275 0.626576727272727 [PIN+] prion protein RNQ1; Rich in asparagine and glutamine protein 1 405 0 38 275 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25367 1 SwissProt MDTDKLISEAESHFSQGNHAEAVAKLTSAAQSNPNDEQMSTIESLIQKIAGYVMDNRSGGSDASQDRAAGGGSSFMNTLMADSKGSSQTQLGKLALLATVMTHSSNKGSSNRGFDVGTVMSMLSGSGGGSQSMGASGLAALASQFFKSGNNSQGQGQGQGQGQGQGQGQGQGSFTALASLASSFMNSNNNNQQGQNQSSGGSSFGALASMASSFMHSNNNQNSNNSQQGYNQSYQNGNQNSQGYNNQQYQGGNGGYQQQQGQSGGAFSSLASMAQ
VIMSS6585256 1 862 0.514320417633411 PF08235.13:LNS2:350:583,PF04571.14:Lipin_N:1:103 Phosphatidic acid phosphohydrolase 1; PAP1; Protein SMP2; EC 3.1.3.4 862 337 38 862 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32567 1 SwissProt MQYVGRALGSVSKTWSSINPATLSGAIDVIVVEHPDGRLSCSPFHVRFGKFQILKPSQKKVQVFINEKLSNMPMKLSDSGEAYFVFEMGDQVTDVPDELLVSPVMSATSSPPQSPETSILEGGTEGEGEGENENKKKEKKVLEEPDFLDINDTGDSGSKNSETTGSLSPTESSTTTPPDSVEERKLVEQRTKNFQQKLNKKLTEIHIPSKLDNNGDLLLDTEGYKPNKNMMHDTDIQLKQLLKDEFGNDSDISSFIKEDKNGNIKIVNPYEHLTDLSPPGTPPTMATSGSVLGLDAMESGSTLNSLSSSPSGSDTEDETSFSKEQSSKSEKTSKKGTAGSGETEKRYIRTIRLTNDQLKCLNLTYGENDLKFSVDHGKAIVTSKLFVWRWDVPIVISDIDGTITKSDALGHVLAMIGKDWTHLGVAKLFSEISRNGYNILYLTARSAGQADSTRSYLRSIEQNGSKLPNGPVILSPDRTMAALRREVILKKPEVFKIACLNDIRSLYFEDSDNEVDTEEKSTPFFAGFGNRITDALSYRTVGIPSSRIFTINTEGEVHMELLELAGYRSSYIHINELVDHFFPPVSLDSVDLRTNTSMVPGSPPNRTLDNFDSEITSGRKTLFRGNQEEKFTDVNFWRDPLVDIDNLSDISNDDSDNIDEDTDVSQQSNISRNRANSVKTAKVTKAPQRNVSGSTNNNEVLAASSDVENASDLVSSHSSSGSTPNKSTMSKGDIGKQIYLELGSPLASPKLRYLDDMDDEDSNYNRTKSRRASSAAATSIDKEFKKLSVSKAGAPTRIVSKINVSNDVHSLGNSDTESRREQSVNETGRNQLPHNSMDDKDLDSRVSDEFDDDEFDEDEFED
VIMSS6586162 1 791 0.294100884955752 Telomere length regulation protein ELG1; Regulator of Ty1 transposition protein 110; Telomere elongation suppressor ELG1 791 0 38 791 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12050 1 SwissProt MKRHVSLSDILTGNKRKVRRQDALQITIDDENDTESGTFDARTAKHDDSSVIFLNHSVVKPIEAVSTNHKSAKEFLMTKRTKEKCDDDDDDLIVISDKSPKSETNCSKIALSQEHEDDISIISTSRIKSSLLNERASKIKNFLKHETTDTFKRLNSISKLNEIEPPLPLHQSIFPVGDKELSDRSVDIPLPFRTIPPLNHNFLPSDYESLKDKNSASCIPVRYQAPVLLGTNIKRNTTLTWPQLFKPVTLKQVLIEPKLKLRIKNWIETSFHTLEKPTLRNRLLNRINPNKQQGSGDELANFIVPDLEEDENLRPDFYRNGEANSSLSEFVPLMILHGNSIGKKTLIQTIMREIAGDDNSYQIYEVNSNMNRSKKDLLDILLDFTTTHYVKDSSKRKSDYGLVLFNDVDVLFKEHDRGYWAMISKLCEFSRRPLVLTCKDLSLVPSELIALASEQNSLFHTKKISTSTVYAFLTKYLKSLEIEVCDDWLRDVVKQNNADIRKCLMHLQFWCVDTEADLISSKNRLPVLTSTLGSSVKDISQLTDLLSINDVIGQATLNRSMVRQEIDSTTMTPEKVNTFQDQNLDDEMKLKFDYVIDYKLHLNDPNRQPLLPFELNIYQHIQEQLEARYSYVREANHRLDNEYLVNRFKKMTESTLNFLASRIPKYDHLQSARRTRNSKKISDILNQFKGIYNDETLNENAEIDLLSATTQQIKAEINPFVFEIAKSDANVKNENKQIFELHSENVSERRYKDLVYQLSQEGVLKNVWFNADPSIVVRKWEHLHSGFSKNK
VIMSS667463 1 136 0.143068382352941 PF00072.24:Response_reg:3:120 response regulator transcription factor 238 118 38 136 0 Staphylococcus aureus 04-02981 WP_000688492.1 1 RefSeq MKIFICEDDPKQRENMVTIIKNYIMIEEKPMEIALATDNPYEVLEQAKNMNDIGCYFLDIQLSTDINGIKLGSEIRKHDPVGNIIFVTSHSELTYLTFVYKVAAMDFIFKDDPAELRTRIIDCLETAHTRLQLLSK
XP_006234689.1 183 585 0.776124317617865 CD44 antigen isoform X1 656 0 38 380 1 Rattus norvegicus XP_006234689.1 1 RefSeq SSGSTIEKSTPEGYILHTDLPTSQPTGDRDDAFFIGSTLATIATTPWVSAHTKQNQERTQWNPIHSNPEVLLQTTTRMTDIDRNSTSAHGENWTQEPQPPFNNHEYQDEEETPHATSTTWAAPNSTTEEAATQKEKWFENEWQGKNPPTPSEDSHVTEGTTASGHNNHPSQRMTTQSQEDVSWTDFFDPISHPMGQGHQTESKDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTTPQSHSQNFSTLPGELEEGEDHPTTSVLPSSTKSGARRGGSLPRDTTTSLEGYTPQYPDTMENGTLFPVTPAKTEVFGETEGTVATDSNFNVDGSLPGDGDSSMDPRGGFDTVTHGSELAGHSSGNQDSGVTTTSGPARRPQIPEWLIILASLLALALILAVCIAVN
XP_006533542.1 135 304 0.653356470588235 T-cell immunoglobulin and mucin domain-containing protein 4 isoform X1 304 0 38 170 0 Mus musculus XP_006533542.1 1 RefSeq TTTKKPTTTTRPTTTPYVTTTTPELLPTTVMTTSVLPTTTPPQTLATTAFSTAVTTCPSTTPGSFSQETTKGSAFTTESETLPASNHSQRSMMTISTDIAVLRPTGSNPGILPSTSQLTTQKTNQQQTDHLDHCLLCGICANGVIVSGVSPSRESHRSQLFAETQEARQH
XP_006537629.1 143 614 0.607286864406779 transcription regulator protein BACH2 isoform X1 846 0 38 472 0 Mus musculus XP_006537629.1 1 RefSeq REDGLFVCRKDSACQRPQEDHGNSAGEEEEEEETMDSETARMACATDQMLPDPISFEATAIPVAEKEEALLPESEVPTDTKENSEKGALTQYPRYKKYQLACTKNVYSAPSHGTSGFASTFSEDSPGNSLKPGLPMGQIKSEPPSEETEEESITLCLSGDETDIKDRPGDVEMDRKQPSPAPTPSTPTGAACLDRSRSVSSPSCLRSLFGITKGVESTGLPSTSQQPLVRSSACPFNKGISQGDLKTDYTPLAGNYGQPHVGQKDVSNFAMGSPLRGPGPETLCKQEGELDRRSVIFSASACDQPNTPVHSYSAVSNLDKDLSEPVPKSLWVGAGQSLPSSQAYSHSGLMADHLPGRIRPNTSCPVPIKVCPRSPPLETRTRTSSSCSSYSYAEDGSGGSPCSLPLCEFSSSPCSQGARFLATEHQEPGLMGDGMYNQVRPQIKCEQSYGTNSSDESGSFSEADSESCPVQD
XP_011543475.1 154 1353 0.586563083333334 BRCA2-interacting transcriptional repressor EMSY isoform X1 1353 0 38 1200 0 Homo sapiens XP_011543475.1 1 RefSeq EVVVCYSYTSTTSTPTSTPVPSGSIATVKSPRPASPASNVVVLPSGSTVYVKSVSCSDEDEKPRKRRRTNSSSSSPVVLKEVPKAVVPVSKTITVPVSGSPKMSNIMQSIANSLPPHMSPVKITFTKPSTQTTNTTTQKVIIVTTSPSSTFVPNILSKSHNYAAVTKLVPTSVIASTTQKPPVVITASQSSLVSNSSSGSSSSTPSPIPNTVAVTAVVSSTPSVVMSTVAQGVSTSAIKMASTRLPSPKSLVSAPTQILAQFPKQHQQSPKQQLYQVQQQTQQQVAQPSPVSHQQQPQQSPLPPGIKPTIQIKQESGVKIITQQVQPSKILPKPVTATLPTSSNSPIMVVSSNGAIMTTKLVTTPTGTQATYTRPTVSPSIGRMAATPGAATYVKTTSGSIITVVPKSLATLGGKIISSNIVSGTTTKITTIPMTSKPNVIVVQKTTGKGTTIQGLPGKNVVTTLLNAGGEKTIQTVPTGAKPAILTATRPITKMIVTQPKGIGSTVQPAAKIIPTKIVYGQQGKTQVLIKPKPVTFQATVVSEQTRQLVTETLQQASRVAEAGNSSIQEGKEEPQNYTDSSSSSTESSQSSQDSQPVVHVIASRRQDWSEHEIAMETSPTIIYQDVSSESQSATSTIKALLELQQTTVKEKLESKPRQPTIDLSQMAVPIQMTQEKRHSPESPSIAVVESELVAEYITTERTDEGTEVAFPLLDAVVISGEISSPPLFSVSHRSQPQQPSQPQRTLLQHVAQSQTATQTSVVVKSIPASSPGAITHIMQQALSSHTAFTKHSEELGTEEGEVEEMDTLDPQTGLFYRSALTQSQSAKQQKLSQPPLEQTQLQVKTLQCFQTKQKQTIHLQADQLQHKLPQMPQLSIRHQKLTPLQQEQAQPKPDVQHTQHPMVAKDRQLPTLMAQPPQTVVQVLAVKTTQQLPKLQQAPNQPKIYVQPQTPQSQMSLPASSEKQTASQVEQPIITQGSSVTKITFEGRQPPTVTKITGGSSVPKLTSPVTSISPIQASEKTAVSDILKMSLMEAQIDTNVEHMIVDPPKKALATSMLTGEAGSLPSTHMVVAGMANSTPQQQKCRESCSSPSTVGSSLTTRKIDPPAVPATGQFMRIQNVGQKKAEESPAEIIIQAIPQYAIPCHSSSNVVVEPSGLLELNNFTSQQLDDEETAMEQDIDSSTEDGTEPSPSQSSAERS
XP_016865510.1 135 323 0.514705291005291 T-cell immunoglobulin and mucin domain-containing protein 4 isoform X1 323 0 38 166 1 Homo sapiens XP_016865510.1 1 RefSeq STTTHRTATTTTRRTTTTSPTTTRQMTTTPAALPTTVVTTPDLTTGTPLQMTTIAVFTTANTCLSLTPSTLPEEATGLLTPEPSKEGPILTAASDTAVPEQNKTTKTGQMDGIPMSMKNEMPISQLLMIIAPSLGFVLFALFVAFLLRGKLMETYCSQKHTRLDYIGDSKNVLNDVQHGREDEDGLFTL
XP_016885254.1 1 179 0.229235754189944 PF01553.21:Acyltransferase:24:82 tafazzin isoform X13 179 59 38 179 0 Homo sapiens XP_016885254.1 1 RefSeq MGPSKWAGPAPPASAQICPPPALPRRTPAAADICFTKELHSHFFSLGKCVPVCRGDGVYQKGMDFILEKLNHGDWVHIFPEGIGRLIAECHLNPIILPLWHVGMNDVLPNSPPYFPRFGQKITVLIGKPFSALPVLERLRAENKSAVEMRKALTDFIQEEFQHLKTQAEQLHNHLQPGR
NP_001254629.1 1 187 0.146371657754011 PF01145.25:Band_7:42:186 prohibitin-2 isoform 3 261 145 37 187 0 Homo sapiens NP_001254629.1 1 RefSeq MAQNLKDLAGRLPAGPRGMGTALKLLLGAGAVAYGVRESVFTVEGGHRAIFFNRIGGVQQDTILAEGLHFRIPWFQYPIIYDIRARPRKISSPTGSKDLQMVNISLRVLSRPNAQELPSMYQRLGLDYEERVLPSIVNEVLKSVVAKFNASQLITQRAQVSLLIRRELTERAKDFSLILDDVAITEL
NP_001265112.1 165 410 0.549327642276423 PF16176.5:T-box_assoc:23:244 eomesodermin homolog isoform 3 410 222 37 246 0 Homo sapiens NP_001265112.1 1 RefSeq SMYTASENDRLTPSPTDSPRSHQIVPGGRYGVQSFFPEPFVNTLPQARYYNGERTVPQTNGLLSPQQSEEVANPPQRWLVTPVQQPGTNKLDISSYESEYTSSTLLPYGIKSLPLQTSHALGYYPDPTFPAMAGWGGRGSYQRKMAAGLPWTSRTSPTVFSEDQLSKEKVKEEIGSSWIETPPSIKSLDSNDSGVYTSACKRRRLSPSNSSNENSPSIKCEDINAEEYSKDTSKGMGGYYAFYTTP
NP_001276854.1 1 218 0.512255045871559 PF11928.8:DUF3446:49:118 early growth response protein 3 isoform 2 349 70 37 218 0 Mus musculus NP_001276854.1 1 RefSeq MEPCAAWSPHGGRENVMDIGLTNEKPNPELSYSSSFQPAPGNKTVTYLGKFAFDSPSNWCQDNIISLMSAGILGVPPASGALSTQTSTASMVQPPQGDVEAMYPALPPYSNCGDLYSEPVSFHDPQGNPGLAYSPQDYQSAKPALDSNLFPMIPDYNLYHHPNDMGSIPEHKPFQGMDPIRVNPPPITPLETIKAFKDKQIHPGFGSLPQPPLTLKPI
NP_001278964.1 39 629 0.67781269035533 TGF-beta-activated kinase 1 and MAP3K7-binding protein 2 isoform b 661 0 37 591 0 Homo sapiens NP_001278964.1 1 RefSeq LRNHMTSLNLDLQSQNIYHHGREGSRMNGSRTLTHSISDGQLQGGQSNSELFQQEPQTAPAQVPQGFNVFGMSSSSGASNSAPHLGFHLGSKGTSSLSQQTPRFNPIMVTLAPNIQTGRNTPTSLHIHGVPPPVLNSPQGNSIYIRPYITTPGGTTRQTQQHSGWVSQFNPMNPQQVYQPSQPGPWTTCPASNPLSHTSSQQPNQQGHQTSHVYMPISSPTTSQPPTIHSSGSSQSSAHSQYNIQNISTGPRKNQIEIKLEPPQRNNSSKLRSSGPRTSSTSSSVNSQTLNRNQPTVYIAASPPNTDELMSRSQPKVYISANAATGDEQVMRNQPTLFISTNSGASAASRNMSGQVSMGPAFIHHHPPKSRAIGNNSATSPRVVVTQPNTKYTFKITVSPNKPPAVSPGVVSPTFELTNLLNHPDHYVETENIQHLTDPTLAHVDRISETRKLSMGSDDAAYTQALLVHQKARMERLQRELEIQKKKLDKLKSEVNEMENNLTRRRLKRSNSISQIPSLEEMQQLRSCNRQLQIDIDCLTKEIDLFQARGPHFNPSAIHNFYDNIGFVGPVPPKPKDQRSIIKTPKTQDTE
NP_003887.3 1 418 0.176949760765551 PF00777.18:Glyco_transf_29:152:410 Lactosylceramide alpha-2,3-sialyltransferase; CMP-NeuAc:lactosylceramide alpha-2,3-sialyltransferase; Ganglioside GM3 synthase; ST3Gal V; ST3GalV; Sialyltransferase 9; EC 2.4.99.9 418 259 37 395 1 Homo sapiens (Human) SwissProt::Q9UNP4 1 SwissProt MRTKAAGCAERRPLQPRTEAAAAPAGRAMPSEYTYVKLRSDCSRPSLQWYTRAQSKMRRPSLLLKDILKCTLLVFGVWILYILKLNYTTEECDMKKMHYVDPDHVKRAQKYAQQVLQKECRPKFAKTSMALLFEHRYSVDLLPFVQKAPKDSEAESKYDPPFGFRKFSSKVQTLLELLPEHDLPEHLKAKTCRRCVVIGSGGILHGLELGHTLNQFDVVIRLNSAPVEGYSEHVGNKTTIRMTYPEGAPLSDLEYYSNDLFVAVLFKSVDFNWLQAMVKKETLPFWVRLFFWKQVAEKIPLQPKHFRILNPVIIKETAFDILQYSEPQSRFWGRDKNVPTIGVIAVVLATHLCDEVSLAGFGYDLNQPRTPLHYFDSQCMAAMNFQTMHNVTTETKFLLKLVKEGVVKDLSGGIDREF
NP_004345.1 1 344 0.11482761627907 PF00134.23:Cyclin_N:22:153 Cyclin-G2 344 132 37 344 0 Homo sapiens Q16589 1 SwissProt/TReMBL MKDLGAEHLAGHEGVQLLGLLNVYLEQEERFQPREKGLSLIEATPENDNTLCPGLRNAKVEDLRSLANFFGSCTETFVLAVNILDRFLALMKVKPKHLSCIGVCSFLLAARIVEEDCNIPSTHDVIRISQCKCTASDIKRMEKIISEKLHYELEATTALNFLHLYHTIILCHTSERKEILSLDKLEAQLKACNCRLIFSKAKPSVLALCLLNLEVETLKSVELLEILLLVKKHSKINDTEFFYWRELVSKCLAEYSSPECCKPDLKKLVWIVSRRTAQNLHNSYYSVPELPTIPEGGCFDESESEDSCEDMSCGEESLSSSPPSDQECTFFFNFKVAQTLCFPS
NP_004848.3 1 390 0.693062820512821 PF03832.13:WSK:76:102 A-kinase anchor protein 5; AKAP-5; A-kinase anchor protein 79 kDa; AKAP 79; H21; cAMP-dependent protein kinase regulatory subunit II high affinity-binding protein 427 27 37 390 0 Homo sapiens (Human) SwissProt::P24588 1 SwissProt METTISEIHVENKDEKRSAEGSPGAERQKEKASMLCFKRRKKAAKALKPKAGSEAADVARKCPQEAGASDQPEPTRGAWASLKRLVTRRKRSESSKQQKPLEGEMQPAINAEDADLSKKKAKSRLKIPCIKFPRGPKRSNHSKIIEDSDCSIKVQEEAEILDIQTQTPLNDQATKAKSTQDLSEGISRKDGDEVCESNVSNSTTSGEKVISVELGLDNGHSAIQTGTLILEEIETIKEKQDVQPQQASPLETSETDHQQPVLSDVPPLPAIPDQQIVEEASNSTLESAPNGKDYESTEIVAEETKPKDTELSQESDFKENGITEEKSKSEESKRMEPIAIIITDTEISEFDVTKSKNVPKQFLISAENEQVGVFANDNGFEDRTSEQYET
NP_010657.3 1 854 0.404095433255269 PF00498.26:FHA:28:92 DNA repair protein XRS2 854 65 37 854 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33301 1 SwissProt MWVVRYQNTLEDGSISFISCCLQAFKTYSIGRSSKNPLIIKNDKSISRQHITFKWEINNSSDLKHSSLCLVNKGKLTSLNKKFMKVGETFTINASDVLKSTIIELGTTPIRIEFEWINEVWNIPPHLTQFRTMLSEYGISTEISINDIPANLMISDYPKSEDNSIRELYALVSTIPMKKSRFLMELCNTLLPTSKTNLKFDEMWNDMISNPEYNVFDFDPNILLSKFMRLNNIRVLTTIKSEPRLSSLLRTFNINLFAFDNIDSLYKYVDSLEASTEYLILTTTDKKENGKILCTIKTMLTSIIDGTLSAVINMKGASSRTLDNGKFDQISEGMSTILKTSRAPEVEASPVVSKKRKLNRRRVLPLDSLDFFAGGLSTKTLSENRSLTDAKRLNCGAESKTVISSPNIAEADEKHAPFLQNALKPTEDIGKKSGHSSPGAIIVSSPNLGTVNTSEDSLDKSLQSHKLPQPSLPEVAGIGSQTISSNSADYETAAVNSMDDAEVTKNFRVNHHQNIEQPSKNIRKLSNYSREISSPLQENCKSPVKELSIKEKSGTPHAFVEAIQETKNREVKRVKSTIVELKDEELSEEAINQLKNLAIVEPSNNLLRKSFDSEGNKTSRTTEKWENSLMEPEWHKRKNFKTFVKVRPKSKAHKEEGKNNTQSSDFIRNAAFLITRNYVPLKKYSKKDTTTKWGTEENEDMFALTEMERFGSNTFMSDNINSNTIQKRSQALNRFTNEDSSNEGEEDSFSFSRCSGTAASVQPLKNKIFITDEDDLGDIDDKSDRLNHRENNRNLFVVKEMNLRPNLSEECSKQSRHSRSATSRSRGSFGASNNGDGDDDDDDGPKFTFKRRKG
NP_014126.1 1 1238 0.24342576736672 PF04821.14:TIMELESS:45:366 Topoisomerase 1-associated factor 1 1238 322 37 1238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53840 1 SwissProt MSADLQQGTTNAADFSLTVLRARIALLATAIGGPDYTSQIDPPPYKLGDDCLACLKDLKRWFKLVDDQQKRWDVAMAVAEYRILTDDLLPILIDWENKCSLAAKLAKNNPDHEEFRNKAYYDKIALNCLQLLVLMTWPLIVTEQSSSNQITLYGELKKHQLVYKKTILSMESGKVLRAAIRLALDVIKIDRLSRTPRDNMVLKLVLNFFRNVIAIEPGEFTINTKKSMPKKGITSIDTLPPNVSMDDISLNTVISSFHKNKVFGFLLTLTSSLSKEFDQDFINIPLLEIMFYFTKDVNQELLFPRQFETGTHSKVVNKNESSSANNIVTSAGFELSKLLQKEHQMRKNVIKHTSARHSRFGGLLSIQTPDKTRLTVSGSQALVDEKIALQKLDDSKKWNKRIIKKHQSVAAEGLPNSLLNSQTGKAIFFTESNGKHFKEFINNFIDSGFNILLHSVTNYFTTEQDRMVTLEQVEYLLFFAWFVKYQLLRSKIDNSADIKQVSEALKEVTFILVSSLLRSAYDLKNWTVTHAGMIAFNELLNLVSRTKAAQEEDSTDIEFIVSRLFSDERIQLLSNLPKIGSKYSLQFMKSCIELTHSVLKVLEQYSDDKTLVIEGKSRRQKKFNISEGDITKLIEEENVDRDEALDILTSSLRSIEVNFQKVQANYMTEPVIETYINFLERFRELEDDSIKKVFSFFHRVFVQAKEQALLFRFDLIILLREMLSPDGLDRMSRSRKYVSQFSDYFLARLKKRLKKSPAWFVGLLFPPLHNSEVGFYQRYGEYNVLNNESMYAAPASQFKPIPDEEALPPSILLDMKYGVLVSTLLDDGKTELLDQLLKHITHTLDIFKSWLTVNVNAGKETVNPPNEYFTLTGVLNNDPIFKDKDYRALLLLIGYSIPRKINEPCFLPGTVEVSDLTVSCELVKKYLSTPFETPNGLPSSSYLLRVRSEKDSFSHNEQDGWEGDDDYDYNDPYIVPDDQILSKSDAAYFKDLDNNASDKLKGTKFSKGIARSKKKDKRKRRKGEAKTNLPMFGDQDDERPQTVRERHGVFSKEFISDSEDDEDLMNPIFFENETYMRWLLDKNNGQLTEDRYIQFAKFAAERMNNGGVVTGDYTSLFGGSIPSIESIRATESSSFAPDKSLISLASHVASEMSIFDVNNNNNNQLSDDDVNSESRNSLGSSQPSNSQNMFQSEVYSRKESTKRSLEASAADESDEDEEAIRLFGKKSRVVLSQGDSDD
NP_032554.2 1 327 0.820305504587156 B-cell linker protein; B-cell adapter containing a SH2 domain protein; B-cell adapter containing a Src homology 2 domain protein; Cytoplasmic adapter protein; Lymphocyte antigen 57; Src homology 2 domain-containing leukocyte protein of 65 kDa; Slp-65 457 0 37 327 0 Mus musculus (Mouse) SwissProt::Q9QUN3 1 SwissProt MDKLNKITVPASQKLRQLQKMVHDIKNNEGGIMDKIKKLKVKGPPSVPRRDYALDSPADEEEQWSDDFDSDYENPDEHSDSEMYVMPAEETGDDSYEPPPAEQQTRVVHPALPFTRGEYVDNRSSQRHSPPFSKTLPSKPSWPSAKARLASTLPAPNSLQKPQVPPKPKDLLEDEADYVVPVEDNDENYIHPRESSPPPAEKAPMVNRSTKPNSSSKHMSPPGTVAGRNSGVWDSKSSLPAAPSPLPRAGKKPATPLKTTPVPPLPNASNVCEEKPVPAERHRGSSHRQDTVQSPVFPPTQKPVHQKPVPLPRFPEAGSPAADGPFH
NP_032964.1 1 346 0.119197398843931 PF00335.20:Tetraspanin:19:276 Peripherin-2; Retinal degeneration slow protein 346 258 37 277 3 Mus musculus (Mouse) SwissProt::P15499 1 SwissProt MALLKVKFDQKKRVKLAQGLWLMNWLSVLAGIVLFSLGLFLKIELRKRSEVMNNSESHFVPNSLIGVGVLSCVFNSLAGKICYDALDPAKYAKWKPWLKPYLAVCIFFNVILFLVALCCFLLRGSLESTLAYGLKNGMKYYRDTDTPGRCFMKKTIDMLQIEFKCCGNNGFRDWFEIQWISNRYLDFSSKEVKDRIKSNVDGRYLVDGVPFSCCNPSSPRPCIQYQLTNNSAHYSYDHQTEELNLWLRGCRAALLNYYSSLMNSMGVVTLLVWLFEVSITAGLRYLHTALESVSNPEDPECESEGWLLEKSVPETWKAFLESFKKLGKSNQVEAEGADAGPAPEAG
NP_055491.1 1 826 0.573871307506054 Pumilio homolog 1; HsPUM; Pumilio-1 1186 0 37 826 0 Homo sapiens (Human) SwissProt::Q14671 1 SwissProt MSVACVLKRKAVLWQDSFSPHLKHHPQEPANPNMPVVLTSGTGSQAQPQPAANQALAAGTHSSPVPGSIGVAGRSQDDAMVDYFFQRQHGEQLGGGGSGGGGYNNSKHRWPTGDNIHAEHQVRSMDELNHDFQALALEGRAMGEQLLPGKKFWETDESSKDGPKGIFLGDQWRDSAWGTSDHSVSQPIMVQRRPGQSFHVNSEVNSVLSPRSESGGLGVSMVEYVLSSSPGDSCLRKGGFGPRDADSDENDKGEKKNKGTFDGDKLGDLKEEGDVMDKTNGLPVQNGIDADVKDFSRTPGNCQNSANEVDLLGPNQNGSEGLAQLTSTNGAKPVEDFSNMESQSVPLDPMEHVGMEPLQFDYSGTQVPVDSAAATVGLFDYNSQQQLFQRPNALAVQQLTAAQQQQYALAAAHQPHIGLAPAAFVPNPYIISAAPPGTDPYTAGLAAAATLGPAVVPHQYYGVTPWGVYPASLFQQQAAAAAAATNSANQQTTPQAQQGQQQVLRGGASQRPLTPNQNQQGQQTDPLVAAAAVNSALAFGQGLAAGMPGYPVLAPAAYYDQTGALVVNAGARNGLGAPVRLVAPAPVIISSSAAQAAVAAAAASANGAAGGLAGTTNGPFRPLGTQQPQPQPQQQPNNNLASSSFYGNNSLNSNSQSSSLFSQGSAQPANTSLGFGSSSSLGATLGSALGGFGTAVANSNTGSGSRRDSLTGSSDLYKRTSSSLTPIGHSFYNGLSFSSSPGPVGMPLPSQGPGHSQTPPPSLSSHGSSSSLNLGGLTNGSGRYISAAPGAEAKYRSASSASSLFSPSSTLFSSSRLRYGMSDVMP
NP_056485.2 47 384 0.601671005917159 Sentrin-specific protease 3; SUMO-1-specific protease 3; Sentrin/SUMO-specific protease SENP3; EC 3.4.22.- 574 0 37 338 0 Homo sapiens (Human) SwissProt::Q9H4L4 1 SwissProt GFGPDPGSGTTVPARRLPVPRPSFDASASEEEEEEEEEEDEDEEEEVAAWRLPPRWSQLGTSQRPRPSRPTHRKTCSQRRRRAMRAFRMLLYSKSTSLTFHWKLWGRHRGRRRGLAHPKNHLSPQQGGATPQVPSPCCRFDSPRGPPPPRLGLLGALMAEDGVRGSPPVPSGPPMEEDGLRWTPKSPLDPDSGLLSCTLPNGFGGQSGPEGERSLAPPDASILISNVCSIGDHVAQELFQGSDLGMAEEAERPGEKAGQHSPLREEHVTCVQSILDEFLQTYGSLIPLSTDEVVEKLEDIFQQEFSTPSRKGLVLQLIQSYQRMPGNAMVRGFRVAYK
NP_060889.1 165 384 0.531001818181818 PF12067.8:Sox17_18_mid:33:80 Transcription factor SOX-18 384 48 37 220 0 Homo sapiens (Human) SwissProt::P35713 1 SwissProt ARRLEPGLLLPGLAPPQPPPEPFPAASGSARAFRELPPLGAEFDGLGLPTPERSPLDGLEPGEAAFFPPPAAPEDCALRPFRAPYAPTELSRDPGGCYGAPLAEALRTAPPAAPLAGLYYGTLGTPGPYPGPLSPPPEAPPLESAEPLGPAADLWADVDLTEFDQYLNCSRTRPDAPGLPYHVALAKLGPRAMSCPEESSLISALSDASSAVYYSACISG
NP_061351.2 1 248 0.349540322580645 PF01160.18:Opiods_neuropep:22:66 proenkephalin-B preproprotein 248 45 37 248 0 Mus musculus NP_061351.2 1 RefSeq MAWSRLMLAACLLVMPSNVMADCLSLCSLCAVRIQDGPRPINPLICSLECQDLVPPSEEWETCRGFSSFLTLTVSGLRGKDDLEDEVALEEGYSALAKLLEPVLKELEKSRLLTSVPEEKFRGLSSSFGNGKESELAGADRMNDEAAQAGTLHFNEEDLRKQAKRYGGFLRKYPKRSSEMARDEDGGQDGDQVGHEDLYKRYGGFLRRIRPKLKWDNQKRYGGFLRRQFKVVTRSQENPNTYSEDLDV
NP_112284.1 1 2326 0.356817196904558 PF16184.5:Cadherin_3:436:524,PF16184.5:Cadherin_3:541:646,PF16184.5:Cadherin_3:663:755,PF16184.5:Cadherin_3:822:882,PF16184.5:Cadherin_3:892:993,PF16184.5:Cadherin_3:1007:1114,PF16184.5:Cadherin_3:1132:1220,PF16184.5:Cadherin_3:1244:1343,PF16184.5:Cadherin_3:1370:1450,PF16184.5:Cadherin_3:1460:1567,PF16184.5:Cadherin_3:1591:1666,PF16184.5:Cadherin_3:1707:1806,PF16184.5:Cadherin_3:1821:1928,PF16184.5:Cadherin_3:1962:2029,PF02210.24:Laminin_G_2:55:178,PF02210.24:Laminin_G_2:232:364,PF00054.23:Laminin_G_1:55:181,PF00054.23:Laminin_G_1:232:366 Chondroitin sulfate proteoglycan 4; Chondroitin sulfate proteoglycan NG2; HSN tumor-specific antigen 2326 1551 37 2303 1 Rattus norvegicus (Rat) SwissProt::Q00657 1 SwissProt MLLSPGHPLSAPALALILTLALLVRSTAPASFFGENHLEVPVPSALTRVDLLLQFSTSQPEALLLLAAGQTDHLLLQLQSGHLQVRLALGQNELSLQTPADTVLSDSTTHTVVLTVSNSWAVLSVDGVLNTSAPIPKASHLKVPYGLFVGSSGSLDLPYLKGISRPLRGCLHSAILNGRNLLRPLTPDVHEGCAEEFSAGDEVGLGFSGPHSLAAFPAWSTREEGTLEFTLTTRSQQAPLAFQAGDKRGNFIYVDIFEGHLRAVVEKGQGTMLLRNSVPVADGQPHEVSVHIDVHRLEISVDQYPTRTFNRGVLSYLEPRGSLLLGGLDTEASRHLQEHRLGLTPGAANISLVGCIEDFSVNGRRLGLRDAWLTRDMAAGCRPEEDEYEEEVYGPFEAFSTLAPEAWPVMDLPEPCVPEPGLPAVFANFTQLLTISPLVVAEGGTAWLEWRHVQPTLDLTEAELRKSQVLFSVSQGARHGELELDIPGAQTRKMFTLLDVVNRKARFVHDGSEDTSDQLMLEVSVTSRAPVPSCLRRGQIYILPIQVNPVNDPPRIVFPHGSLMVILEHTQKPLGPEIFQAYDPDSACEGLTIQLLGVSASVPVEHRDQPGEPVTEFSCRDLEAGNIVYVHRGGPAQDLTFRVSDGMQASGPATLKVVAVRPAIQILHNTGLRLAQGSAAAILPANLSVETNAVGQDVSVLFRVTGTLQFGELQKQGAGGVEGTEWWDTLAFHQRDVEQGRVRYLSTDPQHHTQDTVEDLTLEVQVGQETLSNLSFPVTIQRATVWMLQLEPLHTQNPHQETLTSAHLEASLEEEGEGGPYPHIFHYELVQAPRRGNLLLQGTRLSDGQSFSQSDLQAGRVTYRATTRTSEAAEDSFRFRVTSPPHFSPLYTFPIHIGGDPNAPVLTNVLLMVPEGGEGVLSADHLFVKSLNSASYLYEVMEQPHHGSLAWRDPKGRATPVTSFTNEDLLHGRLVYQHDDSETIEDDIPFVATRQGEGSGDMAWEEVRGVFRVAIQPVNDHAPVQTISRVFHVARGGQRLLTTDDVAFSDADSGFSDAQLVLTRKDLLFGSIVAMEEPTRPIYRFTQEDLRKKQVLFVHSGADHGWLQLQVSDGQHQATAMLEVQASEPYLHVANSSSLVVPQGGQGTIDTAVLHLDTNLDIRSGNEVHYHVTAGPHWGQLLRDGQSVTSFSQRDLLDGAILYSHNGSLSPQDTLALSVAAGPVHTSTVLQVTIALEGPLAPLQLVQHKRIYVFQGEAAEIRRDQLEVVQEAVLPADIMFSLRSPPNAGYLVMVSHGASADGPPSLDPVQRFSQEAINSGRVLYLHSRPGAWSDSFSLDVASGLGDPLEGISVELEVLPTVIPLDVQNFSVPEGGTRTLAPPLIQITGPYLGTLPGLVLQVLEPPQHGALQKEDRPQDGTLSTFSWREVEEQLIRYVHDGSETQTDGFILLANASEMDRQSQPMAFTITILPVNDQPPVITTNTGLQIWEGAIVPIPPEALRGIDSDSGPEDLVYTIEQPSNGRIALRVAPDAEAHRFTQAQLDSGLVLFSHRGALEGGFHFDLSDGVHTSPGHFFRVVAQKQVLLSLEGSRKLTVCPESVQPLSSQSLSASSSTGSDPRHLLYQVVRGPQLGRLLHAQQGSAEEALVNFTQAEVNAGNILYEHEISSEPFWEAHDTIGLLLSSSPARDLAATLAVTVSFDAACPQRPSRLWRNKGLWVPEGQRAKITVAALDAANLLASVPASQRGRHDVLFQITQFPTRGQLLVSEEPLHARRPHFLQSELTAGQLVYAHGGGGTQQDGFRFRAHLQGPTGASVAGPQTSEAFVITVRDVNERPPQPQASIPLRITRGSRAPVSRAQLSVVDPDSAPGEIEYEVQRAPHNGFLSLAGDNTGPVTHFTQADVDAGRLAFVANGSSVAGVFQLSMSDGASPPIPMSLAVDVLPSTIEVQLRAPLEVPQALGRSSLSRQQLQVISDREEPDVAYRLTQGPLYGQVLVGGQPASAFSQLQVDQGDVVFAFTNFSSSQDHFKVLALARGVNASATVNVTVQALLHVWAGGPWPQGTTLRLDPTVLDASELANRTGSMPRFRLLEGPRYGRVVRVSQGRAESRTNQLVEDFTQQDLEEGRLGLEVGRPEGRSTGPTGDRLTLELQATGVPPAVALLDFATEPYHAAKFYKVTLLSVPEAARTETEKTGKSTPTGQPGQAASSPMPTVAKSGFLGFLEANMFSVIIPVCLVLLLLALILPLLFYLRKRNKTGKHDVQVLTAKPRNGLAGDTETFRKVEPGQAIPLTTVPGQGPPPGGQPDPELLQFCRTPNPALRNGQYWV
NP_174998.1 1 295 0.370967796610169 PF07716.15:bZIP_2:142:184,PF00170.21:bZIP_1:141:196,PF03131.17:bZIP_Maf:142:198 bZIP transcription factor 60; AtbZIP60 295 58 37 272 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C7S0 1 SwissProt MAEEFGSIDLLGDEDFFFDFDPSIVIDSLPAEDFLQSSPDSWIGEIENQLMNDENHQEESFVELDQQSVSDFIADLLVDYPTSDSGSVDLAADKVLTVDSPAAADDSGKENSDLVVEKKSNDSGSEIHDDDDEEGDDDAVAKKRRRRVRNRDAAVRSRERKKEYVQDLEKKSKYLERECLRLGRMLECFVAENQSLRYCLQKGNGNNTTMMSKQESAVLLLESLLLGSLLWLLGVNFICLFPYMSHTKCCLLRPEPEKLVLNGLGSSSKPSYTGVSRRCKGSRPRMKYQILTLAA
NP_176813.1 112 249 0.3771 Transcription factor MYB90; Myb-related protein 90; AtMYB90; Production of anthocyanin pigment 2 protein 249 0 37 138 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZTC3 1 SwissProt HESSCCKSKMKKKNIISPPTTPVQKIGVFKPRPRSFSVNNGCSHLNGLPEVDLIPSCLGLKKNNVCENSITCNKDDEKDDFVNNLMNGDNMWLENLLGENQEADAIVPEATTAEHGATLAFDVEQLWSLFDGETVELD
NP_177020.1 1 378 0.119673809523809 PF08392.12:FAE1_CUT1_RppA:81:369 3-ketoacyl-CoA synthase 6; KCS-6; Cuticular protein 1; Eceriferum 6; Very long-chain fatty acid condensing enzyme 6; VLCFA condensing enzyme 6; EC 2.3.1.199 497 289 37 332 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XF43 1 SwissProt MPQAPMPEFSSSVKLKYVKLGYQYLVNHFLSFLLIPIMAIVAVELLRMGPEEILNVWNSLQFDLVQVLCSSFFVIFISTVYFMSKPRTIYLVDYSCYKPPVTCRVPFATFMEHSRLILKDKPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMDAARSEAQMVIFEAMDDLFKKTGLKPKDVDILIVNCSLFSPTPSLSAMVINKYKLRSNIKSFNLSGMGCSAGLISVDLARDLLQVHPNSNAIIVSTEIITPNYYQGNERAMLLPNCLFRMGAAAIHMSNRRSDRWRAKYKLSHLVRTHRGADDKSFYCVYEQEDKEGHVGINLSKDLMAIAGEALKANITTIGPLVLPASEQLLFLTSLIGRKIFNPKWKPY
NP_192590.1 1 326 0.55298036809816 Mitogen-activated protein kinase kinase kinase 1; ARAKIN; AtMEKK1; MAP kinase kinase kinase 1; EC 2.7.11.25 608 0 37 326 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39008 1 SwissProt MDRILARMKKSTGRRGGDKNITPVRRLERRDAARNINYDAASCSSSSAEDLSVSTSSLMTRSLEFPEPTSFRIGGGVGEMDRIYRSLGVSGPDDLAISFDAWEACKKRSSSDVVNRFKSFDLDKVRDQDLSEEGPSGVVVGSDSMNHKVQGQDLSEAGPSGGIVTELSEIGNLITPVDRLVADGVVENRRVMERTPTIVKSKGYLVPNNVVAVGVGVGGGIKGLRPPVLKPPPAMKRPPIDHRGSSWDFLTHFAPSETVKRPSSSSSSSEDGCDEEEGKEEEAEAEEMGARFIQLGDTADETCSFTTNEGDSSSTVSNTSPIYPDG
NP_418368.1 1 242 0.771472314049587 cell division protein FtsN 319 0 37 219 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11529-MONOMER 1 ecocyc MAQRDYVRRSQPAPSRRKKSTSRKKQRNLPAVSPAMVAIAAAVLVTFIGGLYFITHHKKEESETLQSQKVTGNGLPPKPEERWRYIKELESRQPGVRAPTEPSAGGEVKTPEQLTPEQRQLLEQMQADMRQQPTQLVEVPWNEQTPEQRQQTLQRQRQAQQLAEQQRLAQQSRTTEQSWQQQTRTSQAAPVQAQPRQSKPASSQQPYQDLLQTPAHTTAQSKPQQAAPVARAADAPKPTAEK
NP_598615.1 1 136 0.391242647058824 PF14712.6:Snapin_Pallidin:24:109 SNARE-associated protein Snapin; Biogenesis of lysosome-related organelles complex 1 subunit 7; BLOC-1 subunit 7; Synaptosomal-associated protein 25-binding protein; SNAP-associated protein 136 86 37 136 0 Rattus norvegicus (Rat) SwissProt::P60192 1 SwissProt MAAAGSAAVSGAGTPVAGPTGRDLFAEGLLEFLRPAVQQLDSHVHAVRESQVELREQIDNLATELCRINEDQKVALDLDPYVKKLLNARRRVVLVNNILQNAQERLRRLNHSVAKETARRRAMLDSGVYPPGSPSK
NP_849777.1 1 416 0.557813942307692 PF00170.21:bZIP_1:338:391,PF07716.15:bZIP_2:337:383 ABSCISIC ACID-INSENSITIVE 5-like protein 5; ABA-responsive element-binding protein 1; Abscisic acid responsive elements-binding factor 2; ABRE-binding factor 2; bZIP transcription factor 36; AtbZIP36 416 55 37 416 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M7Q4 1 SwissProt MDGSMNLGNEPPGDGGGGGGLTRQGSIYSLTFDEFQSSVGKDFGSMNMDELLKNIWSAEETQAMASGVVPVLGGGQEGLQLQRQGSLTLPRTLSQKTVDQVWKDLSKVGSSGVGGSNLSQVAQAQSQSQSQRQQTLGEVTLEEFLVRAGVVREEAQVAARAQIAENNKGGYFGNDANTGFSVEFQQPSPRVVAAGVMGNLGAETANSLQVQGSSLPLNVNGARTTYQQSQQQQPIMPKQPGFGYGTQMGQLNSPGIRGGGLVGLGDQSLTNNVGFVQGASAAIPGALGVGAVSPVTPLSSEGIGKSNGDSSSLSPSPYMFNGGVRGRKSGTVEKVVERRQRRMIKNRESAARSRARKQAYTVELEAEVAKLKEENDELQRKQARIMEMQKNQETEMRNLLQGGPKKKLRRTESGPW
P14200 1 165 0.364966666666667 PF05824.12:Pro-MCH:83:165 Pro-MCH 165 83 37 165 0 Rattus norvegicus (Rat) SwissProt::P14200 1 SwissProt MAKMSLSSYMLMLAFSLFSHGILLSASKSIRNVEDDIVFNTFRMGKAFQKEDTAERSVVAPSLEGYKNDESGFMKDDDDKTTKNTGSKQNLVTHGLPLSLAVKPYLALKGPAVFPAENGVQNTESTQEKREIGDEENSAKFPIGRRDFDMLRCMLGRVYRPCWQV
P29465 1 1165 0.249385751072962 PF03142.15:Chitin_synth_2:629:1151,PF13641.6:Glyco_tranf_2_3:828:997,PF13632.6:Glyco_trans_2_3:831:1058 Chitin synthase 3; Chitin-UDP acetyl-glucosaminyl transferase 3; Class-IV chitin synthase 3; EC 2.4.1.16 1165 523 37 1028 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P29465 1 SwissProt MTGLNGDDPDDYYLNLNQDEESLLRSRHSVGSGAPHRQGSLVRPERSRLNNPDNPHFYYAQKTQEQMNHLDVLPSSTGVNPNATRRSGSLRSKGSVRSKFSGRETDSYLLQDMNTTDKKASVKISDEGVAEDEFDKDGDVDNFEESSTQPINKSIKPLRKETNDTLSFWQMYCYFITFWAPAPILAFCGMPKKERQMAWREKVALISVILYIGAIVAFLTFGFTKTVCSSSKLRLKNNEVSTEFVVINGKAYELDTSSRSGIQDVEVDSDTLYGPWSDAGKDASFLFQNVNGNCHNLITPKSNSSIPHDDDNNLAWYFPCKLKNQDGSSKPNFTVENYAGWNCHTSKEDRDAFYGLKSKADVYFTWDGIKNSSRNLIVYNGDVLDLDLLDWLEKDDVDYPVVFDDLKTSNLQGYDLSLVLSNGHERKIARCLSEIIKVGEVDSKTVGCIASDVVLYVSLVFILSVVIIKFIIACYFRWTVARKQGAYIVDNKTMDKHTNDIEDWSNNIQTKAPLKEVDPHLRPKKYSKKSLGHKRASTFDLLKKHSSKMFQFNESVIDLDTSMSSSLQSSGSYRGMTTMTTQNAWKLSNENKAVHSRNPSTLLPTSSMFWNKATSSPVPGSSLIQSLDSTIIHPDIVQQPPLDFMPYGFPLIHTICFVTCYSEDEEGLRTTLDSLSTTDYPNSHKLLMVVCDGLIKGSGNDKTTPEIALGMMDDFVTPPDEVKPYSYVAVASGSKRHNMAKIYAGFYKYDDSTIPPENQQRVPIITIVKCGTPAEQGAAKPGNRGKRDSQIILMSFLEKITFDERMTQLEFQLLKNIWQITGLMADFYETVLMVDADTKVFPDALTHMVAEMVKDPLIMGLCGETKIANKAQSWVTAIQVFEYYISHHQAKAFESVFGSVTCLPGCFSMYRIKSPKGSDGYWVPVLANPDIVERYSDNVTNTLHKKNLLLLGEDRFLSSLMLKTFPKRKQVFVPKAACKTIAPDKFKVLLSQRRRWINSTVHNLFELVLIRDLCGTFCFSMQFVIGIELIGTMVLPLAICFTIYVIIFAIVSKPTPVITLVLLAIILGLPGLIVVITATRWSYLWWMCVYICALPIWNFVLPSYAYWKFDDFSWGDTRTIAGGNKKAQDENEGEFDHSKIKMRTWREFEREDILNRKEESDSFVA
Q16827 1 904 0.188109513274336 PF00041.21:fn3:435:521,PF00041.21:fn3:725:799 Receptor-type tyrosine-protein phosphatase O; R-PTP-O; Glomerular epithelial protein 1; Protein tyrosine phosphatase U2; PTP-U2; PTPase U2; EC 3.1.3.48 1216 162 37 858 2 Homo sapiens (Human) SwissProt::Q16827 1 SwissProt MGHLPTGIHGARRLLPLLWLFVLFKNATAFHVTVQDDNNIVVSLEASDVISPASVYVVKITGESKNYFFEFEEFNSTLPPPVIFKASYHGLYYIITLVVVNGNVVTKPSRSITVLTKPLPVTSVSIYDYKPSPETGVLFEIHYPEKYNVFTRVNISYWEGKDFRTMLYKDFFKGKTVFNHWLPGMCYSNITFQLVSEATFNKSTLVEYSGVSHEPKQHRTAPYPPQNISVRIVNLNKNNWEEQSGNFPEESFMRSQDTIGKEKLFHFTEETPEIPSGNISSGWPDFNSSDYETTSQPYWWDSASAAPESEDEFVSVLPMEYENNSTLSETEKSTSGSFSFFPVQMILTWLPPKPPTAFDGFHIHIEREENFTEYLMVDEEAHEFVAELKEPGKYKLSVTTFSSSGSCETRKSQSAKSLSFYISPSGEWIEELTEKPQHVSVHVLSSTTALMSWTSSQENYNSTIVSVVSLTCQKQKESQRLEKQYCTQVNSSKPIIENLVPGAQYQVVIYLRKGPLIGPPSDPVTFAIVPTGIKDLMLYPLGPTAVVLSWTRPYLGVFRKYVVEMFYFNPATMTSEWTTYYEIAATVSLTASVRIANLLPAWYYNFRVTMVTWGDPELSCCDSSTISFITAPVAPEITSVEYFNSLLYISWTYGDDTTDLSHSRMLHWMVVAEGKKKIKKSVTRNVMTAILSLPPGDIYNLSVTACTERGSNTSMLRLVKLEPAPPKSLFAVNKTQTSVTLLWVEEGVADFFEVFCQQVGSSQKTKLQEPVAVSSHVVTISSLLPATAYNCSVTSFSHDSPSVPTFIAVSTMVTEMNPNVVVISVLAILSTLLIGLLLVTLIILRKKHLQMARECGAGTFVNFASLERDGKLPYNWRRSIFAFLTLLPSCLWTDYLLAFYINPW
Q60714 296 646 0.207590313390313 PF00501.28:AMP-binding:4:220,PF13193.6:AMP-binding_C:228:303 Long-chain fatty acid transport protein 1; FATP-1; Fatty acid transport protein 1; Fatty acid transport protein; Solute carrier family 27 member 1; EC 6.2.1.- 646 293 37 351 0 Mus musculus (Mouse) SwissProt::Q60714 1 SwissProt GNIMGVGQCVIYGLTVVLRKKFSASRFWDDCVKYNCTVVQYIGEICRYLLRQPVRDVEQRHRVRLAVGNGLRPAIWEEFTQRFGVPQIGEFYGATECNCSIANMDGKVGSCGFNSRILTHVYPIRLVKVNEDTMEPLRDSEGLCIPCQPGEPGLLVGQINQQDPLRRFDGYVSDSATNKKIAHSVFRKGDSAYLSGDVLVMDELGYMYFRDRSGDTFRWRGENVSTTEVEAVLSRLLGQTDVAVYGVAVPGVEGKAGMAAIADPHSQLDPNSMYQELQKVLASYARPIFLRLLPQVDTTGTFKIQKTRLQREGFDPRQTSDRLFFLDLKQGRYVPLDERVHARICAGDFSL
Q93052 1 415 0.772099277108434 Lipoma-preferred partner; LIM domain-containing preferred translocation partner in lipoma 612 0 37 415 0 Homo sapiens (Human) SwissProt::Q93052 1 SwissProt MSHPSWLPPKSTGEPLGHVPARMETTHSFGNPSISVSTQQPPKKFAPVVAPKPKYNPYKQPGGEGDFLPPPPPPLDDSSALPSISGNFPPPPPLDEEAFKVQGNPGGKTLEERRSSLDAEIDSLTSILADLECSSPYKPRPPQSSTGSTASPPVSTPVTGHKRMVIPNQPPLTATKKSTLKPQPAPQAGPIPVAPIGTLKPQPQPVPASYTTASTSSRPTFNVQVKSAQPSPHYMAAPSSGQIYGSGPQGYNTQPVPVSGQCPPPSTRGGMDYAYIPPPGLQPEPGYGYAPNQGRYYEGYYAAGPGYGGRNDSDPTYGQQGHPNTWKREPGYTPPGAGNQNPPGMYPVTGPKKTYITDPVSAPCAPPLQPKGGHSGQLGPSSVAPSFRPEDELEHLTKKMLYDMENPPADEYFGR
SwissProt::Q5A8T4 331 1260 0.664029247311827 PF05792.13:Candida_ALS:35:66,PF05792.13:Candida_ALS:71:102,PF05792.13:Candida_ALS:109:139,PF05792.13:Candida_ALS:144:175,PF05792.13:Candida_ALS:180:211,PF05792.13:Candida_ALS:216:247,PF05792.13:Candida_ALS:252:283,PF05792.13:Candida_ALS:288:319,PF05792.13:Candida_ALS:324:355,PF05792.13:Candida_ALS:360:391,PF05792.13:Candida_ALS:396:427,PF05792.13:Candida_ALS:432:461 Agglutinin-like protein 1; Adhesin 1 1260 381 37 930 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5A8T4 1 SwissProt TRTVTDSTTAVTTLPFNPSVDKTKTIEILQPIPTTTITTSYVGVTTSYSTKTAPIGETATVIVDVPYHTTTTVTSEWTGTITTTTTRTNPTDSIDTVVVQVPSPNPTVSTTEYWSQSYATTTTVTAPPGGTDTVIIREPPNHTVTTTEYWSQSFATTTTVTAPPGETDTVIIREPPNHTVTTTEYWSQSYATTTTVTAPPGGTDTVLIREPPNHTVTTTEYWSQSYATTTTVTAPPGGTDTVIIREPPNYTVTTTEYWSQSYATTTTITAPPGETDTVIIREPPNHTVTTTEYWSQSYATTTTVTAPPGGTDTVLIREPPNHTVTTTEYWSQSYATTTTVTAPPGGTDTVLIREPPNHTVTTTEYWSQSYATTTTVTAPPGGTDTVIIREPPNHTVTTTEYWSQSYATTTTVTAPPGGTDTVIIREPPNYTVTTTEYWSQSFATTTTVTAPPGGTDTVIIYESMSSSKISTSSNDITSIIPSFSRPHYVNSTTSDLSTFESSSMNTPTSISSDGMLLSSTTLVTESETTTESICSDGKECSRLSSSSGIVTNPDSNESSIVTSTVPTASTMSDSLSSTDGISATSSDNVSKSGVSVTTETSVTTIQTTPNPLSSSVTSLTQLSSIPSVSESESKVTFTSNGDNQSGTHDSQSTSTEIEIVTTSSTKVLPPVVSSNTDLTSEPTNTREQPTTLSTTSNSITEDITTSQPTGDNGDNTSSTNPVPTVATSTLASASEEDNKSGSHESASTSLKPSMGENSGLTTSTEIEATTTSPTEAPSPAVSSGTDVTTEPTDTREQPTTLSTTSKTNSESVATTQATNENGGKSPSTDLTSSLTTGTSASTSANSELVTSGSVTGGAVASASNDQSHSTSVTNSNSIVSNTPQTTLSQQVTSSSPSTNTFIASTYDGSGSIIQHSTWLYGLITLLSLFI
VIMSS10085839 117 333 0.510379262672812 Transcription factor MYB122; Myb-related protein 122; AtMYB122 333 0 37 217 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9C8 1 SwissProt VKKGIDPLTHKSLLDGAGKSSDHSAHPEKSSVHDDKDDQNSNNKKLSGSSSARFLNRVANRFGHRINHNVLSDIIGSNGLLTSHTTPTTSVSEGERSTSSSSTHTSSNLPINRSITVDATSLSSSTFSDSPDPCLYEEIVGDIEDMTRFSSRCLSHVLSHEDLLMSVESCLENTSFMREITMIFQEDKIETTSFNDSYVTPINEVDDSCEGIDNYFG
VIMSS10091184 1 111 0.658407207207207 PF07011.11:Elf4:21:101 Protein EARLY FLOWERING 4; Protein ARRHYTHMIC 44 111 81 37 111 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04211 1 SwissProt MKRNGETKRRRNVAEEAEQGEDPAMWENLDRNFRQVQSVLDRNRSLIQQVNDNHQSRMADNMSKNVALIQELNGNISKVVNMYSDLNTSFSSGFHGGKNGHDGGGAAGTRA
VIMSS10093253 1 446 0.170109192825112 PF11960.8:DUF3474:1:133,PF00487.24:FA_desaturase:142:395 sn-2 acyl-lipid omega-3 desaturase (ferredoxin), chloroplastic; Omega-3 fatty acid desaturase 7, chloroplastic; EC 1.14.19.35 446 387 37 380 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46310 1 SwissProt MANLVLSECGIRPLPRIYTTPRSNFLSNNNKFRPSLSSSSYKTSSSPLSFGLNSRDGFTRNWALNVSTPLTTPIFEESPLEEDNKQRFDPGAPPPFNLADIRAAIPKHCWVKNPWKSLSYVVRDVAIVFALAAGAAYLNNWIVWPLYWLAQGTMFWALFVLGHDCGHGSFSNDPKLNSVVGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPMSEKIYNTLDKPTRFFRFTLPLVMLAYPFYLWARSPGKKGSHYHPDSDLFLPKERKDVLTSTACWTAMAALLVCLNFTIGPIQMLKLYGIPYWINVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGLINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPDKSGPLPLHLLEILAKSIKEDHYVSDEGEVVYYKADPNLYGEVKVRAD
VIMSS10102176 73 220 0.491475675675676 PF01486.17:K-box:20:99 MADS-box protein AGL24; Protein AGAMOUS-LIKE 24 220 80 37 148 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82794 1 SwissProt ASNINKLMDPPSTHLRLENCNLSRLSKEVEDKTKQLRKLRGEDLDGLNLEELQRLEKLLESGLSRVSEKKGECVMSQIFSLEKRGSELVDENKRLRDKLETLERAKLTTLKEALETESVTTNVSSYDSGTPLEDDSDTSLKLGLPSWE
VIMSS1936809 59 405 0.357746974063401 DNA repair protein RecN 553 0 37 347 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10831-MONOMER 1 ecocyc AARADLCARFSLKDTPAALRWLEENQLEDGHECLLRRVISSDGRSRGFINGTAVPLSQLRELGQLLIQIHGQHAHQLLTKPEHQKFLLDGYANETSLLQEMTARYQLWHQSCRDLAHHQQLSQERAARAELLQYQLKELNEFNPQPGEFEQIDEEYKRLANSGQLLTTSQNALALMADGEDANLQSQLYTAKQLVSELIGMDSKLSGVLDMLEEATIQIAEASDELRHYCDRLDLDPNRLFELEQRISKQISLARKHHVSPEALPQYYQSLLEEQQQLDDQADSQETLALAVTKHHQQALEIARALHQQRQQYAEELAQLITDSMHALSMPHGQFTIDVKFDEHHLG
VIMSS6582332 332 1093 0.502125196850393 Regulatory protein SWI4; Cell-cycle box factor subunit SWI4; Protein ART1 1093 0 37 762 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25302 1 SwissProt LIIVPDGPMQSQQQQQHHHEYLTNNFNHSMMDSITNGNSKKRRKKLNQSNEQQFYNQQEKIQRHFKLMKQPLLWQSFQNPNDHHNEYCDSNGSNNNNNTVASNGSSIEVFSSNENDNSMNMSSRSMTPFSAGNTSSQNKLENKMTDQEYKQTILTILSSERSSDVDQALLATLYPAPKNFNINFEIDDQGHTPLHWATAMANIPLIKMLITLNANALQCNKLGFNCITKSIFYNNCYKENAFDEIISILKICLITPDVNGRLPFHYLIELSVNKSKNPMIIKSYMDSIILSLGQQDYNLLKICLNYQDNIGNTPLHLSALNLNFEVYNRLVYLGASTDILNLDNESPASIMNKFNTPAGGSNSRNNNTKADRKLARNLPQKNYYQQQQQQQQPQNNVKIPKIIKTQHPDKEDSTADVNIAKTDSEVNESQYLHSNQPNSTNMNTIMEDLSNINSFVTSSVIKDIKSTPSKILENSPILYRRRSQSISDEKEKAKDNENQVEKKKDPLNSVKTAMPSLESPSSLLPIQMSPLGKYSKPLSQQINKLNTKVSSLQRIMGEEIKNLDNEVVETESSISNNKKRLITIAHQIEDAFDSVSNKTPINSISDLQSRIKETSSKLNSEKQNFIQSLEKSQALKLATIVQDEESKVDMNTNSSSHPEKQEDEEPIPKSTSETSSPKNTKADAKFSNTVQESYDVNETLRLATELTILQFKRRMTTLKISEAKSKINSSVKLDKYRNLIGITIENIDSKLDDIEKDLRANA
VIMSS6585671 1 349 0.357121776504298 PF12763.7:EF-hand_4:1:103,PF12761.7:End3:186:264,PF12761.7:End3:273:345 Actin cytoskeleton-regulatory complex protein END3; Endocytosis protein 3 349 255 37 349 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39013 1 SwissProt MPKLEQFEIKKYWQIFSGLKPIENKVNHDQVLPILYNSKLDSSVLNKIWFLADIDDDDNLDFEEFVICMRLIFDMVNKNISSVPDELPDWLIPGSKVNLIKERKKRKQIENADLPPKKEIKVDWYMSPDDLNQYEKIYNSCAKLTDGTITFNELSTKLSTKFFNISKTDLNKVWSLINPQNLPSIDRDPTFYFIHCLRQRNDLGAEIPASLPNSLAEVCNKKQLSYDLRSSQPPTKRKEEANEVDNLRDNGQNSSSDSSGSNVLSNEDSIKQKYASLTDDQVANMREQLEGLLNYKKSEKTQGGSKLSKRINIRSITDDLDNIEQQVEVLENYLNNKRHELQALQAEIN
VIMSS6586391 1 525 0.144774857142857 PF07247.12:AATase:28:521 Alcohol O-acetyltransferase 1; AATase 1; EC 2.3.1.84; EC 3.1.2.20 525 494 37 525 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40353 1 SwissProt MNEIDEKNQAPVQQECLKEMIQNGHARRMGSVEDLYVALNRQNLYRNFCTYGELSDYCTRDQLTLALREICLKNPTLLHIVLPTRWPNHENYYRSSEYYSRPHPVHDYISVLQELKLSGVVLNEQPEYSAVMKQILEEFKNSKGSYTAKIFKLTTTLTIPYFGPTGPSWRLICLPEEHTEKWKKFIFVSNHCMSDGRSSIHFFHDLRDELNNIKTPPKKLDYIFKYEEDYQLLRKLPEPIEKVIDFRPPYLFIPKSLLSGFIYNHLRFSSKGVCMRMDDVEKTDDVVTEIINISPTEFQAIKANIKSNIQGKCTITPFLHVCWFVSLHKWGKFFKPLNFEWLTDIFIPADCRSQLPDDDEMRQMYRYGANVGFIDFTPWISEFDMNDNKENFWPLIEHYHEVISEALRNKKHLHGLGFNIQGFVQKYVNIDKVMCDRAIGKRRGGTLLSNVGLFNQLEEPDAKYSICDLAFGQFQGSWHQAFSLGVCSTNVKGMNIVVASTKNVVGSQESLEELCSIYKALLLGP
VIMSS6586439 1 545 0.32855504587156 PF00134.23:Cyclin_N:43:195 G1/S-specific cyclin CLN2 545 153 37 545 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P20438 1 SwissProt MASAEPRPRMGLVINAKPDYYPIELSNAELLSHFEMLQEYHQEISTNVIAQSCKFKPNPKLIDQQPEMNPVETRSNIITFLFELSVVTRVTNGIFFHSVRLYDRYCSKRIVLRDQAKLVVATCLWLAAKTWGGCNHIINNVVIPTGGRFYGPNPRARIPRLSELVHYCGDGQVFDESMFLQMERHILDTLNWNIYEPMINDYVLNVDENCLMQYELYENQVTYDKQCSEKRQSQLSQDSDATVDERPYQNEEEEEEDLKLKIKLINLKKFLIDVSAWQYDLLRYELFEVSHGIFSIINQFTNQDHGPFLMTPMTSESKNGEILSTLMNGIVSIPNSLMEVYKTVNGVLPFINQVKEYHLDLQRKLQIASNLNISRKLTISTPSCSFENSNSTSIPSPASSSQSHTPMRNMSSLSDNSVFSRNMEQSSPITPSMYQFGQQQSNSICGSTVSVNSLVNTNNKQRIYEQITGPNSNNATNDYIDLLNLNESNKENQNPATAHYLNGGPPKTSFINHGMFPSPTGTINSGKSSSASSLISFGMGNTQVI
XP_006230958.1 1 137 0.862753284671533 PF10514.9:Bcl-2_BAD:43:137 bcl2-associated agonist of cell death isoform X1 220 95 37 137 0 Rattus norvegicus XP_006230958.1 1 RefSeq MGTPKQPSLAPAHALGLRKSDPGIRSLGSDAGGRRWRPAAQSMFQIPEFEPSEQEDASTTDRGLGPSLTEDQPGPYLAPGLLGSIVQQQPGQAANNSHHGGAGTMETRSRHSSYPAGTEEDEGMEEELSPFRGRSRS
XP_006250910.1 412 1069 0.82051990881459 RE1-silencing transcription factor isoform X1 1069 0 37 658 0 Rattus norvegicus XP_006250910.1 1 RefSeq PTCPSKTMDVSKVKLKKTKRREADLHRDAAAAATEQTDTEQAKTKGVDASARRSERPVKGVGKDVPKEKKPCSNASVVQVTTRTRKSAVETKAAEGKHTDGQTGNNAEKSSKAKKSKRKMDAEAHPSAEPVTEGPVTKKKKTESKPKTSGEVPKGSRVEDRKADKQQSASIKKGGKKTALKTKTAKKGSKLAPKGVGHTEPSSEMAQGGESPVPALTQAVVTPSGSTQTELSSPMDIAQTEPAQMDVSQTGPPQVQRPLPVEPAQLEPSPPQEPPQVEPPACVEPPPPVEPPCPMEPAEMEPSPPMEPSQVEPPPHLEPPLPMELPQVELPPVEDCQKELPSVEHAQTKVAQTGPTQVGAVQEEPLSVSEPPQVKLTRRSSPRKDRAKEKLSVLSEMARQEQVLIEVGLVPVRDSQLLKASKSAPDLPAPPSPLPKGHLRREETPKDQEMFSDGEGNKVSPLEKGGTEEAGESRAELAAPMESTSALSSEQSSNAPDGETLHSECQADSTAVCEMEVDTEQKTDRVPLKDSAVEPVSPLNPRVDPEAAAPAVVASPPITLAESQEIDEDEGIHSHDGSDLSDNMSEGSDDSGLHGARPAPQEATSKSGKEGLAVKVTEGEFVCIFCDRSFRKEKDYSKHLNRHLVNVYFLEEAAEEQE
XP_006517240.1 1 546 0.140873992673993 PF02690.15:Na_Pi_cotrans:275:395 sodium-dependent phosphate transport protein 2A isoform X1 546 121 37 395 7 Mus musculus XP_006517240.1 1 RefSeq MMSYSERLGGPAVSPLPVRGRHMVHGATFAYVPSPQVLHRIPGTSTYAISSLSPVTLTEHSCPCGEVLECHDPLPTKLAQEEEQKPVLEVSSAIPIIMGSNIGTSVTNTIVALMQAGDRTDFRRAFAGATVHDCFNWLSVLVLLPLEAATGYLHHVTGLVVASFNIRGGRDAPDLLKVITEPFTRLIIQLDKSVITSIAVGDESLRNHSLIRIWCHPDTTEASTSMSRVEAIGSLANTTMEKCNHIFVDTGLPDLAVGLILLAGSLVVLCTCLILLVKMLNSLLKGQVANVIQKVINTDFPAPFTWVTGYFAMVVGASMTFVVQSSSVFTSAITPLIGLGVISIERAYPLTLGSNIGTTTTAILAALASPREKLSSSFQIALCHFFFNISGILLWYPLPCTRLPIRMAKALGKRTAKYRWFAVLYLLVCFLLLPSLVFGISMAGWQAMVGVGTPFGALLAFVVLVNVLQSRSPGHLPKWLQTWDFLPRWMHSLQPLDGLITRATLCYARPEPRSPQLPPRVFLEELPPATPSPRLALPAHHNATRL
XP_006716430.1 1 843 0.540145077105575 lysine-specific demethylase hairless isoform X2 1135 0 37 843 0 Homo sapiens XP_006716430.1 1 RefSeq MESTPSFLKGTPTWEKTAPENGIVRQEPGSPPRDGLHHGPLCLGEPAPFWRGVLSTPDSWLPPGFPQGPKDMLPLVEGEGPQNGERKVNWLGSKEGLRWKEAMLTHPLAFCGPACPPRCGPLMPEHSGGHLKSDPVAFRPWHCPFLLETKILERAPFWVPTCLPPYLVSGLPPEHPCDWPLTPHPWVYSGGQPKVPSAFSLGSKGFYYKDPSIPRLAKEPLAAAEPGLFGLNSGGHLQRAGEAERPSLHQRDGEMGAGRQQNPCPLFLGQPDTVPWTSWPACPPGLVHTLGNVWAGPGDGNLGYQLGPPATPRCPSPEPPVTQRGCCSSYPPTKGGGLGPCGKCQEGLEGGASGASEPSEEVNKASGPRACPPSHHTKLKKTWLTRHSEQFECPRGCPEVEERPVARLRALKRAGSPEVQGAMGSPAPKRPPDPFPGTAEQGAGGWQEVRDTSIGNKDVDSGQHDEQKGPQDGQASLQDPGLQDIPCLALPAKLAQCQSCAQAAGEGGGHACHSQQVRRSPLGGELQQEEDTATNSSSEEGPGSGPDSRLSTGLAKHLLSGLGDRLCRLLRREREALAWAQREAGQGPAVTEDSPGIPRCCSRCHHGLFNTHWRCPRCSHRLCVACGRVAGTGRAREKAGFQEQSAEECTQEAGHAACSLMLTQFVSSQALAELSTAMHQVWVKFDIRGHCPCQADARVWAPGDAGQQKESTQKTPPTPQPSCNGDTHRTKSIKEETPDSAETPAEDRAGRGPLPCPSLCELLASTAVKLCLGHERIHMAFAPVTPALPSDDRITNILDSIIAQVVERKIQEKALGPGLRAGPGLRKGLGLPLSPVRPRLPPP
XP_011243626.1 192 665 0.711446835443037 disabled homolog 2 isoform X1 766 0 37 474 0 Mus musculus XP_011243626.1 1 RefSeq GSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNSPTESKDILLVDLNSEIDTNQNSLRENPFLTNGVTSCSLPRPKPQASFLPENAFSANLNFFPTPNPDPFRDDPFAQPDQSAPSSFDSLTSPDQKKASLSSSSTPQSKGPLNGDTDYFGQQFDQLSNRTGKPEAQGGPWPYPSSQTQQAVRTQNGVSEREQNGFHIKSSPNPFVGSPPKGLSVPNGVKQDLESSVQSSAHDSIAIIPPPQSTKPGRGRRTAKSSANDLLASDIFASEPPGQMSPTGQPAVPQSNFLDLFKGNAPAPVGPLVGLGTVPVTPPQAGPWTPVVYSPSTTVVPGAIISGQPPSFGQPLVFGTTPAVQVWNQSPSFATPASPPPPTVWCPTTSVAPNAWSSTSPLGNPFQSNNIFPPPTMSTQSSPQPMMSSVLATPPQPPPRNGPLKDIPSDAFTGLDPLGDKEVKEVKEMFKDFQLRQPPLVP
XP_011521017.1 797 1833 0.73580212150434 PF09494.10:Slx4:957:1013 structure-specific endonuclease subunit SLX4 isoform X2 1833 57 37 1037 0 Homo sapiens XP_011521017.1 1 RefSeq GKPWEEKEAENCESRAENFQELLRSMWADEEEEAETLLKSKDHEEDQENVNEAEMEEIYEFAATQRKLLQEERAAGAGEDADWLEGGSPVSGQLLAGVQVQKQWDKVEEMEPLEPGRDEAATTWEKMGQCALPPPQGQHSGARGAEAPEQEAPEEALGHSSCSSPSRDCQAERKEGSLPHSDDAGDYEQLFSSTQGEISEPSQITSEPEEQSGAVRERGLEVSHRLAPWQASPPHPCRFLLGPPQGGSPRGSHHTSGSSLSTPRSRGGTSQVGSPTLLSPAVPSKQKRDRSILTLSKEPGHQKGKERRSVLECRNKGVLMFPEKSPSIDLTQSNPDHSSSRSQKSSSKLNEEDEVILLLDSDEELELEQTKMKSISSDPLEEKKALEISPRSCELFSIIDVDADQEPSQSPPRSEAVLQQEDEGALPENRGSLGRRGAPWLFCDRESSPSEASTTDTSWLVPATPLASRSRDCSSQTQISSLRSGLAVQAVTQHTPRASVGNREGNEVAQKFSVIRPQTPPPQTPSSCLTPVSPGTSDGRRQGHRSPSRPHPGGHPHSSPLAPHPISGDRAHFSRRFLKHSPPGPSFLNQTPAGEVVEVGDSDDEQEVASHQANRSPPLDSDPPIPIDDCCWHMEPLSPIPIDHWNLERTGPLSTSSPSRRMNEAADSRDCRSPGLLDTTPIRGSCTTQRKLQEKSSGAGSLGNSRPSFLNSALWDVWDGEEQRPPETPPPAQMPSAGGAQKPEGLETPSANRKKNLPPKVPITPMPQYSIMETPVLKKELDRFGVRPLPKRQMVLKLKEIFQYTHQTLDSDSEDESQSSQPLLQAPHCQTLASQTYKPSRAGVHAQQEATTGPGAHRPKGPAKTKGPRHQRKHHESITPPSRSPTKEAPPGLNDDAQIPASQESVATSVDGSDSSLSSQSSSSCEFGAAFESAGEEEGEGEVSASQAAVQAADTDEALRCYIRSKPALYQKVLLYQPFELRELQAELRQNGLRVSSRRLLDFLDTHCITFTTAATRREKLQGRRRQPRGKKKVERN
XP_011527338.1 528 1061 0.538379026217229 zinc finger protein 217 isoform X1 1061 0 37 534 0 Homo sapiens XP_011527338.1 1 RefSeq DVAAEVKNDGKNQDTEDALLTADSAQTKNLKRFFDGAKDVTGSPPAKQLKEMPSVFQNVLGSAVLSPAHKDTQDFHKNAADDSADKVNKNPTPAYLDLLKKRSAVETQANNLICRTKADVTPPPDGSTTHNLEVSPKEKQTETAADCRYRPSVDCHEKPLNLSVGALHNCPAISLSKSLIPSITCPFCTFKTFYPEVLMMHQRLEHKYNPDVHKNCRNKSLLRSRRTGCPPALLGKDVPPLSSFCKPKPKSAFPAQSKSLPSAKGKQSPPGPGKAPLTSGIDSSTLAPSNLKSHRPQQNVGVQGAATRQQQSEMFPKTSVSPAPDKTKRPETKLKPLPVAPSQPTLGSSNINGSIDYPAKNDSPWAPPGRDYFCNRSASNTAAEFGEPLPKRLKSSVVALDVDQPGANYRRGYDLPKYHMVRGITSLLPQDCVYPSQALPPKPRFLSSSEVDSPNVLTVQKPYGGSGPLYTCVPAGSPASSSTLEGLGGCQCLLPMKLNFTSSFEKRMVKATEISCDCTVHKTYEESARNTTVV
NP_000402.3 1 726 0.283876859504132 PF03099.19:BPL_LplA_LipB:472:603,PF02237.17:BPL_C:669:716,PF09825.9:BPL_N:312:414 Biotin--protein ligase; Biotin apo-protein ligase; EC 6.3.4.-; EC 6.3.4.9; EC 6.3.4.10; EC 6.3.4.11; EC 6.3.4.15 726 283 36 726 0 Homo sapiens (Human) SwissProt::P50747 1 SwissProt MEDRLHMDNGLVPQKIVSVHLQDSTLKEVKDQVSNKQAQILEPKPEPSLEIKPEQDGMEHVGRDDPKALGEEPKQRRGSASGSEPAGDSDRGGGPVEHYHLHLSSCHECLELENSTIESVKFASAENIPDLPYDYSSSLESVADETSPEREGRRVNLTGKAPNILLYVGSDSQEALGRFHEVRSVLADCVDIDSYILYHLLEDSALRDPWTDNCLLLVIATRESIPEDLYQKFMAYLSQGGKVLGLSSSFTFGGFQVTSKGALHKTVQNLVFSKADQSEVKLSVLSSGCRYQEGPVRLSPGRLQGHLENEDKDRMIVHVPFGTRGGEAVLCQVHLELPPSSNIVQTPEDFNLLKSSNFRRYEVLREILTTLGLSCDMKQVPALTPLYLLSAAEEIRDPLMQWLGKHVDSEGEIKSGQLSLRFVSSYVSEVEITPSCIPVVTNMEAFSSEHFNLEIYRQNLQTKQLGKVILFAEVTPTTMRLLDGLMFQTPQEMGLIVIAARQTEGKGRGGNVWLSPVGCALSTLLISIPLRSQLGQRIPFVQHLMSVAVVEAVRSIPEYQDINLRVKWPNDIYYSDLMKIGGVLVNSTLMGETFYILIGCGFNVTNSNPTICINDLITEYNKQHKAELKPLRADYLIARVVTVLEKLIKEFQDKGPNSVLPLYYRYWVHSGQQVHLGSAEGPKVSIVGLDDSGFLQVHQEGGEVVTVHPDGNSFDMLRNLILPKRR
NP_001030924.1 70 645 0.606328124999999 Protein LHY; MYB-related transcription factor LHY; Protein LATE ELONGATED HYPOCOTYL 645 0 36 576 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6R0H1 1 SwissProt TKLEKEAEVKGIPVCQALDIEIPPPRPKRKPNTPYPRKPGNNGTSSSQVSSAKDAKLVSSASSSQLNQAFLDLEKMPFSEKTSTGKENQDENCSGVSTVNKYPLPTKQVSGDIETSKTSTVDNAVQDVPKKNKDKDGNDGTTVHSMQNYPWHFHADIVNGNIAKCPQNHPSGMVSQDFMFHPMREETHGHANLQATTASATTTASHQAFPACHSQDDYRSFLQISSTFSNLIMSTLLQNPAAHAAATFAASVWPYASVGNSGDSSTPMSSSPPSITAIAAATVAAATAWWASHGLLPVCAPAPITCVPFSTVAVPTPAMTEMDTVENTQPFEKQNTALQDQNLASKSPASSSDDSDETGVTKLNADSKTNDDKIEEVVVTAAVHDSNTAQKKNLVDRSSCGSNTPSGSDAETDALDKMEKDKEDVKETDENQPDVIELNNRKIKMRDNNSNNNATTDSWKEVSEEGRIAFQALFARERLPQSFSPPQVAENVNRKQSDTSMPLAPNFKSQDSCAADQEGVVMIGVGTCKSLKTRQTGFKPYKRCSMEVKESQVGNINNQSDEKVCKRLRLEGEAST
NP_001137780.2 172 1366 0.400542928870292 timeless, isoform R 1366 0 36 1195 0 Drosophila melanogaster NP_001137780.2 1 RefSeq ETHAHCVMPMMQSMPHGISMQNTILWNLFIQSIDKLLLYLMTCPQRAFWGVTMVQLIALIYKDQHGSGDSSPMLTSDPTSDSSDNGSNGRGMGGGMREGTAATLQEVSRKGQEYQNAMARVPADKPDGSEEASDMTGNDSEQPGSPEQSQPAGESMDDGDYEDQRHRQLNEHGEEDEDEDEVEEEEYLQLGPASEPLNLTQQPADKVNNTTNPTSSAPQGCLGNEPFKPPPPLPVRASTSAHAQMQKFNESSYASHVSAVKLGQKSPHAGQLQLTKGKCCPQKRECPSSQSELSDCGYGTQVENQESISTSSNDDDGPQGKPQHQKPPCNTKPRNKPRTIMSPMDKKELRRKKLVKRSKSSLINMKGLVQHTPTDDDISNLLKEFTVDFLLKGYSYLVEELHMQLLSNAKVPIDTSHFFWLVTYFLKFAAQLELDMEHIDTILTYDVLSYLTYEGVSLCEQLELNARQEGSDLKPYLRRMHLVVTAIREFLQAIDTYNKVTHLNEDDKAHLRQLQLQISEMSDLRCLFVLLLRRFNPSIHSKQYLQDLVVTNHILLLILDSSAKLGGCQTIRLSEHITQFATLEVMHYYGILLEDFNNNGEFVNDCIFTMMHHIGGDLGQIGVLFQPIILKTYSRIWEADYELCDDWSDLIEYVIHKFMNTPPKSPLTIPTTSLTEMTKEHNQEHTVCSWSQEEMDTLYWYYVQSKKNNDIVGKIVKLFSNNGNKLKTRISIIQQLLQQDIITLLEYDDLMKFEDAEYQRTLLTTPTSATTESGIEIKECAYGKPSDDVQILLDLIIKENKAQHLLWLQRILIECCFVKLTLRSGLKVPEGDHIMEPVAYHCICKQKSIPVVQWNNEQSTTMLYQPFVLLLHKLGIQLPADAGSIFARIPDYWTPETMYGLAKKLGPLDKLNLKFDASELEDATASSPSRYHHTGPRNSLSSVSSLDVDLGDTEELALIPEVDAAVEKAHAMASTPSPSEIFAVPKTKHCNSIIRYTPDPTPPVPNWLQLVMRSKCNHRTGPSGDPSDCIGSSSTTVDDEGFGKSISAATSQAASTSMSTVNPTTTLSLNMLNTFMGSHNENSSSSGCGGTVSSLSMVALMSTGAAGGGGNTSGLEMDVDASMKSSFERLEVNGSHFSRANNLDQEYSAMVASVYEKEKELNSDNVSLASDLTRMYVSDEDDRLERTEIRVPHYH
NP_001162104.1 300 1327 0.737715175097275 insulin receptor substrate 2 1327 0 36 1028 0 Rattus norvegicus NP_001162104.1 1 RefSeq PRSKSQSSGSSATHPISVPGARRHHHLVNLPPSQTGLVRRSRTDSLAATPPAAKCTSCRVRTASEGDGGAAGGAGTAGGRPMSVAGSPLSPGPVRAPLSRSHTLSAGCGGRPSKVALAPAGGALQHSRSMSMPVAHSPPAATSPGSLSSSSGHGSGSYPLPPGSHPHLPHPLHHPQCQRPSSGSASASGSPSDPGFMSLDEYGSSPGDLRAFSSHRSNTPESIAETPPARDGSGGELYGYMSMDRPLSHCGRPYRRVSGDGAQDLDRGLRKRTYSLTTPARQRQVPQPSSASLDEYTLMRATFSGSSGRLCPSLPASSPKVAYNPYPEDYGDIEIGSHKSSSSNLGADDGYMPMTPGAALRSGGPNSCKSDDYMPMSPTSVSAPKQILQPRSAAALPPSGAAVPAPPSGAGRTFPVNGGGYKASSPAESSPEDSGYMRMWCGSKLSMENPDPKLLPNGDYLNMSPSEAGTAGTPPDFFSAALRPGGEALKGVPGHCYSSLPRSYKAPCTCGGGDNDQYVLMSSPVGRILEEERLEPQATPGAGTFGAAGGSHTQPHHSAVPSSMRPSGIVGRPEGFLGQRCRAVRPTRLSLEGLQTLPSMQEYPLPTEPKSPGEYINIDFGEGGTRLSPPAPPLLASAASSSSLLSASSPASSLGSGTPGTSSDSRQRSPLSDYMNLDFSSPKSPKPSTRSGDTVGSIDGLLSPEASSPYPPLPPRPSASPSSLQQPLPPAPGDLYRLPPATAATSQGPTAGSSMSSEPGDNGDYTEMAFGVAATPPQPIAAPPKPEGARVTSPTSGLKRLSLMDQVSGVEAFLQVSQPPDPHRGAKVIRADPQGGRRRHSSETFSSTTTVTPVSPSFAHNSKRHNSASVENVSLRKSSEGNSILGGSDEPSTSPGQAQPSAGVPPAPQARPWNPGQPGALIGCPGGSSSPMRRETSVGFQNGLNYIAIDVRGEQGSLAQSQPQHPQPGDKNSWGRTRSLGGLLGTVGGSGTSGVCGGPGTGALPSASTYASIDFLSHHLKEATVVKE
NP_001165908.1 269 755 0.321180287474332 PF08284.11:RVP_2:175:275 retrotransposon-derived protein PEG10 isoform 3 784 101 36 487 0 Homo sapiens NP_001165908.1 1 RefSeq QDLDWNEPALIDQYHEGLSDHIQEELSHLEVAKSLSALIGQCIHIERRLARAAAARKPRSPPRALVLPHIASHHQVDPTEPVGGARMRLTQEEKERRRKLNLCLYCGTGGHYADNCPAKASKSSPAGKLPGPAVEGPSATGPEIIRSPQDDASSPHLQVMLQIHLPGRHTLFVRAMIDSGASGNFIDHEYVAQNGIPLRIKDWPILVEAIDGRPIASGPVVHETHDLIVDLGDHREVLSFDVTQSPFFPVVLGVRWLSTHDPNITWSTRSIVFDSEYCRYHCRMYSPIPPSLPPPAPQPPLYYPVDGYRVYQPVRYYYVQNVYTPVDEHVYPDHRLVDPHIEMIPGAHSIPSGHVYSLSEPEMAALRDFVARNVKDGLITPTIAPNGAQVLQVKRGWKLQVSYDCRAPNNFTIQNQYPRLSIPNLEDQAHLATYTEFVPQIPGYQTYPTYAAYPTYPVGFAWYPVGRDGQGRSLYVPVMITWNPHWY
NP_001240774.1 1 128 0.5733953125 Protein ADM2; Intermedin 148 0 36 128 0 Homo sapiens (Human) SwissProt::Q7Z4H4 1 SwissProt MARIPTAALGCISLLCLQLPGSLSRSLGGDPRPVKPREPPARSPSSSLQPRHPAPRPVVWKLHRALQAQRGAGLAPVMGQPLRDGGRQHSGPRRHSGPRRTQAQLLRVGCVLGTCQVQNLSHRLWQLM
NP_001292473.1 313 783 0.690155626326964 E3 ubiquitin-protein ligase RNF43; RING finger protein 43; RING-type E3 ubiquitin transferase RNF43; EC 2.3.2.27 783 0 36 471 0 Homo sapiens (Human) SwissProt::Q68DV7 1 SwissProt MFNITEGDSFSQSLGPSRSYQEPGRRLHLIRQHPGHAHYHLPAAYLLGPSRSAVARPPRPGPFLPSQEPGMGPRHHRFPRAAHPRAPGEQQRLAGAQHPYAQGWGLSHLQSTSQHPAACPVPLRRARPPDSSGSGESYCTERSGYLADGPASDSSSGPCHGSSSDSVVNCTDISLQGVHGSSSTFCSSLSSDFDPLVYCSPKGDPQRVDMQPSVTSRPRSLDSVVPTGETQVSSHVHYHRHRHHHYKKRFQWHGRKPGPETGVPQSRPPIPRTQPQPEPPSPDQQVTRSNSAAPSGRLSNPQCPRALPEPAPGPVDASSICPSTSSLFNLQKSSLSARHPQRKRRGGPSEPTPGSRPQDATVHPACQIFPHYTPSVAYPWSPEAHPLICGPPGLDKRLLPETPGPCYSNSQPVWLCLTPRQPLEPHPPGEGPSEWSSDTAEGRPCPYPHCQVLSAQPGSEEELEELCEQAV
NP_003962.3 463 1307 0.420683905325444 C-Jun-amino-terminal kinase-interacting protein 4 isoform 3 1307 0 36 845 0 Homo sapiens NP_003962.3 1 RefSeq RAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNTTKKPEPPVNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQVKAHVQKEDGRVQAFGWSLPQKYKQVTNGQGENKMKNLPVPVYLRPLDEKDTSMKLWCAVGVNLSGGKTRDGGSVVGASVFYKDVAGLDTEGSKQRSASQSSLDKLDQELKEQQKELKNQEELSSLVWICTSTHSATKVLIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEDLSESGQVDKASLCGSMTSNSSAETDSLLGGITVVGCSAEGVTGAATSPSTNGASPVMDKPPEMEAENSEVDENVPTAEEATEATEGNAGSAEDTVDISQTGVYTEHVFTDPLGVQIPEDLSPVYQSSNDSDAYKDQISVLPNEQDLVREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRKCLHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRCMTVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTLRLYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPLTETNKTSGVPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAVPGQVISPQSSSSGTDLTGDKAGPSAQEPGSQTPLKSMLVISGGEGYIDFRMGDEGGESELLGEDLPLEPSVTKAERSHLIVWQVMYGNE
NP_031681.2 1 220 0.228287272727273 PF00047.25:ig:35:103,PF13927.6:Ig_3:36:103,PF02189.15:ITAM:179:198 B-cell antigen receptor complex-associated protein alpha chain; Ig-alpha; MB-1 membrane glycoprotein; Membrane-bound immunoglobulin-associated protein; Surface IgM-associated protein; CD79a antigen 220 89 36 197 1 Mus musculus (Mouse) SwissProt::P11911 1 SwissProt MPGGLEALRALPLLLFLSYACLGPGCQALRVEGGPPSLTVNLGEEARLTCENNGRNPNITWWFSLQSNITWPPVPLGPGQGTTGQLFFPEVNKNHRGLYWCQVIENNILKRSCGTYLRVRNPVPRPFLDMGEGTKNRIITAEGIILLFCAVVPGTLLLFRKRWQNEKFGVDMPDDYEDENLYEGLNLDDCSMYEDISRGLQGTYQDVGNLHIGDAQLEKP
NP_034378.2 118 666 0.706026411657559 GRB2-associated-binding protein 2 isoform 1 666 0 36 549 0 Mus musculus NP_034378.2 1 RefSeq CGFNQAEESTDSLRNLSSASHGPRSSPAEFSSSQHLLRERKSSAPSHSSQPTLFTFEPPVSSHMQPTLSTSAPQEYLYLHQCISRRTENARSASFSQGTRQKSDTAVQKLAQSNGHCINGVGGQVHGFYSLPKPSRHNTEFKDSTYDLPRSLASHGHTKSSLTGSETDNEDVYTFKMPSNTLCRELGDLLVDNMDVPTTPLSAYQIPRTFTLDKNHNAMTVATPGDSAIAPPPRPPKPSQAETPQWGSIQQRPPISENSRSVAATIPRRNTLPAMDNSRLHRASSCETYEYPARGSGESASWSAEPPGKTAVGRSNSASSDDNYVPMNPGSSTLLAMERPGDNSQSVYIPMSPGPHHFDPLGYPSTALPIHRGPSRGSEIQPPPVNRNLKPDRKAKPTPLDLRNNTVIDELPFKSPVTKSWSRINSHTFNSSSSQYCRPISTQSITSTDSGDSEENYVPMQNPVSASPVPSGTNSPAPKKSTGSVDYLALDFQPGSPSPHRKPSTSSVTSDEKVDYVQVDKEKTQALQNTMQEWTDVRQSSEPSKGAKL
NP_034387.3 1 101 0.541461386138614 PF00918.17:Gastrin:46:99 Gastrin 101 54 36 101 0 Mus musculus (Mouse) SwissProt::P48757 1 SwissProt MPRLCVYMLVLVLALATFSEASWKPRSQLQDASSGPGTNEDLEQRQFNKLGSASHHRRQLGPQGPQHFIADLSKKQRPRMEEEEEAYGWMDFGRRSAEEDQ
NP_035299.4 260 608 0.643101146131805 prolactin receptor isoform 1 precursor 608 0 36 349 0 Mus musculus NP_035299.4 1 RefSeq TCIFPPVPGPKIKGFDTHLLEKGKSEELLSALGCQDFPPTSDCEDLLVEFLEVDDNEDERLMPSHSKEYPGQGVKPTHLDPDSDSGHGSYDSHSLLSEKCEEPQAYPPAFHIPEITEKPENPEANIPPTPNPQNNTPNCHTDTSKSTTWPLPPGQHTRRSPYHSIADVCKLAGSPGDTLDSFLDKAEENVLKLSEDAGEEEVAVQEGAKSFPSDKQNTSWPPLQEKGPIVYAKPPDYVEIHKVNKDGVLSLLPKQRENHQTENPGVPETSKEYAKVSGVTDNNILVLVPDSRAQNTALLEESAKKVPPSLEQNQSEKDLASFTATSSNCRLQLGRLDYLDPTCFMHSFH
NP_056581.1 1 228 0.298286403508772 PF09294.10:Interfer-bind:129:206 interleukin-27 subunit beta precursor 228 78 36 228 0 Mus musculus NP_056581.1 1 RefSeq MSKLLFLSLALWASRSPGYTETALVALSQPRVQCHASRYPVAVDCSWTPLQAPNSTRSTSFIATYRLGVATQQQSQPCLQRSPQASRCTIPDVHLFSTVPYMLNVTAVHPGGASSSLLAFVAERIIKPDPPEGVRLRTAGQRLQVLWHPPASWPFPDIFSLKYRLRYRRRGASHFRQVGPIEATTFTLRNSKPHAKYCIQVSAQDLTDYGKPSDWSLPGQVESAPHKP
NP_062563.3 1 199 0.258186432160804 PF04089.14:BRICHOS:70:163 Gastrokine-1; 18 kDa antrum mucosa protein; AMP-18; Protein CA11 199 94 36 176 1 Homo sapiens (Human) SwissProt::Q9NS71 1 SwissProt MLAYSSVHCFREDKMKFTIVFAGLLGVFLAPALANYNINVNDDNNNAGSGQQSVSVNNEHNVANVDNNNGWDSWNSIWDYGNGFAATRLFQKKTCIVHKMNKEVMPSIQSLDALVKEKKLQGKGPGGPPPKGLMYSVNPNKVDDLSKFGKNIANMCRGIPTYMAEEMQEASLFFYSGTCYTTSVLWIVDISFCGDTVEN
NP_067613.1 56 125 0.228308571428571 B-cell antigen receptor complex-associated protein beta chain isoform 2 precursor 125 0 36 52 1 Homo sapiens NP_067613.1 0 RefSeq GIIMIQTLLIILFIIVPIFLLLDKDDSKAGMEEDHTYEGLDIDQTATYEDIVTLRTGEVKWSVGEHPGQE
NP_174554.1 180 358 0.501706145251397 NAC domain-containing protein 12; ANAC012; Protein NAC SECONDARY WALL THICKENING PROMOTING 3; Protein SECONDARY WALL-ASSOCIATED NAC DOMAIN PROTEIN 1 358 0 36 179 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LPI7 1 SwissProt YQKIDDCPKITLSSLPDDTEEEKGPTFHNTQNVTGLDHVLLYMDRTGSNICMPESQTTTQHQDDVLFMQLPSLETPKSESPVDQSFLTPSKLDFSPVQEKITERPVCSNWASLDRLVAWQLNNGHHNPCHRKSFDEEEENGDTMMQRWDLHWNNDDNVDLWSSFTESSSSLDPLLHLSV
NP_180896.1 1 236 0.254988559322034 PF05042.13:Caleosin:58:226 Probable peroxygenase 3; AtPXG3; Caleosin-3; Protein RESPONSIVE TO DESICCATION 20; EC 1.11.2.3 236 169 36 236 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22788 1 SwissProt MAGEAEALATTAPLAPVTSQRKVRNDLEETLPKPYMARALAAPDTEHPNGTEGHDSKGMSVMQQHVAFFDQNDDGIVYPWETYKGFRDLGFNPISSIFWTLLINLAFSYVTLPSWVPSPLLPVYIDNIHKAKHGSDSSTYDTEGRYVPVNLENIFSKYALTVKDKLSFKEVWNVTEGNRMAIDPFGWLSNKVEWILLYILAKDEDGFLSKEAVRGCFDGSLFEQIAKERANSRKQD
NP_189056.2 1 414 0.501770531400966 PF00010.26:HLH:195:246 Transcription factor FAMA; Basic helix-loop-helix protein 97; AtbHLH97; bHLH 97; Transcription factor EN 14; bHLH transcription factor bHLH097 414 52 36 414 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q56YJ8 1 SwissProt MDKDYSAPNFLGESSGGNDDNSSGMIDYMFNRNLQQQQKQSMPQQQQHQLSPSGFGATPFDKMNFSDVMQFADFGSKLALNQTRNQDDQETGIDPVYFLKFPVLNDKIEDHNQTQHLMPSHQTSQEGGECGGNIGNVFLEEKEDQDDDNDNNSVQLRFIGGEEEDRENKNVTKKEVKSKRKRARTSKTSEEVESQRMTHIAVERNRRKQMNEHLRVLRSLMPGSYVQRGDQASIIGGAIEFVRELEQLLQCLESQKRRRILGETGRDMTTTTTSSSSPITTVANQAQPLIITGNVTELEGGGGLREETAENKSCLADVEVKLLGFDAMIKILSRRRPGQLIKTIAALEDLHLSILHTNITTMEQTVLYSFNVKITSETRFTAEDIASSIQQIFSFIHANTNISGSSNLGNIVFT
NP_216661.1 61 260 0.6537505 Cell wall synthesis protein Wag31; Antigen 84 260 0 36 200 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMU1 1 SwissProt AAGGGAGVTPQATQAIPAYEPEPGKPAPAAVSAGMNEEQALKAARVLSLAQDTADRLTNTAKAESDKMLADARANAEQILGEARHTADATVAEARQRADAMLADAQSRSEAQLRQAQEKADALQADAERKHSEIMGTINQQRAVLEGRLEQLRTFEREYRTRLKTYLESQLEELGQRGSAAPVDSNADAGGFDQFNRGKN
NP_418465.4 1 807 0.201726889714994 PF01553.21:Acyltransferase:282:424 glycerol-3-phosphate 1-O-acyltransferase (EC 2.3.1.15) 807 143 36 807 0 Escherichia coli K-12 substr. MG1655 ecocyc::GLYCEROL-3-P-ACYLTRANSFER-MONOMER 1 ecocyc MSGWPRIYYKLLNLPLSILVKSKSIPADPAPELGLDTSRPIMYVLPYNSKADLLTLRAQCLAHDLPDPLEPLEIDGTLLPRYVFIHGGPRVFTYYTPKEESIKLFHDYLDLHRSNPNLDVQMVPVSVMFGRAPGREKGEVNPPLRMLNGVQKFFAVLWLGRDSFVRFSPSVSLRRMADEHGTDKTIAQKLARVARMHFARQRLAAVGPRLPARQDLFNKLLASRAIAKAVEDEARSKKISHEKAQQNAIALMEEIAANFSYEMIRLTDRILGFTWNRLYQGINVHNAERVRQLAHDGHELVYVPCHRSHMDYLLLSYVLYHQGLVPPHIAAGINLNFWPAGPIFRRLGAFFIRRTFKGNKLYSTVFREYLGELFSRGYSVEYFVEGGRSRTGRLLDPKTGTLSMTIQAMLRGGTRPITLIPIYIGYEHVMEVGTYAKELRGATKEKESLPQMLRGLSKLRNLGQGYVNFGEPMPLMTYLNQHVPDWRESIDPIEAVRPAWLTPTVNNIAADLMVRINNAGAANAMNLCCTALLASRQRSLTREQLTEQLNCYLDLMRNVPYSTDSTVPSASASELIDHALQMNKFEVEKDTIGDIIILPREQAVLMTYYRNNIAHMLVLPSLMAAIVTQHRHISRDVLMEHVNVLYPMLKAELFLRWDRDELPDVIDALANEMQRQGLITLQDDELHINPAHSRTLQLLAAGARETLQRYAITFWLLSANPSINRGTLEKESRTVAQRLSVLHGINAPEFFDKAVFSSLVLTLRDEGYISDSGDAEPAETMKVYQLLAELITSDVRLTIESATQGEG
NP_444477.2 132 318 0.319006951871658 Lymphatic vessel endothelial hyaluronic acid receptor 1; LYVE-1; Cell surface retention sequence-binding protein 1; CRSBP-1; Extracellular link domain-containing protein 1 318 0 36 164 1 Mus musculus (Mouse) SwissProt::Q8BHC0 1 SwissProt DTWVNSCIPEIVTTFYPVLDTQTPATEFSVSSSAYLASSPDSTTPVSATTRAPPLTSMARKTKKICITEVYTEPITMATETEAFVASGAAFKNEAAGFGGVPTALLVLALLFFGAAAVLAVCYVKRYVKAFPFTTKNQQKEMIETKVVKEEKADDVNANEESKKTIKNPEEAKSPPKTTVRCLEAEV
NP_509755.2 1 224 0.477332589285715 Transcription factor elt-2 433 0 36 224 0 Caenorhabditis elegans SwissProt::Q10655 1 SwissProt MDNNYNDNVNGWAEMEPSQPMGGLRLPTQNMDPPEQNNESQLSELPRMKIDNDYASPIERQSVITSGTNNYEPKVETVTSFFHTGIDYSNFGMLDQTTMQPFYPLYSGIPVNTLGTFSGYTNSIYDKPSLYDPSIPTINIPSTYPTVAPTYECVKCSQSCGAGMKAVNGGMMCVNCSTPKTTYSPPVAYSTSLGQPPILEIPSEQPTAKIAKQSSKKSSSSNRG
NP_564486.1 1 341 0.653473900293255 PF00170.21:bZIP_1:196:254,PF07716.15:bZIP_2:194:245 Transcription factor VIP1; Protein SULPHATE UTILIZATION EFFICIENCY 3; VirE2-interacting protein 1; AtVIP1; bZIP transcription factor 51; AtbZIP51; bZIP protein 51 341 61 36 341 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MA75 1 SwissProt MEGGGRGPNQTILSEIEHMPEAPRQRISHHRRARSETFFSGESIDDLLLFDPSDIDFSSLDFLNAPPPPQQSQQQPQASPMSVDSEETSSNGVVPPNSLPPKPEARFGRHVRSFSVDSDFFDDLGVTEEKFIATSSGEKKKGNHHHSRSNSMDGEMSSASFNIESILASVSGKDSGKKNMGMGGDRLAELALLDPKRAKRILANRQSAARSKERKIRYTGELERKVQTLQNEATTLSAQVTMLQRGTSELNTENKHLKMRLQALEQQAELRDALNEALRDELNRLKVVAGEIPQGNGNSYNRAQFSSQQSAMNQFGNKTNQQMSTNGQPSLPSYMDFTKRG
NP_612493.1 97 292 0.401197448979592 PF07557.11:Shugoshin_C:109:131 shugoshin 1 isoform 1KL 292 23 36 196 0 Homo sapiens NP_612493.1 1 RefSeq YALKGKLTSQQTVEPAQNQEICSSGMDPNSDDSSRNLFVKDLPQIPLEETELPGQGESFQIEATPPETQQSPHLSLKDITNVSLYPVVKIRRLSLSPKKNKASPAVALPKRRCTASVNYKEPTLASKLRRGDPFTDLCFLNSPIFKQKKDLRRSKKRALEVSPAKEAIFILYYVREFVSRFPDCRKCKLETHICLR
NP_659077.1 1 194 0.907401030927835 PF05395.12:DARPP-32:2:173 protein phosphatase 1 regulatory subunit 1B isoform 1 194 172 36 194 0 Mus musculus NP_659077.1 1 RefSeq MDPKDRKKIQFSVPAPPSQLDPRQVEMIRRRRPTPAMLFRVSEHSSPEEEASPHQRTSGEGHHPKSKRPNPCAYTPPSLKAVQHLQTISNLSENQASEEEDELGELRELGYPQEDDEEDEDEEEDEEEDSQAEVLKGSRGTVGQKPTCGRGLEGPWERPPPLDEPQRDGNSEDQVEGRATLSEPGEEPQHPSPP
NP_740754.1 1 570 0.111931228070176 PF00118.24:Cpn60_TCP1:29:569 McKusick-Kaufman/Bardet-Biedl syndromes putative chaperonin; Bardet-Biedl syndrome 6 protein 570 541 36 570 0 Homo sapiens (Human) SwissProt::Q9NPJ1 1 SwissProt MSRLEAKKPSLCKSEPLTTERVRTTLSVLKRIVTSCYGPSGRLKQLHNGFGGYVCTTSQSSALLSHLLVTHPILKILTASIQNHVSSFSDCGLFTAILCCNLIENVQRLGLTPTTVIRLNKHLLSLCISYLKSETCGCRIPVDFSSTQILLCLVRSILTSKPACMLTRKETEHVSALILRAFLLTIPENAEGHIILGKSLIVPLKGQRVIDSTVLPGILIEMSEVQLMRLLPIKKSTALKVALFCTTLSGDTSDTGEGTVVVSYGVSLENAVLDQLLNLGRQLISDHVDLVLCQKVIHPSLKQFLNMHRIIAIDRIGVTLMEPLTKMTGTQPIGSLGSICPNSYGSVKDVCTAKFGSKHFFHLIPNEATICSLLLCNRNDTAWDELKLTCQTALHVLQLTLKEPWALLGGGCTETHLAAYIRHKTHNDPESILKDDECTQTELQLIAEAFCSALESVVGSLEHDGGEILTDMKYGHLWSVQADSPCVANWPDLLSQCGCGLYNSQEELNWSFLRSTRRPFVPQSCLPHEAVGSASNLTLDCLTAKLSGLQVAVETANLILDLSYVIEDKN
NP_940988.2 1 395 0.163068860759494 PF14634.6:zf-RING_5:25:73,PF13445.6:zf-RING_UBOX:26:57 E3 ubiquitin-protein ligase NHLRC1; Malin; NHL repeat-containing protein 1; RING-type E3 ubiquitin transferase NHLRC1; EC 2.3.2.27 395 49 36 395 0 Homo sapiens (Human) SwissProt::Q6VVB1 1 SwissProt MAAEASESGPALHELMREAEISLLECKVCFEKFGHRQQRRPRNLSCGHVVCLACVAALAHPRTLALECPFCRRACRGCDTSDCLPVLHLIELLGSALRQSPAAHRAAPSAPGALTCHHTFGGWGTLVNPTGLALCPKTGRVVVVHDGRRRVKIFDSGGGCAHQFGEKGDAAQDIRYPVDVTITNDCHVVVTDAGDRSIKVFDFFGQIKLVIGGQFSLPWGVETTPQNGIVVTDAEAGSLHLLDVDFAEGVLRRTERLQAHLCNPRGVAVSWLTGAIAVLEHPLALGTGVCSTRVKVFSSSMQLVGQVDTFGLSLYFPSKITASAVTFDHQGNVIVADTSGPAILCLGKPEEFPVPKPMVTHGLSHPVALTFTKENSLLVLDTASHSIKVYKVDWG
P02765 1 367 0.387532970027248 PF00031.21:Cystatin:153:237 Alpha-2-HS-glycoprotein; Alpha-2-Z-globulin; Ba-alpha-2-glycoprotein; Fetuin-A 367 85 36 367 0 Homo sapiens (Human) SwissProt::P02765 1 SwissProt MKSLVLLLCLAQLWGCHSAPHGPGLIYRQPNCDDPETEEAALVAIDYINQNLPWGYKHTLNQIDEVKVWPQQPSGELFEIEIDTLETTCHVLDPTPVARCSVRQLKEHAVEGDCDFQLLKLDGKFSVVYAKCDSSPDSAEDVRKVCQDCPLLAPLNDTRVVHAAKAALAAFNAQNNGSNFQLEEISRAQLVPLPPSTYVEFTVSGTDCVAKEATEAAKCNLLAEKQYGFCKATLSEKLGGAEVAVTCMVFQTQPVSSQPQPEGANEAVPTPVVDPDAPPSPPLGAPGLPPAGSPPDSHVLLAAPPGHQLHRAHYDLRHTFMGVVSLGSPSGEVSHPRKTRTVVQPSVGAAAGPVVPPCPGRIRHFKV
P33241 1 339 0.774169616519173 PF02029.15:Caldesmon:191:286 Lymphocyte-specific protein 1 339 96 36 339 0 Homo sapiens P33241 1 SwissProt/TReMBL MAEASSDPGAEEREELLGPTAQWSVEDEEEAVHEQCQHERDRQLQAQDEEGGGHVPERPKQEMLLSLKPSEAPELDEDEGFGDWSQRPEQRQQHEGAQGALDSGEPPQCRSPEGEQEDRPGLHAYEKEDSDEVHLEELSLSKEGPGPEDTVQDNLGAAGAEEEQEEHQKCQQPRTPSPLVLEGTIEQSSPPLSPTTKLIDRTESLNRSIEKSNSVKKSQPDLPISKIDQWLEQYTQAIETAGRTPKLARQASIELPSMAVASTKSRWETGEVQAQSAAKTPSCKDIVAGDMSKKSLWEQKGGSKTSSTIKSTPSGKRYKFVATGHGKYEKVLVEGGPAP
Q12749 162 1003 0.362726365795725 Structural maintenance of chromosomes protein 6; DNA repair protein RHC18; Rad18 homolog 1114 0 36 842 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12749 1 SwissProt GAYQQGIFGNEIIVERIIKRDGPASFSLRSENGKEISNKKKDIQTVVDYFSVPVSNPMCFLSQDAARSFLTASTSQDKYSHFMKGTLLQEITENLLYASAIHDSAQENMALHLENLKSLKAEYEDAKKLLRELNQTSDLNERKMLLQAKSLWIDVAHNTDACKNLENEISGIQQKVDEVTEKIRNRQEKIERYTSDGTTIEAQIDAKVIYVNEKDSEHQNARELLRDVKSRFEKEKSNQAEAQSNIDQGRKKVDALNKTIAHLEEELTKEMGGDKDQMRQELEQLEKANEKLREVNNSLVVSLQDVKNEERDIQHERESELRTISRSIQNKKVELQNIAKGNDTFLMNFDRNMDRLLRTIEQRKNEFETPAIGPLGSLVTIRKGFEKWTRSIQRAISSSLNAFVVSNPKDNRLFRDIMRSCGIRSNIPIVTYCLSQFDYSKGRAHGNYPTIVDALEFSKPEIECLFVDLSRIERIVLIEDKNEARNFLQRNPVNVNMALSLRDRRSGFQLSGGYRLDTVTYQDKIRLKVNSSSDNGTQYLKDLIEQETKELQNIRDRYEEKLSEVRSRLKEIDGRLKSTKNEMRKTNFRMTELKMNVGKVVDTGILNSKINERKNQEQAIASYEAAKEELGLKIEQIAQEAQPIKEQYDSTKLALVEAQDELQQLKEDINSRQSKIQKYKDDTIYYEDKKKVYLENIKKIEVNVAALKEGIQRQIQNACAFCSKERIENVDLPDTQEEIKRELDKVSRMIQKAEKSLGLSQEEVIALFEKCRNKYKEGQKKYMEIDEALNRLHNSLKARDQNYKNAEKGTCFDADMDFRASLKVRKFSGNLSFIKDTKSLEI
VIMSS10079051 1 209 0.413978468899522 PF00804.25:Syntaxin:45:207 Syntaxin-related protein KNOLLE; Syntaxin-111; AtSYP111 310 163 36 209 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42374 1 SwissProt MNDLMTKSFMSYVDLKKAAMKDMEAGPDFDLEMASTKADKMDENLSSFLEEAEYVKAEMGLISETLARIEQYHEESKGVHKAESVKSLRNKISNEIVSGLRKAKSIKSKLEEMDKANKEIKRLSGTPVYRSRTAVTNGLRKKLKEVMMEFQGLRQKMMSEYKETVERRYFTVTGEHANDEMIEKIITDNAGGEEFLTRAIQEHGKGKVL
VIMSS10079306 1 1399 0.286562330235882 PF01326.19:PPDK_N:1196:1398 Alpha-glucan water dikinase 1, chloroplastic; Protein starch excess 1; Protein starch-related R1; EC 2.7.9.4 1399 203 36 1399 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SAC6 1 SwissProt MSNSVVHNLLNRGLIRPLNFEHQNKLNSSVYQTSTANPALGKIGRSKLYGKGLKQAGRSLVTETGGRPLSFVPRAVLAMDPQAAEKFSLDGNIDLLVEVTSTTVREVNIQIAYTSDTLFLHWGAILDNKENWVLPSRSPDRTQNFKNSALRTPFVKSGGNSHLKLEIDDPAIHAIEFLIFDESRNKWYKNNGQNFHINLPTERNVKQNVSVPEDLVQIQAYLRWERKGKQMYNPEKEKEEYEAARTELREEMMRGASVEDLRAKLLKKDNSNESPKSNGTSSSGREEKKKVSKQPERKKNYNTDKIQRKGRDLTKLIYKHVADFVEPESKSSSEPRSLTTLEIYAKAKEEQETTPVFSKKTFKLEGSAILVFVTKLSGKTKIHVATDFKEPVTLHWALSQKGGEWLDPPSDILPPNSLPVRGAVDTKLTITSTDLPSPVQTFELEIEGDSYKGMPFVLNAGERWIKNNDSDFYVDFAKEEKHVQKDYGDGKGTAKHLLDKIADLESEAQKSFMHRFNIAADLVDEAKSAGQLGFAGILVWMRFMATRQLVWNKNYNVKPREISKAQDRLTDLLQDVYASYPEYRELLRMIMSTVGRGGEGDVGQRIRDEILVIQRKNDCKGGIMEEWHQKLHNNTSPDDVVICQALMDYIKSDFDLSVYWKTLNDNGITKERLLSYDRAIHSEPNFRGEQKDGLLRDLGHYMRTLKAVHSGADLESAIQNCMGYQDDGEGFMVGVQINPVSGLPSGYPDLLRFVLEHVEEKNVEPLLEGLLEARQELRPLLLKSHDRLKDLLFLDLALDSTVRTAIERGYEQLNDAGPEKIMYFISLVLENLALSSDDNEDLIYCLKGWQFALDMCKSKKDHWALYAKSVLDRSRLALASKAERYLEILQPSAEYLGSCLGVDQSAVSIFTEEIIRAGSAAALSSLVNRLDPVLRKTANLGSWQVISPVEVVGYVIVVDELLTVQNKTYDRPTIIVANRVRGEEEIPDGAVAVLTPDMPDVLSHVSVRARNGKICFATCFDSGILSDLQGKDGKLLSLQPTSADVVYKEVNDSELSSPSSDNLEDAPPSISLVKKQFAGRYAISSEEFTSDLVGAKSRNIGYLKGKVPSWVGIPTSVALPFGVFEKVISEKANQAVNDKLLVLKKTLDEGDQGALKEIRQTLLGLVAPPELVEELKSTMKSSDMPWPGDEGEQRWEQAWAAIKKVWASKWNERAYFSTRKVKLDHDYLCMAVLVQEVINADYAFVIHTTNPSSGDSSEIYAEVVKGLGETLVGAYPGRSLSFICKKNNLDSPLVLGYPSKPIGLFIRRSIIFRSDSNGEDLEGYAGAGLYDSVPMDEEDQVVLDYTTDPLITDLSFQKKVLSDIARAGDAIEKLYGTAQDIEGVIRDGKLYVVQTRPQV
VIMSS10081486 82 841 0.267752368421052 PF01852.19:START:89:297,PF08670.11:MEKHLA:613:759 Homeobox-leucine zipper protein ATHB-9; HD-ZIP protein ATHB-9; Homeodomain transcription factor ATHB-9; Protein PHAVOLUTA 841 356 36 760 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04292 1 SwissProt ESARLQTVNRKLSAMNKLLMEENDRLQKQVSNLVYENGFMKHRIHTASGTTTDNSCESVVVSGQQRQQQNPTHQHPQRDVNNPANLLSIAEETLAEFLCKATGTAVDWVQMIGMKPGPDSIGIVAVSRNCSGIAARACGLVSLEPMKVAEILKDRPSWFRDCRCVETLNVIPTGNGGTIELVNTQIYAPTTLAAARDFWTLRYSTSLEDGSYVVCERSLTSATGGPNGPLSSSFVRAKMLSSGFLIRPCDGGGSIIHIVDHVDLDVSSVPEVLRPLYESSKILAQKMTVAALRHVRQIAQETSGEVQYSGGRQPAVLRTFSQRLCRGFNDAVNGFVDDGWSPMSSDGGEDITIMINSSSAKFAGSQYGSSFLPSFGSGVLCAKASMLLQNVPPLVLIRFLREHRAEWADYGVDAYSAASLRATPYAVPCVRTGGFPSNQVILPLAQTLEHEEFLEVVRLGGHAYSPEDMGLSRDMYLLQLCSGVDENVVGGCAQLVFAPIDESFADDAPLLPSGFRVIPLDQKTNPNDHQSASRTRDLASSLDGSTKTDSETNSRLVLTIAFQFTFDNHSRDNVATMARQYVRNVVGSIQRVALAITPRPGSMQLPTSPEALTLVRWITRSYSIHTGADLFGADSQSCGGDTLLKQLWDHSDAILCCSLKTNASPVFTFANQAGLDMLETTLVALQDIMLDKTLDDSGRRALCSEFAKIMQQGYANLPAGICVSSMGRPVSYEQATVWKVVDDNESNHCLAFTLVSWSFV
VIMSS10084500 1 297 0.688734343434343 WRKY transcription factor 6; WRKY DNA-binding protein 6; AtWRKY6 553 0 36 297 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C519 1 SwissProt MDRGWSGLTLDSSSLDLLNPNRISHKNHRRFSNPLAMSRIDEEDDQKTRISTNGSEFRFPVSLSGIRDREDEDFSSGVAGDNDREVPGEVDFFSDKKSRVCREDDEGFRVKKEEQDDRTDVNTGLNLRTTGNTKSDESMIDDGESSEMEDKRAKNELVKLQDELKKMTMDNQKLRELLTQVSNSYTSLQMHLVSLMQQQQQQNNKVIEAAEKPEETIVPRQFIDLGPTRAVGEAEDVSNSSSEDRTRSGGSSAAERRSNGKRLGREESPETESNKIQKVNSTTPTTFDQTAEATMRK
VIMSS10101497 432 985 0.108710649819495 PF03552.14:Cellulose_synt:1:546,PF13632.6:Glyco_trans_2_3:243:370 Cellulose synthase A catalytic subunit 8 [UDP-forming]; AtCesA8; Protein IRREGULAR XYLEM 1; AtIRX1; Protein LEAF WILTING 2; EC 2.4.1.12 985 546 36 419 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LPK5 1 SwissProt YVSREKRPGYQHHKKAGAENALVRVSAVLTNAPFILNLDCDHYVNNSKAVREAMCFLMDPVVGQDVCFVQFPQRFDGIDKSDRYANRNIVFFDVNMRGLDGIQGPVYVGTGTVFRRQALYGYSPPSKPRILPQSSSSSCCCLTKKKQPQDPSEIYKDAKREELDAAIFNLGDLDNYDEYDRSMLISQTSFEKTFGLSTVFIESTLMENGGVPDSVNPSTLIKEAIHVISCGYEEKTEWGKEIGWIYGSITEDILTGFKMHCRGWRSIYCMPLRPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPLWYGCSGGRLKLLQRLAYINTIVYPFTSLPLVAYCTLPAICLLTGKFIIPTLSNLASMLFLGLFISIILTSVLELRWSGVSIEDLWRNEQFWVIGGVSAHLFAVFQGFLKMLAGLDTNFTVTSKTADDLEFGELYIVKWTTLLIPPTSLLIINLVGVVAGFSDALNKGYEAWGPLFGKVFFAFWVILHLYPFLKGLMGRQNRTPTIVILWSILLASVFSLVWVRINPFVSKTDTTSLSLNCLLIDC
VIMSS10101991 1 268 0.202243656716418 PF12638.7:Staygreen:57:207 Magnesium dechelatase SGR1, chloroplastic; Protein NONYELLOWING 1; AtNYE1; Protein STAY-GREEN 1; Protein STAYGREEN 1; EC 4.99.1.10 268 151 36 268 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82741 1 SwissProt MCSLSAIMLLPTKLKPAYSDKRSNSSSSSSLFFNNRRSKKKNQSIVPVARLFGPAIFESSKLKVLFLGVDEKKHPSTLPRTYTLTHSDITAKLTLAISQSINNSQLQGWANRLYRDEVVAEWKKVKGKMSLHVHCHISGGHFLLDLFAKFRYFIFCKELPVVLKAFVHGDGNLLNNYPELQEALVWVYFHSNVNEFNKVECWGPLWEAVSPDGHKTETLPEARCADECSCCFPTVSSIPWSHSLSNEGVNGYSGTQTEGIATPNPEKL
VIMSS10103012 1 167 0.57132754491018 WRKY transcription factor 18; WRKY DNA-binding protein 18; AtWRKY18 310 0 36 167 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5T4 1 SwissProt MDGSSFLDISLDLNTNPFSAKLPKKEVSVLASTHLKRKWLEQDESASELREELNRVNSENKKLTEMLARVCESYNELHNHLEKLQSRQSPEIEQTDIPIKKRKQDPDEFLGFPIGLSSGKTENSSSNEDHHHHHQQHEQKNQLLSCKRPVTDSFNKAKVSTVYVPTE
VIMSS10103143 76 833 0.25240963060686 PF01852.19:START:85:293,PF08670.11:MEKHLA:616:757 Homeobox-leucine zipper protein ATHB-8; HD-ZIP protein ATHB-8; Homeodomain transcription factor ATHB-8 833 351 36 758 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39123 1 SwissProt EASRLQAVNRKLTAMNKLLMEENDRLQKQVSHLVYENSYFRQHPQNQGNLATTDTSCESVVTSGQHHLTPQHQPRDASPAGLLSIADETLTEFISKATGTAVEWVQMPGMKPGPDSIGIVAISHGCTGIAARACGLVGLDPTRVAEILKDKPCWLRDCRSLDIVNVLSTANGGTLELIYMQLYAPTTLAPARDFWMLRYTSVMEDGSLVICERSLNNTQNGPSMPPSPHFVRAEILPSGYLIRPCEGGGSILHIVDHFDLEPWSVPEVLRSLYESSTLLAQRTTMAALRYLRQISQEISQPNVTGWGRRPAALRALSQRLSKGFNEAVNGFSDEGWSILESDGIDDVTLLVNSSPTKMMMTSSLPFANGYTSMPSAVLCAKASMLLQNVPPSILLRFLREHRQEWADNSIDAYSAAAIKAGPCSLPIPRPGSFGGQVILPLAHTIENEEFMEVIKLESLGHYQEDMMMPADIFLLQMCSGVDENAVESCAELIFAPIDASFSDDAPIIPSGFRIIPLDSKSEGLSPNRTLDLASALDVGSRTAGDSCGSRGNSKSVMTIAFQLAFEMHMQENVASMARQYVRSVIASVQRVALALSPSSHQLSGLRPPPASPEAHTLARWISHSYRCYLGVDLLKPHGTDLLKSLWHHPDAVMCCSLKALSPVFTFANQAGLDMLETTLVALQDITLDKIFDNNNGKKTLSSEFPQIMQQGFMCMDGGICMSSMGRAVTYEKAVGWKVLNDDEDPHCICFMFLNWSFI
VIMSS6580662 1 580 0.234533965517241 PF00134.23:Cyclin_N:84:206 G1/S-specific cyclin CLN3 580 123 36 580 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P13365 1 SwissProt/TReMBL MAILKDTIIRYANARYATASGTSTATAASVSAASCPNLPLLLQKRRAIASAKSKNPNLVKRELQAHHSAISEYNNDQLDHYFRLSHTERPLYNLTNFNSQPQVNPKMRFLIFDFIMYCHTRLNLSTSTLFLTFTILDKYSSRFIIKSYNYQLLSLTALWISSKFWDSKNRMATLKVLQNLCCNQYSIKQFTTMEMHLFKSLDWSICQSATFDSYIDIFLFQSTSPLSPGVVLSAPLEAFIQQKLALLNNAAGTAINKSSSSQGPSLNINEIKLGAIMLCELASFNLELSFKYDRSLIALGAINLIKLSLNYYNSNLWENINLALEENCQDLDIKLSEISNTLLDIAMDQNSFPSSFKSKYLNSNKTSLAKSLLDALQNYCIQLKLEEFYRSQELETMYNTIFAQSFDSDSLTCVYSNATTPKSATVSSAATDYFSDHTHLRRLTKDSISPPFAFTPTSSSSSPSPFNSPYKTSSSMTTPDSASHHSHSGSFSSTQNSFKRSLSIPQNSSIFWPSPLTPTTPSLMSNRKLLQNLSVRSKRLFPVRPMATAHPCSAPTQLKKRSTSSVDCDFNDSSNLKKTR
VIMSS6581072 1 99 0.765242424242424 Ubiquitin-like protein ATG12; Autophagy-related protein 12 186 0 36 99 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38316 1 SwissProt MSRILESENETESDESSIISTNNGTAMERSRNNQELRSSPHTVQNRLELFSRRLSQLGLASDISVDQQVEDSSSGTYEQEETIKTNAQTSKQKSHKDEK
VIMSS6581502 1 631 0.398611568938192 PF08647.11:BRE1:443:535 E3 ubiquitin-protein ligase BRE1; Brefeldin A-sensitivity protein 1; RING-type E3 ubiquitin transferase BRE1; EC 2.3.2.27 700 93 36 631 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07457 1 SwissProt MTAEPATKKIKLELSDPSEPLTQSDVIAFQKEALFRCINRRRVDFEALRKQYELSRRECIDVSRKLANIMALIVTLARFIETFCTDANEKQLCREIAQGDETLIVQRSDSFMKLLTKYGKPNTTDSNTNSNASDHIQELTTELKNLRKSKEELFYENSQLTEEISALKEYYTNIIRKYDRDESFTIKRVFKEDKTDAVKELREDEKESNENNIKSGNKDSSAINGDNTSKKSEKGDELVQAEDERKEDAENEKLELDLKFSDLRAEINSLSSTIKDLENIRRENEEELIKTRSEVSNLKKQQIAAADQDPDFKSYDHESLLAKIQHLTEQNAELSEINSSFLSKFQVLAKEKEIYTKKVREEFQKSLDSLVEMNSSLEKDVVRIRTARDDLLSKIAILEAEKSKTEVLSDLQHAIDILKEQWTKIDQRSNDTKSSSTQDALIKEIQDLEKGFRELSDLTHKKYSEIINHESVISKLTVEKTKADQKYFAAMRSKDSILIEIKTLSKSLSKSNELILQLKDSDRLLQQKIGNLHKQLDLSQNNERRLIDSSKTETLKIIDLNNTSTKLKRSLEKLQEESNKSIADMTHLETKLNDTEIELKHFKQKASHLESKCEKLHDTLFRGNNKNKGSS
VIMSS6581749 1 246 0.532556504065041 PF00505.19:HMG_box:106:178,PF09011.10:HMG_box_2:106:178 High mobility group protein 1; High spontaneous mutagenesis protein 2 246 73 36 246 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03973 1 SwissProt MTTDPSVKLKSAKDSLVSSLFELSKAANQTASSIVDFYNAIGDDEEEKIEAFTTLTESLQTLTSGVNHLHGISSELVNPIDDDKDAIIAAPVKAVRRKIERDPNAPKKPLTVFFAYSAYVRQELREDRQKAGLPPLSSTEITQEISKKWKELSDNEKEKWKQAYNVELENYQREKSKYLEAKKNGTLPPASLENGPTHAPVPIPFSLQHAAEPPVEKRPHDDDGSSEKKKKKKKKDKKKDKSNSSI
VIMSS6582029 121 347 0.408325550660793 Transcriptional adapter 2 434 0 36 227 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02336 1 SwissProt QNIHVPQDEFLEQRRHRIESFRERPLEPPRKPMASVPSCHEVQGFMPGRLEFETEFENEAEGPVKDMVFEPDDQPLDIELKFAILDIYNSRLTTRAEKKRLLFENHLMDYRKLQAIDKKRSKEAKELYNRIKPFARVMTAQDFEEFSKDILEELHCRARIQQLQEWRSNGLTTLEAGLKYERDKQARISSFEKFGASTAASLSEGNSRYRSNSAHRSNAEYSQNYSE
XP_005254259.1 144 456 0.331560063897764 PF05210.13:Sprouty:201:305 sprouty-related, EVH1 domain-containing protein 1 isoform X1 456 105 36 313 0 Homo sapiens XP_005254259.1 1 RefSeq NEAEGADDLQANEEDSSSSLVKDHLFQQETVVTSEPYRSSNIRPSPFEDLNARRVYMQSQANQITFGQPGLDIQSRSMEYVQRQISKECGSLKSQNRVPLKSIRHVSFQDEDEIVRINPRDILIRRYADYRHPDMWKNDLERDDADSSIQFSKPDSKKSDYLYSCGDETKLSSPKDSVVFKTQPSSLKIKKSKRRKEDGERSRCVYCQERFNHEENVRGKCQDAPDPIKRCIYQVSCMLCAESMLYHCMSDSEGDFSDPCSCDTSDDKFCLRWLALVALSFIVPCMCCYVPLRMCHRCGEACGCCGGKHKAAG
XP_005265369.1 1 311 0.241847266881029 PF16556.5:IL17R_fnIII_D1:32:162,PF16578.5:IL17R_fnIII_D2:161:252 interleukin-17 receptor B isoform X3 486 221 36 288 1 Homo sapiens XP_005265369.1 1 RefSeq MSLVLLSLAALCRSAVPREPTVQCGSETGPSPEWMLQHDLIPGDLRDLRVEPVTTSVATGDYSILMNVSWVLRADASIRLLKATKICVTGKSNFQSYSCVRCNYTEAFQTQTRPSGGKWTFSYIGFPVELNTVYFIGAHNIPNANMNEDGPSMSVNFTSPGSLWDPNITACKKNEETVEVNFTTTPLGNRYMALIQHSTIIGFSQVFEPHQKKQTRASVVIPVTGDSEGATVQLTPYFPTCGSDCIRHKGTVVLCPQTGVPFPLDNNKSKPGGWLPLLLLSLLVATWVLVAGIYLMWRHERIKKTSFSTTT
XP_006496758.1 130 373 0.312249590163934 natural killer cell receptor 2B4 isoform X1 373 0 36 221 1 Mus musculus XP_006496758.1 1 RefSeq HVETPNLKAQWKPWTNGTCQLFLSCLVTKDDNVSYALYRGSTLISNQRNSTHWENQIDASSLHTYTCNVSNRASWANHTLNFTHGCQSVPSNFRFLPFGVIIVILVTLFLGAIICFCVWTKKRKQLQFSPKEPLTIYEYVKDSRASRDQQGCSRASGSPSAVQEDGRGQRELDRRVSEPSDSTSQEKCTVYSVVQPSRKSGSKKRNQNSSLSCTVYEEVGNPWLKAHNPARLSRRELENFDVYS
XP_006526720.1 1 170 0.511968823529412 homeobox protein EMX2 isoform X1 170 0 36 170 0 Mus musculus XP_006526720.1 1 RefSeq MFQPAPKRCFTIESLVAKDSPLPASRSEDPIRPAALSYANSSPINPFLNGFHSAAAAAAAGRGVYSNPDLVFAEAVSHPPNPAVPVHPVPPPHALAAHPLPSSHSPHPLFASQQRDPSTFYPWLIHRYRYLGHRFQGKSMVSEPENEIQKAKARGRRLRFSTEEKRDTPH
XP_006532284.1 1 200 0.2819375 growth/differentiation factor 9 isoform X3 305 0 36 200 0 Mus musculus XP_006532284.1 1 RefSeq MVDLLFNLDRVTAMEHLLKSVLLYTLNNSASSSSTVTCMCDLVVKEAMSSGRAPPRAPYSFTLKKHRWIEIDVTSLLQPLVTSSERSIHLSVNFTCTKDQVPEDGVFSMPLSVPPSLILYLNDTSTQAYHSWQSLQSTWRPLQHPGQAGVAARPVKEEAIEVERSPRRRRGQKAIRSEAKGPLLTASFNLSEYFKQFLFP
XP_011238770.1 1 352 0.250989204545455 thrombopoietin receptor isoform X1 352 0 36 329 1 Mus musculus XP_011238770.1 1 RefSeq MQVVTIGLQCFTLDLKMVTCQWQQQDRTSSQGFFRHSRTRCCPTDRDPTWEKCEEEEPRPGSQPALVSRCHFKSRNDSVIHILVEVTTAQGAVHSYLGSPFWIHQAVLLPTPSLHWREVSSGRLELEWQHQSSWAAQETCYQLRYTGEGREDWKVLEPSLGARGGTLELRPRARYSLQLRARLNGPTYQGPWSAWSPPARVSTGSETAWITLVTALLLVLSLSALLGLLLLKWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAALSPSKATVTDSCEEVEPSLLEILPKSSESTPLPLCPSQPQMDYRGLQPCLRTMPLSVCPPMAETGSCCTTHIANHSYLPLSYWQQP
XP_011521349.1 263 668 0.537701477832512 PF12024.8:DUF3512:43:288 bromodomain-containing protein 7 isoform X2 668 246 36 406 0 Homo sapiens XP_011521349.1 1 RefSeq FMADLQKTRKQKDGTDTSQSGEDGGCWQREREDSGDAEAHAFKSPSKENKKKDKDMLEDKFKSNNLEREQEQLDRIVKESGGKLTRRLVNSQCEFERRKPDGTTTLGLLHPVDPIVGEPGYCPVRLGMTTGRLQSGVNTLQGFKEDKRNKVTPVLYLNYGPYSSYAPHYDSTFANISKDDSDLIYSTYGEDSDLPSDFSIHEFLATCQDYPYVMADSLLDVLTKGGHSRTLQEMEMSLPEDEGHTRTLDTAKEMEITEVEPPGRLDSSTQDRLIALKAVTNFGVPVEVFDSEEAEIFQKKLDETTRLLRELQEAQNERLSTRPPPNMICLLGPSYREMHLAEQVTNNLKELAQQVTPGDIVSTYGVRKAMGISIPSPVMENNFVDLTEDTEEPKKTDVAECGPGGS
XP_011529559.1 1 212 0.223876886792453 Placenta-specific protein 1 212 0 36 212 0 Homo sapiens (Human) SwissProt::Q9HBJ0 1 SwissProt MKVFKFIGLMILLTSAFSAGSGQSPMTVLCSIDWFMVTVHPFMLNNDVCVHFHELHLGLGCPPNHVQPHAYQFTYRVTECGIRAKAVSQDMVIYSTEIHYSSKGTPSKFVIPVSCAAPQKSPWLTKPCSMRVASKSRATAQKDEKCYEVFSLSQSSQRPNCDCPPCVFSEEEHTQVPCHQAGAQEAQPLQPSHFLDISEDWSLHTDDMIGSM
XP_011544061.1 1 223 0.448511210762332 cardiotrophin-1 isoform X1 223 0 36 223 0 Homo sapiens XP_011544061.1 1 RefSeq MGFLDRPRAPRGGQKPSMDHPYVPSFPSTPEDPQTDSSVSLLPHLEAKIRQTHSLAHLLTKYAEQLLQEYVQLQGDPFGLPSFSPPRLPVAGLSAPAPSHAGLPVHERLRLDAAALAALPPLLDAVCRRQAELNPRAPRLLRRLEDAARQARALGAAVEALLAALGAANRGPRAEPPAATASAASATGVFPAKVLGLRVCGLYREWLSRTEGDLGQLLPGGSA
XP_015316816.2 1 116 0.810265517241379 insulin isoform X1 221 0 36 116 0 Bos taurus XP_015316816.2 1 RefSeq MGPGRARRRTSPPAVRGNSLAWIGSLIKTLMTPRPQEVLTAKERFPQPRQQGNDPETAASAPGPSAALLEALMTGQVVGSGAIKPAGRSSPPPSGPAAFEAASKQPPLSPGPRSPA
XP_016866879.1 236 498 0.397043346007605 chorion-specific transcription factor GCMa isoform X1 498 0 36 263 0 Homo sapiens XP_016866879.1 1 RefSeq TAPSSVSLSLKGSTETRSLPGETQSQGSLPLTWSFQEGVQLPGSYSGHLIANTPQQNSLNDCFSFSKSYGLGGITDLTDQTSTVDPMKLYEKRKLSSSRTYSSGDLLPPSASGVYSDHGDLQAWSKNAALGRNHLADNCYSNYPFPLTSWPCSFSPSQNSSEPFYQQLPLEPPAAKTGCPPLWPNPAGNLYEEKVHVDFNSYVQSPAYHSPQEDPFLFTYASHPHQQYSLPSKSSKWDFEEEMTYLGLDHCNNDMLLNLCPLR
XP_016883327.1 1 147 0.679919727891157 visual system homeobox 1 isoform X2 218 0 36 147 0 Homo sapiens XP_016883327.1 1 RefSeq MTGRDSLSDGRTSSRALVPGGSPRGSRPRGFAITDLLGLEAELPAPAGPGQGSGCEGPAVAPCPGPGLDGSSLARGALPLGLGLLCGFGTQPPAAARAPCLLLADVPFLPPRGPEPAAPLAPSRPPPALGRQKRSDSVSTSDEDSQS
XP_016883642.1 1 326 0.393859202453988 PF16517.5:Nore1-SARAH:277:316,PF00788.23:RA:179:264 Ras association domain-containing protein 2 326 126 36 326 0 Homo sapiens (Human) SwissProt::P50749 1 SwissProt MDYSHQTSLVPCGQDKYISKNELLLHLKTYNLYYEGQNLQLRHREEEDEFIVEGLLNISWGLRRPIRLQMQDDNERIRPPPSSSSWHSGCNLGAQGTTLKPLTVPKVQISEVDAPPEGDQMPSSTDSRGLKPLQEDTPQLMRTRSDVGVRRRGNVRTPSDQRRIRRHRFSINGHFYNHKTSVFTPAYGSVTNVRINSTMTTPQVLKLLLNKFKIENSAEEFALYVVHTSGEKQKLKATDYPLIARILQGPCEQISKVFLMEKDQVEEVTYDVAQYIKFEMPVLKSFIQKLQEEEDREVKKLMRKYTVLRLMIRQRLEEIAETPATI
NP_001157698.1 1 503 0.439630616302187 PF10491.9:Nrf1_DNA-bind:75:283,PF10492.9:Nrf1_activ_bdg:450:490 nuclear respiratory factor 1 isoform a 503 250 35 503 0 Mus musculus NP_001157698.1 1 RefSeq MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILNSTAADEVTAHLAAAGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLDEYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQEVNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPWANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHLVPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNWATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAEATLQGGGQIVLSGETAAAVGALTGVQDANGLVQIPVSMYQTVVTSLAQGNGPVQVAMAPVTTRISDSAVTMDGQAVEVVTLEQ
NP_001287085.1 660 1517 0.786623659673658 Tyrosine-protein kinase Abl; D-ash; Protein abelson; EC 2.7.10.2 1620 0 35 858 0 Drosophila melanogaster (Fruit fly) SwissProt::P00522 1 SwissProt GGATTTTAASGCASSSSATASLSLTPQMVKKGLPGGQALTPNAHHNDPHQQQASTPMSETGSTSTKLSTFSSQGKGNVQMRRTTNKQGKQAPAPPKRTSLLSSSRDSTYREEDPANARCNFIDDLSTNGLARDINSLTQRYDSETDPAADPDTDATGDSLEQSLSQVIAAPVTNKMQHSLHSGGGGGGIGPRSSQQHSSFKRPTGTPVMGNRGLETRQSKRSQLHSQAPGPGPPSTQPHHGNNGVVTSAHPITVGALDVMNVKQVVNRYGTLPKGARIGAYLDSLEDSSEAAPALPATAPSLPPANGHATPPAARLNPKASPIPPQQMIRSNSSGGVTMQNNAAASLNKLQRHRTTTEGTMMTFSSFRAGGSSSSPKRSASGVASGVQPALANLEFPPPPLDLPPPPEEFEGGPPPPPPAPESAVQAIQQHLHAQLPNNGNISNGNGTNNNDSSHNDVSNIAPSVEEASSRFGVSLRKREPSTDSCSSLGSPPEDLKEKLITEIKAAGKDTAPASHLANGSGIAVVDPVSLLVTELAESMNLPKPPPQQQQKLTNGNSTGSGFKAQLKKVEPKKMSAPMPKAEPANTIIDFKAHLRRVDKEKEPATPAPAPATVAVANNANCNTTGTLNRKEDGSKKFSQAMQKTEIKIDVTNSNVEADAGAAGEGDLGKRRSTGSINSLKKLWEQQPPAPDYATSTILQQQPSVVNGGGTPNAQLSPKYGMKSGAINTVGTLPAKLGNKQPPAAPPPPPPNCTTSNSSTTSISTSSRDCTSRQQASSTIKTSHSTQLFTDDEEQSHTEGLGSGGQGSADMTQSLYEQKPQIQQKPAVPHKPTKLTIYATPIAKLTEPASSASSTQIS
NP_001288017.1 296 862 0.522530864197531 roundabout homolog 4 isoform 2 862 0 35 547 1 Homo sapiens NP_001288017.1 1 RefSeq QAMERATQEPSEHGPWTLEQLRATLKRPEVIATCGVALWLLLLGTAVCIHRRRRARVHLGPGLYRYTSEDAILKHRMDHSDSQWLADTWRSTSGSRDLSSSSSLSSRLGADARDPLDCRRSLLSWDSRSPGVPLLPDTSTFYGSLIAELPSSTPARPSPQVPAVRRLPPQLAQLSSPCSSSDSLCSRRGLSSPRLSLAPAEAWKAKKKQELQHANSSPLLRGSHSLELRACELGNRGSKNLSQSPGAVPQALVAWRALGPKLLSSSNELVTRHLPPAPLFPHETPPTQSQQTQPPVAPQAPSSILLPAAPIPILSPCSPPSPQASSLSGPSPASSRLSSSSLSSLGEDQDSVLTPEEVALCLELSEGEETPRNSVSPMPRAPSPPTTYGYISVPTASEFTDMGRTGGGVGPKGGVLLCPPRPCLTPTPSEGSLANGWGSASEDNAASARASLVSSSDGSFLADAHFARALAVAVDSFGFGLEPREADCVFIDASSPPSPRDEIFLTPNLSLPLWEWRPDWLEDMEVSHTQRLGRGMPPWPPDSQISSQRSQLHCRMPKAGASPVDYS
NP_001418.2 1 226 0.78835 homeobox protein engrailed-2 333 0 35 226 0 Homo sapiens NP_001418.2 1 RefSeq MEENDPKPGEAAAAVEGQRQPESSPGGGSGGGGGSSPGEADTGRRRALMLPAVLQAPGNHQHPHRITNFFIDNILRPEFGRRKDAGTCCAGAGGGRGGGAGGEGGASGAEGGGGAGGSEQLLGSGSREPRQNPPCAPGAGGPLPAAGSDSPGDGEGGSKTLSLHGGAKKGGDPGGPLDGSLKARGLGGGDLSVSSDSDSSQAGANLGAQPMLWPAWVYCTRYSDRP
NP_001529.2 125 462 0.580407988165681 heat shock factor protein 4 isoform a 462 0 35 338 0 Homo sapiens NP_001529.2 1 RefSeq RGDDGRWRPEDLGRLLGEVQALRGVQESTEARLRELRQQNEILWREVVTLRQSHGQQHRVIGKLIQCLFGPLQAGPSNAGGKRKLSLMLDEGSSCPTPAKFNTCPLPGALLQDPYFIQSPSTYSLSQRQIWALALTGPGAPSSLTSQKTLHPLRGPGFLPPVMAGAPPPLPVAVVQAILEGKGSFSPEGPRNAQQPEPGDPREIPDRGPLGLESGDRSPESLLPPMLLQPPQESVEPAGPLDVLGPSLQGREWTLMDLDMELSLMQPLVPERGEPELAVKGLNSPSPGKDPTLGAPLLLDVQAALGGPALGLPGALTIYSTPESRTASYLGPEASPSP
NP_002998.1 1 462 0.737864935064935 PF05474.11:Semenogelin:1:332,PF05474.11:Semenogelin:284:461 Semenogelin-1; Cancer/testis antigen 103; Semenogelin I; SGI 462 461 35 462 0 Homo sapiens (Human) SwissProt::P04279 1 SwissProt MKPNIIFVLSLLLILEKQAAVMGQKGGSKGRLPSEFSQFPHGQKGQHYSGQKGKQQTESKGSFSIQYTYHVDANDHDQSRKSQQYDLNALHKTTKSQRHLGGSQQLLHNKQEGRDHDKSKGHFHRVVIHHKGGKAHRGTQNPSQDQGNSPSGKGISSQYSNTEERLWVHGLSKEQTSVSGAQKGRKQGGSQSSYVLQTEELVANKQQRETKNSHQNKGHYQNVVEVREEHSSKVQTSLCPAHQDKLQHGSKDIFSTQDELLVYNKNQHQTKNLNQDQQHGRKANKISYQSSSTEERRLHYGENGVQKDVSQSSIYSQTEEKAQGKSQKQITIPSQEQEHSQKANKISYQSSSTEERRLHYGENGVQKDVSQRSIYSQTEKLVAGKSQIQAPNPKQEPWHGENAKGESGQSTNREQDLLSHEQKGRHQHGSHGGLDIVIIEQEDDSDRHLAQHLNNDRNPLFT
NP_005975.1 1 222 0.251677477477477 PF00153.27:Mito_carr:25:113,PF00153.27:Mito_carr:122:211 Tricarboxylate transport protein, mitochondrial; Citrate transport protein; CTP; Solute carrier family 25 member 1; Tricarboxylate carrier protein 311 179 35 222 0 Homo sapiens (Human) SwissProt::P53007 1 SwissProt MPAPRAPRALAAAAPASGKAKLTHPGKAILAGGLAGGIEICITFPTEYVKTQLQLDERSHPPRYRGIGDCVRQTVRSHGVLGLYRGLSSLLYGSIPKAAVRFGMFEFLSNHMRDAQGRLDSTRGLLCGLGAGVAEAVVVVCPMETIKVKFIHDQTSPNPKYRGFFHGVREIVREQGLKGTYQGLTATVLKQGSNQAIRFFVMTSLRNWYRGDNPNKPMNPLI
NP_012007.1 1 326 0.221023926380368 Sporulation-specific wall maturation protein 326 0 35 326 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P13130 1 SwissProt MKFTSVLAFFLATLTASATPLYKRQNVTSGGGTVPVIITGGPAVSGSQSNVTTTTLFNSTSTLNITQLYQIATQVNQTLQSESSSGIIIVTNWRSIETLSFFCSIVFNTSKTIVITENFLWGVPILSSSDAEGRGTLVAGRDKVVYSGVFPPYTVPVGVLSGQKNVQWFFDACEPTLIASNSTIRTQYSNFTSAQISSNASSGTNTSSSSSSPLVPIIYEEGYSQSLIQSLSSSIQGLVVVSSGTSHNSTVASWTSVDFPVVYASDGSSGHDGSGIGFISNTSIPQGAISAGYLSPIQAQTLLSIAIHNQVTSSSELQQIFPVSQQ
NP_033506.1 1 195 0.636704102564103 upstream stimulatory factor 1 isoform 1 310 0 35 195 0 Mus musculus NP_033506.1 1 RefSeq MKGQQKTAETEEGTVQIQEGAVATGEDPTSVAIASIQSAATFPDPNVKYVFRTENGGQVMYRVIQVSEGQLDGQTEGSGAISGYPATQSMTQAVIQGAFTSDDAVDTEGAAAETHYTYFPSTAVGDGSGGTTSGSTTAVVTTQGSEALLGQATPPSTGQFFVMMSPQEVLQGGSQRSIAPRTHPYSPKSEAPRTT
NP_036211.2 1 488 0.120574385245902 PF03062.19:MBOAT:156:473 Diacylglycerol O-acyltransferase 1; ACAT-related gene product 1; Acyl-CoA retinol O-fatty-acyltransferase; ARAT; Retinol O-fatty-acyltransferase; Diglyceride acyltransferase; EC 2.3.1.20; EC 2.3.1.76 488 318 35 289 9 Homo sapiens (Human) SwissProt::O75907 1 SwissProt MGDRGSSRRRRTGSRPSSHGGGGPAAAEEEVRDAAAGPDVGAAGDAPAPAPNKDGDAGVGSGHWELRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLFLENLIKYGILVDPIQVVSLFLKDPYSWPAPCLVIAANVFAVAAFQVEKRLAVGALTEQAGLLLHVANLATILCFPAAVVLLVESITPVGSLLALMAHTILFLKLFSYRDVNSWCRRARAKAASAGKKASSAAAPHTVSYPDNLTYRDLYYFLFAPTLCYELNFPRSPRIRKRFLLRRILEMLFFTQLQVGLIQQWMVPTIQNSMKPFKDMDYSRIIERLLKLAVPNHLIWLIFFYWLFHSCLNAVAELMQFGDREFYRDWWNSESVTYFWQNWNIPVHKWCIRHFYKPMLRRGSSKWMARTGVFLASAFFHEYLVSVPLRMFRLWAFTGMMAQIPLAWFVGRFFQGNYGNAAVWLSLIIGQPIAVLMYVHDYYVLNYEAPAAEA
NP_054762.2 1 213 0.555358215962442 PF03357.21:Snf7:16:185 Charged multivesicular body protein 2b; CHMP2.5; Chromatin-modifying protein 2b; CHMP2b; Vacuolar protein sorting-associated protein 2-2; Vps2-2; hVps2-2 213 170 35 213 0 Homo sapiens (Human) SwissProt::Q9UQN3 1 SwissProt MASLFKKKTVDDVIKEQNRELRGTQRAIIRDRAALEKQEKQLELEIKKMAKIGNKEACKVLAKQLVHLRKQKTRTFAVSSKVTSMSTQTKVMNSQMKMAGAMSTTAKTMQAVNKKMDPQKTLQTMQNFQKENMKMEMTEEMINDTLDDIFDGSDDEEESQDIVNQVLDEIGIEISGKMAKAPSAARSLPSASTSKATISDEEIERQLKALGVD
NP_062784.3 241 665 0.100090352941177 PF00474.17:SSF:14:252 sodium/glucose cotransporter 1 665 239 35 246 8 Mus musculus NP_062784.3 1 RefSeq PTKVSNGNFTAKEECYTPRADSFHIFRDPITGDMPWPGLIFGLAILALWYWCTDQVIVQRCLSAKNMSHVKAGCTLCGYLKLLPMFLMVMPGMISRILYTEKIACVLPEECQKYCGTPVGCTNIAYPTLVVELMPNGLRGLMLSVMMASLMSSLTSIFNSASTLFTMDIYTKIRKKASEKELMIAGRLFILVLIGISIAWVPIVQSAQSGQLFDYIQSITSYLGPPIAAVFLLAIFCKRVNEQGAFWGLILGFLIGISRMITEFAYGTGSCMEPSNCPKIICGVHYLYFAIILFVISVITILIISFLTKPIPDVHLYRLCWSLRNSKEERIDLDAGEEEDIPEDSKDTIEIDTEAPQKKKGCFRRAYDLFCGLDQDKGPKMTKEEEEAMKMKMTDTSEKPLWRTVVNINGIILLAVAVFCHAYFA
NP_066566.3 184 555 0.679455107526882 disabled homolog 1 isoform 1 555 0 35 372 0 Homo sapiens NP_066566.3 1 RefSeq VYQTILEEDVEDPVYQYIVFEAGHEPIRDPETEENIYQVPTSQKKEGVYDVPKSQPVSAVTQLELFGDMSTPPDITSPPTPATPGDAFIPSSSQTLPASADVFSSVPFGTAAVPSGYVAMGAVLPSFWGQQPLVQQQMVMGAQPPVAQVMPGAQPIAWGQPGLFPATQQPWPTVAGQFPPAAFMPTQTVMPLPAAMFQGPLTPLATVPGTSDSTRSSPQTDKPRQKMGKETFKDFQMAQPPPVPSRKPDQPSLTCTSEAFSSYFNKVGVAQDTDDCDDFDISQLNLTPVTSTTPSTNSPPTPAPRQSSPSKSSASHASDPTTDDIFEEGFESPSKSEEQEAPDGSQASSNSDPFGEPSGEPSGDNISPQAGS
NP_068799.2 300 794 0.570373737373738 Zinc finger protein 148; Transcription factor ZBP-89; Zinc finger DNA-binding protein 89 794 0 35 495 0 Homo sapiens (Human) SwissProt::Q9UQR1 1 SwissProt DSGFSTSPKDNSLPKKKRQKTEKKSSGMDKESALDKSDLKKDKNDYLPLYSSSTKVKDEYMVAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQNQTISPLSTYEESKVSKYAFELVDKQALLDSEGNADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAASNNSREYALNVGTIASQPSVTQAAVASVIDESTTASILESQALNVEIKSNHDKNVIPDEVLQTLLDHYSHKANGQHEISFSVADTEVTSSISINSSEVPEVTPSENVGSSSQASSSDKANMLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNQPAFSSIDKQVYATMPINSFRSGMNSPLRTTPDKSHFGLIVGDSQHSFPFSGDETNHASATSTQDFLDQVTSQKKAEAQPVHQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFSEFPLVNVNDNRAGMTSSPDATTGQTFG
NP_077789.1 100 410 0.505835048231511 PF07527.13:Hairy_orange:32:71 Class E basic helix-loop-helix protein 41; bHLHe41; Class B basic helix-loop-helix protein 3; bHLHb3; Differentially expressed in chondrocytes protein 2; mDEC2 410 40 35 311 0 Mus musculus (Mouse) SwissProt::Q99PV5 1 SwissProt TALTEQQHQKIIALQNGERSLKSPVQADLDAFHSGFQTCAKEVLQYLARFESWTPREPRCAQLVSHLHAVATQLLTPQVPSGRGSGRAPCSAGAAAASGPERVARCVPVIQRTQPGTEPEHDTDTDSGYGGEAEQGRAAVKQEPPGDSSPAPKRPKLEARGALLGPEPALLGSLVALGGGAPFAQPAAAPFCLPFYLLSPSAAAYVQPWLDKSGLDKYLYPAAAAPFPLLYPGIPAAAAAAAAAAFPCLSSVLSPPPEKAGATAGAPFLAHEVAPPGPLRPQHAHSRTHLPRAVNPESSQEDATQPAKDAP
NP_180235.1 1 282 0.278777304964539 PF01126.20:Heme_oxygenase:112:277 Heme oxygenase 1, chloroplastic; AtHO1; Protein GENOMES UNCOUPLED 2; Protein REVERSAL OF THE DET PHENOTYPE 4; EC 1.14.14.18 282 166 35 282 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48782 1 SwissProt MAYLAPISSSLSIFKNPQLSRFQFSSSSPNPLFLRPRIQILSMTMNKSPSLVVVAATTAAEKQKKRYPGESKGFVEEMRFVAMRLHTKDQAKEGEKETKSIEERPVAKWEPTVEGYLRFLVDSKLVYDTLELIIQDSNFPTYAEFKNTGLERAEKLSTDLEWFKEQGYEIPEPTAPGKTYSQYLKELAEKDPQAFICHFYNIYFAHSAGGRMIGRKVAERILDNKELEFYKWDGELSQLLQNVREKLNKVAEEWTREEKNHCLEETEKSFKYSGEILRLILS
NP_188139.1 82 222 0.509190070921986 Ethylene-responsive transcription factor 4; AtERF4; Ethylene-responsive element-binding factor 4; EREBP-4; Protein RELATED TO APETALA2 5 222 0 35 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80340 1 SwissProt TFLELSDQKVPTGFARSPSQSSTLDCASPPTLVVPSATAGNVPPQLELSLGGGGGGSCYQIPMSRPVYFLDLMGIGNVGRGQPPPVTSAFRSPVVHVATKMACGAQSDSDSSSVVDFEGGMEKRSQLLDLDLNLPPPSEQA
NP_194463.1 1 314 0.505520063694267 PF08744.10:NOZZLE:3:314 Protein SPOROCYTELESS; Protein NOZZLE; Transcription factor SPL 314 312 35 314 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81836 1 SwissProt MATSLFFMSTDQNSVGNPNDLLRNTRLVVNSSGEIRTETLKSRGRKPGSKTGQQKQKKPTLRGMGVAKLERQRIEEEKKQLAAATVGDTSSVASISNNATRLPVPVDPGVVLQGFPSSLGSNRIYCGGVGSGQVMIDPVISPWGFVETSSTTHELSSISNPQMFNASSNNRCDTCFKKKRLDGDQNNVVRSNGGGFSKYTMIPPPMNGYDQYLLQSDHHQRSQGFLYDHRIARAASVSASSTTINPYFNEATNHTGPMEEFGSYMEGNPRNGSGGVKEYEFFPGKYGERVSVVAKTSSLVGDCSPNTIDLSLKL
NP_194980.1 207 414 0.256594711538461 PF00743.19:FMO-like:69:129 Probable indole-3-pyruvate monooxygenase YUCCA1; Flavin-containing monooxygenase YUCCA1; EC 1.14.13.168 414 61 35 208 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZY8 1 SwissProt SPHLVVRNTVHVLPREILGVSTFGVGMTLLKCLPLRLVDKFLLLMANLSFGNTDRLGLRRPKTGPLELKNVTGKSPVLDVGAMSLIRSGMIQIMEGVKEITKKGAKFMDGQEKDFDSIIFATGYKSNVPTWLQGGDFFTDDGMPKTPFPNGWRGGKGLYTVGFTRRGLLGTASDAVKIAGEIGDQWRDEIKGSTRNMCSSRFVFTSKS
NP_195614.2 1 543 0.0965246777163905 PF01554.18:MatE:108:264,PF14667.6:Polysacc_synt_C:224:338 Protein DETOXIFICATION 47, chloroplastic; AtDTX47; Multidrug and toxic compound extrusion protein 47; MATE protein 47; Protein ENHANCED DISEASE SUSCEPTIBILITY 5; Protein EDS5; Protein IMPORTANT FOR THE ARR PATHWAY 1; Protein IAP1; Protein SALICYLIC ACID INDUCTION DEFICIENT 1; Protein SID1; Protein SUSCEPTIBLE TO CORONATINE-DEFICIENT PST DC3000 3; Protein SCORD3 543 231 35 316 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q945F0 1 SwissProt MLIKSQRLTLFSPLLSKTRRIPVNSHQTLVAESVITRRTLGAITATPSFHKNPVVIRRRIKLERVTRNCVRIDREIDEEEEEEEKERGDLVKQSIWEQMKEIVKFTGPAMGMWICGPLMSLIDTVVIGQGSSIELAALGPGTVLCDHMSYVFMFLSVATSNMVATSLAKQDKKEAQHQISVLLFIGLVCGLMMLLLTRLFGPWAVTAFTRGKNIEIVPAANKYIQIRGLAWPFILVGLVAQSASLGMKNSWGPLKALAAATIINGLGDTILCLFLGQGIAGAAWATTASQIVSAYMMMDSLNKEGYNAYSFAIPSPQELWKISALAAPVFISIFSKIAFYSFIIYCATSMGTHVLAAHQVMAQTYRMCNVWGEPLSQTAQSFMPEMLYGANRNLPKARTLLKSLMIIGATLGLVLGVIGTAVPGLFPGVYTHDKVIISEMHRLLIPFFMALSALPMTVSLEGTLLAGRDLKFVSSVMSSSFIIGCLTLMFVTRSGYGLLGCWFVLVGFQWGRFGLYLRRLLSPGGILNSDGPSPYTVEKIKSI
NP_197524.1 64 208 0.428137931034483 PF01486.17:K-box:19:101 Floral homeotic protein PISTILLATA; Transcription factor PI 208 83 35 145 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48007 1 SwissProt LGAMLDQYQKLSGKKLWDAKHENLSNEIDRIKKENDSLQLELRHLKGEDIQSLNLKNLMAVEHAIEHGLDKVRDHQMEILISKRRNEKMMAEEQRQLTFQLQQQEMAIASNARGMMMRDHDGQFGYRVQPIQPNLQEKIMSLVID
NP_524517.1 1 102 0.127767647058824 PF06324.11:Pigment_DH:83:100 Protein PDF 102 18 35 79 1 Drosophila melanogaster (Fruit fly) SwissProt::O96690 1 SwissProt MARYTYLVALVLLAICCQWGYCGAMAMPDEERYVRKEYNRDLLDWFNNVGVGQFSPGQVATLCRYPLILENSLGPSVPIRKRNSELINSLLSLPKNMNDAGK
P16662 1 284 0.0410242957746479 PF00201.18:UDPGT:24:284 UDP-glucuronosyltransferase 2B7; UDPGT 2B7; 3,4-catechol estrogen-specific UDPGT; UDP-glucuronosyltransferase 2B9; UDPGT 2B9; UDPGTh-2; EC 2.4.1.17 529 261 35 284 0 Homo sapiens (Human) SwissProt::P16662 1 SwissProt MSVKWTSVILLIQLSFCFSSGNCGKVLVWAAEYSHWMNIKTILDELIQRGHEVTVLASSASILFDPNNSSALKIEIYPTSLTKTELENFIMQQIKRWSDLPKDTFWLYFSQVQEIMSIFGDITRKFCKDVVSNKKFMKKVQESRFDVIFADAIFPCSELLAELFNIPFVYSLSFSPGYTFEKHSGGFIFPPSYVPVVMSELTDQMTFMERVKNMIYVLYFDFWFEIFDMKKWDQFYSEVLGRPTTLSETMGKADVWLIRNSWNFQFPYPLLPNVDFVGGLHCKP
Q9M276 93 235 0.501383216783217 PF02183.18:HALZ:1:35 Homeobox-leucine zipper protein ATHB-12; HD-ZIP protein ATHB-12; Homeodomain transcription factor ATHB-12 235 35 35 143 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M276 1 SwissProt TLRANYNNLASQFEIMKKEKQSLVSELQRLNEEMQRPKEEKHHECCGDQGLALSSSTESHNGKSEPEGRLDQGSVLCNDGDYNNNIKTEYFGFEEETDHELMNIVEKADDSCLTSSENWGGFNSDSLLDQSSSNYPNWWEFWS
VIMSS10078374 1 417 0.401953956834532 Histone-lysine N-methyltransferase MEDEA; Maternal embryogenesis control protein; Protein EMBRYO DEFECTIVE 173; Protein FERTILIZATION-INDEPENDENT SEED 1; Protein SET DOMAIN GROUP 5; EC 2.1.1.43 689 0 35 417 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65312 1 SwissProt MEKENHEDDGEGLPPELNQIKEQIEKERFLHIKRKFELRYIPSVATHASHHQSFDLNQPAAEDDNGGDNKSLLSRMQNPLRHFSASSDYNSYEDQGYVLDEDQDYALEEDVPLFLDEDVPLLPSVKLPIVEKLPRSITWVFTKSSQLMAESDSVIGKRQIYYLNGEALELSSEEDEEDEEEDEEEIKKEKCEFSEDVDRFIWTVGQDYGLDDLVVRRALAKYLEVDVSDILERYNELKLKNDGTAGEASDLTSKTITTAFQDFADRRHCRRCMIFDCHMHEKYEPESRSSEDKSSLFEDEDRQPCSEHCYLKVRSVTEADHVMDNDNSISNKIVVSDPNNTMWTPVEKDLYLKGIEIFGRNSCDVALNILRGLKTCLEIYNYMREQDQCTMSLDLNKTTQRHNQVTKKVSRKSSRSV
VIMSS10088843 1 284 0.688186267605634 Transcription factor PIF1; Basic helix-loop-helix protein 15; AtbHLH15; bHLH 15; Protein PHY-INTERACTING FACTOR 1; Protein PHYTOCHROME INTERACTING FACTOR 3-LIKE 5; Transcription factor EN 101; bHLH transcription factor bHLH015 478 0 35 284 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GZM7 1 SwissProt MHHFVPDFDTDDDYVNNHNSSLNHLPRKSITTMGEDDDLMELLWQNGQVVVQNQRLHTKKPSSSPPKLLPSMDPQQQPSSDQNLFIQEDEMTSWLHYPLRDDDFCSDLLFSAAPTATATATVSQVTAARPPVSSTNESRPPVRNFMNFSRLRGDFNNGRGGESGPLLSKAVVRESTQVSPSATPSAAASESGLTRRTDGTDSSAVAGGGAYNRKGKAVAMTAPAIEITGTSSSVVSKSEIEPEKTNVDDRKRKEREATTTDETESRSEETKQARVSTTSTKRSR
VIMSS10088890 210 420 0.506084834123223 Transcription activator GLK1; GBF'S PRO-RICH REGION-INTERACTING factor 1; Golden2-like protein 1; AtGLK1 420 0 35 211 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SIV3 1 SwissProt HLLAREAEAANWTRKRHIYGVDTGANLNGRTKNGWLAPAPTLGFPPPPPVAVAPPPVHHHHFRPLHVWGHPTVDQSIMPHVWPKHLPPPSTAMPNPPFWVSDSPYWHPMHNGTTPYLPTVATRFRAPPVAGIPHALPPHHTMYKPNLGFGGARPPVDLHPSKESVDAAIGDVLTRPWLPLPLGLNPPAVDGVMTELHRHGVSEVPPTASCA
VIMSS10106966 1 210 0.636356190476191 PF05030.12:SSXT:19:74 GRF1-interacting factor 1; AtGIF1; Protein ANGUSTIFOLIA 3; Transcription coactivator GIF1 210 56 35 210 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L8A5 1 SwissProt MQQHLMQMQPMMAGYYPSNVTSDHIQQYLDENKSLILKIVESQNSGKLSECAENQARLQRNLMYLAAIADSQPQPPSVHSQYGSAGGGMIQGEGGSHYLQQQQATQQQQMTQQSLMAARSSMLYAQQQQQQQPYATLQHQQLHHSQLGMSSSSGGGGSSGLHILQGEAGGFHDFGRGKPEMGSGGGGEGRGGSSGDGGETLYLKSSDDGN
VIMSS1292570 1 100 0.336006 Fibrinogen-binding protein 165 0 35 100 0 Staphylococcus aureus (strain Newman) SwissProt::A6QG59 1 SwissProt MKNKLIAKSLLTLAAIGITTTTIASTADASEGYGPREKKPVSINHNIVEYNDGTFKYQSRPKFNSTPKYIKFKHDYNILEFNDGTFEYGARPQFNKPAAK
VIMSS262039 1 211 0.157363981042654 PF07730.13:HisKA_3:147:209 Sensor protein VraS; EC 2.7.13.3 347 63 35 165 2 Staphylococcus aureus (strain N315) SwissProt::Q99SZ7 1 SwissProt MNHYIRTIGSMLILVYSMLAAFLFIDKVFVNIIYFQGMFYTQIFGIPVFLFLNLIIILLCIIVGSVLAYKINQQNDWIKTQIERSMEGETVGINDQNIEIYSETLDLYHTLVPLNQELHKLRLKTQNLTNENYNINDVKVKKIIEDERQRLARELHDSVSQQLFAASMMLSAIKETKLEPPLDQQIPILEKMVQDSQLEMRALLLHLRPLG
VIMSS6581980 1 337 0.44119584569733 PF02269.16:TFIID-18kDa:5:96 Protein SPT3; Positive regulator of Ty transcription 337 92 35 337 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06844 1 SwissProt MMDKHKYRVEIQQMMFVSGEINDPPVETTSLIEDIVRGQVIEILLQSNKTAHLRGSRSILPEDVIFLIRHDKAKVNRLRTYLSWKDLRKNAKDQDASAGVASGTGNPGAGGEDDLKKAGGGEKDEKDGGNMMKVKKSQIKLPWELQFMFNEHPLENNDDNDDMDEDEREANIVTLKRLKMADDRTRNMTKEEYVHWSDCRQASFTFRKNKRFKDWSGISQLTEGKPHDDVIDILGFLTFEIVCSLTETALKIKQREQVLQTQKDKSQQSSQDNTNFEFASSTLHRKKRLFDGPENVINPLKPRHIEEAWRVLQTIDMRHRALTNFKGGRLSSKPIIM
VIMSS6582036 239 633 0.21223164556962 PF00999.21:Na_H_Exchanger:7:246 Endosomal/prevacuolar sodium/hydrogen exchanger; Endosomal/prevacuolar Na(+)/H(+) exchanger; Vacuolar protein sorting-associated protein 44 633 240 35 306 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04121 1 SwissProt TCQKFHGQPATFSSVFEGAGLFLMTFSVSLLIGVLIGILVALLLKHTHIRRYPQIESCLILLIAYESYFFSNGCHMSGIVSLLFCGITLKHYAYYNMSRRSQITIKYIFQLLARLSENFIFIYLGLELFTEVELVYKPLLIIVAAISICVARWCAVFPLSQFVNWIYRVKTIRSMSGITGENISVPDEIPYNYQMMTFWAGLRGAVGVALALGIQGEYKFTLLATVLVVVVLTVIIFGGTTAGMLEVLNIKTGCISEEDTSDDEFDIEAPRAINLLNGSSIQTDLGPYSDNNSPDISIDQFAVSSNKNLPNNISTTGGNTFGGLNETENTSPNPARSSMDKRNLRDKLGTIFNSDSQWFQNFDEQVLKPVFLDNVSPSLQDSATQSPADFSSQNH
VIMSS6583615 349 1480 0.676247173144876 PF08226.11:DUF1720:37:105,PF08226.11:DUF1720:75:134,PF08226.11:DUF1720:120:177,PF12763.7:EF-hand_4:247:342,PF02948.15:Amelogenin:720:783 Actin cytoskeleton-regulatory complex protein PAN1; Mitochondrial distribution of proteins protein 3 1480 301 35 1132 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32521 1 SwissProt DSKTKNEVSSFIDAINLSIANQDSSANDAPKTPFDEFITAGVQNLQPQPTGYMPQTSFGIPLQSQITGGGVASALNPQSTGFMAPTTFNMSMNTGTPGLNPQITGGAPASMQPNITGNALQPQTTGMMPQTTGMMPQTTGMMPQTSFGVNLGPQLTGGALQSQYTGGYGSVMPQQSGPASMPNLSFNQQGLQSQLTGLQPQPTGFLPPSNFSATMPLTAQKTGFGNNEIYTKSNFNNNLIDNSSQDKISTEEKSLFYKIFETFDTQNKGLLDSPTAVEIFRKSGLNRADLEQIWNLCDINNTGQLNKQEFALGMHLVYGKLNGKPIPNVLPSSLIPSSTKLLDNLKNQLKTEPTTTKEKPSFGKIDALSYKNNDDDVLPNYRNRRKVYSAKNEEQSSFSSPSAKSVNHSSSTLQTDDISVDKTVEKKTAKPKYAGFSREINLKNIASLENEIKNISNPENCYDSSIPSDLTSRFDAIIAKLPNLFNEISTIDNEITNAKIQLYRKKNPSSIIGSGPNGEITENDRKKAKSRALLRARMSALTGKSTESEDSLSMEDEQQSAEIKRIQQENGKNQEIIKDIRSSISDISASLKSTMTGSNMISNQEFERWEFGIGLEDGVREFLDDLKSNSNKSVTESSPFVPSSTPTPVDDRSSSPSYSQFKTAEERAAYLKEQAKKRMKEKLAKFDKNRRNVTQSSRSISSENSREQPQQIAGSSNLVEPRATPFQEEKYVEVAQPTQPVQSTQPVQPTQPVQPTQPVQPTQPVQPTQPVQPTQPVQNVYNAKQESDDEDEDDEEKRLQEELKRLKLKKKADKEKRLAALRKQIEDAQNESDEEETNGKDNFGGHVNVPQAAPVAPSAAFSQNSTNAPRSVHAAVTPAAGKNSTGLPSTTMGHNPYFKDASASSTSTFDARAAEMQRRIQRGLDEDEDDGWSDEDESNNRVAVDNKVEEAKIGHPDHARAPPVTAAPLPSVTPVPPAVPVPQANTSNEKSSPIPIAPIPPSVTQEPPVPLAPPLPAVDGFQEPPIPSAPAIATAVQKSGSSTPALAGGVLPPPPPLPTQQASTSEPIIAHVDNYNGAEKGTGAYGSDSDDDVLSIPESVGTDEEEEGAQPVSTAGIPSIPPAGIPPPPPLP
WP_000214516.1 1 486 0.0862613168724279 PF13091.6:PLDc_2:134:242,PF13091.6:PLDc_2:331:446,PF00614.22:PLDc:219:246,PF00614.22:PLDc:400:426,PF13396.6:PLDc_N:23:59 cardiolipin synthase A 486 266 35 443 2 Escherichia coli K-12 substr. MG1655 ecocyc::CARDIOLIPSYN-MONOMER 1 ecocyc MTTVYTLVSWLAILGYWLLIAGVTLRILMKRRAVPSAMAWLLIIYILPLVGIIAYLAVGELHLGKRRAERARAMWPSTAKWLNDLKACKHIFAEENSSVAAPLFKLCERRQGIAGVKGNQLQLMTESDDVMQALIRDIQLARHNIEMVFYIWQPGGMADQVAESLMAAARRGIHCRLMLDSAGSVAFFRSPWPELMRNAGIEVVEALKVNLMRVFLRRMDLRQHRKMIMIDNYIAYTGSMNMVDPRYFKQDAGVGQWIDLMARMEGPIATAMGIIYSCDWEIETGKRILPPPPDVNIMPFEQASGHTIHTIASGPGFPEDLIHQALLTAAYSAREYLIMTTPYFVPSDDLLHAICTAAQRGVDVSIILPRKNDSMLVGWASRAFFTELLAAGVKIYQFEGGLLHTKSVLVDGELSLVGTVNLDMRSLWLNFEITLAIDDKGFGADLAAVQDDYISRSRLLDARLWLKRPLWQRVAERLFYFFSPLL
XP_001349578.1 119 272 0.806196753246754 PF00985.17:MSA_2:1:154 merozoite surface protein 2 272 154 35 131 1 Plasmodium falciparum 3D7 XP_001349578.1 1 RefSeq SENPNHKNAETNPKGKGEVQEPNQANKETQNNSNVQQDSQTKSNVPPTQDADTKSPTAQPEQAENSAPTAEQTESPELQSAPENKGTGQHGHMHGSRNNHPQNTSDSQKECTDGNKENCGAATSLLNNSSNIASINKFVVLISATLVLSFAIFI
XP_006240428.1 1 214 0.41997429906542 PF00688.18:TGFb_propeptide:9:196 transforming growth factor beta-3 isoform X1 330 188 35 214 0 Rattus norvegicus XP_006240428.1 1 RefSeq MHGEREEGCTQETSESEYYAKEIHKFDMIQGLAEHNELAVCPKGITSKVFRFNVSSVEKNGTNLFRAEFRVLRVPNPSSKRTEQRIELFQILRPDEHIAKQRYIGGKNLPTRGTAEWLSFDVTDTVREWLLRRESNLGLEISIHCPCHTFQPNGDILENVHEVMEIKFKGVDNEDDHGRGDLGRLKKQKDHHNPHLILMMIPPHRLDSPGQGGQ
XP_006538625.2 72 197 0.440619841269841 PF07527.13:Hairy_orange:46:80 transcription factor HES-5 isoform X1 197 35 35 126 0 Mus musculus XP_006538625.2 1 RefSeq SKGEPGACARLLLPADVAPTARAPLMPLRLPTAFAAAAGPKSLHQDYSEGYSWCLQEAVQFLTLHAASDTQMKLLYHFQRPPAPAAPAKEPPAPGAAPQPARSSAKAAAAAVSTSRQPACGLWRPW
XP_006538690.1 1 96 0.401675 natriuretic peptides B isoform X1 142 0 35 96 0 Mus musculus XP_006538690.1 1 RefSeq MDLLKVLSQMILFLLFLYLSPLGGHSYPLGSPSQSPEQFKMQKLLELIREKSEEMAQRQLLKDQGLTKEHPKRVLRSQGSTLRVQQRPQNSKVTHI
XP_008758738.1 1 559 0.108778354203936 PF07690.16:MFS_1:106:437,PF00083.24:Sugar_tr:108:269 synaptic vesicular amine transporter isoform X1 559 332 35 329 10 Rattus norvegicus XP_008758738.1 1 RefSeq MALSDLVLLRWLRDSRHSRKLILFIVFLALLLDNMLLTVVVPIIPSYLYSIKHEKNSTEIQTTRPELVVSTSESIFSYYNNSTVLITGNATGTLPGGQSHKATSTQHTVANTTVPSDCPSEDRDLLNENVQVGLLFASKATVQLLTNPFIGLLTNRIGYPIPMFAGFCIMFISTVMFAFSSSYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNAMGIALGGLAMGVLVGPPFGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQKGTPLTTLLKDPYILIAAGSICFANMGIAMLEPALPIWMMETMCSRKWQLGVAFLPASISYLIGTNIFGILAHKMGRWLCALLGMVIVGISILCIPFAKNIYGLIAPNFGVGFAIGMVDSSMMPIMGYLVDLRHVSVYGSVYAIADVAFCMGYAIGKNAGFQENLYLNEVQIKPPRNTESLHFLAIRCLEKGLIFCFPKGPSAGGAIAKAIGFPWLMTIIGIIDIAFAPLCFFLRSPPAKEEKMAILMDHNCPIKTKMYTQNNVQSYPIGDDEESESD
XP_011514312.1 24 817 0.729975440806046 PF15336.6:Auts2:629:793 autism susceptibility gene 2 protein isoform X1 1266 165 35 794 0 Homo sapiens XP_011514312.1 1 RefSeq RRSRGGLGAGAAGGGGAGRTRALSLASSSGSDKEDNGKPPSSAPSRPRPPRRKRRESTSAEEDIIDGFAMTSFVTFEALEKDVALKPQERVEKRQTPLTKKKREALTNGLSFHSKKSRLSHPHHYSSDRENDRNLCQHLGKRKKMPKALRQLKPGQNSCRDSDSESASGESKGFHRSSSRERLSDSSAPSSLGTGYFCDSDSDQEEKASDASSEKLFNTVIVNKDPELGVGTLPEHDSQDAGPIVPKISGLERSQEKSQDCCKEPIFEPVVLKDPCPQVAQPIPQPQTEPQLRAPSPDPDLVQRTEAPPQPPPLSTQPPQGPPEAQLQPAPQPQVQRPPRPQSPTQLLHQNLPPVQAHPSAQSLSQPLSAYNSSSLSLNSLSSSRSSTPAKTQPAPPHISHHPSASPFPLSLPNHSPLHSFTPTLQPPAHSHHPNMFAPPTALPPPPPLTSGSLQVAGHPAGSTYSEQDILRQELNTRFLASQSADRGASLGPPPYLRTEFHQHQHQHQHTHQHTHQHTFTPFPHAIPPTAIMPTPAPPMVRTPGRNFDKYPTKVDPFYRHSLFHSYPPAVSGIPPMIPPTGPFGSLQGAFQPKTSNPIDVAARPGTVPHTLLQKDPRLTDPFRPMLRKPGKWCAMHVHIAWQIYHHQQKVKKQMQSDPHKLDFGLKPEFLSRPPGPSLFGAIHHPHDLARPSTLFSAAGAAHPTGTPFGPPPHHSNFLNPAAHLEPFNRPSTFTGLAAVGGNAFGGLGNPSVTPNSMFGHKDGPSVQNFSNPHEPWNRLHRTPPSFPTPPPWL
XP_011518988.1 1 95 0.0995505263157894 C-type lectin domain family 1 member B isoform X3 189 0 35 72 1 Homo sapiens XP_011518988.1 0 RefSeq MQDEDGYITLNIKTRKPALISVGSASSSWWRVMALILLILCVGMVVGLVALGIWSVMQRNYLQGENENRTGTLQQLAKRFCQYVVKQSELKGTFK
XP_016869711.1 284 643 0.195693333333333 PF00501.28:AMP-binding:2:228,PF13193.6:AMP-binding_C:237:312 Long-chain fatty acid transport protein 4; FATP-4; Fatty acid transport protein 4; Solute carrier family 27 member 4; EC 6.2.1.- 643 303 35 360 0 Homo sapiens (Human) SwissProt::Q6P1M0 1 SwissProt DCLPLYHSAGNIVGIGQCLLHGMTVVIRKKFSASRFWDDCIKYNCTIVQYIGELCRYLLNQPPREAENQHQVRMALGNGLRQSIWTNFSSRFHIPQVAEFYGATECNCSLGNFDSQVGACGFNSRILSFVYPIRLVRVNEDTMELIRGPDGVCIPCQPGEPGQLVGRIIQKDPLRRFDGYLNQGANNKKIAKDVFKKGDQAYLTGDVLVMDELGYLYFRDRTGDTFRWKGENVSTTEVEGTLSRLLDMADVAVYGVEVPGTEGRAGMAAVASPTGNCDLERFAQVLEKELPLYARPIFLRLLPELHKTGTYKFQKTELRKEGFDPAIVKDPLFYLDAQKGRYVPLDQEAYSRIQAGEEKL
XP_016883606.1 1 162 0.123801234567901 PF00219.18:IGFBP:84:136 WNT1-inducible-signaling pathway protein 2 isoform X2 162 53 35 162 0 Homo sapiens XP_016883606.1 1 RefSeq MSPNLGDVNLCVSPFTDITISRCTEGSTHTRRFGSQNKQAIMLCPTSRRWLKAGSAGDMRGTPKTHLLAFSLLCLLSKVRTQLCPTPCTCPWPPPRCPLGVPLVLDGCGCCRVCARRLGEPCDQLHVCDASQGLVCQPGAGPGGRGALCLCKQWQRTTAAVR
NP_001011551.1 1 318 0.146097169811321 C1GALT1-specific chaperone 1; C38H2-like protein 1; C38H2-L1; Core 1 beta1,3-galactosyltransferase 2; C1Gal-T2; C1GalT2; Core 1 beta3-Gal-T2; Core 1 beta3-galactosyltransferase-specific molecular chaperone 318 0 34 298 1 Homo sapiens (Human) SwissProt::Q96EU7 1 SwissProt MLSESSSFLKGVMLGSIFCALITMLGHIRIGHGNRMHHHEHHHLQAPNKEDILKISEDERMELSKSFRVYCIILVKPKDVSLWAAVKETWTKHCDKAEFFSSENVKVFESINMDTNDMWLMMRKAYKYAFDKYRDQYNWFFLARPTTFAIIENLKYFLLKKDPSQPFYLGHTIKSGDLEYVGMEGGIVLSVESMKRLNSLLNIPEKCPEQGGMIWKISEDKQLAVCLKYAGVFAENAEDADGKDVFNTKSVGLSIKEAMTYHPNQVVEGCCSDMAVTFNGLTPNQMHVMMYGVYRLRAFGHIFNDALVFLPPNGSDND
NP_001036020.1 146 508 0.711233057851239 Fibroblast growth factor receptor substrate 2; FGFR substrate 2; FGFR-signaling adaptor SNT; Suc1-associated neurotrophic factor target 1; SNT-1 508 0 34 363 0 Homo sapiens (Human) SwissProt::Q8WU20 1 SwissProt LPNGYPRYPSFGDASSHPSSRHPSVGSARLPSVGEESTHPLLVAEEQVHTYVNTTGVQEERKNRTSVHVPLEARVSNAESSTPKEEPSSIEDRDPQILLEPEGVKFVLGPTPVQKQLMEKEKLEQLGRDQVSGSGANNTEWDTGYDSDERRDAPSVNKLVYENINGLSIPSASGVRRGRLTSTSTSDTQNINNSAQRRTALLNYENLPSLPPVWEARKLSRDEDDNLGPKTPSLNGYHNNLDPMHNYVNTENVTVPASAHKIEYSRRRDCTPTVFNFDIRRPSLEHRQLNYIQVDLEGGSDSDNPQTPKTPTTPLPQTPTRRTELYAVIDIERTAAMSNLQKALPRDDGTSRKTRHNSTDLPM
NP_001186209.1 1 553 0.0650137432188065 PF13641.6:Glyco_tranf_2_3:167:358,PF03142.15:Chitin_synth_2:206:361,PF13632.6:Glyco_trans_2_3:210:417,PF13506.6:Glyco_transf_21:200:359 hyaluronan synthase (EC 2.4.1.212) 553 251 34 392 7 Homo sapiens BRENDA::O00219 1 BRENDA MPVQLTTALRVVGTSLFALAVLGGILAAYVTGYQFIHTEKHYLSFGLYGAILGLHLLIQSLFAFLEHRRMRRAGQALKLPSPRRGSVALCIAAYQEDPDYLRKCLRSAQRISFPDLKVVMVVDGNRQEDAYMLDIFHEVLGGTEQAGFFVWRSNFHEAGEGETEASLQEGMDRVRDVVRASTFSCIMQKWGGKREVMYTAFKALGDSVDYIQVCDSDTVLDPACTIEMLRVLEEDPQVGGVGGDVQILNKYDSWISFLSSVRYWMAFNVERACQSYFGCVQCISGPLGMYRNSLLQQFLEDWYHQKFLGSKCSFGDDRHLTNRVLSLGYRTKYTARSKCLTETPTKYLRWLNQQTRWSKSYFREWLYNSLWFHKHHLWMTYESVVTGFFPFFLIATVIQLFYRGRIWNILLFLLTVQLVGIIKATYACFLRGNAEMIFMSLYSLLYMSSLLPAKIFAIATINKSGWGTSGRKTIVVNFIGLIPVSIWVAVLLGGLAYTAYCQDLFSETELAFLVSGAILYGCYWVALLMLYLAIIARRCGKKPEQYSLAFAEV
NP_001238985.1 1 147 0.17921768707483 PF00021.21:UPAR_LY6:29:102,PF00087.21:Toxin_TOLIP:27:102 lymphocyte antigen 6C1 isoform 2 precursor 147 76 34 147 0 Mus musculus NP_001238985.1 1 RefSeq MDTSHTTKSCVLILLVALLCAERAQGLQCYECYGVPIETSCPAVTCRASDGFCIAQNIELIEDSQRRKLKTRQCLSFCPAGVPIRDPNIRERTSCCSEDLCNAAVPTAGIQGLMRVKKHHQAHISTGHFQGDPACGGEAQEMSNQRL
NP_001275949.1 390 797 0.471150490196079 kinesin-like protein KIF6 isoform 2 797 0 34 408 0 Homo sapiens NP_001275949.1 1 RefSeq AELLQLEKLITSFLEDQDSDSRLEVGADMRKVHHCFHHLKKLLNDKKILENNTVSSESKDQDCQEPLKEEEYRKLRDILKQRDNEINILVNMLKKEKKKAQEALHLAGMDRREFRQSQSPPFRLGNPEEGQRMRLSSAPSQAQDFSILGKRSSLLHKKIGMREEMSLGCQEAFEIFKRDHADSVTIDDNKQILKQRFSEAKALGESINEARSKIGISENMAVPLMPDQQEEKLRSQLEEEKRRYKTMFTRLKALKVEIEHLQLLMDKAKVKLQKEFEVWWAEEATNLQVNSPAVNSLDHTKPFLQTSDSQHEWSQLLSNKSSGGWEVQDQGTGRFDVCDVNARKILPSPCPSPHSQKQSSTSTPLEDSIPKRPVSSIPLTGDSQTDSDIIAFIKARQSILQKQCLGSN
NP_001297580.1 1 105 0.138498095238095 gonadotropin-releasing hormone receptor isoform 2 177 0 34 65 2 Mus musculus NP_001297580.1 0 RefSeq MANNASLEQDPNHCSAINNSIPLIQGKLPTLTVSGKIRVTVTFFLFLLSTAFNASFLLKLQKWTQKRKKGKKLSRMKVLLKHLTLANLLETLIVMPLDGMWNITV
NP_001555.1 1 202 0.560118811881188 PF12998.7:ING:27:124 Inhibitor of growth protein 2; Inhibitor of growth 1-like protein; ING1Lp; p32; p33ING2 280 98 34 202 0 Homo sapiens (Human) SwissProt::Q9H160 1 SwissProt MLGQQQQQLYSSAALLTGERSRLLTCYVQDYLECVESLPHDMQRNVSVLRELDNKYQETLKEIDDVYEKYKKEDDLNQKKRLQQLLQRALINSQELGDEKIQIVTQMLELVENRARQMELHSQCFQDPAESERASDKAKMDSSQPERSSRRPRRQRTSESRDLCHMANGIEDCDDQPPKEKKSKSAKKKKRSKAKQEREASP
NP_003070.3 1 411 0.638114841849149 PF00505.19:HMG_box:66:133,PF09011.10:HMG_box_2:72:134 SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily E member 1; BRG1-associated factor 57; BAF57 411 69 34 411 0 Homo sapiens (Human) SwissProt::Q969G3 1 SwissProt MSKRPSYAPPPTPAPATQMPSTPGFVGYNPYSHLAYNNYRLGGNPGTNSRVTASSGITIPKPPKPPDKPLMPYMRYSRKVWDQVKASNPDLKLWEIGKIIGGMWRDLTDEEKQEYLNEYEAEKIEYNESMKAYHNSPAYLAYINAKSRAEAALEEESRQRQSRMEKGEPYMSIQPAEDPDDYDDGFSMKHTATARFQRNHRLISEILSESVVPDVRSVVTTARMQVLKRQVQSLMVHQRKLEAELLQIEERHQEKKRKFLESTDSFNNELKRLCGLKVEVDMEKIAAEIAQAEEQARKRQEEREKEAAEQAERSQSSIVPEEEQAANKGEEKKDDENIPMETEETHLEETTESQQNGEEGTSTPEDKESGQEGVDSMAEEGTSDSNTGSESNSATVEEPPTDPIPEDEKKE
NP_004171.2 1 425 0.474899529411765 PF12845.7:TBD:133:186 TRAF family member-associated NF-kappa-B activator; TRAF-interacting protein; I-TRAF 425 54 34 425 0 Homo sapiens (Human) SwissProt::Q92844 1 SwissProt MDKNIGEQLNKAYEAFRQACMDRDSAVKELQQKTENYEQRIREQQEQLSLQQTIIDKLKSQLLLVNSTQDNNYGCVPLLEDSETRKNNLTLDQPQDKVISGIAREKLPKVRRQEVSSPRKETSARSLGSPLLHERGNIEKTFWDLKEEFHKICMLAKAQKDHLSKLNIPDTATETQCSVPIQCTDKTDKQEALFKPQAKDDINRGAPSITSVTPRGLCRDEEDTSFESLSKFNVKFPPMDNDSTFLHSTPERPGILSPATSEAVCQEKFNMEFRDNPGNFVKTEETLFEIQGIDPIASAIQNLKTTDKTKPSNLVNTCIRTTLDRAACLPPGDHNALYVNSFPLLDPSDAPFPSLDSPGKAIRGPQQPIWKPFPNQDSDSVVLSGTDSELHIPRVCEFCQAVFPPSITSRGDFLRHLNSHFNGET
NP_011757.3 1 568 0.469145774647887 PF07651.16:ANTH:5:265,PF01417.20:ENTH:5:96 Clathrin coat assembly protein AP180B 568 261 34 568 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53309 1 SwissProt MSSLYTKLVKGATKIKMAPPKQKYVDPILSGTSSARGLQEITHALDIRLSDTAWTIVYKALIVLHLMIQQGEKDVTLRHYSHNLDVFQLRKISHTTKWSSNDMRALQRYDEYLKTRCEEYGRLGMDHLRDNYSSLKLGSKNQLSMDEELDHVESLEIQINALIRNKYSVSDLENHLLLYAFQLLVQDLLGLYNALNEGVITLLESFFELSIEHAKRTLDLYKDFVDMTEYVVRYLKIGKAVGLKIPVIKHITTKLINSLEEHLREETKRQRGEPSEPQQDRKPSTAISSTSSHNNNSNDKNKSIAQKKLEQIREQKRLLEQQLQNQQLLISPTVPQDAYNPFGSQQQDLNNDTFSFEPTQPQMTAQVPQPTANPFLIPQQQQQALQLTSASTMPQPSEIQITPNLNNQQTGMYASNLQYTPNFTGSGFGGYTTTENNAIMTGTLDPTKTGSNNPFSLENIAREQQQQNFQNSPNPFTLQQAQTTPILAHSQTGNPFQAQNVVTSPMGTYMTNPVAGQLQYASTGAQQQPQMMQGQQTGYVMVPTAFVPINQQQQQQQHQQENPNLIDI
NP_012736.1 1 588 0.516393027210884 PF00320.27:GATA:499:535 Transcriptional regulatory protein ASH1; Daughter cells HO repressor protein 588 37 34 588 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34233 1 SwissProt MSSLYIKTPLHALSAGPDSHANSSYYDNLLLPSFSNLSSNISRNNITTDNNINSASPRKYSFHSLNVSPILSPISLANEILGKKSNTAPASPHHMDYNPISSLTPGNSPEFNKASLSQISFTNPLNYGSGLGFSSNSQPRLPLLDRLSSVSLSKRPERPQQSLPSLRHLQLLPSPLLQENAARFPDTSKRTSNWKTDLTHWCKDTNYQDYVKIREEVAHFKPLSIPNLTNNQNNDSFNYGKELESTRSSKFHSPSKESFDRTKLIPSILEAKDQFKDLSNNAWSITPPVTPPMSPPTNRTMERTTLRGVEASFFEGKSSNNDSIFNPIISEKLVQEVKHQRQLRGNSFPMPNASHKKTNSFKALQIKKLLANRDILSNNSKSNVRKPSKNKISKQASNVFGNTARQLVMKLDNASYSSVSASSSPSPSTPTKSGKMRSRSSSPVRPKAYTPSPRSPNYHRFALDSPPQSPRRSSNSSITKKGSRRSSGSSPTRHTTRVCVSCHSSDSPCWRPSWSPRKQDQLCNSCGLRYKKTHTRCLNDLCRKIPTKGEINIMKSNGIDKEFVPERNCEIEGYRCLFCNYITETVEN
NP_033222.1 1 493 0.126428803245436 PF07690.16:MFS_1:28:399,PF07690.16:MFS_1:325:449 Monocarboxylate transporter 1; MCT 1; Solute carrier family 16 member 1 493 422 34 251 11 Mus musculus (Mouse) SwissProt::P53986 1 SwissProt MPPAIGGPVGYTPPDGGWGWAVLVGAFISIGFSYAFPKSITVFFKEIEVIFSATTSEVSWISSIMLAVMYAGGPISSILVNKYGSRPVMIAGGCLSGCGLIAASFCNTVQELYLCIGVIGGLGLAFNLNPALTMIGKYFYKKRPLANGLAMAGSPVFLSTLAPLNQAFFDIFDWRGSFLILGGLLLNCCVAGSLMRPIGPEQVKLEKLKSKESLQEAGKSDANTDLIGGSPKGEKLSVFQTINKFLDLSLFTHRGFLLYLSGNVVMFFGLFTPLVFLSSYGKSKDFSSEKSAFLLSILAFVDMVARPSMGLAANTKWIRPRIQYFFAASVVANGVCHLLAPLSTTYVGFCVYAGVFGFAFGWLSSVLFETLMDLIGPQRFSSAVGLVTIVECCPVLLGPPLLGRLNDMYGDYKYTYWACGVILIIAGIYLFIGMGINYRLLAKEQKAEEKQKREGKEDEASTDVDEKPKETMKAAQSPQQHSSGDPTEEESPV
NP_035306.2 1 456 0.178110087719298 PF00431.20:CUB:225:331,PF00431.20:CUB:340:444,PF01390.20:SEA:88:172,PF02408.20:CUB_2:357:434 Suppressor of tumorigenicity 14 protein homolog 855 297 34 433 1 Mus musculus P56677 1 SwissProt/TReMBL MGSNRGRKAGGGSQDFGAGLKYNSRLENMNGFEEGVEFLPANNAKKVEKRGPRRWVVLVAVLFSFLLLSLMAGLLVWHFHYRNVRVQKVFNGHLRITNEIFLDAYENSTSTEFISLASQVKEALKLLYNEVPVLGPYHKKSAVTAFSEGSVIAYYWSEFSIPPHLAEEVDRAMAVERVVTLPPRARALKSFVLTSVVAFPIDPRMLQRTQDNSCSFALHAHGAAVTRFTTPGFPNSPYPAHARCQWVLRGDADSVLSLTFRSFDVAPCDEHGSDLVTVYDSLSPMEPHAVVRLCGTFSPSYNLTFLSSQNVFLVTLITNTDRRHPGFEATFFQLPKMSSCGGFLSDTQGTFSSPYYPGHYPPNINCTWNIKVPNNRNVKVRFKLFYLVDPNVPVGSCTKDYVEINGEKYCGERSQFVVSSNSSKITVHFHSDHSYTDTGFLAEYLSYDSNDPCPGM
NP_035846.1 1 890 0.222117078651685 Wolframin 890 0 34 688 9 Mus musculus (Mouse) SwissProt::P56695 1 SwissProt MNSGTPPPSPSGPPPPPAPQPQARARLNATASLEQDKIEPPRAPRPQADPSAGRSAGEAAAPEPRAPQTGSREETDRAGPMKADVEIPFEEVLEKAKAGDPKAQTEVGKHYLRLANDADEELNSCSAVAWLILAAKQGRREAVKLLRRCLADRKGITSENEAEVKQLSSETDLERAVRKAALVMYWKLNPKKKKQVAVSELLENVGQVNEQDGGAQPGPVPKSLQKQRRMLERLVSSESKNYIALDDFVELTKKYAKGIIPTNLFLQDEDEDEDELAGKSPEDLPLRQKVVKYPLHAIMEIKEYLIDVASKAGMHWLSTIVPTHHINALIFFFIISNLTIDFFAFFIPLVVFYLSFVSMVICTLKVFQDSKAWENFRTLTDLLLRFEPNLDVEQAEVNFGWNHLEPYIHFLLSVVFVIFSFPLASKDCIPCSELAVISTFFTVTSYMSLSSSAEPYTRRALVTEVAAGLLSLLPTVPVDWRFLKVLGQTFFTVPVGHFIILNVSLPCLLYVYLFYLFFRMAQLRNFKGTYCYLVPYLVCFMWCELSVVILLQSTGLGLVRASIGYFLFLFALPILVAGLALMGTVQFARWFLSLDLTKIMVTTVICGVPLLFRWWTKANFSVMGMVKSLTKSSMVKLILVWLTAILLFCWFYVYRSEGMKVYNSTLTWQQYGFLCGPRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTEIDNSAESAINMLPFFLGDWMRCLYGEAYPSCSSGNTSTAEEELCRLKQLAKHPCHIKKFDRYKFEITVGMPFGTNGNRGHEEDDITKDIVLRASSEFKDVLLNLRQGSLIEFSTILEGRLGSKWPVFELKAISCLNCMTQLSPARRHVKIEQDWRSTVHGALKFAFDFFFFPFLSAA
NP_038941.1 1 241 0.438243153526971 Angiopoietin-related protein 3; Angiopoietin-like protein 3 455 0 34 241 0 Mus musculus (Mouse) SwissProt::Q9R182 1 SwissProt MHTIKLFLFVVPLVIASRVDPDLSSFDSAPSEPKSRFAMLDDVKILANGLLQLGHGLKDFVHKTKGQINDIFQKLNIFDQSFYDLSLRTNEIKEEEKELRRTTSTLQVKNEEVKNMSVELNSKLESLLEEKTALQHKVRALEEQLTNLILSPAGAQEHPEVTSLKSFVEQQDNSIRELLQSVEEQYKQLSQQHMQIKEIEKQLRKTGIQEPSENSLSSKSRAPRTTPPLQLNETENTEQDD
NP_055365.2 146 704 0.497950626118068 caspase recruitment domain-containing protein 10 1032 0 34 559 0 Homo sapiens NP_055365.2 1 RefSeq ARKSQLQREQQLQARGRVLEEERAGLEQRLRDQQQAQERCQRLREDWEAGSLELLRLKDENYMIAMRLAQLSEEKNSAVLRSRDLQLAVDQLKLKVSRLEEECALLRRARGPPPGAEEKEKEKEKEKEPDNVDLVSELRAENQRLTASLRELQEGLQQEASRPGAPGSERILLDILEHDWREAQDSRQELCQKLHAVQGELQWAEELRDQYLQEMEDLRLKHRTLQKDCDLYKHRMATVLAQLEEIEKERDQAIQSRDRIQLQYSQSLIEKDQYRKQVRGLEAERDELLTTLTSLEGTKALLEVQLQRAQGGTCLKACASSHSLCSNLSSTWSLSEFPSPLGGPEATGEAAVMGGPEPHNSEEATDSEKEINRLSILPFPPSAGSILRRQREEDPAPPKRSFSSMSDITGSVTLKPWSPGLSSSSSSDSVWPLGKPEGLLARGCGLDFLNRSLAIRVSGRSPPGGPEPQDKGPDGLSFYGDRWSGAVVRRVLSGPGSARMEPREQRVEAAGLEGACLEAEAQQRTLLWNQGSTLPSLMDSKACQSFHEALEAWAKGPGA
NP_057223.1 1 151 0.625528476821192 PF06747.13:CHCH:114:146 Coiled-coil-helix-coiled-coil-helix domain-containing protein 2; Aging-associated gene 10 protein; HCV NS2 trans-regulated protein; NS2TP 151 33 34 151 0 Homo sapiens (Human) SwissProt::Q9Y6H1 1 SwissProt MPRGSRSRTSRMAPPASRAPQMRAAPRPAPVAQPPAAAPPSAVGSSAAAPRQPGLMAQMATTAAGVAVGSAVGHTLGHAITGGFSGGSNAEPARPDITYQEPQGTQPAQQQQPCLYEIKQFLECAQNQGDIKLCEGFNEVLKQCRLANGLA
NP_073603.2 1 537 0.204293482309125 PF00781.24:DAGK_cat:132:274 Ceramide kinase; hCERK; Acylsphingosine kinase; Lipid kinase 4; LK4; EC 2.7.1.138 537 143 34 537 0 Homo sapiens (Human) SwissProt::Q8TCT0 1 SwissProt MGATGAAEPLQSVLWVKQQRCAVSLEPARALLRWWRSPGPGAGAPGADACSVPVSEIIAVEETDVHGKHQGSGKWQKMEKPYAFTVHCVKRARRHRWKWAQVTFWCPEEQLCHLWLQTLREMLEKLTSRPKHLLVFINPFGGKGQGKRIYERKVAPLFTLASITTDIIVTEHANQAKETLYEINIDKYDGIVCVGGDGMFSEVLHGLIGRTQRSAGVDQNHPRAVLVPSSLRIGIIPAGSTDCVCYSTVGTSDAETSALHIVVGDSLAMDVSSVHHNSTLLRYSVSLLGYGFYGDIIKDSEKKRWLGLARYDFSGLKTFLSHHCYEGTVSFLPAQHTVGSPRDRKPCRAGCFVCRQSKQQLEEEQKKALYGLEAAEDVEEWQVVCGKFLAINATNMSCACRRSPRGLSPAAHLGDGSSDLILIRKCSRFNFLRFLIRHTNQQDQFDFTFVEVYRVKKFQFTSKHMEDEDSDLKEGGKKRFGHICSSHPSCCCTVSNSSWNCDGEVLHSPAIEVRVHCQLVRLFARGIEENPKPDSHS
NP_079088.1 1 145 0.775858620689655 PF06989.12:BAALC_N:1:50 brain and acute leukemia cytoplasmic protein isoform 1 145 50 34 145 0 Homo sapiens NP_079088.1 1 RefSeq MGCGGSRADAIEPRYYESWTRETESTWLTYTDSDAPPSAAAPDSGPEAGGLHSGMLEDGLPSNGVPRSTAPGGIPNPEKKTNCETQCPNPQSLSSGPLTQKQNGLQTTEAKRDAKRMPAKEVTINVTDSIQQMDRSRRITKNCVN
NP_112506.2 253 510 0.111087596899225 PF13445.6:zf-RING_UBOX:30:72,PF01485.21:IBR:116:159,PF01485.21:IBR:183:226,PF13639.6:zf-RING_2:29:73,PF14634.6:zf-RING_5:29:73,PF13923.6:zf-C3HC4_2:29:65,PF00097.25:zf-C3HC4:30:72 RanBP-type and C3HC4-type zinc finger-containing protein 1; HBV-associated factor 4; Heme-oxidized IRP2 ubiquitin ligase 1; HOIL-1; Hepatitis B virus X-associated protein 4; RING finger protein 54; RING-type E3 ubiquitin transferase HOIL-1; Ubiquitin-conjugating enzyme 7-interacting protein 3; EC 2.3.2.31 510 133 34 258 0 Homo sapiens (Human) SwissProt::Q9BYM8 1 SwissProt RKQQQQEGNYLQHVQLDQRSLVLNTEPAECPVCYSVLAPGEAVVLRECLHTFCRECLQGTIRNSQEAEVSCPFIDNTYSCSGKLLEREIKALLTPEDYQRFLDLGISIAENRSAFSYHCKTPDCKGWCFFEDDVNEFTCPVCFHVNCLLCKAIHEQMNCKEYQEDLALRAQNDVAARQTTEMLKVMLQQGEAMRCPQCQIVVQKKDGCDWIRCTVCHTEICWVTKGPRWGPGGPGDTSGGCRCRVNGIPCHPSCQNCH
NP_181532.2 1 1976 0.396515738866397 PF00623.20:RNA_pol_Rpb1_2:321:475,PF11523.8:DUF3223:1751:1826,PF04983.18:RNA_pol_Rpb1_3:478:624,PF04998.17:RNA_pol_Rpb1_5:748:1172,PF04997.12:RNA_pol_Rpb1_1:43:218 DNA-directed RNA polymerase V subunit 1; DNA-directed RNA polymerase D subunit 1b; AtNRPD1b; Nuclear RNA polymerase D 1b; DNA-directed RNA polymerase E subunit 1; Nuclear RNA polymerase E 1; Protein DEFECTIVE IN MERISTEM SILENCING 5; Protein DEFECTIVE IN RNA-DIRECTED DNA METHYLATION 3; Protein RNA-DIRECTED DNA METHYLATION DEFECTIVE 1; RNA polymerase IV subunit 1; POL IV 1; EC 2.7.7.6 1976 979 34 1976 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5D869 1 SwissProt MEEESTSEILDGEIVGITFALASHHEICIQSISESAINHPSQLTNAFLGLPLEFGKCESCGATEPDKCEGHFGYIQLPVPIYHPAHVNELKQMLSLLCLKCLKIKKAKGTSGGLADRLLGVCCEEASQISIKDRASDGASYLELKLPSRSRLQPGCWNFLERYGYRYGSDYTRPLLAREVKEILRRIPEESRKKLTAKGHIPQEGYILEYLPVPPNCLSVPEASDGFSTMSVDPSRIELKDVLKKVIAIKSSRSGETNFESHKAEASEMFRVVDTYLQVRGTAKAARNIDMRYGVSKISDSSSSKAWTEKMRTLFIRKGSGFSSRSVITGDAYRHVNEVGIPIEIAQRITFEERVSVHNRGYLQKLVDDKLCLSYTQGSTTYSLRDGSKGHTELKPGQVVHRRVMDGDVVFINRPPTTHKHSLQALRVYVHEDNTVKINPLMCSPLSADFDGDCVHLFYPQSLSAKAEVMELFSVEKQLLSSHTGQLILQMGSDSLLSLRVMLERVFLDKATAQQLAMYGSLSLPPPALRKSSKSGPAWTVFQILQLAFPERLSCKGDRFLVDGSDLLKFDFGVDAMGSIINEIVTSIFLEKGPKETLGFFDSLQPLLMESLFAEGFSLSLEDLSMSRADMDVIHNLIIREISPMVSRLRLSYRDELQLENSIHKVKEVAANFMLKSYSIRNLIDIKSNSAITKLVQQTGFLGLQLSDKKKFYTKTLVEDMAIFCKRKYGRISSSGDFGIVKGCFFHGLDPYEEMAHSIAAREVIVRSSRGLAEPGTLFKNLMAVLRDIVITNDGTVRNTCSNSVIQFKYGVDSERGHQGLFEAGEPVGVLAATAMSNPAYKAVLDSSPNSNSSWELMKEVLLCKVNFQNTTNDRRVILYLNECHCGKRFCQENAACTVRNKLNKVSLKDTAVEFLVEYRKQPTISEIFGIDSCLHGHIHLNKTLLQDWNISMQDIHQKCEDVINSLGQKKKKKATDDFKRTSLSVSECCSFRDPCGSKGSDMPCLTFSYNATDPDLERTLDVLCNTVYPVLLEIVIKGDSRICSANIIWNSSDMTTWIRNRHASRRGEWVLDVTVEKSAVKQSGDAWRVVIDSCLSVLHLIDTKRSIPYSVKQVQELLGLSCAFEQAVQRLSASVRMVSKGVLKEHIILLANNMTCSGTMLGFNSGGYKALTRSLNIKAPFTEATLIAPRKCFEKAAEKCHTDSLSTVVGSCSWGKRVDVGTGSQFELLWNQKETGLDDKEETDVYSFLQMVISTTNADAFVSSPGFDVTEEEMAEWAESPERDSALGEPKFEDSADFQNLHDEGKPSGANWEKSSSWDNGCSGGSEWGVSKSTGGEANPESNWEKTTNVEKEDAWSSWNTRKDAQESSKSDSGGAWGIKTKDADADTTPNWETSPAPKDSIVPENNEPTSDVWGHKSVSDKSWDKKNWGTESAPAAWGSTDAAVWGSSDKKNSETESDAAAWGSRDKNNSDVGSGAGVLGPWNKKSSETESNGATWGSSDKTKSGAAAWNSWDKKNIETDSEPAAWGSQGKKNSETESGPAAWGAWDKKKSETEPGPAGWGMGDKKNSETELGPAAMGNWDKKKSDTKSGPAAWGSTDAAAWGSSDKNNSETESDAAAWGSRNKKTSEIESGAGAWGSWGQPSPTAEDKDTNEDDRNPWVSLKETKSREKDDKERSQWGNPAKKFPSSGGWSNGGGADWKGNRNHTPRPPRSEDNLAPMFTATRQRLDSFTSEEQELLSDVEPVMRTLRKIMHPSAYPDGDPISDDDKTFVLEKILNFHPQKETKLGSGVDFITVDKHTIFSDSRCFFVVSTDGAKQDFSYRKSLNNYLMKKYPDRAEEFIDKYFTKPRPSGNRDRNNQDATPPGEEQSQPPNQSIGNGGDDFQTQTQSQSPSQTRAQSPSQAQAQSPSQTQSQSQSQSQSQSQSQSQSQSQSQSQSQSQSQSQSPSQTQTQSPSQTQAQAQSPSSQSPSQTQT
NP_187691.1 1 675 0.481637481481481 PF00170.21:bZIP_1:192:249,PF07716.15:bZIP_2:189:237 bZIP transcription factor 28; AtbZIP28 675 61 34 652 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SG86 1 SwissProt MTESTSVVAPPPEIPNLNPSMFSESDLFSIPPLDPLFLSDSDPISMDAPISDLDFLLDDENGDFADFDFSFDNSDDFFDFDLSEPAVVIPEEIGNNRSNLDSSENRSGDGGLEGRSESVHSQVSSQGSKTFVSDTVDASSSPESSNHQKSSVSKRKKENGDSSGELRSCKYQKSDDKSVATNNEGDDDDDKRKLIRQIRNRESAQLSRLRKKQQTEELERKVKSMNATIAELNGKIAYVMAENVALRQQMAVASGAPPMNPYMAAPPLPYQWMPYPPYPVRGYGSQTPLVPIPKLNPKPVSSCRPKKAESKKNEGKSKLKKVASISFIGILFFVFLFGTLVPFMNVNFGGERGSFGGLSKYDGHRYYDEHKGRVLMVGDGSDVRRNSGISEGNIHSSRISHGERDSCGGVDYNAHPKVEGRPSSLSNASDPLFASLYVPRNDGLVKIDGNLIIHSVLASEKARGLGKKNITETVKTKEPDLTIPGALSSALAVPGVRGNAAMLPHSTALSSEGKRLHQWFHEGGSGPLMDYSMCTEVFQFDIAPGAIVPSSVSSISAEHLQNVTTHGKRMKNRRILEGLPVSLVASELNITGTQPNKDAQNKTFNGNTNKPTSSSSMVVSVLLDPREVVDSETDRVVPPNPKSLSRIFVVVLLDSVKYVTYSCVLPRSGLHLVAT
NP_190666.1 1 193 0.8811103626943 PF00257.19:Dehydrin:7:54,PF00257.19:Dehydrin:58:119,PF00257.19:Dehydrin:124:156 Dehydrin Xero 2; Low-temperature-induced protein LTI30 193 143 34 193 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P42758 1 SwissProt MNSHQNQTGVQKKGITEKIMEKLPGHHGPTNTGVVHHEKKGMTEKVMEQLPGHHGATGTGGVHHEKKGMTEKVMEQLPGHHGSHQTGTNTTYGTTNTGGVHHEKKSVTEKVMEKLPGHHGSHQTGTNTAYGTNTNVVHHEKKGIAEKIKEQLPGHHGTHKTGTTTSYGNTGVVHHENKSTMDKIKEKLPGGHH
NP_191437.1 85 248 0.519757926829268 PF01486.17:K-box:16:102 Agamous-like MADS-box protein AGL1; Protein SHATTERPROOF 1 248 87 34 164 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P29381 1 SwissProt KKACSDAVNPPSVTEANTQYYQQEASKLRRQIRDIQNSNRHIVGESLGSLNFKELKNLEGRLEKGISRVRSKKNELLVAEIEYMQKREMELQHNNMYLRAKIAEGARLNPDQQESSVIQGTTVYESGVSSHDQSQHYNRNYIPVNLLEPNQQFSGQDQPPLQLV
NP_195185.1 1 159 0.489618238993711 PF00170.21:bZIP_1:26:67,PF07716.15:bZIP_2:25:67 bZIP transcription factor 11; AtbZIP11; G-box-binding factor 6; bZIP transcription factor ATB2 159 43 34 159 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65683 1 SwissProt MESSSSGTTSSTIQTSSGSEESLMEQRKRKRMLSNRESARRSRMKKQKLLDDLTAQVNHLKKENTEIVTSVSITTQHYLTVEAENSVLRAQLDELNHRLQSLNDIIEFLDSSNNNNNNNMGMCSNPLVGLECDDFFVNQMNMSYIMNQPLMASSDALMY
NP_196386.1 117 336 0.50863 Transcription factor MYB29; Myb-related protein 29; AtMYB29; Protein HIGH ALIPHATIC GLUCOSINOLATE 3; Protein PRODUCTION OF METHIONINE-DERIVED GLUCOSINOLATE 2 336 0 34 220 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLR1 1 SwissProt IDKGIDPVTHKPLAYDSNPDEQSQSGSISPKSLPPSSSKNVPEITSSDETPKYDASLSSKKRCFKRSSSTSKLLNKVAARASSMGTILGASIEGTLISSTPLSSCLNDDFSETSQFQMEEFDPFYQSSEHIIDHMKEDISINNSEYDFSQFLEQFSNNEGEEADNTGGGYNQDLLMSDVSSTSVDEDEMMQNITGWSNYLLDHSDFNYDTSQDYDDKNFI
NP_200849.2 172 873 0.460767948717949 PF02891.20:zf-MIZ:188:236 DNA-binding protein with MIZ/SP-RING zinc finger, PHD-finger and SAP domain-containing protein 873 49 34 702 0 Arabidopsis thaliana NP_200849.2 1 RefSeq PFWVTVAHPLSPVRLTATTIPNDGASTMQSVERTFQITRADKDLLAKPEYDVQAWCMLLNDKVLFRMQWPQYADLQVNGVPVRAINRPGGQLLGVNGRDDGPIITSCIRDGVNRISLSGGDVRIFCFGVRLVKRRTLQQVLNLIPEEGKGETFEDALARVRRCIGGGGGDDNADSDSDIEVVADFFGVNLRCPMSGSRIKVAGRFLPCVHMGCFDLDVFVELNQRSRKWQCPICLKNYSVEHVIVDPYFNRITSKMKHCDEEVTEIEVKPDGSWRVKFKRESERRELGELSQWHAPDGSLCPSAVDIKRKMEMLPVKQEGYSDGPAPLKLGIRKNRNGIWEVSKPNTNGLSSSNRQEKVGYQEKNIIPMSSSATGSGRDGDDASVNQDAIGTFDFVANGMELDSISMNVDSGYNFPDRNQSGEGGNNEVIVLSDSDDENDLVITPGPAYSGCQTDGGLTFPLNPPGIINSYNEDPHSIAGGSSGLGLFNDDDEFDTPLWSFPSETPEAPGFQLFRSDADVSGGLVGLHHHSPLNCSPEINGGYTMAPETSMASVPVVPGSTGRSEANDGLVDNPLAFGRDDPSLQIFLPTKPDASAQSGFKNQADMSNGLRSEDWISLRLGDSASGNHGDPATTNGINSSHQMSTREGSMDTTTETASLLLGMNDSRQDKAKKQRSDNPFSFPRQKRSVRPRMYLSIDSDSE
NP_567438.1 1 193 0.309401554404145 PF00504.21:Chloroa_b-bind:94:134 Early light-induced protein 2, chloroplastic 193 41 34 132 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94K66 1 SwissProt MATASFNMQSVFAAPSGVLTTRNIRNTNQLFFKRIAPVGVRCMAQGDPIKEDPSVPSTSTSATPPQMPQSPPPPVSKPKVSTKFGDLLAFSGPAPERINGRLAMVGFVAAIAMELSKGENVFAQISDGGVGWFLGTTALLTLASMVPLFKGIRAEAKSKGFMTSDAELWNGRFAMLGLVALAFTEYVTGGTLV
NP_579840.2 1 228 0.403538157894737 oxidized low-density lipoprotein receptor 1 368 0 34 205 1 Rattus norvegicus NP_579840.2 1 RefSeq MNLEMAFDDKMKPVNGQPDQKSCGKKPKGLHLLSSTWWCPAAVTLAILCLVLSVTLIVQQTQLLQVSDLLKQYQANLTQQDHILEGQMSAQKKAENASQESKRELKEQIDTLTWKLNEKSKEQEKLLQQNQNLQEALQRAVNASEESKWELKEQIDILNWKLNGISKEQKELLQQNQNLQEALQKAEKYSEESQRELKEQIDTLSWKLNEKSKEQEELLQQNQNLQEA
NP_619636.2 130 760 0.0619597464342314 PF07810.13:TMC:386:501 Transmembrane channel-like protein 1; Transmembrane cochlear-expressed protein 1 760 116 34 493 6 Homo sapiens (Human) SwissProt::Q8TDI8 1 SwissProt NEGALGKGKGKRWFAFKMMMAKKWAKFLRDFENFKAACVPWENKIKAIESQFGSSVASYFLFLRWMYGVNMVLFILTFSLIMLPEYLWGLPYGSLPRKTVPRAEEASAANFGVLYDFNGLAQYSVLFYGYYDNKRTIGWMNFRLPLSYFLVGIMCIGYSFLVVLKAMTKNIGDDGGGDDNTFNFSWKVFTSWDYLIGNPETADNKFNSITMNFKEAITEEKAAQVEENVHLIRFLRFLANFFVFLTLGGSGYLIFWAVKRSQEFAQQDPDTLGWWEKNEMNMVMSLLGMFCPTLFDLFAELEDYHPLIALKWLLGRIFALLLGNLYVFILALMDEINNKIEEEKLVKANITLWEANMIKAYNASFSENSTGPPFFVHPADVPRGPCWETMVGQEFVRLTVSDVLTTYVTILIGDFLRACFVRFCNYCWCWDLEYGYPSYTEFDISGNVLALIFNQGMIWMGSFFAPSLPGINILRLHTSMYFQCWAVMCCNVPEARVFKASRSNNFYLGMLLLILFLSTMPVLYMIVSLPPSFDCGPFSGKNRMFEVIGETLEHDFPSWMAKILRQLSNPGLVIAVILVMVLAIYYLNATAKGQKAANLDLKKKMKMQALENKMRNKKMAAARAAAAAGRQ 1
NP_766383.2 1 385 0.173671688311688 Sterile alpha and TIR motif-containing protein 1; Tir-1 homolog; MyD88-5 724 0 34 385 0 Mus musculus (Mouse) SwissProt::Q6PDS3 1 SwissProt MVLTLLFSAYKLCRFFTMSGPRPGADRLTVPGPDRSGGASPWWAAGGRGSREVSPGVGTEVQGALERSLPELQQALSELKQASAARAVGAGLAEVFQLVEEAWLLPAVGREVAQGLCDAIRLDGGLDLLLRLLQAPELETRVQAARLLEQILVAENRDRVARIGLGVILNLAKEREPVELARSVAGILEHMFKHSEETCQRLVAAGGLDAVLYWCRRTDPALLRHCALALANCALHGGQTVQRCMVEKRAAEWLFPLAFSKEDELLRLHACLAVAVLATNKEVEREVEHSGTLALVEPLVASLDPGRFARCLVDASDTSQGRGPDDLQSLVLLLDSSRLEAQCIGAFYLCAEAAIKSLQGKTKVFSDIGAIQSLKRLVSYSTNGT
NP_780571.2 144 1154 0.392422947576657 caspase recruitment domain-containing protein 11 1154 0 34 1011 0 Mus musculus NP_780571.2 1 RefSeq AKDLQRCELLAKSRQLEDEKKQLSLIRVELLTFQERYYKMKEERDSYNDELVKVKDDNYNLAMRYAQLSEEKNMAVMRSRDLQLEIDQLKHRLNKMEEECKLERNQSLKLKNDIENRPRKEQVLELERENEMLKTKIQELQSIIQAGKRSLPDSDKAILDILEHDRKEALEDRQELVNKIYNLQEEVRQAEELRDKYLEEKEDLELKCSTLGKDCEMYKHRMNTVMLQLEEVERERDQAFHSRDEAQTQYSQCLIEKDKYRKQIRELEEKNDEMRIEMVRREACIVNLESKLRRLSKDNGSLDQSLPRHLPATIISQNLGDTSPRTNGQEADDSSTSEESPEDSKYFLPYHPPRRRMNLKGIQLQRAKSPISMKQASEFQVKGHEEDFTDGSPSSSRSLPVTSSFSKMQPHRSRSSIMSITAEPPGNDSIVRRCKEDAPHRSTVEEDNDSCGFDALDLDDENHERYSFGPPSIHSSSSSHQSEGLDAYDLEQVNLMLRKFSLERPFRPSVTSGGHVRGTGPLVQHTTLNGDGLITQLTLLGGNARGSFIHSVKPGSLAERAGLREGHQLLLLEGCIRGERQSVPLDACTKEEARWTIQRCSGLITLHYKVNHEGYRKLLKEMEDGLITSGDSFYIRLNLNISSQLDACSMSLKCDDVVHVLDTMYQDRHEWLCARVDPFTDQDLDTGTIPSYSRAQQLLLVKLQRLVHRGNREEADSAHHTLRSLRNTLQPEEMLSTSDPRVSPRLSRASFFFGQLLQFVSRSENKYKRMNSNERVRIISGSPLGSLSRSSLDATKLLTEKHEELDPENELSRNLTLIPYSLVRAFHCERRRPVLFTPTMLAKTLVQKLLNSGGAMEFTICKSDIVTRDEFLRKQKTETIIYSREKNPNTFECIVPANIEAVAAKNKHCLLEAGIGCVRDLIKCKVYPIVLLIRVSEKNIKRFRKLLPRPETEEEFLRVCRLKEKELEALPCLYATVEAEMWSSVEELLRVLKDKIVEEQRKTIWVDEDQL
P04114 1 4563 0.208426955950032 PF01347.22:Vitellogenin_N:46:597,PF09172.11:DUF1943:632:937,PF06448.11:DUF1081:960:1071,PF12491.8:ApoB100_C:4494:4549 Apolipoprotein B-100; Apo B-100 4563 1026 34 4544 1 Homo sapiens (Human) SwissProt::P04114 1 SwissProt MDPPRPALLALLALPALLLLLLAGARAEEEMLENVSLVCPKDATRFKHLRKYTYNYEAESSSGVPGTADSRSATRINCKVELEVPQLCSFILKTSQCTLKEVYGFNPEGKALLKKTKNSEEFAAAMSRYELKLAIPEGKQVFLYPEKDEPTYILNIKRGIISALLVPPETEEAKQVLFLDTVYGNCSTHFTVKTRKGNVATEISTERDLGQCDRFKPIRTGISPLALIKGMTRPLSTLISSSQSCQYTLDAKRKHVAEAICKEQHLFLPFSYKNKYGMVAQVTQTLKLEDTPKINSRFFGEGTKKMGLAFESTKSTSPPKQAEAVLKTLQELKKLTISEQNIQRANLFNKLVTELRGLSDEAVTSLLPQLIEVSSPITLQALVQCGQPQCSTHILQWLKRVHANPLLIDVVTYLVALIPEPSAQQLREIFNMARDQRSRATLYALSHAVNNYHKTNPTGTQELLDIANYLMEQIQDDCTGDEDYTYLILRVIGNMGQTMEQLTPELKSSILKCVQSTKPSLMIQKAAIQALRKMEPKDKDQEVLLQTFLDDASPGDKRLAAYLMLMRSPSQADINKIVQILPWEQNEQVKNFVASHIANILNSEELDIQDLKKLVKEALKESQLPTVMDFRKFSRNYQLYKSVSLPSLDPASAKIEGNLIFDPNNYLPKESMLKTTLTAFGFASADLIEIGLEGKGFEPTLEALFGKQGFFPDSVNKALYWVNGQVPDGVSKVLVDHFGYTKDDKHEQDMVNGIMLSVEKLIKDLKSKEVPEARAYLRILGEELGFASLHDLQLLGKLLLMGARTLQGIPQMIGEVIRKGSKNDFFLHYIFMENAFELPTGAGLQLQISSSGVIAPGAKAGVKLEVANMQAELVAKPSVSVEFVTNMGIIIPDFARSGVQMNTNFFHESGLEAHVALKAGKLKFIIPSPKRPVKLLSGGNTLHLVSTTKTEVIPPLIENRQSWSVCKQVFPGLNYCTSGAYSNASSTDSASYYPLTGDTRLELELRPTGEIEQYSVSATYELQREDRALVDTLKFVTQAEGAKQTEATMTFKYNRQSMTLSSEVQIPDFDVDLGTILRVNDESTEGKTSYRLTLDIQNKKITEVALMGHLSCDTKEERKIKGVISIPRLQAEARSEILAHWSPAKLLLQMDSSATAYGSTVSKRVAWHYDEEKIEFEWNTGTNVDTKKMTSNFPVDLSDYPKSLHMYANRLLDHRVPQTDMTFRHVGSKLIVAMSSWLQKASGSLPYTQTLQDHLNSLKEFNLQNMGLPDFHIPENLFLKSDGRVKYTLNKNSLKIEIPLPFGGKSSRDLKMLETVRTPALHFKSVGFHLPSREFQVPTFTIPKLYQLQVPLLGVLDLSTNVYSNLYNWSASYSGGNTSTDHFSLRARYHMKADSVVDLLSYNVQGSGETTYDHKNTFTLSYDGSLRHKFLDSNIKFSHVEKLGNNPVSKGLLIFDASSSWGPQMSASVHLDSKKKQHLFVKEVKIDGQFRVSSFYAKGTYGLSCQRDPNTGRLNGESNLRFNSSYLQGTNQITGRYEDGTLSLTSTSDLQSGIIKNTASLKYENYELTLKSDTNGKYKNFATSNKMDMTFSKQNALLRSEYQADYESLRFFSLLSGSLNSHGLELNADILGTDKINSGAHKATLRIGQDGISTSATTNLKCSLLVLENELNAELGLSGASMKLTTNGRFREHNAKFSLDGKAALTELSLGSAYQAMILGVDSKNIFNFKVSQEGLKLSNDMMGSYAEMKFDHTNSLNIAGLSLDFSSKLDNIYSSDKFYKQTVNLQLQPYSLVTTLNSDLKYNALDLTNNGKLRLEPLKLHVAGNLKGAYQNNEIKHIYAISSAALSASYKADTVAKVQGVEFSHRLNTDIAGLASAIDMSTNYNSDSLHFSNVFRSVMAPFTMTIDAHTNGNGKLALWGEHTGQLYSKFLLKAEPLAFTFSHDYKGSTSHHLVSRKSISAALEHKVSALLTPAEQTGTWKLKTQFNNNEYSQDLDAYNTKDKIGVELTGRTLADLTLLDSPIKVPLLLSEPINIIDALEMRDAVEKPQEFTIVAFVKYDKNQDVHSINLPFFETLQEYFERNRQTIIVVLENVQRNLKHINIDQFVRKYRAALGKLPQQANDYLNSFNWERQVSHAKEKLTALTKKYRITENDIQIALDDAKINFNEKLSQLQTYMIQFDQYIKDSYDLHDLKIAIANIIDEIIEKLKSLDEHYHIRVNLVKTIHDLHLFIENIDFNKSGSSTASWIQNVDTKYQIRIQIQEKLQQLKRHIQNIDIQHLAGKLKQHIEAIDVRVLLDQLGTTISFERINDILEHVKHFVINLIGDFEVAEKINAFRAKVHELIERYEVDQQIQVLMDKLVELAHQYKLKETIQKLSNVLQQVKIKDYFEKLVGFIDDAVKKLNELSFKTFIEDVNKFLDMLIKKLKSFDYHQFVDETNDKIREVTQRLNGEIQALELPQKAEALKLFLEETKATVAVYLESLQDTKITLIINWLQEALSSASLAHMKAKFRETLEDTRDRMYQMDIQQELQRYLSLVGQVYSTLVTYISDWWTLAAKNLTDFAEQYSIQDWAKRMKALVEQGFTVPEIKTILGTMPAFEVSLQALQKATFQTPDFIVPLTDLRIPSVQINFKDLKNIKIPSRFSTPEFTILNTFHIPSFTIDFVEMKVKIIRTIDQMLNSELQWPVPDIYLRDLKVEDIPLARITLPDFRLPEIAIPEFIIPTLNLNDFQVPDLHIPEFQLPHISHTIEVPTFGKLYSILKIQSPLFTLDANADIGNGTTSANEAGIAASITAKGESKLEVLNFDFQANAQLSNPKINPLALKESVKFSSKYLRTEHGSEMLFFGNAIEGKSNTVASLHTEKNTLELSNGVIVKINNQLTLDSNTKYFHKLNIPKLDFSSQADLRNEIKTLLKAGHIAWTSSGKGSWKWACPRFSDEGTHESQISFTIEGPLTSFGLSNKINSKHLRVNQNLVYESGSLNFSKLEIQSQVDSQHVGHSVLTAKGMALFGEGKAEFTGRHDAHLNGKVIGTLKNSLFFSAQPFEITASTNNEGNLKVRFPLRLTGKIDFLNNYALFLSPSAQQASWQVSARFNQYKYNQNFSAGNNENIMEAHVGINGEANLDFLNIPLTIPEMRLPYTIITTPPLKDFSLWEKTGLKEFLKTTKQSFDLSVKAQYKKNKHRHSITNPLAVLCEFISQSIKSFDRHFEKNRNNALDFVTKSYNETKIKFDKYKAEKSHDELPRTFQIPGYTVPVVNVEVSPFTIEMSAFGYVFPKAVSMPSFSILGSDVRVPSYTLILPSLELPVLHVPRNLKLSLPDFKELCTISHIFIPAMGNITYDFSFKSSVITLNTNAELFNQSDIVAHLLSSSSSVIDALQYKLEGTTRLTRKRGLKLATALSLSNKFVEGSHNSTVSLTTKNMEVSVATTTKAQIPILRMNFKQELNGNTKSKPTVSSSMEFKYDFNSSMLYSTAKGAVDHKLSLESLTSYFSIESSTKGDVKGSVLSREYSGTIASEANTYLNSKSTRSSVKLQGTSKIDDIWNLEVKENFAGEATLQRIYSLWEHSTKNHLQLEGLFFTNGEHTSKATLELSPWQMSALVQVHASQPSSFHDFPDLGQEVALNANTKNQKIRWKNEVRIHSGSFQSQVELSNDQEKAHLDIAGSLEGHLRFLKNIILPVYDKSLWDFLKLDVTTSIGRRQHLRVSTAFVYTKNPNGYSFSIPVKVLADKFIIPGLKLNDLNSVLVMPTFHVPFTDLQVPSCKLDFREIQIYKKLRTSSFALNLPTLPEVKFPEVDVLTKYSQPEDSLIPFFEITVPESQLTVSQFTLPKSVSDGIAALDLNAVANKIADFELPTIIVPEQTIEIPSIKFSVPAGIVIPSFQALTARFEVDSPVYNATWSASLKNKADYVETVLDSTCSSTVQFLEYELNVLGTHKIEDGTLASKTKGTFAHRDFSAEYEEDGKYEGLQEWEGKAHLNIKSPAFTDLHLRYQKDKKGISTSAASPAVGTVGMDMDEDDDFSKWNFYYSPQSSPDKKLTIFKTELRVRESDEETQIKVNWEEEAASGLLTSLKDNVPKATGVLYDYVNKYHWEHTGLTLREVSSKLRRNLQNNAEWVYQGAIRQIDDIDVRFQKAASGTTGTYQEWKDKAQNLYQELLTQEGQASFQGLKDNVFDGLVRVTQEFHMKVKHLIDSLIDFLNFPRFQFPGKPGIYTREELCTMFIREVGTVLSQVYSKVHNGSEILFSYFQDLVITLPFELRKHKLIDVISMYRELLKDLSKEAQEVFKAIQSLKTTEVLRNLQDLLQFIFQLIEDNIKQLKEMKFTYLINYIQDEINTIFSDYIPYVFKLLKENLCLNLHKFNEFIQNELQEASQELQQIHQYIMALREEYFDPSIVGWTVKYYELEEKIVSLIKNLLVALKDFHSEYIVSASNFTSQLSSQVEQFLHRNIQEYLSILTDPDGKGKEKIAELSATAQEIIKSQAIATKKIISDYHQQFRYKLQDFSDQLSDYYEKFIAESKRLIDLSIQNYHTFLIYITELLKKLQSTTVMNPYMKLAPGELTIIL 3
P25358 1 347 0.0631484149855908 PF01151.18:ELO:66:305 Elongation of fatty acids protein 2; 3-keto acyl-CoA synthase ELO2; Fenpropimorph resistance protein 1; Glucan synthesis protein 1; Very-long-chain 3-oxoacyl-CoA synthase 2; v-SNARE bypass mutant gene 2 protein; EC 2.3.1.199 347 240 34 189 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25358 1 SwissProt MNSLVTQYAAPLFERYPQLHDYLPTLERPFFNISLWEHFDDVVTRVTNGRFVPSEFQFIAGELPLSTLPPVLYAITAYYVIIFGGRFLLSKSKPFKLNGLFQLHNLVLTSLSLTLLLLMVEQLVPIIVQHGLYFAICNIGAWTQPLVTLYYMNYIVKFIEFIDTFFLVLKHKKLTFLHTYHHGATALLCYTQLMGTTSISWVPISLNLGVHVVMYWYYFLAARGIRVWWKEWVTRFQIIQFVLDIGFIYFAVYQKAVHLYFPILPHCGDCVGSTTATFAGCAIISSYLVLFISFYINVYKRKGTKTSRVVKRAHGGVAAKVNEYVNVDLKNVPTPSPSPKPQHRRKR
P53855 1 1592 0.24038222361809 PF09333.11:ATG_C:1489:1586,PF13329.6:ATG2_CAD:785:921 Autophagy-related protein 2; Sporulation-specific protein 72 1592 235 34 1592 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53855 1 SwissProt MAFWLPQNIQKRLLLYVLQQISLFSNIDLSNLDVSIGSKSHFSFHDVNLSLDDLNIPNVQINEGIVDELVLKLTVSGGVEIDGSGLRFIMTPLYSSGSQELHSDFLVKSIQDLTNSMLQFSDPLTTYNRYKEDDISSSDSSSDLNSNIEASKPAANGSYTLQNMRNKALNVALAKLKIALKDVTIRFIVNDRDPSDNIVEVHLESIQLITTDANLRHINIENITISSIQKQAVPDSPVHPFNNDDLSQSVYLSKMEATSLYMSAMEEQSNEDPSEPQVTQEEQENDKCKESLMEINNLNIAFKGLSSVNDLRMSNIVIDIQDVHLAIHKIVEIKNSTLKNIIDIIVTHLDANESFSCQDSQSPSPDKQEPSALSSVDIKCIYLNLGQDITVILKSFKLEQKENNSLAFSLGSFYSNSSPLTISHKTKPLLTGEQTPQSIALNMGDELDIIISHDGIAHFFKIFQFVSKCMSFYQNKSKGMMPQIASDTKRTVQLTSKAVKLSLKFPYFLLCFQVSPFIYDSNRELYIELVDVFKKLPSRCTKILTMSSITISNLQSPLQLGSYDDTLKEALIYSSVHAIIKEVIFNEEYSGIVQLVEDISAFGKLFTDSKNSECTGKSKSKRGSFLQRSVRVLNSSRFVYKQSLSANFSLKIDSMKLKVSEIIGPQFGSVEALLSNNFFAITDDSQIVYFTKNLKVERKTPSLLEPQEIMSVVLNKAVNEPVLYVHRRANGKLKVIFNNIRIHYYARWLEILKKNIGPDNASSKDEPVSQKLSKKQPTSGFPWELKCLDCSLILHPFRLKSVMVIVLDNLTTGGSSFIPQAKLLSKANTLFLIDDYQNFKIQKDKNWPSLINFYAGQGFSAIGKIDTLNFLINKSDGALLLDCKIEQVGLSLCADSFQTFCQLCIDLKYPQTFPDEEKFRTQLKNPIDVFKDIDCDLFNSAFIRENNHQNDYDSVHLVDSFLDKTHEFNNGARSKLSSQGSYEMDSSSGTATGGILLPHESYLDSAQPKEEDTPPIASKEQERDVDIRGSIDVEKVVIKLFDGYDWKYTRKFIANTVEKLDKELSKAEASSSKSNVPQSEANIFDSIYISANKNNVTDLRRNLDGEIQGVQNSFSDVSKVNLRPSKHYKALIQLNKVHVNLKNYRVDEPDESNSDNSTDVLNRCVVSIYEFEIIDNVPTSTWNKFVTLLKHEPWPHSSPMFLLDLEFIRPIDFLQAVELVMQLNVAPLRLHVDQDTLEFLIRFLGFKDKRFELIDEYPDIVFIQKFSTNSIKLRLDYKPKKVDYAGLRSGQTSELMNFFTLDGSKIILKSVVLYGLNGFDELNNKLKAIWTPDITKKQLPGVLEGLAPVRSFMAIGSGVKTLVTVLMSEYRQEGHLGRSLKKGGNVFLKTTTGDFVKLGVKLTSGTQAILENTEELFGGVGSNGRVYDASKFGSADGADSDTAAVLDLDTLFEEDQLVGSKYSRIRDHEPTAVVIDMSSPGDHNEPTIVSLYADQPLDLPTGLKEAYSSLEKHMHIAYDAVWRAKGQMKDDKRGGPSAAAVYVARAAPVAIIRPLIGATEAVSKTLQGIANQVDKTHNEQINDKYKSNRTDS
P78314 123 443 0.808452959501558 SH3 domain-binding protein 2; 3BP-2 561 0 34 321 0 Homo sapiens (Human) SwissProt::P78314 1 SwissProt ALLRREIGHFHEKKDLPLDTSDSSSDTDSFYGAVERPVDISLSPYPTDNEDYEHDDEDDSYLEPDSPEPGRLEDALMHPPAYPPPPVPTPRKPAFSDMPRAHSFTSKGPGPLLPPPPPKHGLPDVGLAAEDSKRDPLCPRRAEPCPRVPATPRRMSDPPLSTMPTAPGLRKPPCFRESASPSPEPWTPGHGACSTSSAAIMATATSRNCDKLKSFHLSPRGPPTSEPPPVPANKPKFLKIAEEDPPREAAMPGLFVPPVAPRPPALKLPVPEAMARPAVLPRPEKPQLPHLQRSPPDGQSFRSFSFEKPRQPSQADTGGDD
P93735 1 195 0.321361025641026 PF00504.21:Chloroa_b-bind:96:139 Early light-induced protein 1, chloroplastic 195 44 34 195 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93735 1 SwissProt MATASFNMQSVFAGGLTTRKINTNKLFSAGSFPNLKRNYPVGVRCMAEGGPTNEDSSPAPSTSAAQPLPKSPSPPPPMKPKVSTKFSDLLAFSGPAPERINGRLAMVGFVAALAVELSKGENVLAQISDGGVSWFLGTTAILTLASLVPLFKGISVESKSKGIMTSDAELWNGRFAMLGLVALAFTEFVKGGTLV
Q12888 1 1458 0.761662002743484 TP53-binding protein 1; 53BP1; p53-binding protein 1; p53BP1 1972 0 34 1458 0 Homo sapiens (Human) SwissProt::Q12888 1 SwissProt MDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEDDSGSHFSMLSRHLPNLQTHKENPVLDVVSNPEQTAGEERGDGNSGFNEHLKENKVADPVDSSNLDTCGSISQVIEQLPQPNRTSSVLGMSVESAPAVEEEKGEELEQKEKEKEEDTSGNTTHSLGAEDTASSQLGFGVLELSQSQDVEENTVPYEVDKEQLQSVTTNSGYTRLSDVDANTAIKHEEQSNEDIPIAEQSSKDIPVTAQPSKDVHVVKEQNPPPARSEDMPFSPKASVAAMEAKEQLSAQELMESGLQIQKSPEPEVLSTQEDLFDQSNKTVSSDGCSTPSREEGGCSLASTPATTLHLLQLSGQRSLVQDSLSTNSSDLVAPSPDAFRSTPFIVPSSPTEQEGRQDKPMDTSVLSEEGGEPFQKKLQSGEPVELENPPLLPESTVSPQASTPISQSTPVFPPGSLPIPSQPQFSHDIFIPSPSLEEQSNDGKKDGDMHSSSLTVECSKTSEIEPKNSPEDLGLSLTGDSCKLMLSTSEYSQSPKMESLSSHRIDEDGENTQIEDTEPMSPVLNSKFVPAENDSILMNPAQDGEVQLSQNDDKTKGDDTDTRDDISILATGCKGREETVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMEIKEHHPEEGSSGSEVEEIPETPCESQGEELKEENMESVPLHLSLTETQSQGLCLQKEMPKKECSEAMEVETSVISIDSPQKLAILDQELEHKEQEAWEEATSEDSSVVIVDVKEPSPRVDVSCEPLEGVEKCSDSQSWEDIAPEIEPCAENRLDTKEEKSVEYEGDLKSGTAETEPVEQDSSQPSLPLVRADDPLRLDQELQQPQTQEKTSNSLTEDSKMANAKQLSSDAEAQKLGKPSAHASQSFCESSSETPFHFTLPKEGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTIATSDVMSESMVETHDPILGSGKGDSGAAPDVDDKLCLRMKLVSPETEASEESLQFNLEKPATGERKNGSTAVAESVASPQKTMSVLSCICEARQENEARSEDPPTTPIRGNLLHFPSSQGEEEKEKLEGDHTIRQSQQPMKPISPVKDPVSPASQKMVIQGPSSPQGEAMVTDVLEDQKEGRSTNKENPSKALIERPSQNNIGIQTMECSLRVPETVSAATQTIKNVCEQGTSTVDQNFGKQDATVQTERGSGEKPVSAPGDDTESLHSQGEEEFDMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGTEVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSLHRTSSGTSLSAMHSSGSSGKGAGPLRGKTSGTEPADFALPSSRGGPGKLSPRKGVSQTGTPVCEEDGDAGLGIRQGGKAPVTPRGRGRRGRPPSRTTGTRETAVPGPLGIEDISPNLSPDDKSFSRVVPRVPDSTRRTDVGAGALR
VIMSS10090446 1 664 0.296541716867469 Probable ubiquitin-conjugating enzyme E2 24; AtPHO2; E2 ubiquitin-conjugating enzyme 24; Ubiquitin carrier protein 24; Ubiquitin-protein ligase 24; EC 2.3.2.23 907 0 34 664 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VY10 1 SwissProt MEMSLTDSDWDSSSDSGSSEHEEVEFSYGGRAQNIFSNLEETIGKIDEFLSFERGFMYGDIVRSATEPSGQSGRVINIDMFVNLESTHGKIMKEVDTKRLQKLRSISLSDYVINGPWVGRVDKIVERVSVTLDDGTNYEVLVDGQDKLVAIPPNLLEDSQYSYYPGQRVQVKLAHAPRSTTWLCGTWRGTQVMGTVCTVEAGLVYVDWVASIVMEGDRNLTAPQALQNPESLTLLPCVSHASWQLGDWCILPGSSHCDIAERQTPNVAAYNLNECHKTFQKGFNRNMQNSGLDELFVITKTKMKVAVMWQDGSCSLGVDSQQLLPVGAVNAHDFWPEQFVVEKETCNSKKWGVVKAVNAKEQTVKVQWTIQVEKEATGCVDEVMEEIVSAYELLEHPDFGFCFSDVVVKLLPEGKFDPNADTIVATEAKHLLTESDYSGAYFLSSIGVVTGFKNGSVKVKWANGSTSKVAPCEIWKMERSEYSNSSTVSSEGSVQDLSQKISQSDEASSNHQETGLVKLYSVGESCNENIPECSSFFLPKAAIGFITNLASSLFGYQGSTSVISSHSRCNDSEDQSDSEVLVQETAESYDNSETNSGEVDMTTTMVNIPIEGKGINKTLDSTLLENSRNQVRFRQFDMVNDCSDHHFLSSDKGLAQSQVTKSWV
VIMSS10090853 1 351 0.288488603988604 PF03360.16:Glyco_transf_43:137:342 Probable beta-1,4-xylosyltransferase IRX9; Protein IRREGULAR XYLEM 9; Xylan xylosyltransferase IRX9; EC 2.4.2.- 351 206 34 331 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZQC6 1 SwissProt MGSLERSKKKAQVWKKAVIHFSLCFVMGFFTGFAPAGKASFFSNFETTSYTSTKSPIPPQPFENATYTQHSLLNRTLINSQSQAPAPAESREAEGETRSLSEKEDENQVKVTPRGLVIVVTPIITKDRYKNVLLRRMANTLRLVPPPLLWIVVEKHSDGEEKSSSTMLRKTGIMYRRIVFKEDFTSLESELDHQRNLALRHIEHHKLSGIVHFAGLNNIYDLDFFVKIRDIEVFGTWPMALLSANRKRVVVEGPVCESSQVLGWHLRKINNETETKPPIHISSFAFNSSILWDPERWGRPSSVEGTKQDSIKYVKQVVLEDDTKLKGLPAQDCSKIMLWRLKFPTRTRLST
VIMSS10091276 1 485 0.148634020618557 PF02446.17:Glyco_hydro_77:275:484,PF00686.19:CBM_20:19:110,PF00686.19:CBM_20:163:250 4-alpha-glucanotransferase DPE2; Amylomaltase; Disproportionating enzyme; D-enzyme; Protein DISPROPORTIONATING ENZYME 2; EC 2.4.1.25 955 390 34 485 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RXD9 1 SwissProt MMNLGSLSLSTSKSSKPMVSISFWIPYFTHWGESLLVCGSAPGLGSGNVKKGLLLKPSQQDDQLIWSGSVSVPPGFSSDYCYYVVDDSKSVLRSEFGMKRKLVVPETLTGGESVHLRDLWQSGDQALPFRSAFKDVIFHHSFDVKVEKPLGVFMNKSDQDDSVVVQFKICCPDIGEGTSVYVLGTPEKLGNWKVENGLRLNYVDDSIWEADCLIPKADFPIKYRYCKVQKEDSIGFESGGNRELSLHSIGSKQEYIVMSDGLFRAMPWRGAGVAVPMFSVRSEDDVGVGEFLDLKLLVDWAVDSGLHLVQLLPVNDTSVHKMWWDSYPYSSLSVFALHPLYLRVQALSERLPEDIKEEIQKAKNQLDKNDVDYEATMETKLSIAKKIFDIEKDQTLNSSTFQKFFSENEGWLKPYAAFCFLRDFFETSDHSQWGTFSDYTDDKLEKLISKDNLHYNTICFHYYIQYHLHVQLSAAAEYARKKGVV
VIMSS10091287 1 307 0.11103680781759 PF01590.26:GAF:158:307 Ethylene response sensor 1; AtERS1; Protein ERS1; EC 2.7.11.-; EC 2.7.13.3 613 150 34 238 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38846 1 SwissProt MESCDCFETHVNQDDLLVKYQYISDALIALAYFSIPLELIYFVQKSAFFPYKWVLMQFGAFIILCGATHFINLWMFFMHSKAVAIVMTIAKVSCAVVSCATALMLVHIIPDLLSVKNRELFLKKKADELDREMGLILTQEETGRHVRMLTHGIRRTLDRHTILRTTLVELGKTLCLEECALWMPSQSGLYLQLSHTLSHKIQVGSSVPINLPIINELFNSAQAMHIPHSCPLAKIGPPVGRYSPPEVVSVRVPLLHLSNFQGSDWSDLSGKGYAIMVLILPTDGARKWRDHELELVENVADQVAVAL
VIMSS10091472 1 141 0.432458156028369 Protein COLD-REGULATED 15B, chloroplastic; AtCOR15B 141 0 34 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SIN5 1 SwissProt MAMSLSGAVLSGMGSSFHNVGAKQSGVGTVRVGRKSELVVVAQRKKSLIYAVKSDGNILDDLNEATKKASDFVTDKTKEALADGEKTKDYIVEKTIEANETATEEAKKALDYVTEKGKEAGNKAAEFVEGKAEEAKNATKS
VIMSS10100672 1 161 0.257191925465839 PF14547.6:Hydrophob_seed:78:161,PF00234.22:Tryp_alpha_amyl:85:160 pEARLI1-like lipid transfer protein 1; Protein AZELAIC ACID INDUCED 1 161 84 34 141 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SU35 1 SwissProt MASKNSASLALFFALNILFFTLTVATNCNCKPSPKPKPVPSPKPKPVQCPPPPRPSVPSPNPRPVTPPRTPGSSGNSCPIDALKLGVCANVLSSLLNIQLGQPSSQQCCSLIQGLVDVDAAICLCTALRANVLGINLNVPISLSVLLNVCNRKLPSGFQCA
VIMSS10108884 179 1217 0.247429547641963 PF00931.22:NB-ARC:33:271,PF07725.12:LRR_3:460:479 Disease resistance protein RPS4; Resistance to Pseudomonas syringae 4; TIR-NBS-LRR class disease resistance protein 1217 259 34 1039 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XGM3 1 SwissProt PPEGSHNAVVGALGNSNAGTSSGDKKHETFGNEQRLKDLEEKLDRDKYKGTRIIGVVGMPGIGKTTLLKELYKTWQGKFSRHALIDQIRVKSKHLELDRLPQMLLGELSKLNHPHVDNLKDPYSQLHERKVLVVLDDVSKREQIDALREILDWIKEGKEGSRVVIATSDMSLTNGLVDDTYMVQNLNHRDSLQLFHYHAFIDDQANPQKKDFMKLSEGFVHYARGHPLALKVLGGELNKKSMDHWNSKMKKLAQSPSPNIVSVFQVSYDELTTAQKDAFLDIACFRSQDKDYVESLLASSDLGSAEAMSAVKSLTDKFLINTCDGRVEMHDLLYKFSREVDLKASNQDGSRQRRLWLHQHIIKGGIINVLQNKMKAANVRGIFLDLSEVEDETSLDRDHFINMGNLRYLKFYNSHCPQECKTNNKINIPDKLKLPLKEVRCLHWLKFPLETLPNDFNPINLVDLKLPYSEMEQLWEGDKDTPCLRWVDLNHSSKLCSLSGLSKAEKLQRLNLEGCTTLKAFPHDMKKMKMLAFLNLKGCTSLESLPEMNLISLKTLTLSGCSTFKEFPLISDNIETLYLDGTAISQLPMNMEKLQRLVVLNMKDCKMLEEIPGRVGELKALQELILSDCLNLKIFPEIDISFLNILLLDGTAIEVMPQLPSVQYLCLSRNAKISCLPVGISQLSQLKWLDLKYCTSLTSVPEFPPNLQCLDAHGCSSLKTVSKPLARIMPTEQNHSTFIFTNCENLEQAAKEEITSYAQRKCQLLSYARKRYNGGLVSESLFSTCFPGCEVPSWFCHETVGSELEVKLLPHWHDKKLAGIALCAVVSCLDPQDQVSRLSVTCTFKVKDEDKSWVAYTCPVGSWTRHGGGKDKIELDHVFIGYTSCPHTIKCHEEGNSDECNPTEASLKFTVTGGTSENGKYKVLKCGLSLVYAKDKDKNSALETKYDMLIGKSFQETSEGVDGRVKKTKGKYVMPVEKNFQETTEGVDGRVNKKKKTRMDNGRPKKKQRSGRDDNQTRMQVELQEGNINSVIMHTVKNF 2
VIMSS2197226 1 187 0.268628342245989 PF04542.14:Sigma70_r2:20:83,PF08281.12:Sigma70_r4_2:118:168,PF04545.16:Sigma70_r4:121:170 extracytoplasmic-function sigma-70 factor 187 117 34 187 0 Pseudomonas aeruginosa PAO1 NP_251116.1 1 RefSeq MSEQLSTRRCDTPLLQAFVDNRTILVKIAARITGCRSRAEDVVQDAFFRLQSAPQITSSFKAQLSYLFQIVRNLAIDHYRKQALEQKYSGPEEEGLNVVIQGASPETSHINYATLEHIADALTELPKRTRYAFEMYRLHGVPQKDIAKELGVSPTLVNFMIRDALVHCRKVTAERQGDNVTHLSARR
VIMSS6580797 356 1338 0.721562563580874 EH domain-containing and endocytosis protein 1; Bud site selection protein 15 1381 0 34 983 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34216 1 SwissProt PNELLQSPALGLYPPNPLPQQQSAPQIAIPSRASKPSLQDMPHQVSAPAVNTQPTVPQVLPQNSNNGSLNDLLALNPSFSSPSPTKAQTVVQNNTNNSFSYDNNNGQATLQQQQPQQPPPLTHSSSGLKKFTPTSNFGQSIIKEEPEEQEQLRESSDTFSAQPPPVPKHASSPVKRTASTTLPQVPNFSVFSMPAGAATSAATGAAVGAAVGAAALGASAFSRSSNNAFKNQDLFADGEASAQLSNATTEMANLSNQVNSLSKQASITNDKKSRATQELKRVTEMKNSIQIKLNNLRSTHDQNVKQTEQLEAQVLQVNKENETLAQQLAVSEANYHAAESKLNELTTDLQESQTKNAELKEQITNLNSMTASLQSQLNEKQQQVKQERSMVDVNSKQLELNQVTVANLQKEIDGLGEKISVYLTKQKELNDYQKTVEEQHAQLQAKYQDLSNKDTDLTDREKQLEERNRQIEEQENLYHQHVSKLQEMFDDLSQRKASFEKADQELKERNIEYANNVRELSERQMNLAMGQLPEDAKDIIAKSASNTDTTTKEATSRGNVHEDTVSKFVETTVENSNLNVNRVKDDEEKTERTESDVFDRDVPTLGSQSDSENANTNNGTQSGNETANPNLTETLSDRFDGDLNEYGIPRSQSLTSSVANNAPQSVRDDVELPETLEERDTINNTANRDNTGNLSHIPGEWEATPATASTDVLSNETTEVIEDGSTTKRANSNEDGESVSSIQESPKISAQPKAKTINEEFPPIQELHIDESDSSSSDDDEFEDTREIPSATVKTLQTPYNAQPTSSLEIHTEQVIKYPAPGTSPSHNEGNSKKASTNSILPVKDEFDDEFAGLEQAAVEEDNGADSESEFENVANAGSMEQFETIDHKDLDDELQMNAFTGTLTSSSNPTIPKPQVQQQSTSDPAQVSNDEWDEIFAGFGNSKAEPTKVATPSIPQQPIPLKNDPIVDASLSKGPIVNRGVA
VIMSS6581030 1 855 0.268500584795321 PF00350.23:Dynamin_N:190:370,PF01926.23:MMR_HSR1:189:371 Mitofusin FZO1; Transmembrane GTPase FZO1; EC 3.6.5.- 855 183 34 855 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38297 1 SwissProt MSEGKQQFKDSNKPHKDSTDQDDDAATIVPQTLTYSRNEGHFLGSNFHGVTDDRTTLFDGEEGRREDDLLPSLRSSNSKAHLISSQLSQWNYNNNRVLLKRSILKTQAFMDQLQEENNIRPIFIAANDEREKLHVLQLNIKLDGQYNTKEKNGFNIEKKALSKLFHSQIVSVTNHLNALKKRVDDVSSKVFITGDVNTGKSALCNSLLKQRLLPEDQLPCTNVFSEILEARENDGIEEVHAIPLNIAPTLKEAIDMYSIQNPKTYEIHTLKELPDLVPQNGKYALLKIYIKDDKRPASTSLLRNGTVDISLIDSPGLNMDSLQTAEVMSRQEEIDLVIFVVNAENQLTLSAKEFISLASREKKLMFFVVKKFDKIRDKQRCKELILKQIRDLSPETYKRAADFVHFVSKNGDELPHYHNENDNEDHGDRKPDDDPYSSSDPDPDFDSLEDSLRNFVLKKRSLSKLLPAKTYLSKLLSDIIMISKSNMKMYSEEEIKINEQLETLRPEILSARAKCNDLTTSVDQMAEQTITMTYNNTKEALLNALDVPLHEYPKYQGLGQIYDFIFSTEAFIANQIDESIGSSELFAKQKTDLLVKKIYEIGKNELGDDFMCERVFRSELMFRKRKHLIGKRLKVSLSITDLFAPTWKGFLSYLSWQKPVTAPLPDIEGQTNEGQIGLMKYLGLKNYPLTQYWSRPSLLFTSKIPTLTLYFLGSTKVVGNIILNGIKLSSWSSLKKLSVPVIVVGSLLGLTYLIHDLPRALPMNLSIKYKRKLQELDYIHLNAQRTSNEVRDVLRVPTREILRSCEIIMDKKQITKKELENKKESNLLSIKFFQSLYEGTVAQKLMVEEINLDID
VIMSS7417180 1 489 0.203272392638037 PF00743.19:FMO-like:80:346,PF13450.6:NAD_binding_8:9:56,PF07992.14:Pyr_redox_2:6:212,PF13738.6:Pyr_redox_3:8:211 FAD-containing monooxygenase EthA; Baeyer-Villiger monooxygenase EtaA; BVMO; Prodrug activator EtaA; EC 1.14.13.- 489 341 34 489 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNF9 1 SwissProt MTEHLDVVIVGAGISGVSAAWHLQDRCPTKSYAILEKRESMGGTWDLFRYPGIRSDSDMYTLGFRFRPWTGRQAIADGKPILEYVKSTAAMYGIDRHIRFHHKVISADWSTAENRWTVHIQSHGTLSALTCEFLFLCSGYYNYDEGYSPRFAGSEDFVGPIIHPQHWPEDLDYDAKNIVVIGSGATAVTLVPALADSGAKHVTMLQRSPTYIVSQPDRDGIAEKLNRWLPETMAYTAVRWKNVLRQAAVYSACQKWPRRMRKMFLSLIQRQLPEGYDVRKHFGPHYNPWDQRLCLVPNGDLFRAIRHGKVEVVTDTIERFTATGIRLNSGRELPADIIITATGLNLQLFGGATATIDGQQVDITTTMAYKGMMLSGIPNMAYTVGYTNASWTLKADLVSEFVCRLLNYMDDNGFDTVVVERPGSDVEERPFMEFTPGYVLRSLDELPKQGSRTPWRLNQNYLRDIRLIRRGKIDDEGLRFAKRPAPVGV
XP_005246998.1 1 307 0.344842019543974 PF07292.13:NID:105:192,PF07292.13:NID:203:291,PF07334.13:IFP_35_N:29:104 N-myc-interactor; Nmi; N-myc and STAT interactor 307 253 34 307 0 Homo sapiens (Human) SwissProt::Q13287 1 SwissProt MEADKDDTQQILKEHSPDEFIKDEQNKGLIDEITKKNIQLKKEIQKLETELQEATKEFQIKEDIPETKMKFLSVETPENDSQLSNISCSFQVSSKVPYEIQKGQALITFEKEEVAQNVVSMSKHHVQIKDVNLEVTAKPVPLNSGVRFQVYVEVSKMKINVTEIPDTLREDQMRDKLELSFSKSRNGGGEVDRVDYDRQSGSAVITFVEIGVADKILKKKEYPLYINQTCHRVTVSPYTEIHLKKYQIFSGTSKRTVLLTGMEGIQMDEEIVEDLINIHFQRAKNGGGEVDVVKCSLGQPHIAYFEE
XP_005277475.1 323 1352 0.819315242718446 B-cell CLL/lymphoma 9 protein isoform X3 1352 0 34 1030 0 Homo sapiens XP_005277475.1 1 RefSeq GPQKKPEGPIQAMMAQSQSLGKGPGPRTDVGAPFGPQGHRDVPFSPDEMVPPSMNSQSGTIGPDHLDHMTPEQIAWLKLQQEFYEEKRRKQEQVVVQQCSLQDMMVHQHGPRGVVRGPPPPYQMTPSEGWAPGGTEPFSDGINMPHSLPPRGMAPHPNMPGSQMRLPGFAGMINSEMEGPNVPNPASRPGLSGVSWPDDVPKIPDGRNFPPGQGIFSGPGRGERFPNPQGLSEEMFQQQLAEKQLGLPPGMAMEGIRPSMEMNRMIPGSQRHMEPGNNPIFPRIPVEGPLSPSRGDFPKGIPPQMGPGRELEFGMVPSGMKGDVNLNVNMGSNSQMIPQKMREAGAGPEEMLKLRPGGSDMLPAQQKMVPLPFGEHPQQEYGMGPRPFLPMSQGPGSNSGLRNLREPIGPDQRTNSRLSHMPPLPLNPSSNPTSLNTAPPVQRGLGRKPLDISVAGSQVHSPGINPLKSPTMHQVQSPMLGSPSGNLKSPQTPSQLAGMLAGPAAAASIKSPPVLGSAAASPVHLKSPSLPAPSPGWTSSPKPPLQSPGIPPNHKAPLTMASPAMLGNVESGGPPPPTASQPASVNIPGSLPSSTPYTMPPEPTLSQNPLSIMMSRMSKFAMPSSTPLYHDAIKTVASSDDDSPPARSPNLPSMNNMPGMGINTQNPRISGPNPVVPMPTLSPMGMTQPLSHSNQMPSPNAVGPNIPPHGVPMGPGLMSHNPIMGHGSQEPPMVPQGRMGFPQGFPPVQSPPQQVPFPHNGPSGGQGSFPGGMGFPGEGPLGRPSNLPQSSADAALCKPGGPGGPDSFTVLGNSMPSVFTDPDLQEVIRPGATGIPEFDLSRIIPSEKPSQTLQYFPRGEVPGRKQPQGPGPGFSHMQGMMGEQAPRMGLALPGMGGPGPVGTPDIPLGTAPSMPGHNPMRPPAFLQQGMMGPHHRMMSPAQSTMPGQPTLMSNPAAAVGMIPGKDRGPAGLYTHPGPVGSPGMMMSMQGMMGPQQNIMIPPQMRPRGMAADVGMGGFSQGPGNPGNMMF
XP_006244619.1 232 504 0.580720146520146 serum response factor isoform X1 504 0 34 273 0 Rattus norvegicus XP_006244619.1 1 RefSeq MSATGFEEPDLTYQVSESDSSGETKDTLKPAFTVTNLPGTTSTIQTAPSTSTTMQVSSGPSFPITNYLAPVSASVSPSAVSSANGTVLKSTGSGPVSSGGLMQLPTSFTLMPGGAVAQQVPVQAIHVHQAPQQASPSRDSSTDLTQTSSSGTVTLPATIMTSSVPTTVGGHMMYPSPHAVMYAPTSGLADGSLTVLNAFSQAPSTMQVSHSQVQEPGGVPQVFLTAPSGTVQIPVSAVQLHQMAVIGQQAGSSSNLTELQVVNLDATHSTKSE
XP_006502925.1 83 201 0.750568907563025 PF00090.19:TSP_1:5:55 R-spondin-1 isoform X1 201 51 34 119 0 Mus musculus XP_006502925.1 1 RefSeq QCEMSEWSPWGPCSKKRKLCGFRKGSEERTRRVLHAPGGDHTTCSDTKETRKCTVRRTPCPEGQKRRKGGQGRRENANRHPARKNSKEPGSNSRRHKGQQQPQPGTTGPLTSVGPTWAQ
XP_006505665.1 548 1195 0.57132762345679 homeodomain-interacting protein kinase 2 isoform X1 1195 0 34 648 0 Mus musculus XP_006505665.1 1 RefSeq MEICKRRVNMYDTVNQSKTPFITHVAPSTSTNLTMTFNNQLTTVHNQAPTTSSATLSLANPEVSILNYQSALYQPSAASMAAVAPRSMPLQTGTAQICARPDPFQQALIVCPPGFQGLQASPSKHAGYSVRMENAVPIVTQAPGAQPLQIQPGLLAQAWPGGAQQILLPPAWQQLTGVATHTSVQHAAVIPETMAGTQQLADWRNTHAHGSHYNPIMQQPALLTGHVTLPAAQPLNVGVAHVMRQQPTSTTSSRKSKQHQSSVRNVSTCEVTSSQAISSPQRSKRVKENTPPRCAMVHSSPACSTSVTCGWGDVASSTTRERQRQTIVIPDTPSPTVSVITISSDTDEEEEQKHAPTSTVSKQRKNVISCVTVHDSPYSDSSSNTSPYSVQQRTGHNGTNTLDTKGGLENHCTGNPRTIIVPPLKTQASEVLVECDSLGPAISASHHSSSFKSKSSSTVTSTSGHSSGSSSGAIAYRQQRPGPHFQQQQPLNLSQAQQHMAADRTGSHRRQQAYITPTMAQAPYTFPHNSPSHGTVHPHLAAAAHLPTQPHLYTYTAPTALGSTGTVAHLVASQGSARHTVQHTAYPASIVHQVPVSMGPRVLPSPTIHPSQYPAQFAHQTYISASPASTVYTGYPLSPAKVNQYPYI
XP_006523921.1 1 246 0.425536178861789 PF16493.5:Meis_PKNOX_N:80:162 homeobox protein PKNOX1 isoform X3 434 83 34 246 0 Mus musculus XP_006523921.1 1 RefSeq MMATQTLSIDSYQDGQQMQVVTELKTEQDPNCSDPDAEGVSPPPIESQTPMDADKQAIYRHPLFPLLALLFEKCEQSTQGSEGTTSASFDVDIENFVRKQEKDGKPFFCEDPETDNLMVKAIQVLRIHLLELEKVNELCKDFCSRYIACLKTKMNSETLLSGEPGSPYSPVQSQQIQSAITGTLSPQGIVVPASALQQGNVTMATVAGGTVYQPVTVVTPQGQVVTQALSPGTIRIQNSQLQLNQD
XP_006527267.1 1 406 0.639731280788178 synaptotagmin-7 isoform X2 687 0 34 383 1 Mus musculus XP_006527267.1 1 RefSeq MYRDPEAASPGAPTRDVLLVSAIITVSLSVTIVLCGLCHWCQRKLGKRYKNSLETVGTPDSGRGRGEKKAINDLDRDFWNNNESTVQQKWSSYPPKEFILNISPYAPYGDPRLSLNGTLLSGAKVATAAAGLAVEREGRLGEKPAPVPPPGEDALRSGGAAPSEPGSSGKAGRGRWRMVQSHLAAGKLNLSNFEDSTLSTATTLESIPSSAGEPKCQRPRTLMRQQSLQQPLSQNQQGRQPSQPTTSQSLGQLQAHAASAPGSNPRAYGRGQARQGTSAGSKYRAAGGRSRSNPGSWDHVVGQIRNRGLDMKSFLEGRMVVLSLVLGLSEQDDFANIPDLQNPGTQQNQNAQGDKRLPAGGKAVNTAPVPGQTPHDESDRRTETRSSVSDLVNSLTSEMLMLSPGS
XP_011243630.1 1 483 0.878169565217391 FYN-binding protein 1 isoform X1 837 0 34 483 0 Mus musculus XP_011243630.1 1 RefSeq MDGKTDVKSLMAKFNTGSNPTEEAATSSRPFKVAGQSSPSGIQSRKNLFDNQGNASPPAGPSSMPKFGTTKPPLAAKPTYEEKPEKEPKPPFLKPTGGSPRFGTQPNSVSRDPEVKVGFLKPVSPKPTSLTKEDSKPVVLRPPGNKLHNLNQESDLKTPGPKPGPAPPVPENELKPGFSKVAGAKSKFMPAAQDTDSKPRFPRHTFGQKPSLSTEDSQEENTSKNVPVQKGSPVQLGAKSKGAPFKPPKEDPEDKDHGAPSSPFPGVVLKPAASRGSPGLSKNFEEKKEDRKTDLAKNIFLNKLNQEEPARFPKAPSKLTAGTPWGQSQEKEGDKNSATPKQKALPPLSVLGPPPPKPNRPPNVDLTRFRKADSANSATKSQTPYSTTSLPPPPPTHPASQPPLPASHPAHPPVPSLPPRNIKPPLDLKHPINDENQDGVMHSDGTGNLEEEQESEGETYEDIDSSKERDKKREKEEKKRLEL
XP_011518488.1 1 906 0.306762030905077 PF00794.18:PI3K_rbd:413:511,PF00613.20:PI3Ka:813:905 phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit alpha isoform X2 1630 192 34 906 0 Homo sapiens XP_011518488.1 1 RefSeq MAQISSNSGFKECPSSHPEPTRAKDVDKEEALQMEAEALAKLQKDRQVTDNQRGFELSSSTRKKAQVYNKQDYDLMVFPESDSQKRALDIDVEKLTQAELEKLLLDDSFETKKTPVLPVTPILSPSFSAQLYFRPTIQRGQWPPGLPGPSTYALPSIYPSTYSKQAAFQNGFNPRMPTFPSTEPIYLSLPGQSPYFSYPLTPATPFHPQGSLPIYRPVVSTDMAKLFDKIASTSEFLKNGKARTDLEITDSKVSNLQVSPKSEDISKFDWLDLDPLSKPKVDNVEVLDHEEEKNVSSLLAKDPWDAVLLEERSTANCHLERKVNGKSLSVATVTRSQSLNIRTTQLAKAQGHISQKDPNGTSSLPTGSSLLQEVEVQNEEMAAFCRSITKLKTKFPYTNHRTNPGYLLSPVTAQRNICGENASVKVSIDIEGFQLPVTFTCDVSSTVEIIIMQALCWVHDDLNQVDVGSYVLKVCGQEEVLQNNHCLGSHEHIQNCRKWDTEIRLQLLTFSAMCQNLARTAEDDETPVDLNKHLYQIEKPCKEAMTRHPVEELLDSYHNQVELALQIENQHRAVDQVIKAVRKICSALDGVETLAITESVKKLKRAVNLPRSKTADVTSLFGGEDTSRSSTRGSLNPENPVQVSINQLTAAIYDLLRLHANSGRSPTDCAQSSKSVKEAWTTTEQLQFTIFAAHGISSNWVSNYEKYYLICSLSHNGKDLFKPIQSKKVGTYKNFFYLIKWDELFLTCGTKLLYLWTSSHTNSVPGTVTKKGYVMERIVLQVDFPSPAFDIIYTTPQVDRSIIQQHNLETLENDIKGKLLDILHKDSSLGLSKEDKAFLWEKRYYCFKHPNCLPKILASAPNWKWVNLAKTYSLLHQWPALYPLIALELLDSKFADQEVRSLAVTW
XP_011537327.1 1 1932 0.242015424430642 PF03568.17:Peptidase_C50:1722:1928 separin isoform X2 1932 207 34 1932 0 Homo sapiens XP_011537327.1 1 RefSeq MRSFKRVNFGTLLSSQKEAEELLPALKEFLSNPPAGFPSSRSDAERRQACDAILRACNQQLTAKLACPRHLGSLLELAELACDGYLVSTPQRPPLYLERILFVLLRNAAAQGSPEATLRLAQPLHACLVQCSREAAPQDYEAVARGSFSLLWKGAEALLERRAAFAARLKALSFLVLLEDESTPCEVPHFASPTACRAVAAHQLFDASGHGLNEADADFLDDLLSRHVIRALVGERGSSSGLLSPQRALCLLELTLEHCRRFCWSRHHDKAISAVEKAHSYLRNTNLAPSLQLCQLGVKLLQVGEEGPQAVAKLLIKASAVLSKSMEAPSPPLRALYESCQFFLSGLERGTKRRYRLDAILSLFAFLGGYCSLLQQLRDDGVYGGSSKQQQSFLQMYFQGLHLYTVVVYDFAQGCQIVDLADLTQLVDSCKSTVVWMLEALEGLSGQELTDHMGMTASYTSNLAYSFYSHKLYAEACAISEPLCQHLGLVKPGTYPEVPPEKLHRCFRLQVESLKKLGKQAQGCKMVILWLAALQPCSPEHMAEPVTFWVRVKMDAARAGDKELQLKTLRDSLSGWDPETLALLLREELQAYKAVRADTGQERFNIICDLLELSPEETPAGAWARATHLVELAQVLCYHDFTQQTNCSALDAIREALQLLDSVRPEAQARDQLLDDKAQALLWLYICTLEAKMQEGIERDRRAQAPGNLEEFEVNDLNYEDKLQEDRFLYSNIAFNLAADAAQSKCLDQALALWKELLTKGQAPAVRCLQQTAASLQILAALYQLVAKPMQALEVLLLLRIVSERLKDHSKAAGSSCHITQLLLTLGCPSYAQLHLEEAASSLKHLDQTTDTYLLLSLTCDLLRSQLYWTHQKVTKGVSLLLSVLRDPALQKSSKAWYLLRVQVLQLVAAYLSLPSNNLSHSLWEQLCAQGWQTPEIALIDSHKLLRSIILLLMGSDILSTQKAAVETSFLDYGENLVQKWQVLSEVLSCSEKLVCHLGRLGSVSEAKAFCLEALKLTTKLQIPRQCALFLVLKGELELARNDIDLCQSDLQQVLFLLESCTEFGGVTQHLDSVKKVHLQKGKQQAQVPCPPQLPEEELFLRGPALELVATVAKEPGPIAPSTNSSPVLKTKPQPIPNFLSHSPTCDCSLCASPVLTAVCLRWVLVTAGVRLAMGHQAQGLDLLQVVLKGCPEAAERLTQALQASLNHKTPPSLVPSLLDEILAQAYTLLALEGLNQPSNESLQKVLQSGLKFVAARIPHLEPWRASLLLIWALTKLGGLSCCTTQLFASSWGWQPPLIKSVPGSEPSKTQGQKRSGRGRQKLASAPLRLNNTSQKGLEGRGLPCTPKPPDRIRQAGPHVPFTVFEEVCPTESKPEVPQAPRVQQRVQTRLKVNFSDDSDLEDPVSAEAWLAEEPKRRGTASRGRGRARKGLSLKTDAVVAPGSAPGNPGLNGRSRRAKKVASRHCEERRPQRASDQARPGPEIMRTIPEEELTDNWRKMSFEILRGSDGEDSASGGKTPAPGPEAASGEWELLRLDSSKKKLPSPCPDKESDKDLGPRLRLPSAPVATGLSTLDSICDSLSVAFRGISHCPPSGLYAHLCRFLALCLGHRDPYATAFLVTESVSITCRHQLLTHLHRQLSKAQKHRGSLEIADQLQGLSLQEMPGDVPLARIQRLFSFRALESGHFPQPEKESFQERLALIPSGVTVCVLALATLQPGTVGNTLLLTRLEKDSPPVSVQIPTGQNKLHLRSVLNEFDAIQKAQKENSSCTDKREWWTGRLALDHRMEVLIASLEKSVLGCWKGLLLPSSEEPGPAQEASRLQELLQDCGWKYPDRTLLKIMLSGAGALTPQDIQALAYGLCPTQPERAQELLNEAVGRLQGLTVPSNSHLVLVLDKDLQKLPWESMPSLQALPVTRLPSFRFLLSYSIIKE
XP_011539816.1 1 519 0.530121772639691 PF00859.18:CTF_NFI:206:518,PF10524.9:NfI_DNAbd_pre-N:2:38,PF03165.16:MH1:61:162 nuclear factor 1 A-type isoform X2 519 452 34 519 0 Homo sapiens XP_011539816.1 1 RefSeq MDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDELLSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLAYFVHAADSSQSESPSQPSDADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGTGPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRSPGSGSQSSGWHEVEPGMPSPTTLKKSEKSGFSSPSPSQTSSLGTAFTQHHRPVITGPREFKMAPSILGSPFWKVYSASPHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPVPDTKPPTTSTEGGAASPTSPTYSTPSTSPANRFVSVGPRDPSFVNIPQQTQSWYLG
XP_016869210.1 127 2163 0.426205400098185 PF03607.17:DCX:52:108 oxygen-regulated protein 1 isoform X1 2163 57 34 2037 0 Homo sapiens XP_016869210.1 1 RefSeq ARRRPRPWLSSRAISAHSPPHPVAVAAPGMPRPPRSLVVFRNGDPKTRRAVLLSRRVTQSFEAFLQHLTEVMQRPVVKLYATDGRRVPSLQAVILSSGAVVAAGREPFKPGNYDIQKYLLPARLPGISQRVYPKGNAKSESRKISTHMSSSSRSQIYSVSSEKTHNNDCYLDYSFVPEKYLALEKNDSQNLPIYPSEDDIEKSIIFNQDGTMTVEMKVRFRIKEEETIKWTTTVSKTGPSNNDEKSEMSFPGRTESRSSGLKLAACSFSADVSPMERSSNQEGSLAEEINIQMTDQVAETCSSASWENATVDTDIIQGTQDQAKHRFYRPPTPGLRRVRQKKSVIGSVTLVSETEVQEKMIGQFSYSEERESGENKSEYHMFTHSCSKMSSVSNKPVLVQINNNDQMEESSLERKKENSLLKSSAISAGVIEITSQKMLEMSHNNGLPSTISNNSIVEEDVVDCVVLDNKTGIKNFKTYGNTNDRFSPISADATHFSSNNSGTDKNISEAPASEASSTVTARIDRLINEFAQCGLTKLPKNEKKILSSVASKKKKKSRQQAINSRYQDGQLATKGILNKNERINTKGRITKEMIVQDSDSPLKGGILCEEDLQKSDTVIESNTFCSKSNLNSTISKNFHRNKLNTTQNSKVQGLLTKRKSRSLNKISLGAPKKREIGQRDKVFPHNESKYCKSTFENKSLFHVFNILEQKPKDFYAPQSQAEVASGYLRGMAKKSLVSKVTDSHITLKSQKKRKGDKVKASAILSKQHATTRANSLASLKKPDFPEAIAHHSIQNYIQSWLQNINPYPTLKPIKSAPVCRNETSVVNCSNNSFSGNDPHTNSGKISNFVMESNKHITKIAGLTGDNLCKEGDKSFIANDTGEEDLHETQVGSLNDAYLVPLHEHCTLSQSAINDHNTKSHIAAEKSGPEKKLVYQEINLARKRQSVEAAIQVDPIEEETPKDLLPVLMLHQLQASVPGIHKTQNGVVQMPGSLAGVPFHSAICNSSTNLLLAWLLVLNLKGSMNSFCQVDAHKATNKSSETLALLEILKHIAITEEADDLKAAVANLVESTTSHFGLSEKEQDMVPIDLSANCSTVNIQSVPKCSENERTQGISSLDGGCSASEACAPEVCVLEVTCSPCEMCTVNKAYSPKETCNPSDTFFPSDGYGVDQTSMNKACFLGEVCSLTDTVFSDKACAQKENHTYEGACPIDETYVPVNVCNTIDFLNSKENTYTDNLDSTEELERGDDIQKDLNILTDPEYKNGFNTLVSHQNVSNLSSCGLCLSEKEAELDKKHSSLDDFENCSLRKFQDENAYTSFDMEEPRTSEEPGSITNSMTSSERNISELESFEELENHDTDIFNTVVNGGEQATEELIQEEVEASKTLELIDISSKNIMEEKRMNGIIYEIISKRLATPPSLDFCYDSKQNSEKETNEGETKMVKMMVKTMETGSYSESSPDLKKCIKSPVTSDWSDYRPDSDSEQPYKTSSDDPNDSGELTQEKEYNIGFVKRAIEKLYGKADIIKPSFFPGSTRKSQVCPYNSVEFQCSRKASLYDSEGQSFGSSEQVSSSSSMLQEFQEERQDKCDVSAVRDNYCRGDIVEPGTKQNDDSRILTDIEEGVLIDKGKWLLKENHLLRMSSENPGMCGNADTTSVDTLLDNNSSEVPYSHFGNLAPGPTMDELSSSELEELTQPLELKCNYFNMPHGSDSEPFHEDLLDVRNETCAKERIANHHTEEKGSHQSERVCTSVTHSFISAGNKVYPVSDDAIKNQPLPGSNMIHGTLQEADSLDKLYALCGQHCPILTVIIQPMNEEDRGFAYRKESDIENFLGFYLWMKIHPYLLQTDKNVFREENNKASMRQNLIDNAIGDIFDQFYFSNTFDLMGKRRKQKRINFLGLEEEGNLKKFQPDLKERFCMNFLHTSLLVVGNVDSNTQDLSGQTNEIFKAVDENNNLLNNRFQGSRTNLNQVVRENINCHYFFEMLGQACLLDICQVETSLNISNRNILELCMFEGENLFIWEEEDILNLTDLESSREQEDL
XP_016884580.1 23 327 0.235792459016393 PF06456.13:Arfaptin:7:242 PRKCA-binding protein isoform X2 327 236 34 305 0 Homo sapiens XP_016884580.1 1 RefSeq GMSLDIVLKKVKHRLVENMSSGTADALGLSRAILCNDGLVKRLEELERTAELYKGMTEHTKNLLRAFYELSQTHRAFGDVFSVIGVREPQPAASEAFVKFADAHRSIEKFGIRLLKTIKPMLTDLNTYLNKAIPDTRLTIKKYLDVKFEYLSYCLKVKEMDDEEYSCIALGEPLYRVSTGNYEYRLILRCRQEARARFSQMRKDVLEKMELLDQKHVQDIVFQLQRLVSTMSKYYNDCYAVLRDADVFPIEVDLAHTTLAYGLNQEEFTDGEEEEEEEDTAAGEPSRDTRGAAGPLDKGGSWCDS
XP_720564.2 1 413 0.242911138014528 Cell surface GPI-anchored protein ECM33 413 0 34 413 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AGC4 1 SwissProt MQIKSFLLPIVAALLTSVSAADSSNKCSFSKTSITEATAITQLNACSTLDGEITVSGSGIGSIDLSSVKVLKAKLSILNSPSIVSLNFNQLQNITGALVINNATQLNSIDLTQLTNVETLQLVSLPSFAILNLNQGVQKAGTIVLSDTALTNLNGLASFNTIDSININNNKNISKIEFNDLQTVTDSLILSFNNDDAEVKLDSLKWAGNLTIQDVSSIQASNLTSVNGSLLISYNTFDELEFPNLKSVGNSMQIFAHDELTKISFPKLSELDGELEMFNNTQLEEIDFGNLTTIKGAVTISGPFDNLTMENLKLVSGDFQVNSTSDKFDCSAFDKLHEKGKIEGHNYVCTHPANPSSSSKSGSSTQTGKSDSKSSDGSSSSNSSSSSKKGASNVLVVPGMVLTTALGVLLALI
NP_001028732.1 1 290 0.387665172413793 PF04969.16:CS:8:77 dynein assembly factor 4, axonemal isoform c 381 70 33 290 0 Homo sapiens NP_001028732.1 1 RefSeq MPLQVSDYSWQQTKTAVFLSLPLKGVCVRDTDVFCTENYLKVNFPPFLFEAFLYAPIDDESSKAKIGNDTIVFTLYKKEAAMWETLSVTGVDKEMMQRIREKSILQAQERAKEATEAKAAAKREDQKYALSVMMKIEEEERKKIEDMKENERIKATKALEAWKEYQRKAEEQKKIQREEKLCQKEKQIKEERKKIKYKSLTRNLASRNLAPKGRNSENIFTEKLKEDSIPAPRSVGSIKINFTPRVFPTALRESQVAEEEEWLHKQAEARRAMNTDIAELCDLKEEEKNP
NP_001031692.1 139 762 0.289946794871795 PF01852.19:START:125:343 Homeobox-leucine zipper protein MERISTEM L1; HD-ZIP protein ATML1; Homeodomain transcription factor ATML1 762 219 33 624 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWU4 1 SwissProt ENNRYKDALSNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISAIAAKYVGKPLMANSSSFPQLSSSHHIPSRSLDLEVGNFGNNNNSHTGFVGEMFGSSDILRSVSIPSEADKPMIVELAVAAMEELVRMAQTGDPLWVSSDNSVEILNEEEYFRTFPRGIGPKPIGLRSEASRESTVVIMNHINLIEILMDVNQWSSVFCGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHSDGIWAVVDVSLDSLRPSPITRSRRRPSGCLIQELQNGYSKVTWVEHIEVDDRSVHNMYKPLVNTGLAFGAKRWVATLDRQCERLASSMASNIPACDLSVITSPEGRKSMLKLAERMVMSFCTGVGASTAHAWTTLSTTGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVAPKRVFDFLRDENSRSEWDILSNGGLVQEMAHIANGRDPGNSVSLLRVNSGNSGQSNMLILQESCTDASGSYVIYAPVDIIAMNVVLSGGDPDYVALLPSGFAILPDGSARGGGGSANASAGAGVEGGGEGNNLEVVTTTGSCGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAALACDGA
NP_001165417.1 1 257 0.427506225680934 PF09387.10:MRP:62:223 SUN domain-containing protein 1 isoform e 257 162 33 257 0 Homo sapiens NP_001165417.1 1 RefSeq MDFSRLHMYSPPQCVPENTGYTYALSSSYSSDALDFETEHKLDPVFDSPRMSRRSLRLATTACTLGDGEAVGADSGTSSAVSLKNRAARTTKQRRSTNKSAFSINHVSRQVTSSGVSHGGTVSLQDAVTRRPPVLDESWIREQTTVDHFWGLDDDGDLKGGNKAAIQGNGDVGAAAATAHNGFSCSNCSMLSERKDVLTAHPAAPGPVSRVYSRDRNQKCKSQSFKTQKKVCFPNLIFPFCKSQCLHYLSWRLKIIP
NP_001166937.1 1 513 0.274192202729045 PF00400.32:WD40:207:240 aladin isoform 2 513 34 33 513 0 Homo sapiens NP_001166937.1 1 RefSeq MCSLGLFPPPPPRGQVTLYEHNNELVTGSSYESPPPDFRGQWINLPVLQLTKDPLKTPGRLDHGTRTAFIHHREQVWKRCINIWRDVGLFGVLNEIANSEEEVFEWVKTASGWALALCRWASSLHGSLFPHLSLRSEDLIAEFAQVTNCTIVPSLKHRLQRNVASLAWKPLSASVLAVACQSCILIWTLDPTSLSTRPSSGCAQVLSHPGHTPVTSLAWAPSGGRLLSASPVDAAIRVWDVSTETCVPLPWFRGGGVTNLLWSPDGSKILATTPSAVFRVWEAQMWTCERWPTLSGRCQTGCWSPDGSRLLFTVLGEPLIYSLSFPERCGEGKGCVGGAKSATIVADLSETTIQTPDGEERLGGEAHSMVWDPSGERLAVLMKGKPRVQDGKPVILLFRTRNSPVFELLPCGIIQGEPGAQPQLITFHPSFNKGALLSVGWSTGRIAHIPLYFVNAQFPRFSPVLGRAQEPPAGGGGSIHDLPLFTETSPTSAPWDPLPGPPPVLPHSPHSHL
NP_001171665.1 1 419 0.0872885441527446 PF00083.24:Sugar_tr:4:379,PF07690.16:MFS_1:3:338 solute carrier family 22 member 8 isoform 3 419 377 33 240 8 Homo sapiens NP_001171665.1 1 RefSeq MAQSIFMAGILIGGLVLGDLSDRFGRRPILTCSYLLLAASGSGAAFSPTFPIYMVFRFLCGFGISGITLSTVILNVEWVPTRMRAIMSTALGYCYTFGQFILPGLAYAIPQWRWLQLTVSIPFFVFFLSSWWTPESIRWLVLSGKSSKALKILRRVAVFNGKKEEGERLSLEELKLNLQKEISLAKAKYTASDLFRIPMLRRMTFCLSLAWFATGFAYYSLAMGVEEFGVNLYILQIIFGGVDVPAKFITILSLSYLGRHTTQAAALLLAGGAILALTFVPLDLQTVRTVLAVFGKGCLSSSFSCLFLYTSELYPTVIRQTGMGVSNLWTRVGSMVSPLVKITGEVQPFIPNIIYGITALLGGSAALFLPETLNQPLPETIEDLENWSLRAKKPKQEPEVEKASQRIPLQPHGPGLGSS
NP_001258968.1 1 1663 0.458767829224294 PF07989.11:Cnn_1N:60:130 CDK5 regulatory subunit-associated protein 2 isoform c 1663 71 33 1663 0 Homo sapiens NP_001258968.1 1 RefSeq MMDLVLEEDVTVPGTLSGCSGLVPSVPDDLDGINPNAGLGNGLLPNVSEETVSPTRARNMKDFENQITELKKENFNLKLRIYFLEERMQQEFHGPTEHIYKTNIELKVEVESLKRELQEREQLLIKASKAVESLAEAGGSEIQRVKEDARKKVQQVEDLLTKRILLLEKDVTAAQAELEKAFAGTETEKALRLRLESKLSEMKKMHEGDLAMALVLDEKDRLIEELKLSLKSKEALIQCLKEEKSQMACPDENVSSGELRGLCAAPREEKERETEAAQMEHQKERNSFEERIQALEEDLREKEREIATEKKNSLKRDKAIQGLTMALKSKEKKVEELNSEIEKLSAAFAKAREALQKAQTQEFQGSEDYETALSGKEALSAALRSQNLTKSTENHRLRRSIKKITQELSDLQQERERLEKDLEEAHREKSKGDCTIRDLRNEVEKLRNEVNEREKAMENRYKSLLSESNKKLHNQEQVIKHLTESTNQKDVLLQKFNEKDLEVIQQNCYLMAAEDLELRSEGLITEKCSSQQPPGSKTIFSKEKKQSSDYEELIQVLKKEQDIYTHLVKSLQESDSINNLQAELNKIFALRKQLEQDVLSYQNLRKTLEEQISEIRRREESFSLYSDQTSYLSICLEENNRFQVEHFSQEELKKKVSDLIQLVKELYTDNQHLKKTIFDLSCMGFQGNGFPDRLASTEQTEEAKKSRLPILIKPSRSLGNMYRLPATQEVVTQLQSQILELQGELKEFKTCNKQLHQKLILAEAVMEGRPTPDKTLLNAQPPVGAAYQDSPGEQKGIKTTSSVWRDKEMDSDQQRSYEIDSEICPPDDLASLPSCKENPEDVLSPTSVATYLSSKSQPSAKVSVMGTDQSESINTSNETEYLKQKIHDLETELEGYQNFIFQLQKHSQCSEAIITVLCGTEGAQDGLSKPKNGSDGEEMTFSSLHQVRYVKHVKILGPLAPEMIDSRVLENLKQQLEEQEYKLQKEQNLNMQLFSEIHNLQNKFRDLSPPRYDSLVQSQARELSLQRQQIKDGHGICVISRQHMNTMIKAFEELLQASDVDYCVAEGFQEQLNQCAELLEKLEKLFLNGKSVGVEMNTQNELMERIEEDNLTYQHLLPESPEPSASHALSDYETSEKSFFSRDQKQDNETEKTSVMVNSFSQDLLMEHIQEIRTLRKRLEESIKTNEKLRKQLERQGSEFVQGSTSIFASGSELHSSLTSEIHFLRKQNQALNAMLIKGSRDKQKENDKLRESLSRKTVSLEHLQREYASVKEENERLQKEGSEKERHNQQLIQEVRCSGQELSRVQEEVKLRQQLLSQNDKLLQSLRVELKAYEKLDEEHRRLREASGEGWKGQDPFRDLHSLLMEIQALRLQLERSIETSSTLQSRLKEQLARGAEKAQEGALTLAVQAVSIPEVPLQPDKHDGDKYPMESDNSFDLFDSSQAVTPKSVSETPPLSGNDTDSLSCDSGSSATSTPCVSRLVTGHHLWASKNGRHVLGLIEDYEALLKQISQGQRLLAEMDIQTQEAPSSTSQELGTKGPHPAPLSKFVSSVSTAKLTLEEAYRRLKLLWRVSLPEDGQCPLHCEQIGEMKAEVTKLHKKLFEQEKKLQNTMKLLQLSKRQEKVIFDQLVVTHKILRKARGNLELRPGGAHPGTCSPSRPGS
NP_001295892.1 1 84 0.0988916666666667 colorectal neoplasia differentially expressed peptide 84 0 33 84 0 Homo sapiens NP_001295892.1 1 RefSeq MLAEIHPKAGLQSLQFIMELLYWLLEGGDSEDKEDATGNVEMKNIQPLVFEISCDVFQSRCKEHGKIKVLEWFKYVLGIPVYRL
NP_002428.1 1 176 0.0559829545454546 PF04117.12:Mpv17_PMP22:109:170 Protein Mpv17 176 62 33 130 2 Homo sapiens (Human) SwissProt::P39210 1 SwissProt MALWRAYQRALAAHPWKVQVLTAGSLMGLGDIISQQLVERRGLQEHQRGRTLTMVSLGCGFVGPVVGGWYKVLDRFIPGTTKVDALKKMLLDQGGFAPCFLGCFLPLVGALNGLSAQDNWAKLQRDYPDALITNYYLWPAVQLANFYLVPLHYRLAVVQCVAVIWNSYLSWKAHRL
NP_005447.1 1 484 0.739941942148761 C-Jun-amino-terminal kinase-interacting protein 1; JIP-1; JNK-interacting protein 1; Islet-brain 1; IB-1; JNK MAP kinase scaffold protein 1; Mitogen-activated protein kinase 8-interacting protein 1 711 0 33 484 0 Homo sapiens (Human) SwissProt::Q9UQF2 1 SwissProt MAERESGGLGGGAASPPAASPFLGLHIASPPNFRLTHDISLEEFEDEDLSEITDECGISLQCKDTLSLRPPRAGLLSAGGGGAGSRLQAEMLQMDLIDATGDTPGAEDDEEDDDEERAARRPGAGPPKAESGQEPASRGQGQSQGQSQGPGSGDTYRPKRPTTLNLFPQVPRSQDTLNNNSLGKKHSWQDRVSRSSSPLKTGEQTPPHEHICLSDELPPQSGPAPTTDRGTSTDSPCRRSTATQMAPPGGPPAAPPGGRGHSHRDRIHYQADVRLEATEEIYLTPVQRPPDAAEPTSAFLPPTESRMSVSSDPDPAAYPSTAGRPHPSISEEEEGFDCLSSPERAEPPGGGWRGSLGEPPPPPRASLSSDTSALSYDSVKYTLVVDEHAQLELVSLRPCFGDYSDESDSATVYDNCASVSSPYESAIGEEYEEAPRPQPPACLSEDSTPDEPDVHFSKKFLNVFMSGRSRSSSAESFGLFSCII
NP_011444.1 297 690 0.628306598984772 Iron-regulated transcriptional activator AFT1; Activator of iron transcription protein 1 690 0 33 394 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P22149 1 SwissProt NEIQSFNVVLPTNSNVTSSASSSTVSSISLDSSNASKRPCLPSVNNTGSINTNNVRKPKSQCKNKDTLLKRTTMQNFLTTKSRLRKTGTPTSSQHSSTAFSGYIDDPFNLNEILPLPASDFKLNTVTNLNEIDFTNIFTKSPHPHSGSTHPRQVFDQLDDCSSILFSPLTTNTNNEFEGESDDFVHSPYLNSEADFSQILSSAPPVHHDPNETHQENQDIIDRFANSSQEHNEYILQYLTHSDAANHNNIGVPNNNSHSLNTQHNVSDLGNSLLRQEALVGSSSTKIFDELKFVQNGPHGSQHPIDFQHVDHRHLSSNEPQVRSHQYGPQQQPPQQLQYHQNQPHDGHNHEQHQTVQKDMQTHESLEIMGNTLLEEFKDIKMVNGELKYVKPED
NP_012594.1 1 351 0.737076923076922 PF00010.26:HLH:223:271 Centromere-binding protein 1; CBP-1; Centromere promoter factor 1; Centromere-binding factor 1 351 49 33 351 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17106 1 SwissProt MNSLANNNKLSTEDEEIHSARKRGYNEEQNYSEARKKQRDQGLLSQESNDGNIDSALLSEGATLKGTQSQYESGLTSNKDEKGSDDEDASVAEAAVAATVNYTDLIQGQEDSSDAHTSNQTNANGEHKDSLNGERAITPSNEGVKPNTSLEGMTSSPMESTQQSKNDMLIPLAEHDRGPEHQQDDEDNDDADIDLKKDISMQPGRRGRKPTTLATTDEWKKQRKDSHKEVERRRRENINTAINVLSDLLPVRESSKAAILACAAEYIQKLKETDEANIEKWTLQKLLSEQNASQLASANEKLQEELGNAYKEIEYMKRVLRKEGIEYEDMHTHKKQENERKSTRSDNPHEA
NP_034583.1 1 173 0.726049132947977 homeobox protein Hox-A5 270 0 33 173 0 Mus musculus NP_034583.1 1 RefSeq MSSYFVNSFCGRYPNGPDYQLHNYGDHSSVSEQFRDSASMHSGRYGYGYNGMDLSVGRSGSGHFGSGERARSYAAGASAAPAEPRYSQPATSTHSPPPDPLPCSAVAPSPGSDSHHGGKNSLGNSSGASANAGSTHISSREGVGTASAAEEDAPASSEQAGAQSEPSPAPPAQ
NP_034967.2 1 906 0.815367218543048 Microtubule-associated protein 6; MAP-6; Stable tubule-only polypeptide; STOP 906 0 33 906 0 Mus musculus (Mouse) SwissProt::Q7TSJ2 1 SwissProt MAWPCITRACCIARFWNQLDKADIAVPLVFTKYSEATEHPGAPPQPPAPLQPALAPPSRAVAIETQPAQGESDAVARATGPAPGPSVDRETVAAPGRSGLGLGAASASTSGSGPADSVMRQDYRAWKVQRPEPSCRPRSEYQPSDAPFERETQYQKDFRAWPLPRRGDHPWIPKPVQIPATSQPSQPVLGVPKRRPQSQERGPMQLSADARDPEGAGGAGVLAAGKASGVDQRDTRRKAGPAWMVTRNEGHEEKPLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRSEGHEEKPLPPAQSQTQEGGPAAGKASGADQRDTRRKAGPAWMVTRTEGHEETPLPPAQSQTQEGGPAAGKASGADERDTRRKAGPAWMVRRSEGHEQTPAAHAQGTGPEGGKGRAVADALNRQIREEVASTVSSSYRNEFRAWTDIKPVKPIKAKPQYKPPDDKMVHETSYSAQFKGEANKPSAADNKAMDRRRIRSLYSEPFKECPKVEKPSVQSSKPKKTSTSHKPPRKAKDKQVVSGQAAKKKTTEGPSATKPDDKEQSKEMNNKLAEAKESRVKPTSDASKNRGPVTKEPHKDQGSVAPGLPKGQEPLKDQGPVVPGLPKDQVPVVPGSLKGQSPTAPGPTKDQGAVLLGPVKDLGPVAPAPIKVQDHIASELLKNKDSVPLAPAKAQSPLLPEPLKNQSPVVPASTKDQSFPTPAPRKDPGPVIPEPEKDRAPTVPERRKDQHVSIMASLKNEAPMVPESVKNQGLAGPELVKDTGTDTTAPRYLKGHDSVFVAPVKNQGPVIPEPVKSQDPIIPALAKDQGPMLPEPPKNQSPVVLGPIKNQDPIIPVPLKGQDPLVPAPTKDQGPTAPDPLKTQGPKGTQLPTVSPSPPVMIPTVPHTEYIEGSP
NP_035554.3 699 2287 0.771190119572059 nuclear receptor corepressor 2 isoform 1 2468 0 33 1589 0 Mus musculus NP_035554.3 1 RefSeq EEMEASGASANEEELAEEAEASQASGNEVPRVGECSGPAAVNNSSDTESVPSPRSEATKDTGPKPTGTEALPAATQPPVPPPEEPAVAPAEPSPVPDASGPPSPEPSPSPAAPPATVDKDEQEAPAAPAPQTEDAKEQKSEAEEIDVGKPEEPEASEEPPESVKSDHKEETEEEPEDKAKGTEAIETVSEAPLKVEEAGSKAAVTKGSSSGATQDSDSSATCSADEVDEPEGGDKGRLLSPRPSLLTPAGDPRASTSPQKPLDLKQLKQRAAAIPPIVTKVHEPPREDTVPPKPVPPVPPPTQHLQPEGDVSQQSGGSPRGKSRSPVPPAEKEAEKPAFFPAFPTEGPKLPTEPPRWSSGLPFPIPPREVIKTSPHAADPSAFSYTPPGHPLPLGLHDSARPVLPRPPISNPPPLISSAKHPGVLERQLGAISQGMSVQLRVPHSEHAKAPMGPLTMGLPLAVDPKKLGTALGSATSGSITKGLPSTRAADGPSYRGSITHGTPADVLYKGTISRIVGEDSPSRLDRAREDTLPKGHVIYEGKKGHVLSYEGGMSVSQCSKEDGRSSSGPPHETAAPKRTYDMMEGRVGRTVTSASIEGLMGRAIPEQHSPHLKEQHHIRGSITQGIPRSYVEAQEDYLRREAKLLKREGTPPPPPPPRDLTETYKPRPLDPLGPLKLKPTHEGVVATVKEAGRSIHEIPREELRRTPELPLAPRPLKEGSITQGTPLKYDSGAPSTGTKKHDVRSIIGSPGRPFPALHPLDIMADARALERACYEESLKSRSGTSSGAGGSITRGAPVVVPELGKPRQSPLTYEDHGAPFTSHLPRGSPVTTREPTPRLQEGSLLSSKASQDRKLTSTPREIAKSPHSTVPEHHPHPISPYEHLLRGVTGVDLYRGHIPLAFDPTSIPRGIPLEAAAAAYYLPRHLAPSPTYPHLYPPYLIRGYPDTAALENRQTIINDYITSQQMHHNAASAMAQRADMLRGLSPRESSLALNYAAGPRGIIDLSQVPHLPVLVPPTPGTPATAIDRLAYLPTAPPPFSSRHSSSPLSPGGPTHLAKPTATSSSERERERERERDKSILTSTTTVEHAPIWRPGTEQSSGAGGSSRPASHTHQHSPISPRTQDALQQRPSVLHNTSMKGVVTSVEPGTPTVLRSTSTSSPVRPAATFPPATHCPLGGTLEGVYPTLMEPVLLPKETSRVARPERPRVDAGHAFLTKPPAREPASSPSKSSEPRSLAPPSSSHTAIARTPAKNLAPHHASPDPPAPTSASDLHREKTQSKPFSIQELELRSLGYHSGAGYSPDGVEPISPVSSPSLTHDKGLSKPLEELEKSHLEGELRHKQPGPMKLSAEAAHLPHLRPLPESQPSSSPLLQTAPGIKGHQRVVTLAQHISEVITQDYTRHHPQQLSGPLPAPLYSFPGASCPVLDLRRPPSDLYLPPPDHGTPARGSPHSEGGKRSPEPSKTSVLGSSEDAIEPVSPPEGMTEPGHARSTAYPLLYRDGEQGEPRMGSKSPGNTSQPPAFFSKLTESNSAMVKSKKQEINKKLNTHNRNEPEYNIGQPGTEIFNMPAITGAGLMTCRSQAVQEHAS
NP_055196.2 191 1429 0.382970298627925 PF01119.19:DNA_mis_repair:23:158 DNA mismatch repair protein Mlh3 isoform 2 1429 136 33 1239 0 Homo sapiens NP_055196.2 1 RefSeq NDVSGSMVLQLPKTKDVCSRFCQIYGLGKSQKLREISFKYKEFELSGYISSEAHYNKNMQFLFVNKRLVLRTKLHKLIDFLLRKESIICKPKNGPTSRQMNSSLRHRSTPELYGIYVINVQCQFCEYDVCMEPAKTLIEFQNWDTLLFCIQEGVKMFLKQEKLFVELSGEDIKEFSEDNGFSLFDATLQKRVTSDERSNFQEACNNILDSYEMFNLQSKAVKRKTTAENVNTQSSRDSEATRKNTNDAFLYIYESGGPGHSKMTEPSLQNKDSSCSESKMLEQETIVASEAGENEKHKKSFLEHSSLENPCGTSLEMFLSPFQTPCHFEESGQDLEIWKESTTVNGMAANILKNNRIQNQPKRFKDATEVGCQPLPFATTLWGVHSAQTEKEKKKESSNCGRRNVFSYGRVKLCSTGFITHVVQNEKTKSTETEHSFKNYVRPGPTRAQETFGNRTRHSVETPDIKDLASTLSKESGQLPNKKNCRTNISYGLENEPTATYTMFSAFQEGSKKSQTDCILSDTSPSFPWYRHVSNDSRKTDKLIGFSKPIVRKKLSLSSQLGSLEKFKRQYGKVENPLDTEVEESNGVTTNLSLQVEPDILLKDKNRLENSDVCKITTMEHSDSDSSCQPASHILNSEKFPFSKDEDCLEQQMPSLRESPMTLKELSLFNRKPLDLEKSSESLASKLSRLKGSERETQTMGMMSRFNELPNSDSSRKDSKLCSVLTQDFCMLFNNKHEKTENGVIPTSDSATQDNSFNKNSKTHSNSNTTENCVISETPLVLPYNNSKVTGKDSDVLIRASEQQIGSLDSPSGMLMNPVEDATGDQNGICFQSEESKARACSETEESNTCCSDWQRHFDVALGRMVYVNKMTGLSTFIAPTEDIQAACTKDLTTVAVDVVLENGSQYRCQPFRSDLVLPFLPRARAERTVMRQDNRDTVDDTVSSESLQSLFSEWDNPVFARYPEVAVDVSSGQAESLAVKIHNILYPYRFTKGMIHSMQVLQQVDNKFIACLMSTKTEENGEADSYEKQQAQGSGRKKLLSSTLIPPLEITVTEEQRRLLWCYHKNLEDLGLEFVFPDTSDSLVLVGKVPLCFVEREANELRRGRSTVTKSIVEEFIREQLELLQTTGGIQGTLPLTVQKVLASQACHGAIKFNDGLSLQESCRLIEALSSCQLPFQCAHGRPSMLPLADIDHLEQEKQIKPNLTKLRKMAQAWRLFGKAECDTRQSLQQSMPPCEPP
NP_056456.1 1 295 0.323705423728814 PF06297.14:PET:109:193,PF00412.22:LIM:236:295 Testin; TESS 421 145 33 295 0 Homo sapiens (Human) SwissProt::Q9UGI8 1 SwissProt MDLENKVKKMGLGHEQGFGAPCLKCKEKCEGFELHFWRKICRNCKCGQEEHDVLLSNEEDRKVGKLFEDTKYTTLIAKLKSDGIPMYKRNVMILTNPVAAKKNVSINTVTYEWAPPVQNQALARQYMQMLPKEKQPVAGSEGAQYRKKQLAKQLPAHDQDPSKCHELSPREVKEMEQFVKKYKSEALGVGDVKLPCEMDAQGPKQMNIPGGDRSTPAAVGAMEDKSAEHKRTQYSCYCCKLSMKEGDPAIYAERAGYDKLWHPACFVCSTCHELLVDMIYFWKNEKLYCGRHYCD
NP_077369.1 124 525 0.56299527363184 PF06546.11:Vert_HS_TF:124:402 heat shock factor protein 1 525 279 33 402 0 Rattus norvegicus NP_077369.1 1 RefSeq TLKSEDIKIRQDSVTRLLTDVQLMKGKQECMDSKLLAMKHENEALWREVASLRQKHAQQQKVVNKLIQFLISLVQSNRILGVKRKIPLMLSDSSSAHSVPKYGRQYSLEHVHGPGPYSAPSPAYSSSSLYSSDAVTSSGPIISDITELAPTSPLASPGRSIDERPLSSSTLVRVKEEPPSPPHSPRVLEASPGRPASMDTPLSPTAFIDSILRESEPTPAASNTAPMDTTGAQAPAPPAPSTPEKCLSVACLDKNELSDHLDAMDSNLDNLQTMLTSHGFSVDTSALLDLFSPSVTMPDMSLPDLDSSLASIQELLSPQEPPRPIEAENSNADSGKQLVHYTAQPLFLLDPDAVDTGSSELPVLFELGESSYFSEGDDYTDDPTISLLTGTEPHKAKDPTVS
NP_180402.1 219 693 0.329082315789474 PF06507.13:Auxin_resp:60:142 Auxin response factor 10 693 83 33 475 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SKN5 1 SwissProt GGLGSNAGSDNPYPGFSGFLRDDESTTTTSKLMMMKRNGNNDGNAAATGRVRVEAVAEAVARAACGQAFEVVYYPRASTPEFCVKAADVRSAMRIRWCSGMRFKMAFETEDSSRISWFMGTVSAVQVADPIRWPNSPWRLLQVAWDEPDLLQNVKRVSPWLVELVSNMPTIHLSPFSPRKKIRIPQPFEFPFHGTKFPIFSPGFANNGGGESMCYLSNDNNNAPAGIQGARQAQQLFGSPSPSLLSDLNLSSYTGNNKLHSPAMFLSSFNPRHHHYQARDSENSNNISCSLTMGNPAMVQDKKKSVGSVKTHQFVLFGQPILTEQQVMNRKRFLEEEAEAEEEKGLVARGLTWNYSLQGLETGHCKVFMESEDVGRTLDLSVIGSYQELYRKLAEMFHIEERSDLLTHVVYRDANGVIKRIGDEPFSDFMKATKRLTIKMDIGGDNVRKTWITGIRTGENGIDASTKTGPLSIFA
NP_192264.1 1 1780 0.108486404494382 PF02364.15:Glucan_synthase:877:1677,PF14288.6:FKS1_dom1:159:271 Callose synthase 12; 1,3-beta-glucan synthase; Protein GLUCAN SYNTHASE-LIKE 5; Protein POWDERY MILDEW RESISTANT 4; EC 2.4.1.34 1780 914 33 1515 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZT82 1 SwissProt MSLRHRTVPPQTGRPLAAEAVGIEEEPYNIIPVNNLLADHPSLRFPEVRAAAAALKTVGDLRRPPYVQWRSHYDLLDWLALFFGFQKDNVRNQREHMVLHLANAQMRLSPPPDNIDSLDSAVVRRFRRKLLANYSSWCSYLGKKSNIWISDRNPDSRRELLYVGLYLLIWGEAANLRFMPECICYIFHNMASELNKILEDCLDENTGQPYLPSLSGENAFLTGVVKPIYDTIQAEIDESKNGTVAHCKWRNYDDINEYFWTDRCFSKLKWPLDLGSNFFKSRGKSVGKTGFVERRTFFYLYRSFDRLWVMLALFLQAAIIVAWEEKPDTSSVTRQLWNALKARDVQVRLLTVFLTWSGMRLLQAVLDAASQYPLVSRETKRHFFRMLMKVIAAAVWIVAFTVLYTNIWKQKRQDRQWSNAATTKIYQFLYAVGAFLVPEILALALFIIPWMRNFLEETNWKIFFALTWWFQGKSFVGRGLREGLVDNIKYSTFWIFVLATKFTFSYFLQVKPMIKPSKLLWNLKDVDYEWHQFYGDSNRFSVALLWLPVVLIYLMDIQIWYAIYSSIVGAVVGLFDHLGEIRDMGQLRLRFQFFASAIQFNLMPEEQLLNARGFGNKFKDGIHRLKLRYGFGRPFKKLESNQVEANKFALIWNEIILAFREEDIVSDREVELLELPKNSWDVTVIRWPCFLLCNELLLALSQARELIDAPDKWLWHKICKNEYRRCAVVEAYDSIKHLLLSIIKVDTEEHSIITVFFQIINQSIQSEQFTKTFRVDLLPKIYETLQKLVGLVNDEETDSGRVVNVLQSLYEIATRQFFIEKKTTEQLSNEGLTPRDPASKLLFQNAIRLPDASNEDFYRQVRRLHTILTSRDSMHSVPVNLEARRRIAFFSNSLFMNMPHAPQVEKMMAFSVLTPYYSEEVVYSKEQLRNETEDGISTLYYLQTIYADEWKNFKERMHREGIKTDSELWTTKLRDLRLWASYRGQTLARTVRGMMYYYRALKMLAFLDSASEMDIREGAQELGSVRNLQGELGGQSDGFVSENDRSSLSRASSSVSTLYKGHEYGTALMKFTYVVACQIYGSQKAKKEPQAEEILYLMKQNEALRIAYVDEVPAGRGETDYYSVLVKYDHQLEKEVEIFRVKLPGPVKLGEGKPENQNHAMIFTRGDAVQTIDMNQDSYFEEALKMRNLLQEYNHYHGIRKPTILGVREHIFTGSVSSLAWFMSAQETSFVTLGQRVLANPLKVRMHYGHPDVFDRFWFLSRGGISKASRVINISEDIFAGFNCTLRGGNVTHHEYIQVGKGRDVGLNQISMFEAKVASGNGEQVLSRDVYRLGHRLDFFRMLSFFYTTVGFFFNTMMVILTVYAFLWGRVYLALSGVEKSALADSTDTNAALGVILNQQFIIQLGLFTALPMIVEWSLEEGFLLAIWNFIRMQIQLSAVFYTFSMGTRAHYFGRTILHGGAKYRATGRGFVVEHKGFTENYRLYARSHFVKAIELGLILIVYASHSPIAKDSLIYIAMTITSWFLVISWIMAPFVFNPSGFDWLKTVYDFEDFMNWIWYQGRISTKSEQSWEKWWYEEQDHLRNTGKAGLFVEIILVLRFFFFQYGIVYQLKIANGSTSLFVYLFSWIYIFAIFVLFLVIQYARDKYSAKAHIRYRLVQFLLIVLAILVIVALLEFTHFSFIDIFTSLLAFIPTGWGILLIAQTQRKWLKNYTIFWNAVVSVARMYDILFGILIMVPVAFLSWMPGFQSMQTRILFNEAFSRGLRIMQIVTGKKSKGDV
NP_192851.1 1 1133 0.168996381288614 PF05183.12:RdRP:386:964 RNA-dependent RNA polymerase 2; AtRDRP2; Protein SILENCING MOVEMENT DEFICIENT 1; RNA-directed RNA polymerase 2; EC 2.7.7.48 1133 579 33 1133 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82504 1 SwissProt MVSETTTNRSTVKISNVPQTIVADELLRFLELHLGEDTVFALEIPTTRDNWKPRDFARVQFTTLEVKSRAQLLSSQSKLLFKTHNLRLSEAYDDIIPRPVDPRKRLDDIVLTVGFPESDEKRFCALEKWDGVRCWILTEKRRVEFWVWESGDCYKIEVRFEDIIETLSCCVNGDASEIDAFLLKLKYGPKVFKRVTVHIATKFKSDRYRFCKEDFDFMWIRTTDFSGSKSIGTSTCFCLEVHNGSTMLDIFSGLPYYREDTLSLTYVDGKTFASAAQIVPLLNAAILGLEFPYEILFQLNALVHAQKISLFAASDMELIKILRGMSLETALVILKKLHQQSSICYDPVFFVKTQMQSVVKKMKHSPASAYKRLTEQNIMSCQRAYVTPSKIYLLGPELETANYVVKNFAEHVSDFMRVTFVEEDWSKLPANALSVNSKEGYFVKPSRTNIYNRVLSILGEGITVGPKRFEFLAFSASQLRGNSVWMFASNEKVKAEDIREWMGCFRKIRSISKCAARMGQLFSASRQTLIVRAQDVEQIPDIEVTTDGADYCFSDGIGKISLAFAKQVAQKCGLSHVPSAFQIRYGGYKGVIAVDRSSFRKLSLRDSMLKFDSNNRMLNVTRWTESMPCFLNREIICLLSTLGIEDAMFEAMQAVHLSMLGNMLEDRDAALNVLQKLSGENSKNLLVKMLLQGYAPSSEPYLSMMLRVHHESQLSELKSRCRILVPKGRILIGCMDEMGILEYGQVYVRVTLTKAELKSRDQSYFRKIDEETSVVIGKVVVTKNPCLHPGDIRVLDAIYEVHFEEKGYLDCIIFPQKGERPHPNECSGGDLDGDQFFVSWDEKIIPSEMDPPMDYAGSRPRLMDHDVTLEEIHKFFVDYMISDTLGVISTAHLVHADRDPEKARSQKCLELANLHSRAVDFAKTGAPAEMPYALKPREFPDFLERFEKPTYISESVFGKLYRAVKSSLAQRKPEAESEDTVAYDVTLEEAGFESFIETAKAHRDMYGEKLTSLMIYYGAANEEEILTGILKTKEMYLARDNRRYGDMKDRITLSVKDLHKEAMGWFEKSCEDEQQKKKLASAWYYVTYNPNHRDEKLTFLSFPWIVGDVLLDIKAENAQRQSVEEKTSGLVSI
NP_199216.2 463 1049 0.119379045996593 PF03552.14:Cellulose_synt:1:580,PF13632.6:Glyco_trans_2_3:277:410 Cellulose synthase A catalytic subunit 4 [UDP-forming]; AtCesA4; Protein IRREGULAR XYLEM 5; AtIRX5; EC 2.4.1.12 1049 580 33 452 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84JA6 1 SwissProt YVSREKRPGYAHHKKAGAMNAMVRVSAVLTNAPFMLNLDCDHYINNSKAIRESMCFLMDPQLGKKLCYVQFPQRFDGIDLNDRYANRNIVFFDINMRGLDGIQGPVYVGTGCVFNRPALYGYEPPVSEKRKKMTCDCWPSWICCCCGGGNRNHKSDSSKKKSGIKSLFSKLKKKTKKKSDDKTMSSYSRKRSSTEAIFDLEDIEEGLEGYDELEKSSLMSQKNFEKRFGMSPVFIASTLMENGGLPEATNTSSLIKEAIHVISCGYEEKTEWGKEIGWIYGSVTEDILTGFRMHCRGWKSVYCMPKRPAFKGSAPINLSDRLHQVLRWALGSVEIFFSRHCPLWYAWGGKLKILERLAYINTIVYPFTSIPLLAYCTIPAVCLLTGKFIIPTINNFASIWFLALFLSIIATAILELRWSGVSINDLWRNEQFWVIGGVSAHLFAVFQGLLKVLFGVDTNFTVTSKGASDEADEFGDLYLFKWTTLLIPPTTLIILNMVGVVAGVSDAINNGYGSWGPLFGKLFFAFWVIVHLYPFLKGLMGRQNRTPTIVVLWSILLASIFSLVWVRIDPFLPKQTGPLLKQCGVDC
NP_252169.1 1 295 0.206009152542373 PF00561.20:Abhydrolase_1:30:252 3-(3-hydroxydecanoyloxy)decanoate synthase; EC 2.3.1.- 295 223 33 295 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q51559 1 SwissProt MRRESLLVSVCKGLRVHVERVGQDPGRSTVMLVNGAMATTASFARTCKCLAEHFNVVLFDLPFAGQSRQHNPQRGLITKDDEVEILLALIERFEVNHLVSASWGGISTLLALSRNPRGIRSSVVMAFAPGLNQAMLDYVGRAQALIELDDKSAIGHLLNETVGKYLPQRLKASNHQHMASLATGEYEQARFHIDQVLALNDRGYLACLERIQSHVHFINGSWDEYTTAEDARQFRDYLPHCSFSRVEGTGHFLDLESKLAAVRVHRALLEHLLKQPEPQRAERAAGFHEMAIGYA
NP_414625.1 1 121 0.236265289256198 PF04999.13:FtsL:25:119 cell division protein FtsL 121 95 33 98 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11086-MONOMER 1 ecocyc MISRVTEALSKVKGSMGSHERHALPGVIGDDLLRFGKLPLCLFICIILTAVTVVTTAHHTRLLTAQREQLVLERDALDIEWRNLILEENALGDHSRVERIATEKLQMQHVDPSQENIVVQK
NP_476498.1 1 252 0.17104246031746 PF00067.22:p450:3:251 cytochrome P450 26A1 isoform 2 428 249 33 252 0 Homo sapiens NP_476498.1 1 RefSeq MKRRKYGFIYKTHLFGRPTVRVMGADNVRRILLGEHRLVSVHWPASVRTILGSGCLSNLHDSSHKQRKKVIMRAFSREALECYVPVITEEVGSSLEQWLSCGERGLLVYPEVKRLMFRIAMRILLGCEPQLAGDGDSEQQLVEAFEEMTRNLFSLPIDVPFSGLYRGMKARNLIHARIEQNIRAKICGLRASEAGQGCKDALQLLIEHSWERGERLDMQALKQSSTELLFGGHETTASAATSLITYLGLYPH
NP_565986.1 85 246 0.506251234567901 PF01486.17:K-box:16:102 Agamous-like MADS-box protein AGL5; Protein SHATTERPROOF 2 246 87 33 162 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P29385 1 SwissProt KKACSDAVNPPTITEANTQYYQQEASKLRRQIRDIQNLNRHILGESLGSLNFKELKNLESRLEKGISRVRSKKHEMLVAEIEYMQKREIELQNDNMYLRSKITERTGLQQQESSVIHQGTVYESGVTSSHQSGQYNRNYIAVNLLEPNQNSSNQDQPPLQLV
NP_803490.1 105 326 0.0954896396396396 mesoderm-specific transcript homolog protein isoform b precursor 326 0 33 222 0 Homo sapiens NP_803490.1 1 RefSeq RPHHYSIFEQASIVEALLRHLGLQNRRINLLSHDYGDIVAQELLYRYKQNRSGRLTIKSLCLSNGGIFPETHRPLLLQKLLKDGGVLSPILTRLMNFFVFSRGLTPVFGPYTRPSESELWDMWAGIRNNDGNLVIDSLLQYINQRKKFRRRWVGALASVTIPIHFIYGPLDPVNPYPEFLELYRKTLPRSTVSILDDHISHYPQLEDPMGFLNAYMGFINSF
P17482 1 169 0.567481656804734 PF04617.13:Hox9_act:1:168 Homeobox protein Hox-B9 250 168 33 169 0 Homo sapiens P17482 1 SwissProt/TReMBL MSISGTLSSYYVDSIISHESEDAPPAKFPSGQYASSRQPGHAEHLEFPSCSFQPKAPVFGASWAPLSPHASGSLPSVYHPYIQPQGVPPAESRYLRTWLEPAPRGEAAPGQGQAAVKAEPLLGAPGELLKQGTPEYSLETSAGREAVLSNQRPGYGDNKICEGSEDKER
P32432 1 517 0.638682591876208 Transcription factor SFP1; Split finger protein 1 683 0 33 517 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32432 1 SwissProt MDFTTMTMASNMATSTTTTATSAHASINSSSNFNIDIDSNQNTPSILINNNSDSSNGKNTDFNGVNNIHQKNIMNNTNNVHLYSPNIMDQTLLTPQDIAKLRRESIAHSQGMGGVSWGSISVGSWLRDEIISRRNSIVPASANGAASAAASATTTATNTLQIQQPTKRPSVSNPPYHRGYSISPQIAYTAYLPNLEKQYCKDYSCCGLSLPGLHDLLRHYEEAHISTSPNTTNMSQIPMNSAGNTSSSVRMTNNTSSANYNLQNNMAANTKNAGHKTNTMQAHSSNATNNTSINNMHANLQSNMDSNSTIRQSQHPHHQQNIIQQQLQSNSVNHTSGAVPTPSVMGSATASSTTANPNVISITGAPNSGLSMANHSQQLHLNGNLVDAVSTNDVFLRTSNSPSRHVPHNKQINSNNNSGININNNTSHNSNINMGSKNAMVNRPHTFNNYSLNKTSRNPIQHQSRKIDPHQTDLSPLVLVQDIDLSFMDDDILGPSNHNSMNSVVNPTTGSHNYNTF
P60603 1 79 0.106289873417722 PF10247.9:Romo1:14:79 Reactive oxygen species modulator 1; ROS modulator 1; Epididymis tissue protein Li 175; Glyrichin; Mitochondrial targeting GxxxG motif protein; MTGM; Protein MGR2 homolog 79 66 33 56 1 Homo sapiens (Human) SwissProt::P60602 0 SwissProt MPVAVGPYGQSQPSCFDRVKMGFVMGCAVGMAAGALFGTFSCLRIGMRGRELMGGIGKTMMQSGGTFGTFMAIGMGIRC
Q14432 1 676 0.41857573964497 cGMP-inhibited 3',5'-cyclic phosphodiesterase A; Cyclic GMP-inhibited phosphodiesterase A; CGI-PDE A; EC 3.1.4.17 1141 0 33 566 5 Homo sapiens (Human) SwissProt::Q14432 1 SwissProt MAVPGDAARVRDKPVHSGVSQAPTAGRDCHHRADPASPRDSGCRGCWGDLVLQPLRSSRKLSSALCAGSLSFLLALLVRLVRGEVGCDLEQCKEAAAAEEEEAAPGAEGGVFPGPRGGAPGGGARLSPWLQPSALLFSLLCAFFWMGLYLLRAGVRLPLAVALLAACCGGEALVQIGLGVGEDHLLSLPAAGVVLSCLAAATWLVLRLRLGVLMIALTSAVRTVSLISLERFKVAWRPYLAYLAGVLGILLARYVEQILPQSAEAAPREHLGSQLIAGTKEDIPVFKRRRRSSSVVSAEMSGCSSKSHRRTSLPCIPREQLMGHSEWDHKRGPRGSQSSGTSITVDIAVMGEAHGLITDLLADPSLPPNVCTSLRAVSNLLSTQLTFQAIHKPRVNPVTSLSENYTCSDSEESSEKDKLAIPKRLRRSLPPGLLRRVSSTWTTTTSATGLPTLEPAPVRRDRSTSIKLQEAPSSSPDSWNNPVMMTLTKSRSFTSSYAISAANHVKAKKQSRPGALAKISPLSSPCSSPLQGTPASSLVSKISAVQFPESADTTAKQSLGSHRALTYTQSAPDLSPQILTPPVICSSCGRPYSQGNPADEPLERSGVATRTPSRTDDTAQVTSDYETNNNSDSSDIVQNEDETECLREPLRKASACSTYAPETMMFLDKPILAPEP
Q62277 1 314 0.252092356687898 PF01284.23:MARVEL:22:222 Synaptophysin; BM89 antigen; Major synaptic vesicle protein p38 314 201 33 248 3 Mus musculus (Mouse) SwissProt::Q62277 1 SwissProt MLLLADMDVVNQLVAGGQFRVVKEPLGFVKVLQWVFAIFAFATCGSYTGELRLSVECANKTESALNIEVEFEYPFRLHQVYFDAPSCVKGGTTKIFLVGDYSSSAEFFVTVAVFAFLYSMGALATYIFLQNKYRENNKGPMMDFLATAVFAFMWLVSSSAWAKGLSDVKMATDPENIIKEMPMCRQTGNTCKELRDPVTSGLNTSVVFGFLNLVLWVGNLWFVFKETGWAAPFMRAPPGAPEKQPAPGDAYGDAGYGQGPGGYGPQDSYGPQGGYQPDYGQPASGGGGGYGPQGDYGQQGYGQQGAPTSFSNQM 1
SwissProt::Q5ANJ4 246 743 0.407009036144579 Transcription activator TEC1 743 0 33 498 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5ANJ4 1 SwissProt KNLGQKLDIIQLINDGPIFNSHEEQLESTKKFEDVFSKINLNKSLGFSDSMKRKSDSMPMHLPATKRIRRKHSGNPLNKIKFSNFFMSVNDQYGMNPIVLTIQQNGNDVKSLKLKDNANISSRFPGLSDFKSCPHIPIIHNMVKILLPQLPESYSIDDGFSSSYALKYEEPENASPTHTSIISSSRTYSLFTCVYSYGKEIVKFDEDGIQLNQDREFIPGFWKFFFSTFGDQSEGGLSAAFKGVTIKQILYESSPDSVKKEQDASKVNKSKVKLVLLWEFAKVSECKDALTTTTKLVLPPRASASSSKTTEEVFEYSEPALNSIGGTPTDTTSPNMDLNNQNLSAAATSIPGIRDTIHSASMPDINELPSSAKPQVRLQKTFQSMQHLQPHQMWQQQQQQQPSQGAYTSSVASQSLNTSLSSPYAQYGMPLPQQTIGTFVPPTSQTFGVSYTHNSQHPSANMDLMMLSSMNTGYGNITNNQDYQFGNIGYTEGFTSEF
VIMSS10085600 1 513 0.095466081871345 PF13347.6:MFS_2:39:296 Sucrose transport protein SUC1; Sucrose permease 1; Sucrose-proton symporter 1 513 258 33 247 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39232 0 SwissProt MGAYETEKPTKDAAALETQSPEDFDQPSPLRKIISVASIAAGVQFGWALQLSLLTPYVQLLGIPHKWSSLIWLCGPVSGMIVQPIVGFHSDRCRSKFGRRRPFIATGAALVAVAVFLIGYAADFGYKMGDKLEEKVKVRAIGIFALGFWILDVANNTLQGPCRAFLADLAAGDAKRTRVANAFFSFFMAVGNVLGYAAGSYTNLHKMFPFTMTKACDIYCANLKTCFFLSITLLLIVTVTSLWYVNDKQWSPPPRNADDDEKTSSVPLFGEIFGAFKVMKRPMWMLLIVTALNWIAWFPFLLFDTDWMGREVFGGDSDGNERSKKLYSLGVQSGAMGLMFNSIVLGFMSLGVEWIGRKLGGAKRLWGIVNFILAAGLAMTVLVTKFAEDHRKTAGDLAGPSASVKAGALSLFAVLGIPLAITFSTPFALASIFSSCSGAGQGLSLGVLNLAIVIPQMIVSLGGGPFDALFGGGNLPAFIVAAIAAAISGVLALTVLPSPPPDAPKATTMGGFH
VIMSS10095778 1 499 0.262901803607214 PF01619.18:Pro_dh:154:475 Proline dehydrogenase 1, mitochondrial; Osmotic stress-induced proline dehydrogenase; Proline oxidase; Protein EARLY RESPONSIVE TO DEHYDRATION 5; EC 1.5.5.2 499 322 33 499 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92983 1 SwissProt MATRLLRTNFIRRSYRLPAFSPVGPPTVTASTAVVPEILSFGQQAPEPPLHHPKPTEQSHDGLDLSDQARLFSSIPTSDLLRSTAVLHAAAIGPMVDLGTWVMSSKLMDASVTRGMVLGLVKSTFYDHFCAGEDADAAAERVRSVYEATGLKGMLVYGVEHADDAVSCDDNMQQFIRTIEAAKSLPTSHFSSVVVKITAICPISLLKRVSDLLRWEYKSPNFKLSWKLKSFPVFSESSPLYHTNSEPEPLTAEEERELEAAHGRIQEICRKCQESNVPLLIDAEDTILQPAIDYMAYSSAIMFNADKDRPIVYNTIQAYLRDAGERLHLAVQNAEKENVPMGFKLVRGAYMSSEASLADSLGCKSPVHDTIQDTHSCYNDCMTFLMEKASNGSGFGVVLATHNADSGRLASRKASDLGIDKQNGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPFGPVATAIPYLLRRAYENRGMMATGAHDRQLMRMELKRRLIAGIA
VIMSS10105538 1 355 0.406136338028169 PF06203.14:CCT:286:328,PF00643.24:zf-B_box:52:97 Zinc finger protein CONSTANS-LIKE 1 355 89 33 355 0 Arabidopsis thaliana O50055 1 SwissProt/TReMBL MLKVESNWAQACDTCRSAACTVYCRADSAYLCSSCDAQVHAANRLASRHERVRVCQSCERAPAAFFCKADAASLCTTCDSEIHSANPLARRHQRVPILPISEYSYSSTATNHSCETTVTDPENRLVLGQEEEDEDEAEAASWLLPNSGKNSGNNNGFSIGDEFLNLVDYSSSDKQFTDQSNQYQLDCNVPQRSYGEDGVVPLQIEVSKGMYQEQQNFQLSINCGSWGALRSSNGSLSHMVNVSSMDLGVVPESTTSDATVSNPRSPKAVTDQPPYPPAQMLSPRDREARVLRYREKKKMRKFEKTIRYASRKAYAEKRPRIKGRFAKKKDVDEEANQAFSTMITFDTGYGIVPSF
VIMSS10110296 1 620 0.308211129032258 PF07227.11:PHD_Oberon:130:238,PF00041.21:fn3:318:402 Protein VERNALIZATION INSENSITIVE 3 620 194 33 620 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FIE3 1 SwissProt MQAASLSKIWRFDGNVGPENMDSSSFEDNECIETCKPNVLNVSERRELIHALSNQPEEASELLNSWSRNEIMKIICAEMGKERKYTGLNKPKLIENLLNLVSRPLGETSCSDRRNSRKKEKKMIGYIICCENLACRAALGCDDTFCRRCSCCICQKFDDNKDPSLWLTCDACGSSCHLECGLKQDRYGIGSDDLDGRFYCAYCGKDNDLLGCWRKQVKVAKETRRVDVLCYRLSLGQKLLRGTTKYRNLLELMDEAVKKLEGDVGPLSGWAMKMARGIVNRLSSGVHVQKLCSQAMEALDKVVSPSESVSGQGDKMTVRVEEIQARSVTVRVDSEEPSSSTQNKITGFRLFCRKSKDEECSSQGNCVVYLPETTSAIQGLEPDTEFCLRVVSFNEEGDLDESELRFTTLKDDGDEAGDQQSPLTNSSSGLCSNPSLPEDESNNVNKSCSKGNGDKDNTEHCSAGEVESELEEERLVKRKANKIDGRDLLVTPCKRDIYKGKQGGNKRFKSRTVSLNEKPEINNAANGVGDKDLGHIVKTIRCLEEEGHIDKSFRERFLTWYSLRATHREVRVVKIFVETFMEDLSSLGQQLVDTFSESILSKRSSTNGVVPAGICLKLWH
VIMSS10110746 117 366 0.5165012 Transcription factor MYB28; Myb-related protein 28; AtMYB28; Protein HIGH ALIPHATIC GLUCOSINOLATE 1; Protein PRODUCTION OF METHIONINE-DERIVED GLUCOSINOLATE 1 366 0 33 250 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SPG2 1 SwissProt MEQGIDPVTHKPLASSSNPTVDENLNSPNASSSDKQYSRSSSMPFLSRPPPSSCNMVSKVSELSSNDGTPIQGSSLSCKKRFKKSSSTSRLLNKVAAKATSIKDILSASMEGSLSATTISHASFFNGFTEQIRNEEDSSNTSLTNTLAEFDPFSPSSLYPEHEINATSDLNMDQDYDFSQFFEKFGGDNHNEENSMNDLLMSDVSQEVSSTSVDDQDNMVGNFEGWSNYLLDHTNFMYDTDSDSLEKHFI
VIMSS109664 1 395 0.0380946835443038 PF07690.16:MFS_1:14:346 Probable nitrate/nitrite transporter NarK2 395 333 33 133 12 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WJY6 0 SwissProt MRGQAANLVLATWISVVNFWAWNLIGPLSTSYARDMSLSSAEASLLVATPILVGALGRIVTGPLTDRFGGRAMLIAVTLASILPVLAVGVAATMGSYALLVFFGLFLGVAGTIFAVGIPFANNWYQPARRGFSTGVFGMGMVGTALSAFFTPRFVRWFGLFTTHAIVAAALASTAVVAMVVLRDAPYFRPNADPVLPRLKAAARLPVTWEMSFLYAIVFGGFVAFSNYLPTYITTIYGFSTVDAGARTAGFALAAVLARPVGGWLSDRIAPRHVVLASLAGTALLAFAAALQPPPEVWSAATFITLAVCLGVGTGGVFAWVARRAPAASVGSVTGIVAAAGGLGGYFPPLVMGATYDPVDNDYTVGLLLLVATALVACTYTALHAREPVSEEASR
VIMSS1936191 182 368 0.805943315508021 PPE family immunomodulator PPE68 368 0 33 187 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WHW9 1 SwissProt TTNPIFGMPSPGSSTPVGQLPPAATQTLGQLGEMSGPMQQLTQPLQQVTSLFSQVGGTGGGNPADEEAAQMGLLGTSPLSNHPLAGGSGPSAGAGLLRAESLPGAGGSLTRTPLMSQLIEKPVAPSVMPAAAAGSSATGGAAPVGAGAMGQGAQSGGSTRPGLVAPAPLAQEREEDDEDDWDEEDDW
VIMSS6580728 275 1080 0.677645161290322 PF00624.18:Flocculin:2:41,PF00624.18:Flocculin:48:86,PF00624.18:Flocculin:93:131,PF00624.18:Flocculin:139:176,PF00624.18:Flocculin:184:221,PF00624.18:Flocculin:229:266,PF00624.18:Flocculin:274:311,PF00624.18:Flocculin:318:356,PF00624.18:Flocculin:363:401,PF00624.18:Flocculin:409:446,PF00624.18:Flocculin:454:491,PF00624.18:Flocculin:498:536,PF00624.18:Flocculin:543:581,PF00624.18:Flocculin:588:626,PF00624.18:Flocculin:633:671,PF00624.18:Flocculin:678:716,PF00624.18:Flocculin:723:761,PF00624.18:Flocculin:768:806 Flocculation protein FLO1; Flocculin-1 1537 697 33 806 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32768 1 SwissProt TTTTTTEPWTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTTASTIITTTEPWNSTFTSTSTELTTVTGTNGVRTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEITTVTGTNGLPTDETIIVIRTPTTATTAMTTPQPWNDTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAITTTQPWNDTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEITTVTGTTGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVTGTNGQPTDETVIVIRTPTSEGLVTTTTEPWTGTFTSTSTEMTTITGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTITGTNGQPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTHVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEVTTITGTNGQPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVTGTNGQPTDETVIVIRTPTSEGLVTTTTEPWTGTFTSTSTEMSTVTGTNGLPTDETVIVVKTP
VIMSS6582473 1 300 0.466519333333333 PF08550.10:DUF1752:58:85 Transcriptional regulatory protein GAT1 510 28 33 300 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43574 1 SwissProt MHVFFPLLFRPSPVLFIACAYIYIDIYIHCTRCTVVNITMSTNRVPNLDPDLNLNKEIWDLYSSAQKILPDSNRILNLSWRLHNRTSFHRINRIMQHSNSIMDFSASPFASGVNAAGPGNNDLDDTDTDNQQFFLSDMNLNGSSVFENVFDDDDDDDDVETHSIVHSDLLNDMDSASQRASHNASGFPNFLDTSCSSSFDDHFIFTNNLPFLNNNSINNNHSHNSSHNNNSPSIANNTNANTNTNTSASTNTNSPLLRRNPSPSIVKPGSRRNSSVRKKKPALKKIKSSTSVQSSATPPS
VIMSS6582809 1 467 0.634489293361883 Pumilio homology domain family member 4 888 0 33 467 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25339 1 SwissProt MSTKGLKEEIDDVPSVDPVVSETVNSALEQLQLDDPEENATSNAFANKVSQDSQFANGPPSQMFPHPQMMGGMGFMPYSQMMQVPHNPCPFFPPPDFNDPTAPLSSSPLNAGGPPMLFKNDSLPFQMLSSGAAVATQGGQNLNPLINDNSMKVLPIASADPLWTHSNVPGSASVAIEETTATLQESLPSKGRESNNKASSFRRQTFHALSPTDLINAANNVTLSKDFQSDMQNFSKAKKPSVGANNTAKTRTQSISFDNTPSSTSFIPPTNSVSEKLSDFKIETSKEDLINKTAPAKKESPTTYGAAYPYGGPLLQPNPIMPGHPHNISSPIYGIRSPFPNSYEMGAQFQPFSPILNPTSHSLNANSPIPLTQSPIHLAPVLNPSSNSVAFSDMKNDGGKPTTDNDKAGPNVRMDLINPNLGPSMQPFHILPPQQNTPPPPWLYSTPPPFNAMVPPHLLAQNHMPLM
VIMSS6582988 1 869 0.105135558112773 PF04191.13:PEMT:219:321,PF04191.13:PEMT:471:578 Phosphatidylethanolamine N-methyltransferase; PE methyltransferase; PEAMT; PEMT; Choline-requiring protein 2; EC 2.1.1.17 869 211 33 692 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P05374 1 SwissProt MSSCKTTLSEMVGSVTKDRGTINVEARTRSSNVTFKPPVTHDMVRSLFDPTLKKSLLEKCIALAIISNFFICYWVFQRFGLQFTKYFFLVQYLFWRIAYNLGIGLVLHYQSHYETLTNCAKTHAIFSKIPQNKDANSNFSTNSNSFSEKFWNFIRKFCQYEIRSKMPKEYDLFAYPEEINVWLIFRQFVDLILMQDFVTYIIYVYLSIPYSWVQIFNWRSLLGVILILFNIWVKLDAHRVVKDYAWYWGDFFFLEESELIFDGVFNISPHPMYSIGYLGYYGLSLICNDYKVLLVSVFGHYSQFLFLKYVENPHIERTYGDGTDSDSQMNSRIDDLISKENYDYSRPLINMGLSFNNFNKLRFTDYFTIGTVAALMLGTIMNARFINLNYLFITVFVTKLVSWLFISTILYKQSQSKWFTRLFLENGYTQVYSYEQWQFIYNYYLVLTYTLMIIHTGLQIWSNFSNINNSQLIFGLILVALQTWCDKETRLAISDFGWFYGDFFLSNYISTRKLTSQGIYRYLNHPEAVLGVVGVWGTVLMTNFAVTNIILAVLWTLTNFILVKFIETPHVNKIYGKTKRVSGVGKTLLGLKPLRQVSDIVNRIENIIIKSLVDESKNSNGGAELLPKNYQDNKEWNILIQEAMDSVATRLSPYCELKIENEQVETNFVLPTPVTLNWKMPIELYNGDDWIGLYKVIDTRADREKTRVGSGGHWSATSKDSYMNHGLRHKESVTEIKATEKYVQGKVTFDTSLLYFENGIYEFRYHSGNSHKVLLISTPFEISLPVLNTTTPELFEKDLTEFLTKVNVLKDGKFRPLGNKFFGMDSLKQLIKNSIGVELSSEYMRRVNGDAHVISHRAWDIKQTLDSLA 3
VIMSS6583844 1 423 0.304772340425532 PF00787.24:PX:26:152 Sorting nexin-4; Autophagy-related protein 24; Cytoplasm to vacuole targeting protein 13 423 127 33 423 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47057 1 SwissProt MTDKGKNDLTSKAKDKARGNPEKPPYWFEIIVSDPQKRTGDPGSSSGYVSYQISTKTNNTSFYDNRGDPESIIVVHRRYSDLLLLHDILLNRFPTCIIPPLPDKKVFQYIAGDRFSQRFTQKRCHSLQNFLRRVSLHPDLSQSKVFKTFLVSKDWESHRKVLQDSLQPNKDEVTDAFMNAFKTVHKQNEEFTEIREKSDKLDRTVTKIDKLFHKVVKKNDSMSEDYTKLGSNLQELQELVTGENEELAAKLKIFNEGVTQLSYGLQDLTKYLDYEYIVDLKDLEHYIDSMRQLIKLKDQKQIDYEELSDYLTRSIKEKNNLISGYGGSNFFANKLEELAGINQEASRREKINKLEGKITSLTGELENAKKVADGFEQECLKEIDHFESVKTAEIKKSLGSLADHHIEFYERILEAWEKVDDSL
VIMSS6584407 1 586 0.156194709897611 PF07690.16:MFS_1:157:533,PF00083.24:Sugar_tr:186:413 Polyamine transporter 1 586 377 33 322 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07824 1 SwissProt MSDHSPISNKENHLLPSDSSRSSSSDMHSTGTTGTTGVEPVDFTGEGAKYTTATEGNGGADLAIQRTTTMNSAAESEVNITRRLTKILTGSVNEPDRVEVDYTNCAPMGGDRPYPPSLPSRDLYEVTFDGPNDPLHPFNWPMKKKVLLCLVLCLDSIAIAMCSSIFASAVPQICEIYHVIEVVAILGITLFVLGFAASPVIYAPLSELYGRKGVLVLSAFGFALFQFAVATAENLQTIFICRFFGGFIGAAPMAVVPAAFADMFDTNVRGKAIALFSLGVFVGPILSPVMGSYIAQRTTWRWLEYVVGCFASAVFVAIVLFFEETHHPTILVNKAKQMRKQSNNWGIHAAHEDVELSIKDIVQKTVTRPIIMLFVEPLLLFVTIYNSFVYGILYLLLEAYPLVFVEGYGFTENGELPYIALIIGMMVCAAFIWYMDNDYLKRCRAKGKLVPEARLYAMVIAGTVFPIGILWFCWTGYYPHKIHWMVPTVGGAFIGFGLMGIFLPCLNYIIESYLLLAASAVAANTFMRSAFGACFPLFAGYMFRGMGIGWAGLLLGLFAAAMIPVPLLFLKYGESIRKKSKYAYAA
VIMSS6586498 1 612 0.370341830065359 PF04139.13:Rad9:18:67,PF04139.13:Rad9:228:374 DNA damage checkpoint protein 1 612 197 33 612 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08949 1 SwissProt MSFKATITESGKQNIWFRAIYVLSTIQDDIKITVTTNELIAWSMNETDTTLCQVRFQKSFFEEYEFKPHEIVFGENGVQVIEDTYGNSHKLYSFRVNGRHLTTISRKPDGDGIKSFTIAVNNTSTCPESLANRLIVVIEMDSLIVKEYCPQFQPIKYDPIIINLKYKRRFLDVFGTAASDRNPQEPLDPKLLDVFTNTERELTSALFNEEVESDIRKRNQLTAADEINYICCNSTLLKNFLDNCNVNVTDEVKLEINVHRLSITAFTKAVYGKNNDLLRNALSMSNTISTLDLEHYCLFTTIEDEKQDKRSHSKRREHMKSIIFKLKDFKNFITIGPSWKTTQDGNDNISLWFCHPGDPILMQMQKPGVKLELVEVTDSNINDDILEGKFIKTAISGSKEEAGLKDNKESCESPLKSKTALKRENLPHSVAGTRNSPLKVSYLTPDNGSTVAKTYRNNTARKLFVEEQSQSTNYEQDKRFRQASSVHMNMNREQSFDIGTTHEVACPRNESNSLKRSIADICNETEDPTQQSTFAKRADTTVTWGKALPAADDEVSCSNIDRKGMLKKEKLKHMQGLLNSQNDTSNHKKQDNKEMEDGLGLTQVEKPRGIFD
XP_005220626.1 1 173 0.103479768786127 PF01145.25:Band_7:29:172 Prohibitin 272 144 33 173 0 Homo sapiens (Human) SwissProt::P35232 1 SwissProt MAAKVFESIGKFGLALAVAGGVVNSALYNVDAGHRAVIFDRFRGVQDIVVGEGTHFLIPWVQKPIIFDCRSRPRNVPVITGSKDLQNVNITLRILFRPVASQLPRIFTSIGEDYDERVLPSITTEILKSVVARFDAGELITQRELVSRQVSDDLTERAATFGLILDDVSLTHL
XP_005264506.2 370 4524 0.284898989169674 PF12356.8:BIRC6:3121:3276 baculoviral IAP repeat-containing protein 6 isoform X1 4884 156 33 4155 0 Homo sapiens XP_005264506.2 1 RefSeq VTLATSPAQFPCTDGTDRISCFGSGSCPHFLAAATKRGKICIWDVSKLMKVHLKFEINAYDPAIVQQLILSGDPSSGVDSRRPTLAWLEDSSSCSDIPKLEGDSDDLLEDSDSEEHSRSDSVTGHTSQKEAMEVSLDITALSILQQPEKLQWEIVANVLEDTVKDLEELGANPCLTNSKSEKTKEKHQEQHNIPFPCLLAGGLLTYKSPATSPISSNSHRSLDGLSRTQGESISEQGSTDNESCTNSELNSPLVRRTLPVLLLYSIKESDEKAGKIFSQMNNIMSKSLHDDGFTVPQIIEMELDSQEQLLLQDPPVTYIQQFADAAANLTSPDSEKWNSVFPKPGTLVQCLRLPKFAEEENLCIDSITPCADGIHLLVGLRTCPVESLSAINQVEALNNLNKLNSALCNRRKGELESNLAVVNGANISVIQHESPADVQTPLIIQPEQRNVSGGYLVLYKMNYATRIVTLEEEPIKIQHIKDPQDTITSLILLPPDILDNREDDCEEPIEDMQLTSKNGFEREKTSDISTLGHLVITTQGGYVKILDLSNFEILAKVEPPKKEGTEEQDTFVSVIYCSGTDRLCACTKGGELHFLQIGGTCDDIDEADILVDGSLSKGIEPSSEGSKPLSNPSSPGISGVDLLVDQPFTLEILTSLVELTRFETLTPRFSATVPPCWVEVQQEQQQRRHPQHLHQQHHGDAAQHTRTWKLQTDSNSWDEHVFELVLPKACMVGHVDFKFVLNSNITNIPQIQVTLLKNKAPGLGKVNETAVDRQITFPLSPALNIEVEQNGKPSLVDLNEEMQHMDVEESQCLRLCPFLEDHKEDILCGPVWLASGLDLSGHAGMLTLTSPKLVKGMAGGKYRSFLIHVKAVNERGTEEICNGGMRPVVRLPSLKHQSNKGYSLASLLAKVAAGKEKSSNVKNENTSGTRKSENLRGCDLLQEVSVTIRRFKKTSISKERVQRCAMLQFSEFHEKLLNTLCRKTDDGQITEHAQSLVLDTLCWLAGVHSNGPGSSKEGNENLLSKTRKFLSDIVRVCFFEAGRSIAHKCARFLALCISNGKCDPCQPAFGPVLLKALLDNMSFLPAATTGGSVYWYFVLLNYVKDEDLAGCSTACASLLTAVSRQLQDRLTPMEALLQTRYGLYSSPFDPVLFDLEMSGSSCKNVYNSSIGVQSDEIDLSDVLSGNGKVSSCTAAEGSFTSLTGLLEVEPLHFTCVSTSDGTRIERDDASTFTVSSFGVTPAVGGLSSGTVGEASTALSSAAQVALQSLSHAMASAEQQLQVLQEKQQQLLKLQQQKAKLEAKLHQTTAAAAAAASAVGPVHNSVPSNPVAAPGFFIHPSDVIPPTPKTTPLFMTPPLTPPNEAVSVVINAELAQLFPGSVIDPPAVNLAAHNKNSNKSRMNPLGSGLALAISHASHFLQPPPHQSIIIERMHSGARRFVTLDFGRPILLTDVLIPTCGDLASLSIDIWTLGEEVDGRRLVVATDISTHSLILHDLIPPPVCRFMKITVIGRYGSTNARAKIPLGFYYGHTYILPWESELKLMHDPLKGEGESANQPEIDQHLAMMVALQEDIQCRYNLACHRLETLLQSIDLPPLNSANNAQYFLRKPDKAVEEDSRVFSAYQDCIQLQLQLNLAHNAVQRLKVALGASRKMLSETSNPEDLIQTSSTEQLRTIIRYLLDTLLSLLHASNGHSVPAVLQSTFHAQACEELFKHLCISGTPKIRLHTGLLLVQLCGGERWWGQFLSNVLQELYNSEQLLIFPQDRVFMLLSCIGQRSLSNSGVLESLLNLLDNLLSPLQPQLPMHRRTEGVLDIPMISWVVMLVSRLLDYVATVEDEAAAAKKPLNGKDRERFLTGNQWSFINNNLHTQSLNRSSKGSSSLDRLYSRKIRKQLVHHKQQLNLLKAKQKALVEQMEKEKIQSNKGSSYKLLVEQAKLKQATSKHFKDLIRLRRTAEWSRSNLDTEVTTAKESPEIEPLPFTLAHERCISVVQKLVLFLLSMDFTCHADLLLFVCKVLARIANATRPTIHLCEIVNEPQLERLLLLLVGTDFNRGDISWGGAWAQYSLTCMLQDILAGELLAPVAAEAMEEGTVGDDVGATAGDSDDSLQQSSVQLLETIDEPLTHDITGAPPLSSLEKDKEIDLELLQDLMEVDIDPLDIDLEKDPLAAKVFKPISSTWYDYWGADYGTYNYNPYIGGLGIPVAKPPANTEKNGSQTVSVSVSQALDARLEVGLEQQAELMLKMMSTLEADSILQALTNTSPTLSQSPTGTDDSLLGGLQAANQTSQLIIQLSSVPMLNVCFNKLFSMLQVHHVQLESLLQLWLTLSLNSSSTGNKENGADIFLYNANRIPVISLNQASITSFLTVLAWYPNTLLRTWCLVLHSLTLMTNMQLNSGSSSAIGTQESTAHLLVSDPNLIHVLVKFLSGTSPHGTNQHSPQVGPTATQAMQEFLTRLQVHLSSTCPQIFSEFLLKLIHILSTERGAFQTGQGPLDAQVKLLEFTLEQNFEVVSVSTISAVIESVTFLVHHYITCSDKVMSRSGSDSSVGARACFGGLFANLIRPGDAKAVCGEMTRDQLMFDLLKLVNILVQLPLSGNREYSARVSVTTNTTDSVSDEEKVSGGKDGNGSSTSVQGSPAYVADLVLANQQIMSQILSALGLCNSSAMAMIIGASGLHLTKHENFHGGLDAISVGDGLFTILTTLSKKASTVHMMLQPILTYMACGYMGRQGSLATCQLSEPLLWFILRVLDTSDALKAFHDMGGVQLICNNMVTSTRAIVNTARSMVSTIMKFLDSGPNKAVDSTLKTRILASEPDNAEGIHNFAPLGTITSSSPTAQPAEVLLQATPPHRRARSAAWSYIFLPEEAWCDLTIHLPAAVLLKEIHIQPHLASLATCPSSVSVEVSADGVNMLPLSTPVVTSGLTYIKIQLVKAEVASAVCLRLHRPRDASTLGLSQIKLLGLTAFGTTSSATVNNPFLPSEDQVSKTSIGWLRLLHHCLTHISDLEGMMASAAAPTANLLQTCAALLMSPYCGMHSPNIEVVLVKIGLQSTRIGLKLIDILLRNCAASGSDPTDLNSPLLFGRLNGLSSDSTIDILYQLGTTQDPGTKDRIQALLKWVSDSARVAAMKRSGRMNYMCPNSSTVEYGLLMPSPSHLHCVAAILWHSYELLVEYDLPALLDQELFELLFNWSMSLPCNMVLKKAVDSLLCSMCHVHPNYFSLLMGWMGITPPPVQCHHRLSMTDDSKKQDLSSSLTDDSKNAQAPLALTESHLATLASSSQSPEAIKQLLDSGLPSLLVRSLASFCFSHISSSESIAQSIDISQDKLRRHHVPQQCNKMPITADLVAPILRFLTEVGNSHIMKDWLGGSEVNPLWTALLFLLCHSGSTSGSHNLGAQQTSARSASLSSAATTGLTTQQRTAIENATVAFFLQCISCHPNNQKLMAQVLCELFQTSPQRGNLPTSGNISGFIRRLFLQLMLEDEKVTMFLQSPCPLYKGRINATSHVIQHPMYGAGHKFRTLHLPVSTTLSDVLDRVSDTPSITAKLISEQKDDKEKKNHEEKEKVKAENGFQDNYSVVVASGLKSQSKRAVSATPPRPPSRRGRTIPDKIGSTSGAEAANKIITVPVFHLFHKLLAGQPLPAEMTLAQLLTLLYDRKLPQGYRSIDLTVKLGSRVITDPSLSKTDSYKRLHPEKDHGDLLASCPEDEALTPGDECMDGILDESLLETCPIQSPLQVFAGMGGLALIAERLPMLYPEVIQQVSAPVVTSTTQEKPKDSDQFEWVTIEQSGELVYEAPETVAAEPPPIKSAVQTMSPIPAHSLAAFGLFLRLPGYAEVLLKERKHAQCLLRLVLGVTDDGEGSHILQSPSANVLPTLPFHVLRSLFSTTPLTTDDGVLLRRMALEIGALHLILVCLSALSHHSPRVPNSSVNQTEPQVSSSHNPTSTEEQQLYWAKGTGFGTGSTASGWDVEQALTKQRLEEEHVTCLLQVLASYINPVSSAVNGEAQSSHETRGQNSNALPSVLLELLSQSCLIPAMSSYLRNDSVLDMARHVPLYRALLELLRAIASCAAMVPLLLPLSTENGEEEEEQSECQTSVGTLLAKMKTCVDTYTNRLRSKRENVKTGVKPDASDQEPEGLTLLVPDIQKTAEIVYAATTSLRQ
XP_006502216.1 81 604 0.675764503816794 PF12885.7:TORC_M:88:242,PF12886.7:TORC_C:446:523 CREB-regulated transcription coactivator 2 isoform X2 604 233 33 524 0 Mus musculus XP_006502216.1 1 RefSeq LAEFQSPLHSPLDSSRSTRHHGLVERVQRDARRMVSPLRRYPRHIDSSPYSPAYLSPPPESGWRRMMPWGNLPAEKGQLFRLPSALNRTSSDSALHTSVMNPNPQDTYPGPTPPSVLPSRRGGGFLDGEMDAKVPAIEENVVDDKHLLKPWDAKKLSSSSSRPRSCEVPGINIFPSPDQPANVPVLPPAMNTGGSLPDLTNLHFPPPLPTPLDPEETVYPSLSGGNSTTNLTHTMTHLGISGGLGLGPSYDVPGLHSPLSHPSLQSSLSNPNLQASLSSPQPQLQGSHSHPSLPASSLAHHALPTTSLGHPSLSAPALSSSSSSSSTSSPVLSAPPYPASTPGASPRHRRVPLSPLSLPAGPADARRSQQQLPKQFSPTMSPTLSSITQLEQFNMESPSTSLVLDPPAFSEGPGFLGSEGSMSGPQDPHVLNHQNLTHCSRHGSGPNIILTGDSSPGFSKEIAAALAGVPGFEVSASGLELGLGLEDELRMEPLGLEGLTMLSDPCALLPDPAVEDSFRSDRLQ
XP_006712862.1 1 112 0.34648125 PF15187.6:Augurin:1:112 augurin isoform X2 112 112 33 112 0 Homo sapiens XP_006712862.1 1 RefSeq MLQKREAPVPTKTKVAVDENKAKEFLGSLKRQKRQLWDRTRPEVQQWYQQFLYMGFDEAKFEDDITYWLNRDRNGHEYYGDYYQRHYDEDSAIGPRSPYGFRHGASVNYDDY
XP_008758465.1 1 413 0.0611501210653753 PF00083.24:Sugar_tr:4:379,PF07690.16:MFS_1:3:338 solute carrier family 22 member 8 isoform X1 413 377 33 211 9 Rattus norvegicus XP_008758465.1 1 RefSeq MAQSIFMAGILVGGPVIGELSDRFGRKPILTWSYLMLAASGSGAAFSPSLPVYMIFRFLCGCSISGISLSTVILNVEWVPTSMRAISSTSIGYCYTIGQFILSGLAYAIPQWRWLQLTSSAPFFIFSLLSWWVPESIRWLVLSGKYSKALKTLQRVATFNGKKEEGKKLTIEELKFNLQKDITSAKVKYGLSDLFRVSILRRVTFCLSLAWFSTGFAYYSLAMGVEEFGVNIYILQIIFGGVDIPAKFITILSLSYLGRRITQSFLLLLAGGAILALIFVPSEMQLLRTALAVFGKGCLSGSFSCLFLYTSELYPTVLRQTGMGISNVWARVGSMIAPLVKITGELQPFIPNVIFGTTALLGGSAAFFLLETLNRPLPETIEDIQNWHKQVKKTKQESEAEKASQIIPLKTGG
XP_011509529.1 2437 6139 0.294254982446664 PF00880.18:Nebulin:60:87,PF00880.18:Nebulin:103:124,PF00880.18:Nebulin:134:162,PF00880.18:Nebulin:203:228,PF00880.18:Nebulin:268:295,PF00880.18:Nebulin:303:330,PF00880.18:Nebulin:339:365,PF00880.18:Nebulin:377:405,PF00880.18:Nebulin:446:471,PF00880.18:Nebulin:511:539,PF00880.18:Nebulin:585:610,PF00880.18:Nebulin:754:781,PF00880.18:Nebulin:789:816,PF00880.18:Nebulin:825:851,PF00880.18:Nebulin:863:891,PF00880.18:Nebulin:932:957,PF00880.18:Nebulin:997:1025,PF00880.18:Nebulin:1071:1096,PF00880.18:Nebulin:1240:1267,PF00880.18:Nebulin:1275:1302,PF00880.18:Nebulin:1311:1337,PF00880.18:Nebulin:1349:1377,PF00880.18:Nebulin:1418:1443,PF00880.18:Nebulin:1483:1511,PF00880.18:Nebulin:1557:1582,PF00880.18:Nebulin:1661:1686,PF00880.18:Nebulin:1726:1754,PF00880.18:Nebulin:1761:1789,PF00880.18:Nebulin:1796:1824,PF00880.18:Nebulin:1903:1928,PF00880.18:Nebulin:2076:2104,PF00880.18:Nebulin:2212:2240,PF00880.18:Nebulin:2422:2447,PF00880.18:Nebulin:2457:2484,PF00880.18:Nebulin:2667:2688,PF00880.18:Nebulin:3054:3082,PF00880.18:Nebulin:3124:3152,PF00880.18:Nebulin:3159:3186,PF00880.18:Nebulin:3231:3256,PF00880.18:Nebulin:3264:3291,PF00880.18:Nebulin:3300:3327,PF00880.18:Nebulin:3480:3505,PF00880.18:Nebulin:3585:3611,PF00880.18:Nebulin:3659:3683 nebulin isoform X36 7067 1203 33 3703 0 Homo sapiens XP_011509529.1 1 RefSeq RAGEILSDRKYRQPADQLKFTCITDTPEIVLAKNNALTMSKHLYTEAWDADKTSIHVMPDTPDILLAKSNSANISQKLYTKGWDESKMKDYDLRADAISIKSAKASRDIASDYKYKEAYEKQKGHHIGAQSIEDDPKIMCAIHAGKIQSEREYKKEFQKWKTKFSSPVDMLSILLAKKCQTLVTDIDYRNYLHEWTCMPDQNDIIQAKKAYDLQSDSVYKADLEWLRGIGWMPEGSVEMNRVKVAQDLVNERLYRTRPEALSFTSIVDTPEVVLAKANSLQISEKLYQEAWNKDKSNITIPSDTPEMLQAHINALQISNKLYQKDWNDAKQKGYDIRADAIEIKHAKASREIASEYKYKEGYRKQLGHHMGFRTLQDDPKSVWAIHAAKIQSDREYKKAYEKSKGIHNTPLDMMSIVQAKKCQVLVSDIDYRNYLHQWTCLPDQNDVIQAKKAYDLQSDNLYKSDLEWLKGIGWLPEGSVEVMRVKNAQNLLNERLYRIKPEALKFTSIVDTPEVIQAKINAVQISEPLYRDAWEKEKANVNVPADTPLMLQSKINALQISNKRYQQAWEDVKMTGYDLRADAIGIQHAKASRDIASDYLYKTAYEKQKGHYIGCRSAKEDPKLVWAANVLKMQNDRLYKKAYNDHKAKISIPVDMVSISAAKEGQALASDVDYRHYLHHWSCFPDQNDVIQARKAYDLQSDSVYKADLEWLRGIGWMPEGSVEMNRVKVAQDLVNERLYRTRPEALSFTSIVDTPEVVLAKANSLQISEKLYQEAWNKDKSNITIPSDTPEMLQAHINALQISNKLYQKDWNDTKQKGYDIRADAIEIKHAKASREIASEYKYKEGYRKQLGHHMGFRTLQDDPKSVWAIHAAKIQSDREYKKAYEKSKGIHNTPLDMMSIVQAKKCQVLVSDIDYRNYLHQWTCLPDQNDVIQAKKAYDLQSDNLYKSDLEWLKGIGWLPEGSVEVMRVKNAQNLLNERLYRIKPEALKFTSIVDTPEVIQAKINAVQISEPLYRNAWEKEKANVNVPADTPLMLQSKINALQISNKRYQQAWEDVKMTGYDLRADAIGIQHAKASRDIASDYLYKTAYEKQKGHYIGCRSAKEDPKLVWAANVLKMQNDRLYKKAYNDHKAKISIPVDMVSISAAKEGQALASDVDYRHYLHHWSCFPDQNDVIQARKAYDLQSDSVYKADLEWLRGIGWMPEGSVEMNRVKVAQDLVNERLYRTRPEALSFTSIVDTPEVVLAKANSLQISEKLYQEAWNKDKSNITIPSDTPEMLQAHINALQISNKLYQKDWNDTKQKGYDIRADAIEIKHAKASREIASEYKYKEGYRKQLGHHMGFRTLQDDPKSVWAIHAAKIQSDREYKKAYEKSKGIHNTPLDMMSIVQAKKCQVLVSDIDYRNYLHQWTCLPDQNDVIQAKKAYDLQSDNLYKSDLEWLKGIGWLPEGSVEVMRVKNAQNLLNERLYRIKPEALKFTSIVDTPEVIQAKINAVQISEPLYRDAWEKEKANVNVPADTPLMLQSKINALQISNKRYQQAWEDVKMTGYDLRADAIGIQHAKASRDIASDYLYKTAYEKQKGHYIGCRSAKEDPKLVWAANVLKMQNDRLYKKAYNDHKAKISIPVDMVSISAAKEGQALASDVDYRHYLHRWSCFPDQNDVIQARKAYDLQSDALYKADLEWLRGIGWMPQGSPEVLRVKNAQNIFCDSVYRTPVVNLKYTSIVDTPEVVLAKSNAENISIPKYREVWDKDKTSIHIMPDTPEINLARANALNVSNKLYREGWDEMKAGCDVRLDAIPIQAAKASREIASDYKYKLDHEKQKGHYVGTLTARDDNKIRWALIADKLQNEREYRLDWAKWKAKIQSPVDMLSILHSKNSQALVSDMDYRNYLHQWTCMPDQNDVIQAKKAYELQSDNVYKADLEWLRGIGWMPNDSVSVNHAKHAADIFSEKKYRTKIETLNFTPVDDRVDYVTAKQSGEILDDIKYRKDWNATKSKYTLTETPLLHTAQEAARILDQYLYKEGWERQKATGYILPPDAVPFVHAHHCNDVQSELKYKAEHVKQKGHYVGVPTMRDDPKLVWFEHAGQIQNERLYKEDYHKTKAKINIPADMVSVLAAKQGQTLVSDIDYRNYLHQWMCHPDQNDVIQARKAYDLQSDNVYRADLEWLRGIGWIPLDSVDHVRVTKNQEMMSQIKYKKNALENYPNFRSVVDPPEIVLAKINSVNQSDVKYKETFNKAKGKYTFSPDTPHISHSKDMGKLYSTILYKGAWEGTKAYGYTLDERYIPIVGAKHADLVNSELKYKETYEKQKGHYLAGKVIGEFPGVVHCLDFQKMRSALNYRKHYEDTKANVHIPNDMMNHVLAKRCQYILSDLEYRHYFHQWTSLLEEPNVIRVRNAQEILSDNVYKDDLNWLKGIGCYVWDTPQILHAKKSYDLQSQLQYTAAGKENLQNYNLVTDTPLYVTAVQSGINASEVKYKENYHQIKDKYTTVLETVDYDRTRNLKNLYSSNLYKEAWDRVKATSYILPSSTLSLTHAKNQKHLASHIKYREEYEKFKALYTLPRSVDDDPNTARCLRVGKLNIDRLYRSVYEKNKMKIHIVPDMVEMVTAKDSQKKVSEIDYRLRLHEWICHPDLQVNDHVRKVTDQISDIVYKDDLNWLKGIGCYVWDTPEILHAKHAYDLRDDIKYKAHMLKTRNDYKLVTDTPVYVQAVKSGKQLSDAVYHYDYVHSVRGKVAPTTKTVDLDRALHAYKLQSSNLYKTSLRTLPTGYRLPGDTPHFKHIKDTRYMSSYFKYKEAYEHTKAYGYTLGPKDVPFVHVRRVNNVTSERLYRELYHKLKDKIHTTPDTPEIRQVKKTQEAVSELIYKSDFFKMQGHMISLPYTPQVIHCRYVGDITSDIKYKEDLQVLKGFGCFLYDTPDMVRSRHLRKLWSNYLYTDKARKMRDKYKVVLDTPEYRKVQELKTHLSELVYRAAGKKQKSIFTSVPDTPDLLRAKRGQKLQSQYLYVELATKERPHHHAGNQTTALKHAKDVKDMVSEKKYKIQYEKMKDKYTPVPDTPILIRAKRAYWNASDLRYKETFQKTKGKYHTVKDALDIVYHRKVTDDISKIKYKENYMSQLGIWRSIPDRPEHFHHRAVTDTVSDVKYKEDLTWLKGIGCYAYDTPDFTLAEKNKTLYSKYKYKEVFERTKSDFKYVADSPINRHFKYATQLMNERKYKSSAKMFLQHGCNEILRPDMLTALYNSHMWSQIKYRKNYEKSKDKFTSIVDTPEHLRTTKVNKQISDILYKLEYNKAKPRGYTTIHDTPMLLHVRKVKDEVSDLKYKEVYQRNKSNCTIEPDAVHIKAAKDAYKVNTNLDYKKQYEANKAHWKWTPDRPDFLQAAKSSLQQSDFEYKLDREFLKGCKLSVTDDKNTVLALRNTLIESDLKYKEKHVKERGTCHAVPDTPQILLAKTVSNLVSENKYKDHVKKHLAQGSYTTLPETRDTVHVKEVTKHVSDTNYKKKFVKEKGKSNYSIMLEPPEVKHAMEVAKKQSDVAYRKDAKENLHYTTVADRPDIKKATQAAKQASEVEYRAKHRKEGSHGLSMLGRPDIEMAKKAAKLSSQVKYRENFDKEKGKTPKYNPKDSQLYKVMKDANNLASEVKYKADLKKLHKPVTDMKESLIMNHVLNTSQLASSYQYKKKYEKSKGHYHTIPDNLEQLHLKEATEL
XP_011532749.1 126 564 0.778666742596811 drebrin isoform X3 684 0 33 439 0 Homo sapiens XP_011532749.1 1 RefSeq LARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQFWEQAKKEEELRKEEERKKALDERLRFEQERMEQERQEQEERERRYREREQQIEEHRRKQQTLEAEEAKRRLKEQSIFGDHRDEEEETHMKKSESEVEEAAAIIAQRPDNPREFFKQQERVASASAGSCDVPSPFNHRPGRPYCPFIKASDSGPSSSSSSSSSPPRTPFPYITCHRTPNLSSSLPCSHLDSHRRMAPTPIPTRSPSDSSTASTPVAEQIERALDEVTSSQPPPLPPPPPPAQETQEPSPILDSEETRAAAPQAWAGPMEEPPQAQAPPRGPGSPAEDLMFMESAEQAVLAAPVEPATADATEIHDAADTIETDTATADTTVANNVPPAATSLIDLWPGNGEGASTLQGEPRAPTPPSGTEVTLAEVPLLDEVAPEPLLPAGEGCAT
XP_011542861.1 1 201 0.375308457711443 PF01160.18:Opiods_neuropep:20:65 prepronociceptin isoform X1 201 46 33 201 0 Homo sapiens XP_011542861.1 1 RefSeq MKVLLCDLLLLSLFSSVFSSCQRDCLTCQEKLHPALDSFDLEVCILECEEKVFPSPLWTPCTKVMARSSWQLSPAAPEHVAAALYQPRASEMQHLRRMPRVRSLFQEQEEPEPGMEEAGEMEQKQLQKRFGGFTGARKSARKLANQKRFSEFMRQYLVLSMQSSQRRRTLHQNGVQVIPQTACAQPQTCRLGIRIPSSPRH
XP_016867028.1 462 1702 0.250270185334407 PF00888.22:Cullin:515:1055,PF03256.16:ANAPC10:393:509 cullin-7 isoform X11 1702 658 33 1241 0 Homo sapiens XP_016867028.1 1 RefSeq WRWRPMTELYAVPYVLPEDEDTEECEHLTLAEWWELLFFIKKLDGPDHQEVLQILQENLDGEILDDEILAELAVPIELAQDLLLTLPQRLNDSALRDLINCHVYKKYGPEALAGNQAYPSLLEAQEDVLLLDAQAQAKDSEDAAKVEAKEPPSQSPNTPLQRLVEGYGPAGKILLDLEQALSSEGTQENKVKPLLLQLQRQPQPFLALMQSLDTPETNRTLHLTVLRILKQLVDFPEALLLPWHEAVDACMACLRSPNTDREVLQELIFFLHRLTSVSRDYAVVLNQLGARDAISKALEKHLGKLELAQELRDMVFKCEKHAHLYRKLITNILGGCIQMVLGQIEDHRRTHQPINIPFFDVFLRYLCQGSSVEVKEDKCWEKVEVSSNPHRASKLTDHNPKTYWESNGSAGSHYITLHMRRGILIRQLTLLVASEDSSYMPARVVVCGGDSTSSLHTELNSVNVMPSASRVILLENLTRFWPIIQIRIKRCQQGGIDTRIRGLEILGPKPTFWPVFREQLCRHTRLFYMVRAQAWSQDMAEDRRSLLHLSSRLNGALRQEQNFADRFLPDDEAAQALGKTCWEALVSPVVQNITSPDEDGISPLGWLLDQYLECQEAVFNPQSRGPAFFSRVRRLTHLLVHVEPCEAPPPVVATPRPKGRNRSHDWSSLATRGLPSSIMRNLTRCWRAVVEKQVNNFLTSSWRDDDFVPRYCEHFNILQNSSSELFGPRAAFLLALQNGCAGALLKLPFLKAAHVSEQFARHIDQQIQGSRIGGAQEMERLAQLQQCLQAVLIFSGLEIATTFEHYYQHYMADRLLGVVSSWLEGAVLEQIGPCFPNRLPQQMLQSLSTSKELQRQFHVYQLQQLDQELLKLEDTEKKIQVGLGASGKEHKSEKEEEAGAAAVVDVAEGEEEEEENEDLYYEGAMPEVSVLVLSRHSWPVASICHTLNPRTCLPSYLRGTLNRYSNFYNKSQSHPALERGSQRRLQWTWLGWAELQFGNQTLHVSTVQMWLLLYLNDLKAVSVESLLAFSGLSADMLNQAIGPLTSSRGPLDLHEQKDIPGAFISGVLKIRDGSKEPRSRWDIVRLIPPQTYLQAEGEDGQNLEKRRNLLNCLIVRILKAHGDEGLHIDQLVCLVLEAWQKGPCPPRGLVSSLGKGSACSSTDVLSCILHLLGKGTLRRHDDRPQVLSYAVPVTVMEPHTESLNPGSSGPNPPLTFHTLQIRSRGVPYASCTATQSFSTFR
XP_016880824.1 1 231 0.0277714285714286 PF01569.21:PAP2:11:73 glucose-6-phosphatase 3 isoform X1 231 63 33 144 4 Homo sapiens XP_016880824.1 1 RefSeq MITGAALWPIMTALSSQVATRARSRWVRVMPSLAYCTFLLAVGLSRIFILAHFPHQVLAGLITGAVLGWLMTPRVPMERELSFYGLTALALMLGTSLIYWTLFTLGLDLSWSISLAFKWCERPEWIHVDSRPFASLSRDSGAALGLGIALHSPCYAQVRRAQLGNGQKIACLVLAMGLLGPLDWLGHPPQISLFYIFNFLKYTLWPCLVLALVPWAVHMFSAQEAPPIHSS
XP_017447000.1 1 248 0.346186693548387 PF01160.18:Opiods_neuropep:22:66 proenkephalin-B isoform X1 248 45 33 248 0 Rattus norvegicus XP_017447000.1 1 RefSeq MAWSRLMLAACLLVIPSEVTADCLSLCSLCAVRTQDGPHPINPLICSLECQDLVPPSEEWETCRGFWSFLTLTASGLHGKDDLENEVALEEGYTELTKLLEPLLKELEKGQLLTSVSEEKLRGLSSRFGNGRESELLGTDLMNDEAAQAGTLHFNEEDLRKQAKRYGGFLRKYPKRSSEMAGDEDRGQDGDQVGHEDLYKRYGGFLRRIRPKLKWDNQKRYGGFLRRQFKVVTRSQENPNTYSEDLDV
YP_401670.1 1 938 0.645698614072495 PF05009.12:EBV-NA3:145:404 Epstein-Barr nuclear antigen 4; EBNA-4; EBV nuclear antigen 4; Epstein-Barr nuclear antigen 3B; EBNA-3B; EBV nuclear antigen 3B 938 260 33 938 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03203 1 SwissProt MKKAWLSRAQQADAGGASGSEDPPDYGDQGNVTQVGSEPISPEIGPFELSAASEDDPQSGPVEENLDAAAREEEEPHEQEHNGGDDPLDVHTRQPRFVDVNPTQAPVIQLVHAVYDSMLQSDLRPLGSLFLEQNLNIEEFIWMCMTVRHRCQAIRKKPLPIVKQRRWKLLSSCRSWRMGYRTHNLKVNSFESGGDNVHPVLVTATLGCDEGTRHATTYSAGIVQIPRISDQNQKIETAFLMARRARSLSAERYTLFFDLVSSGNTLYAIWIGLGTKNRVSFIEFVGWLCKKDHTHIREWFRQCTGRPKAAKPWLRAHPVAIPYDDPLTNEEIDLAYARGQAMNIEAPRLPDDPIIVEDDDESEEIEAESDEEEDKSGMESLKNIPQTLPYNPTVYGRPAVFDRKSDAKSTKKCRAIVTDFSVIKAIEEEHRKKKAARTEQPRATPESQAPTVVLQRPPTQQEPGPVGPLSVQARLEPWQPLPGPQVTAVLLHEESMQGVQVHGSMLDLLEKDDEVMEQRVMATLLPPVPQQPRAGRRGPCVFTGDLGIESDEPASTEPVHDQLLPAPGPDPLEIQPLTSPTTSQLSSSAPSCAQTPWPVVQPSQTPDDPTKQSRPPETAAPRQWPMPLRPIPMRPLRMQPIPFNHPVGPTPHQTPQVEITPYKPTWAQIGHIPYQPTPTGPATMLLRQWAPATMQTPPRAPTPMSPPEVPPVPRQRPRGAPTPTPPPQVPPVPRQRPRGAPTPTPPPQVLPTPMQLALRAPAGQQGPTKQILRQLLTGGVKKGRPSLKLQAALERQAAAGWQPSPGSGTSDKIVQAPIFYPPVLQPIQVMGQGGSPTAMAASAVTQAPTEYTRERRGVGPMPPTDIPPSKRAKIEAYTEPEMPHGGASHSPVVILENVGQGQQQTLECGGTAKQERDMLGLGDIAVSSPSSSETSNDE
NP_001020123.1 485 1130 0.632002476780185 Roquin-1; Roquin; Protein Sanroque; RING finger and C3H zinc finger protein 1; RING finger and CCCH-type zinc finger domain-containing protein 1; EC 2.3.2.27 1130 0 32 646 0 Mus musculus (Mouse) SwissProt::Q4VGL6 1 SwissProt LSNRKPPALPNGIASSGSTVTQLIPRGTDPSFDSSLKPVKLDHLSSSAPGSPPDLLESAPKSISALPVNPHPVPPRGPTDLPPMPVTKPIQMVPRGSQLYPAQQADVYYQDPRGSAPAFETAPYQQGMYYTPPPCVSRFVRPPPSAPEPGPPYLDHYSPYLQDRVINSQYGTQPQQYPPMYPAHYDGRRVYPAQSYTREEMFRESPIPIDIPSAAVPSYVPESRERYQQVEGYYPVAPHPAQIRPSYPRDPPYSRLPPPQPHPSLDELHRRRKEIMAQLEERKVISPPPFAPSPTLPPAFHPEEFLDEDLKVAGKYKANDYSQYSPWSCDTIGSYIGTKDAKPKDVVAAGSVEMMNVESKGTREQRLDLQRRAVETSDDDLIPFGDRPTVSRFGAISRTSKTLYQGAGPLQAIAPQGAPTKSINISDYSAYGAHGGWGDSPYSPHANIPPQGHFIEREKMSMAEVASHGKPLLSAEREQLRLELQQLNHQISQQTQLRGLEAVSNRLVLQREVNTLASQPQPPQLPPKWPGMISSEQLSLELHQVEREIGKRTRELSMENQCSVDMKSKLGTSKQAENGQPEPQNKIRTEDLTLTFSDVPNGSALTQENLSLLSNKTSSLNLSEDSEGGGDNNDSQRSGVVSNSAP
NP_001072994.1 198 500 0.503688118811881 zinc finger protein Helios isoform 2 500 0 32 303 0 Homo sapiens NP_001072994.1 1 RefSeq NVSMEAAGQVMSHHVPPMEDCKEQEPIMDNNISLVPFERPAVIEKLTGNMGKRKSSTPQKFVGEKLMRFSYPDIHFDMNLTYEKEAELMQSHMMDQAINNAITYLGAEALHPLMQHPPSTIAEVAPVISSAYSQVYHPNRIERPISRETADSHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSHDDHQSYQGHPALNPKRKQSPAYMKEDVKALDTTKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLFLDHVMYTIHMGCHGYRDPLECNICGYRSQDRYEFSSHIVRGEHTFH
NP_001104529.1 1 325 0.387720923076923 PF06365.12:CD34_antigen:187:322 hematopoietic progenitor cell antigen CD34 isoform 1 precursor 325 136 32 302 1 Mus musculus NP_001104529.1 1 RefSeq MQVHRDTRAGLLLPWRWVALCLMSLLHLNNLTSATTETSTQGISPSVPTNESVEENITSSIPGSTSHYLIYQDSSKTTPAISETMVNFTVTSGIPSGSGTPHTFSQPQTSPTGILPTTSDSISTSEMTWKSSLPSINVSDYSPNNSSFEMTSPTEPYAYTSSSAPSAIKGEIKCSGIREVRLAQGICLELSEASSCEEFKKEKGEDLIQILCEKEEAEADAGASVCSLLLAQSEVRPECLLMVLANSTELPSKLQLMEKHQSDLRKLGIQSFNKQDIGSHQSYSRKTLIALVTSGVLLAILGTTGYFLMNRRSWSPTGERLELEP
NP_001121651.1 157 614 0.231109170305677 nephrocystin-1 isoform 4 614 0 32 458 0 Homo sapiens NP_001121651.1 1 RefSeq SSEEGSEEDVEAVDETADGAEVKQRTDPHWSAVQKAISEINTVDVLTTMGAIPAGFRPSTLSQLLEEGNQFRANYFLQPELMPSQLAFRDLMWDATEGTIRSRPSRISLILTLWSCKMIPLPGMSIQVLSRHVRLCLFDGNKVLSNIHTVRATWQPKKPKTWTFSPQVTRILPCLLDGDCFIRSNSASPDLGILFELGISYIRNSTGERGELSCGWVFLKLFDASGVPIPAKTYELFLNGGTPYEKGIEVDPSISRRAHGSVFYQIMTMRRQPQLLVKLRSLNRRSRNVLSLLPETLIGNMCSIHLLIFYRQILGDVLLKDRMSLQSTDLISHPMLATFPMLLEQPDVMDALRSSWAGKESTLKRSEKRDKEFLKSTFLLVYHDCVLPLLHSTRLPPFRWAEEETETARWKVITDFLKQNQENQGALQALLSPDGVHEPFDLSEQTYDFLGEMRKNAV
NP_001185487.1 234 479 0.165377235772358 sialic acid-binding Ig-like lectin 9 isoform 1 precursor 479 0 32 223 1 Homo sapiens NP_001185487.1 1 RefSeq YPPQNLTMTVFQGDGTVSTVLGNGSSLSLPEGQSLRLVCAVDAVDSNPPARLSLSWRGLTLCPSQPSNPGVLELPWVHLRDAAEFTCRAQNPLGSQQVYLNVSLQSKATSGVTQGVVGGAGATALVFLSFCVIFVVVRSCRKKSARPAAGVGDTGIEDANAVRGSASQILNHFIGFPTFLGLGFEFLLNLRDLCCHPDSEFYVYHFSHFRLIKNIAGEIVWSLEGKILWLLDVSDFFHWFFLICVG
NP_001265270.1 1 271 0.255028044280443 PF13325.6:MCRS_N:1:140,PF00498.26:FHA:172:244 microspherule protein 1 isoform 3 271 213 32 271 0 Homo sapiens NP_001265270.1 1 RefSeq MRQLHPEAIAAIQSKALFSKAEEQLLSKVGSTSQPTLETFQDLLHRHPDAFYLARTAKALQAHWQLMKQYYLLEDQTVQPLPKGDQVLNFSDAEDLIDDSKLKDMRDEVLEHELMVADRRQKREIRQLEQELHKWQVLVDSITGMSSPDFDNQTLAVLRGRMVRYLMRSREITLGRATKDNQIDVDLSLEGPAWKISRKQGVIKLKNNGDFFIANEGRRPIYIDGRPVLCGSKWRLSNNSVVEIASLRFVFLINQDLIALIRAEAAKITPQ
NP_001269287.1 1 624 0.207432211538462 PF05729.12:NACHT:161:320 NLR family member X1; Caterpiller protein 11.3; CLR11.3; Nucleotide-binding oligomerization domain protein 26; Nucleotide-binding oligomerization domain protein 5; Nucleotide-binding oligomerization domain protein 9 975 160 32 624 0 Homo sapiens (Human) SwissProt::Q86UT6 1 SwissProt MRWGHHLPRASWGSGFRRALQRPDDRIPFLIHWSWPLQGERPFGPPRAFIRHHGSSVDSAPPPGRHGRLFPSASATEAIQRHRRNLAEWFSRLPREERQFGPTFALDTVHVDPVIRESTPDELLRPPAELALEHQPPQAGLPPLALSQLFNPDACGRRVQTVVLYGTVGTGKSTLVRKMVLDWCYGRLPAFELLIPFSCEDLSSLGPAPASLCQLVAQRYTPLKEVLPLMAAAGSHLLFVLHGLEHLNLDFRLAGTGLCSDPEEPQEPAAIIVNLLRKYMLPQASILVTTRPSAIGRIPSKYVGRYGEICGFSDTNLQKLYFQLRLNQPYCGYAVGGSGVSATPAQRDHLVQMLSRNLEGHHQIAAACFLPSYCWLVCATLHFLHAPTPAGQTLTSIYTSFLRLNFSGETLDSTDPSNLSLMAYAARTMGKLAYEGVSSRKTYFSEEDVCGCLEAGIRTEEEFQLLHIFRRDALRFFLAPCVEPGRAGTFVFTVPAMQEYLAALYIVLGLRKTTLQKVGKEVAELVGRVGEDVSLVLGIMAKLLPLRALPLLFNLIKVVPRVFGRMVGKSREAVAQAMVLEMFREEDYYNDDVLDQMGASILGVEGPRRHPDEPPEDEVFELFP
NP_004497.1 116 536 0.503628503562945 PF06546.11:Vert_HS_TF:115:396 Heat shock factor protein 2; HSF 2; Heat shock transcription factor 2; HSTF 2 536 282 32 421 0 Homo sapiens (Human) SwissProt::Q03933 1 SwissProt PEENKIRQEDLTKIISSAQKVQIKQETIESRLSELKSENESLWKEVSELRAKHAQQQQVIRKIVQFIVTLVQNNQLVSLKRKRPLLLNTNGAQKKNLFQHIVKEPTDNHHHKVPHSRTEGLKPRERISDDIIIYDVTDDNADEENIPVIPETNEDVISDPSNCSQYPDIVIVEDDNEDEYAPVIQSGEQNEPARESLSSGSDGSSPLMSSAVQLNGSSSLTSEDPVTMMDSILNDNINLLGKVELLDYLDSIDCSLEDFQAMLSGRQFSIDPDLLVDLFTSSVQMNPTDYINNTKSENKGLETTKNNVVQPVSEEGRKSKSKPDKQLIQYTAFPLLAFLDGNPASSVEQASTTASSEVLSSVDKPIEVDELLDSSLDPEPTQSKLVRLEPLTEAEASEATLFYLCELAPAPLDSDMPLLDS
NP_010271.3 1 619 0.481646688206785 E3 ubiquitin-protein ligase complex SLX5-SLX8 subunit SLX5; Hexose metabolism-related protein HEX3; RING-type E3 ubiquitin transferase SLX5; Synthetic lethal of unknown function protein 5; EC 2.3.2.27 619 0 32 619 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32828 1 SwissProt MHSDTNGRTKSNNSPSDNNPNETVILIDSDKEEDASIREANLPVRLYPDRRVGRRRDALNRFVRSDSRSRNSQRTHITASSERPDFQANNDDITIIREVGRFFGDDGPIDPSAHYVDLDQEPGSETLETPRTIQVDNTNGYLNDNGNNNESDDGLTIVEERTTRPRVTLNLPGGERLEVTATTTDIPIRRSFEFQEDLGASRRQLLRRSATRARNLFVDRSDENDEDWTDDTHNLPEAIQRARRESRMRMSRRIAERQRRVQQQRVSSDENISTSIRLQSIRERIQSYTPDIRSAFHRAESLHEFRSILQNVAPITLQECEEELMALFTEFRNQLLQNWAIDRVRNTQEEALRLHREALERQERTAGRVFHRGTLRESITNYLNFNGEDGFLSRLWSGPALSDADEERHTQNIIDMIQEREERERDVVMKNLMNKTRAQQEEFEARAASLPEGYSASFDTTPKMKLDITKNGKEETIIVTDDDLAKTLEDIPVCCLCGAELGVGIPDDFTGISQKDRGVSFEGLVSKYKFHCPYQTLARPSMLDRDLSKRTFIASCGHAFCGRCFARIDNAKKKSKMPKKKLAQLKGSAHPDNYGPKLCPADSCKKLIRSRGRLKEVYF
NP_010740.3 176 674 0.30185370741483 Endopolyphosphatase; Deoxyadenosine triphosphate phosphohydrolase; dATP phosphohydrolase; Exopolyphosphatase; Phosphate metabolism protein 5; EC 3.6.1.10; EC 3.6.1.-; EC 3.6.1.11 674 0 32 499 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04119 1 SwissProt DNDRKHPRTEAQIFDMNNIVADKMTELFSAGNEEDPRDFDVSVIPSLGNNDVFPHNMFALGPTLQTREYYRIWKNFVPQQQQRTFDRSASFLTEVIPGKLAVLSINTLYLFKANPLVDNCNSKKEPGYQLLLWFGYVLEELRSRGMKVWLSGHVPPIAKNFDQSCYDKFTLWTHEYRDIIIGGLYGHMNIDHFIPTDGKKARKSLLKAMEQSTRVQQGEDSNEEDEETELNRILDHAMAAKEVFLMGAKPSNKEAYMNTVRDTYYRKVWNKLERVDEKNVENEKKKKEKKDKKKKKPITRKELIERYSIVNIGGSVIPTFNPSFRIWEYNITDIVNDSNFAVSEYKPWDEFFESLNKIMEDSLLEDEMDSSNIEVGINREKMGEKKNKKKKKNDKTMPIEMPDKYELGPAYVPQLFTPTRFVQFYADLEKINQELHNSFVESKDIFRYEIEYTSDEKPYSMDSLTVGSYLDLAGRLYENKPAWEKYVEWSFASSGYKDD
NP_012813.1 1 554 0.585791335740072 PF10297.9:Hap4_Hap_bind:65:80 Transcriptional activator HAP4 554 16 32 554 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P14064 1 SwissProt MTAKTFLLQASASRPRSNHFKNEHNNIPLAPVPIAPNTNHHNNSSLEFENDGSKKKKKSSLVVRTSKHWVLPPRPRPGRRSSSHNTLPANNTNNILNVGPNSRNSSNNNNNNNIISNRKQASKEKRKIPRHIQTIDEKLINDSNYLAFLKFDDLENEKFHSSASSISSPSYSSPSFSSYRNRKKSEFMDDESCTDVETIAAHNSLLTKNHHIDSSSNVHAPPTKKSKLNDFDLLSLSSTSSSATPVPQLTKDLNMNLNFHKIPHKASFPDSPADFSPADSVSLIRNHSLPTNLQVKDKIEDLNEIKFFNDFEKLEFFNKYAKVNTNNDVNENNDLWNSYLQSMDDTTGKNSGNYQQVDNDDNMSLLNLPILEETVSSGQDDKVEPDEEDIWNYLPSSSSQQEDSSRALKKNTNSEKANIQAKNDETYLFLQDQDESADSHHHDELGSEITLADNKFSYLPPTLEELMEEQDCNNGRSFKNFMFSNDTGIDGSAGTDDDYTKVLKSKKISTSKSNANLYDLNDNNNDATATNELDQSSFIDDLDEDVDFLKVQVF
NP_013255.1 1 110 0.387599090909091 Ribonuclease H2 subunit C; RNase H2 subunit C; Rnh2C; RNase H(203); Ribonuclease HI subunit C 110 0 32 110 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12338 1 SwissProt MTKDAVNLDAYTVSFMPFYTEYQGPTEEFKDYKFEDTIYFRGKELKREKSATPSSSDNTTSNTFSNGAILSGNTITGKIVSVNNYEREGTDRNELARLQELISLIDVINQ
NP_014343.1 1 283 0.222617667844523 PF01459.22:Porin_3:3:276 Mitochondrial outer membrane protein porin 1; Voltage-dependent anion-selective channel protein 1; VDAC-1 283 274 32 283 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P04840 1 SwissProt MSPPVYSDISRNINDLLNKDFYHATPAAFDVQTTTANGIKFSLKAKQPVKDGPLSTNVEAKLNDKQTGLGLTQGWSNTNNLQTKLEFANLTPGLKNELITSLTPGVAKSAVLNTTFTQPFFTARGAFDLCLKSPTFVGDLTMAHEGIVGGAEFGYDISAGSISRYAMALSYFAKDYSLGATLNNEQITTVDFFQNVNAFLQVGAKATMNCKLPNSNVNIEFATRYLPDASSQVKAKVSDSGIVTLAYKQLLRPGVTLGVGSSFDALKLSEPVHKLGWSLSFDA 1
NP_031985.2 1 227 0.561966519823789 ETS translocation variant 2 335 0 32 227 0 Mus musculus NP_031985.2 1 RefSeq MDLWNWDEASLQEVPPGDKLTGLGAEFGFYFPEVALQEDTPITPMNVEGCWKGFPELDWNPALPHEDVPFQAEPVAHPLPWSRDWTDLGCNTSDPWSCASQTPGPAPPGTSPSPFVGFEGATGQNPATSAGGVPSWSHPPAAWSTTSWDCSVGPSGATYWDNGLGGEAHEDYKMSWGGSAGSDYTTTWNTGLQDCSIPFEGHQSPAFTTPSKSNKQSDRATLTRYSK
NP_032242.3 1 552 0.0758414855072464 PF03142.15:Chitin_synth_2:206:358,PF13641.6:Glyco_tranf_2_3:188:355,PF00535.26:Glycos_transf_2:88:147,PF13632.6:Glyco_trans_2_3:208:413 Hyaluronan synthase 2; Hyaluronate synthase 2; Hyaluronic acid synthase 2; HA synthase 2; EC 2.4.1.212 552 286 32 414 6 Mus musculus (Mouse) SwissProt::P70312 1 SwissProt MHCERFLCVLRIIGTTLFGVSLLLGITAAYIVGYQFIQTDNYYFSFGLYGAFLASHLIIQSLFAFLEHRKMKKSLETPIKLNKTVALCIAAYQEDPDYLRKCLQSVKRLTYPGIKVVMVIDGNSDDDLYMMDIFSEVMGRDKSATYIWKNNFHEKGPGETEESHKESSQHVTQLVLSNKSICIMQKWGGKREVMYTAFRALGRSVDYVQVCDSDTMLDPASSVEMVKVLEEDPMVGGVGGDVQILNKYDSWISFLSSVRYWMAFNIERACQSYFGCVQCISGPLGMYRNSLLHEFVEDWYNQEFMGNQCSFGDDRHLTNRVLSLGYATKYTARSKCLTETPIEYLRWLNQQTRWSKSYFREWLYNAMWFHKHHLWMTYEAVITGFFPFFLIATVIQLFYRGKIWNILLFLLTVQLVGLIKSSFASCLRGNIVMVFMSLYSVLYMSSLLPAKMFAIATINKAGWGTSGRKTIVVNFIGLIPVSVWFTILLGGVIFTIYKESKKPFSESKQTVLIVGTLIYACYWVMLLTLYVVLINKCGRRKKGQQYDMVLDV
NP_033956.2 1 1451 0.361333700895933 RB1-inducible coiled-coil protein 1; Coiled-coil-forming protein 1; FAK family kinase-interacting protein of 200 kDa; FIP200; LaXp180 1588 0 32 1451 0 Mus musculus (Mouse) SwissProt::Q9ESK9 1 SwissProt MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAIQHQVLVVNGGECMAADRRVCTYSAGTDTNPIFLFNKEMILCDRAPAIPKATFSTENDMEIKVEESLMMPAVFHTVASRTQLAVEMYDVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSDYLQSIEDIKLKLTHLGTAVSVMAKIPLLECLTRHSYRECLGRPDSLNEHEGSEKAEMKRSTELVLSPDMPRTTNTSLVTSFHKSMEHVAPDPTGTERGKELRESCQSTVQQEEASVDAKDSDLPFFNVSLLDWINVQDRPNDVESLVRKCFDSMSRLDPKIIQPFMLECHQTIAKLDNQNMKAIKGLEDRLYALDQMIASCSRLVNEQKELAQGFLANQMRAENLKDASVLPDLCLSHANQLMIMLQNHRKLLDIKQKCTTAKQELANNLHVRLKWCCFVMLHADQDGEKLQALLRLVIELLERVRIVEALSTVPQMYCLAVVEVVRRKMFIKHYREWAGALVKDGKQLYEAEKSKRESFGKLFRKSFLRNRLFKGLDSWPSSFCTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPLLCDFEPLHQHVLALHNLVKAAQSLDEMSQTITDLLNEQKVSTSQASPQSAASPRIESTTGITTTTSPKTPPPLTVQDTLCPAVCPLEELSPDSIDAHTFDFETISHPNTEQPVHQASIDLDSLAESPESDFMSAVNEFVIEENLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTSTRGNEGFGDRAALHVQLEKCRAAAQDSHSSIQTIKDDLCHFRTFVQKEQCDLANYLKCTAVEIRNIIEKVKCSLEITLKEKHQQELQSLKIEYECKLDALVKDSEENVNKILKLKENLVSLEEALQNKDNEFTSIKHEKDAIVCVQQEKDQKLLEMEKIMHTQHCEIKELKQSREMALEDLKKLHDEKIESLRAEFQCLEQNHLKELEDTLHIRHTQEFEKVMTDHNMSLEKLKKENQQRIDQMLESHASTIQEKEQQLQELKLKVSDLSDMRCKLEVELALKEAETDEIKILLEESRTQQKEMLKSLLEQETENLRTEISKLNQKIHDNNESYQVGLSELRALMTIEKDQCISELISRHEEESNILKAELDNVTSLHRQAYEIEKKLKEQIVELQTRLNSELSALEKQKDEKITQQEEKYEALIQNLEKDKERLVKNHEQDKEHLIQELNFEKNKAVQTALDEFKVERELVEKELLEKVKHLENQIAKTPAFESAREDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVRTSLIAEQQTNFNTVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEEKKQLEEEVSKLRTSSFLSSAPVAAAPELYGACAPELPGEPERSVMETADEGRLDSAMETSMMSVQENMLSEEKQ
NP_035023.3 125 409 0.278510175438597 PF13088.6:BNR_2:4:248 Sialidase-1; G9 sialidase; Lysosomal sialidase; N-acetyl-alpha-neuraminidase 1; EC 3.2.1.18 409 245 32 285 0 Mus musculus (Mouse) SwissProt::O35657 1 SwissProt GEASDGLNLGAVVNDVDTGIVFLIYTLCAHKVNCQVASTMLVWSKDDGISWSPPRNLSVDIGTEMFAPGPGSGIQKQREPGKGRLIVCGHGTLERDGVFCLLSDDHGASWHYGTGVSGIPFGQPKHDHDFNPDECQPYELPDGSVIINARNQNNYHCRCRIVLRSYDACDTLRPRDVTFDPELVDPVVAAGALATSSGIVFFSNPAHPEFRVNLTLRWSFSNGTSWQKERVQVWPGPSGYSSLTALENSTDGKKQPPQLFVLYEKGLNRYTESISMVKISVYGTL
NP_035933.2 1 212 0.441972641509434 Krueppel-like factor 6 318 0 32 212 0 Mus musculus NP_035933.2 1 RefSeq MKLSPALPGTVSARTPDRSPPCFPDSEDCLFQPDMDVLPMCSIFQELQIVHETGYFSALPSLEEYWQQTCLELERYLQSEPCYVSASEIKFDSQEDLWTKFILARGEKKEESELKISSSPPEDSLISSSFNYNLETNSLNSDVSSESSDSSEELSPTTKFTSDPIGEVLVNSGNLSSSVISTPPSSPEVNRESSQLWGCGPGDLPSPGKVRS
NP_056030.1 1 866 0.448945150115474 PF03859.16:CG-1:71:182 Calmodulin-binding transcription activator 1 1673 112 32 866 0 Homo sapiens (Human) SwissProt::Q9Y6Y1 1 SwissProt MWRAEGKWLPKTSRKSVSQSVFCGTSTYCVLNTVPPIEDDHGNSNSSHVKIFLPKKLLECLPKCSSLPKERHRWNTNEEIAAYLITFEKHEEWLTTSPKTRPQNGSMILYNRKKVKYRKDGYCWKKRKDGKTTREDHMKLKVQGVECLYGCYVHSSIIPTFHRRCYWLLQNPDIVLVHYLNVPAIEDCGKPCGPILCSINTDKKEWAKWTKEELIGQLKPMFHGIKWTCSNGNSSSGFSVEQLVQQILDSHQTKPQPRTHNCLCTGSLGAGGSVHHKCNSAKHRIISPKVEPRTGGYGSHSEVQHNDVSEGKHEHSHSKGSSREKRNGKVAKPVLLHQSSTEVSSTNQVEVPDTTQSSPVSISSGLNSDPDMVDSPVVTGVSGMAVASVMGSLSQSATVFMSEVTNEAVYTMSPTAGPNHHLLSPDASQGLVLAVSSDGHKFAFPTTGSSESLSMLPTNVSEELVLSTTLDGGRKIPETTMNFDPDCFLNNPKQGQTYGGGGLKAEMVSSNIRHSPPGERSFSFTTVLTKEIKTEDTSFEQQMAKEAYSSSAAAVAASSLTLTAGSSLLPSGGGLSPSTTLEQMDFSAIDSNKDYTSSFSQTGHSPHIHQTPSPSFFLQDASKPLPVEQNTHSSLSDSGGTFVMPTVKTEASSQTSSCSGHVETRIESTSSLHLMQFQANFQAMTAEGEVTMETSQAAEGSEVLLKSGELQACSSEHYLQPETNGVIRSAGGVPILPGNVVQGLYPVAQPSLGNASNMELSLDHFDISFSNQFSDLINDFISVEGGSSTIYGHQLVSGDSTALSQSEDGARAPFTQAEMCLPCCSPQQGSLQLSSSEGGASTMAYMHVAEVVSAASAQGTLGMLQQ
NP_061857.3 456 1049 0.696259090909091 Protein phosphatase Slingshot homolog 1; SSH-like protein 1; SSH-1L; hSSH-1L; EC 3.1.3.16; EC 3.1.3.48 1049 0 32 594 0 Homo sapiens (Human) SwissProt::Q8WYL5 1 SwissProt KLWRQQTDSSLQQPVDDPAGPGDFLPETPDGTPESQLPFLDDAAQPGLGPPLPCCFRRLSDPLLPSPEDETGSLVHLEDPEREALLEEAAPPAEVHRPARQPQQGSGLCEKDVKKKLEFGSPKGRSGSLLQVEETEREEGLGAGRWGQLPTQLDQNLLNSENLNNNSKRSCPNGMEDDAIFGILNKVKPSYKSCADCMYPTASGAPEASRERCEDPNAPAICTQPAFLPHITSSPVAHLASRSRVPEKPASGPTEPPPFLPPAGSRRADTSGPGAGAALEPPASLLEPSRETPKVLPKSLLLKNSHCDKNPPSTEVVIKEESSPKKDMKPAKDLRLLFSNESEKPTTNSYLMQHQESIIQLQKAGLVRKHTKELERLKSVPADPAPPSRDGPASRLEASIPEESQDPAALHELGPLVMPSQAGSDEKSEAAPASLEGGSLKSPPPFFYRLDHTSSFSKDFLKTICYTPTSSSMSSNLTRSSSSDSIHSVRGKPGLVKQRTQEIETRLRLAGLTVSSPLKRSHSLAKLGSLTFSTEDLSSEADPSTVADSQDTTLSESSFLHEPQGTPRDPAATSKPSGKPAPENLKSPSWMSKS
NP_079654.1 1 137 0.166447445255474 PF04505.12:CD225:54:120 Interferon-induced transmembrane protein 3; Dispanin subfamily A member 2b; DSPA2b; Fragilis protein; Interferon-inducible protein 15; Mouse ifitm-like protein 1; Mil-1 137 67 32 91 2 Mus musculus (Mouse) SwissProt::Q9CQW9 1 SwissProt MNHTSQAFITAASGGQPPNYERIKEEYEVAEMGAPHGSASVRTTVINMPREVSVPDHVVWSLFNTLFMNFCCLGFIAYAYSVKSRDRKMVGDVTGAQAYASTAKCLNISTLVLSILMVVITIVSVIIIVLNAQNLHT
NP_116627.2 111 431 0.223434890965732 PF02116.15:STE2:2:186 alpha-factor pheromone receptor STE2 431 185 32 206 5 Saccharomyces cerevisiae S288C NP_116627.2 1 RefSeq YALTGFPQFISRGDVHVYGATNIIQVLLVASIETSLVFQIKVIFTGDNFKRIGLMLTSISFTLGIATVTMYFVSAVKGMIVTYNDVSATQDKYFNASTILLASSINFMSFVLVVKLILAIRSRRFLGLKQFDSFHILLIMSCQSLLVPSIIFILAYSLKPNQGTDVLTTVATLLAVLSLPLSSMWATAANNASKTNTITSDFTTSTDRFYPGTLSSFQTDSINNDAKSSLRSRLYDLYPRRKETTSDKHSERTFVSETADDIEKNQFYQLPTPTSSKNTRIGPFADASYKEGEVEPVDMYTPDTAADEEARKFWTEDNNNL
NP_182200.2 183 365 0.484440983606558 NAC domain-containing protein 43; ANAC043; Protein EMBRYO DEFECTIVE 2301; Protein NAC SECONDARY WALL THICKENING PROMOTING FACTOR 1 365 0 32 183 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84WP6 1 SwissProt LHKTLNSPVGGASLSGGGDTPKTTSSQIFNEDTLDQFLELMGRSCKEELNLDPFMKLPNLESPNSQAINNCHVSSPDTNHNIHVSNVVDTSFVTSWAALDRLVASQLNGPTSYSITAVNESHVGHDHLALPSVRSPYPSLNRSASYHAGLTQEYTPEMELWNTTTSSLSSSPGPFCHVSNGSG
NP_188985.2 1 782 0.160400511508952 PF03124.14:EXS:426:759,PF03105.19:SPX:2:38,PF03105.19:SPX:72:336 Phosphate transporter PHO1; Protein PHO1; AtPHO1 782 636 32 652 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S403 1 SwissProt MVKFSKELEAQLIPEWKEAFVNYCLLKKQIKKIKTSRKPKPASHYPIGHHSDFGRSLFDPVRKLARTFSDKLFSNSEKPEILQVRRRRGSSETGDDVDEIYQTELVQLFSEEDEVKVFFARLDEELNKVNQFHKPKETEFLERGEILKKQLETLAELKQILSDRKKRNLSGSNSHRSFSSSVRNSDFSAGSPGELSEIQSETSRTDEIIEALERNGVSFINSATRSKTKGGKPKMSLRVDIPDAVAGAEGGIARSIATAMSVLWEELVNNPRSDFTNWKNIQSAEKKIRSAFVELYRGLGLLKTYSSLNMIAFTKIMKKFDKVAGQNASSTYLKVVKRSQFISSDKVVRLMDEVESIFTKHFANNDRKKAMKFLKPHQTKDSHMVTFFVGLFTGCFISLFVIYIILAHLSGIFTSSDQVSYLETVYPVFSVFALLSLHMFMYGCNLYMWKNTRINYTFIFEFAPNTALRYRDAFLMGTTFMTSVVAAMVIHLILRASGFSASQVDTIPGILLLIFICVLICPFNTFYRPTRFCFIRILRKIVCSPFYKVLMVDFFMGDQLTSQIPLLRHLETTGCYFLAQSFKTHEYNTCKNGRYYREFAYLISFLPYFWRAMQCVRRWWDESNPDHLINMGKYVSAMVAAGVRITYARENNDLWLTMVLVSSVVATIYQLYWDFVKDWGLLNPKSKNPWLRDNLVLRNKNFYYLSIALNLVLRVAWIETIMRFRVSPVQSHLLDFFLASLEVIRRGHWNFYRVENEHLNNVGQFRAVKTVPLPFLDRDSDG
NP_200790.1 1 162 0.360375308641975 PF13912.6:zf-C2H2_6:38:63,PF13912.6:zf-C2H2_6:82:105 Zinc finger protein ZAT12; Protein RESPONSIVE TO HIGH LIGHT 41 162 50 32 162 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42410 1 SwissProt MVAISEIKSTVDVTAANCLMLLSRVGQENVDGGDQKRVFTCKTCLKQFHSFQALGGHRASHKKPNNDALSSGLMKKVKTSSHPCPICGVEFPMGQALGGHMRRHRNESGAAGGALVTRALLPEPTVTTLKKSSSGKRVACLDLSLGMVDNLNLKLELGRTVY
NP_250562.1 1 236 0.197234322033898 Protease LasA; Staphylolytic protease; EC 3.4.24.- 418 0 32 236 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::P14789 1 SwissProt MQHKRSRAMASPRSPFLFVLLALAVGGTANAHDDGLPAFRYSAELLGQLQLPSVALPLNDDLFLYGRDAEAFDLEAYLALNAPALRDKSEYLEHWSGYYSINPKVLLTLMVMQSGPLGAPDERALAAPLGRLSAKRGFDAQVRDVLQQLSRRYYGFEEYQLRQAAARKAVGEDGLNAASAALLGLLREGAKVSAVQGGNPLGAYAQTFQRLFGTPAAELLQPSNRVARQLQAKAAL
NP_443095.2 1 104 0.0770009615384615 Secretoglobin family 3A member 1; Cytokine HIN-1; High in normal 1; Pneumo secretory protein 2; PnSP-2; Uteroglobin-related protein 2 104 0 32 104 0 Homo sapiens (Human) SwissProt::Q96QR1 1 SwissProt MKLAALLGLCVALSCSSAAAFLVGSAKPVAQPVAALESAAEAGAGTLANPLGTLNPLKLLLSSLGIPVNHLIEGSQKCVAELGPQAVGAVKALKALLGALTVFG
NP_564973.1 1 325 0.654752923076924 PF03634.13:TCP:50:173 Transcription factor TCP15; AtTCP15 325 124 32 325 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9L2 1 SwissProt MDPDPDHNHRPNFPLQLLDSSTSSSSTSLAIISTTSEPNSEPKKPPPKRTSTKDRHTKVEGRGRRIRMPAMCAARVFQLTRELGHKSDGETIEWLLQQAEPAVIAATGTGTIPANFTSLNISLRSSRSSLSAAHLRTTPSSYYFHSPHQSMTHHLQHQHQVRPKNESHSSSSSSSQLLDHNQMGNYLVQSTAGSLPTSQSPATAPFWSSGDNTQNLWAFNINPHHSGVVAGDVYNPNSGGSGGGSGVHLMNFAAPIALFSGQPLASGYGGGGGGGGEHSHYGVLAALNAAYRPVAETGNHNNNQQNRDGDHHHNHQEDGSTSHHS
NP_598236.1 197 865 0.568853064275037 PF00010.26:HLH:467:521 Carbohydrate-responsive element-binding protein; ChREBP; Class D basic helix-loop-helix protein 14; bHLHd14; MLX interactor; MLX-interacting protein-like; WS basic-helix-loop-helix leucine zipper protein; WS-bHLH; Williams-Beuren syndrome chromosomal region 14 protein 865 55 32 669 0 Rattus norvegicus (Rat) SwissProt::Q8VIP2 1 SwissProt REGDFLAPKQVEGGWPPPERWCEQLFSSVVPVLLGGSEEEPGGRQLLDLDCFLSDISDTLFTMTQPSPSSLQLPSEDAYVGNADMIQPDLTPLQPSLDDFMEISDFFTNYRPPQTPTSSNFPEPPSFGPMADSLFSGGILGPEMPSPASASSSSGMTPLSGNTRLQARNSCSGPLDPSTFPSSEFLLPEDPKTKMPPAPVPTPLLPYPGPVKVHGLEPCTPSPFPTMAPPPALLSEEPLFSARFPFTTVPPAPGVSTLPAPTTFVPTPQPGPGPGPVPFPVDHLPHGYLEPVFGPHFTVPQGVQPRCKPCSPPPGGRKASPPTLTSATASPTATATARDNNPCLTQLLRAAKPEQVLEPSTVPSTLLRPPESPDAVPEIPRVRAFYPPIPAPTPPRPPPGPATLAPPRSLVVPKAERLSPPASSGSERRPSGDLNSIQPPGALSVHLSPPQTVLSRGRVDNNKMENRRITHISAEQKRRFNIKLGFDTLHGLVSTLSAQPSLKVSKATTLQKTAEYILMLQQERAAMQEEAQQLRDEIEELNAAINLCQQQLPATGVPITHQRFDQMRDMFDDYVRTRTLHNWKFWVFSILIRPLFESFNGMVSTASLHSLRQTSLAWLDQYCSLPALRPTVLNSLRQLSTSTSILTDPSLVPEQATRAVTEGPLGRPL
NP_598397.1 1 756 0.145386375661376 PF13896.6:Glyco_transf_49:473:537,PF13896.6:Glyco_transf_49:538:743,PF01501.20:Glyco_transf_8:152:386,PF18404.1:Glyco_transf_24:138:356 LARGE xylosyl- and glucuronyltransferase 1; Acetylglucosaminyltransferase-like 1A; Glycosyltransferase-like protein; EC 2.4.-.-; EC 2.4.2.-; EC 2.4.1.- 756 520 32 733 1 Homo sapiens (Human) SwissProt::O95461 1 SwissProt MLGICRGRRKFLAASLSLLCIPAITWIYLFSGSFEDGKPVSLSPLESQAHSPRYTASSQRERESLEVRMREVEEENRALRRQLSLAQGRAPSHRRGNHSKTYSMEEGTGDSENLRAGIVAGNSSECGQQPVVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIVLDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVILLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQLSDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCPSEADVNSENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEYEPAADSTDVTLVAQLSMDRLQMLEAICKHWEGPISLALYLSDAEAQQFLRYAQGSEVLMSRHNVGYHIVYKEGQFYPVNLLRNVAMKHISTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAFETLRYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYRVEWEADFEPYVVVRRDCPEYDRRFVGFGWNKVAHIMELDVQEYEFIVLPNAYMIHMPHAPSFDITKFRSNKQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS
NP_723460.1 168 515 0.615098850574713 PF06311.12:NumbF:61:166 numb, isoform B 515 106 32 348 0 Drosophila melanogaster NP_723460.1 1 RefSeq CGVTMTFDTKNSTFTRTGSFRQQTLTERLAMATVGTNERSVDGPGSAMPGPPAATVKPFNPFAIERPHATPNMLERQSSFRLSTIGSQSPFKRQMSLRINDLPSNADRQRAFLTAAAGNPMQTPLRSVSPIAEVSPAKSAGADPLSAAAVAADSVSQLCQELSQGLSLLTQTDALLAAGEDLNFNNNRSINQNIIAAEKQVQHVHSYAPPTAQVTPRVASTTPTYQTLHSQSPSRSEQSIETSTELPNAEQWLGHVVRSTSPAAPKRPTYLANVGRAQTLASGTGAAVGGGGPDDPFDAEWVANVAAAKQLSPDLPIPSTARSPLARHSTNPFISPPKAPAQSFQVQL
NP_849996.1 1 213 0.712844600938967 phytochrome interacting factor 3-like 5 407 0 32 213 0 Arabidopsis thaliana NP_849996.1 1 RefSeq MDPQQQPSSDQNLFIQEDEMTSWLHYPLRDDDFCSDLLFSAAPTATATATVSQVTAARPPVSSTNESRPPVRNFMNFSRLRGDFNNGRGGESGPLLSKAVVRESTQVSPSATPSAAASESGLTRRTDGTDSSAVAGGGAYNRKGKAVAMTAPAIEITGTSSSVVSKSEIEPEKTNVDDRKRKEREATTTDETESRSEETKQARVSTTSTKRSR
NP_998885.1 1 142 0.606027464788732 PF06747.13:CHCH:102:133 Coiled-coil-helix-coiled-coil-helix domain-containing protein 10, mitochondrial; Protein N27C7-4 142 32 32 142 0 Homo sapiens (Human) SwissProt::Q8WYQ3 1 SwissProt MPRGSRSAASRPASRPAAPSAHPPAHPPPSAAAPAPAPSGQPGLMAQMATTAAGVAVGSAVGHVMGSALTGAFSGGSSEPSQPAVQQAPTPAAPQPLQMGPCAYEIRQFLDCSTTQSDLSLCEGFSEALKQCKYYHGLSSLP
O54724 156 392 0.539670042194093 PF15237.6:PTRF_SDPR:1:103,PF15237.6:PTRF_SDPR:106:167 Caveolae-associated protein 1; Cav-p60; Cavin-1; Polymerase I and transcript release factor 392 165 32 237 0 Mus musculus (Mouse) SwissProt::O54724 1 SwissProt MIYQDEVKLPAKLSVSKSLKESEALPEKEGDELGEGERPEDDTAAIELSSDEAVEVEEVIEESRAERIKRSGLRRVDDFKKAFSKEKMEKTKVRTRENLEKTRLKTKENLEKTRHTLEKRMNKLGTRLVPVERREKLKTSRDKLRKSFTPDHVVYARSKTAVYKVPPFTFHVKKIREGEVEVLKATEMVEVGPEDDEVGAERGEATDLLRGSSPDVHTLLEITEESDAVLVDKSDSD
O80337 1 139 0.419909352517986 Ethylene-responsive transcription factor 1A; AtERF1A; Ethylene-responsive element-binding factor 1A; EREBP-1A 268 0 32 139 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80337 1 SwissProt MSMTADSQSDYAFLESIRRHLLGESEPILSESTASSVTQSCVTGQSIKPVYGRNPSFSKLYPCFTESWGDLPLKENDSEDMLVYGILNDAFHGGWEPSSSSSDEDRSSFPSVKIETPESFAAVDSVPVKKEKTSPVSAA
P29525 1 173 0.293117341040462 PF01277.17:Oleosin:42:152 Oleosin 18.5 kDa 173 111 32 127 2 Arabidopsis thaliana P29525 1 SwissProt/TReMBL MADTARGTHHDIIGRDQYPMMGRDRDQYQMSGRGSDYSKSRQIAKAATAVTAGGSLLVLSSLTLVGTVIALTVATPLLVIFSPILVPALITVALLITGFLSSGGFGIAAITVFSWIYKYATGEHPQGSDKLDSARMKLGSKAQDLKDRAQYYGQQHTGGEHDRDRTRGGQHTT
P31513 225 532 0.0904905844155844 PF00743.19:FMO-like:1:307 Dimethylaniline monooxygenase [N-oxide-forming] 3; Dimethylaniline oxidase 3; FMO II; FMO form 2; Hepatic flavin-containing monooxygenase 3; FMO 3; Trimethylamine monooxygenase; EC 1.14.13.8; EC 1.14.14.73; EC 1.14.13.148 532 307 32 285 1 Homo sapiens (Human) SwissProt::P31513 1 SwissProt WDNGYPWDMLLVTRFGTFLKNNLPTAISDWLYVKQMNARFKHENYGLMPLNGVLRKEPVFNDELPASILCGIVSVKPNVKEFTETSAIFEDGTIFEGIDCVIFATGYSFAYPFLDESIIKSRNNEIILFKGVFPPLLEKSTIAVIGFVQSLGAAIPTVDLQSRWAAQVIKGTCTLPSMEDMMNDINEKMEKKRKWFGKSETIQTDYIVYMDELSSFIGAKPNIPWLFLTDPKLAMEVYFGPCSPYQFRLVGPGQWPGARNAILTQWDRSLKPMQTRVVGRLQKPCFFFHWLKLFAIPILLIAVFLVLT
P33650 275 773 0.0467084168336673 PF07670.14:Gate:79:169,PF07670.14:Gate:238:415,PF07664.12:FeoB_C:181:233 Fe2+ transporter FeoB 773 322 32 269 10 Escherichia coli K-12 substr. MG1655 ecocyc::FEOB-MONOMER 1 ecocyc DKIVLNRFLGLPIFLFVMYLMFLLAINIGGALQPLFDVGSVALFVHGIQWIGYTLHFPDWLTIFLAQGLGGGINTVLPLVPQIGMMYLFLSFLEDSGYMARAAFVMDRLMQALGLPGKSFVPLIVGFGCNVPSVMGARTLDAPRERLMTIMMAPFMSCGARLAIFAVFAAAFFGQNGALAVFSLYMLGIVMAVLTGLMLKYTIMRGEATPFVMELPVYHVPHVKSLIIQTWQRLKGFVLRAGKVIIIVSIFLSAFNSFSLSGKIVDNINDSALASVSRVITPVFKPIGVHEDNWQATVGLFTGAMAKEVVVGTLNTLYTAENIQDEEFNPAEFNLGEELFSAIDETWQSLKDTFSLSVLMNPIEASKGDGEMGTGAMGVMDQKFGSAAAAYSYLIFVLLYVPCISVMGAIARESSRGWMGFSILWGLNIAYSLATLFYQVASYSQHPTYSLVCILAVILFNIVVIGLLRRARSRVDIELLATRKSVSSCCAASTTGDCH
P39106 1 762 0.216310104986877 PF11051.8:Mannosyl_trans3:317:613 Alpha-1,3-mannosyltransferase MNN1; EC 2.4.1.- 762 297 32 739 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39106 1 SwissProt MLALRRFILNQRSLRSCTIPILVGALIIILVLFQLVTHRNDALIRSSNVNSTNKKTLKDADPKVLIEAFGSPEVDPVDTIPVSPLELVPFYDQSIDTKRSSSWLINKKGYYKHFNELSLTDRCKFYFRTLYTLDDEWTNSVKKLEYSINDNEGVDEGKDANGNPMDEKSERLYRRKYDMFQAFERIRAYDRCFMQANPVNIQEIFPKSDKMSKERVQSKLIKTLNATFPNYDPDNFKKYDQFEFEHKMFPFINNFTTETFHEMVPKITSPFGKVLEQGFLPKFDHKTGKVQEYFKYEYDPSKTFWANWRDMSAKVAGRGIVLSLGSNQFPLAVKFIASLRFEGNTLPIQVVYRGDELSQELVDKLIYAARSPDFKPVENNYDNSTNVPQEIWFLDVSNTIHPKWRGDFGSYKSKWLVVLLNLLQEFVFLDIDAISYEKIDNYFKTTEYQKTGTVFYRERALRENVNERCIARYETLLPRNLESKNFQNSLLIDPDHALNECDNTLTTEEYIFKAFFHHRRQHQLEAGLFAVDKSKHTIPLVLAAMIHLAKNTAHCTHGDKENFWLGFLAAGHTYALQGVYSGAIGDYVKKTDLNGKRQEAAVEICSGQIAHMSTDKKTLLWVNGGGTFCKHDNAAKDDWKKDGDFKKFKDQFKTFEEMEKYYYITPISSKYVILPDPKSDDWHRASAGACGGYIWCATHKTLLKPYSYNHRTTHGELITLDEEQRLHIDAVNTVWSHANKDNTRSFTEEEIKELENSRHEQS
P97430 1 84 0.0901142857142857 PF00095.21:WAP:32:76 Antileukoproteinase; ALP; Secretory leukocyte protease inhibitor 131 45 32 84 0 Mus musculus (Mouse) SwissProt::P97430 1 SwissProt MKSCGLLPFTVLLALGILAPWTVEGGKNDAIKIGACPAKKPAQCLKLEKPQCRTDWECPGKQRCCQDACGSKCVNPVPIRKPVW
Q02446 1 626 0.562350479233227 Transcription factor Sp4; SPR-1 784 0 32 626 0 Homo sapiens (Human) SwissProt::Q02446 1 SwissProt MSDQKKEEEEEAAAAAAMATEGGKTSEPENNNKKPKTSGSQDSQPSPLALLAATCSKIGTPGENQATGQQQIIIDPSQGLVQLQNQPQQLELVTTQLAGNAWQLVASTPPASKENNVSQPASSSSSSSSSNNGSASPTKTKSGNSSTPGQFQVIQVQNPSGSVQYQVIPQLQTVEGQQIQINPTSSSSLQDLQGQIQLISAGNNQAILTAANRTASGNILAQNLANQTVPVQIRPGVSIPLQLQTLPGTQAQVVTTLPINIGGVTLALPVINNVAAGGGTGQVGQPAATADSGTSNGNQLVSTPTNTTTSASTMPESPSSSTTCTTTASTSLTSSDTLVSSADTGQYASTSASSSERTIEESQTPAATESEAQSSSQLQPNGMQNAQDQSNSLQQVQIVGQPILQQIQIQQPQQQIIQAIPPQSFQLQSGQTIQTIQQQPLQNVQLQAVNPTQVLIRAPTLTPSGQISWQTVQVQNIQSLSNLQVQNAGLSQQLTITPVSSSGGTTLAQIAPVAVAGAPITLNTAQLASVPNLQTVSVANLGAAGVQVQGVPVTITSVAGQQQGQDGVKVQQATIAPVTVAVGGIANATIGAVSPDQLTQVHLQQGQQTSDQEVQPGKRLRRVACS
Q13145 1 260 0.189936923076923 PF06211.12:BAMBI:4:111 BMP and activin membrane-bound inhibitor homolog 260 108 32 237 1 Homo sapiens Q13145 1 SwissProt/TReMBL MDRHSSYIFIWLQLELCAMAVLLTKGEIRCYCDAAHCVATGYMCKSELSACFSRLLDPQNSNSPLTHGCLDSLASTTDICQAKQARNHSGTTIPTLECCHEDMCNYRGLHDVLSPPRGEASGQGNRYQHDGSRNLITKVQELTSSKELWFRAAVIAVPIAGGLILVLLIMLALRMLRSENKRLQDQRQQMLSRLHYSFHGHHSKKGQVAKLDLECMVPVSGHENCCLTCDKMRQADLSNDKILSLVHWGMYSGHGKLEFV
SwissProt::Q9BQB6 1 163 0.0279073619631902 PF07884.14:VKOR:10:149 Vitamin K epoxide reductase complex subunit 1; Vitamin K1 2,3-epoxide reductase subunit 1; EC 1.17.4.4 163 140 32 97 3 Homo sapiens (Human) SwissProt::Q9BQB6 1 SwissProt MGSTWGSPGWVRLALCLTGLVLSLYALHVKAARARDRDYRALCDVGTAISCSRVFSSRWGRGFGLVEHVLGQDSILNQSNSIFGCIFYTLQLLLGCLRTRWASVLMLLSSLVSLAGSVYLAWILFFVLYDFCIVCITTYAINVSLMWLSFRKVQEPQGKAKRH
VIMSS10078761 1 248 0.354303629032258 PF00643.24:zf-B_box:55:95 B-box zinc finger protein 24; Salt tolerance protein 248 41 32 248 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96288 1 SwissProt MKIQCDVCEKAPATVICCADEAALCPQCDIEIHAANKLASKHQRLHLNSLSTKFPRCDICQEKAAFIFCVEDRALLCRDCDESIHVANSRSANHQRFLATGIKVALTSTICSKEIEKNQPEPSNNQQKANQIPAKSTSQQQQQPSSATPLPWAVDDFFHFSDIESTDKKGQLDLGAGELDWFSDMGFFGDQINDKALPAAEVPELSVSHLGHVHSYKPMKSNVSHKKPRFETRYDDDDEEHFIVPDLG
VIMSS10079077 121 280 0.730705 Transcription factor MYB60; Myb domain protein 60; AtMYB60 280 0 32 160 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GYP5 1 SwissProt SDERSRSENIALQTSSTRNTINHRSTYASSTENISRLLEGWMRASPKSSTSTTFLEHKMQNRTNNFIDHHSDQFPYEQLQGSWEEGHSKGINGDDDQGIKNSENNNGDDVHHEDGDHEDDDDHNATPPLTFIEKWLLEETSTTGGQMEEMSHLMELSNML
VIMSS10079136 1 378 0.205861111111111 PF01370.21:Epimerase:56:273,PF13460.6:NAD_binding_10:60:212,PF04321.17:RmlD_sub_bind:55:299 Chloroplast stem-loop binding protein of 41 kDa b, chloroplastic; CSP41-b; Heteroglycan-interacting protein 1.3; Protein CHLOROPLAST RNA BINDING; Protein Gb5f 378 245 32 378 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SA52 1 SwissProt MAKMMMLQQHQPSFSLLTSSLSDFNGAKLHLQVQYKRKVHQPKGALYVSASSEKKILIMGGTRFIGLFLSRILVKEGHQVTLFTRGKSPIAKQLPGESDQDFADFSSKILHLKGDRKDYDFVKSSLSAEGFDVVYDINGREAEEVEPILEALPKLEQYIYCSSAGVYLKSDILPHCEEDAVDPKSRHKGKLETESLLQSKGVNWTSIRPVYIYGPLNYNPVEEWFFHRLKAGRPIPVPNSGIQISQLGHVKDLATAFLNVLGNEKASREIFNISGEKYVTFDGLAKACAKAGGFPEPEIVHYNPKEFDFGKKKAFPFRDQHFFASVEKAKHVLGWKPEFDLVEGLTDSYNLDFGRGTFRKEADFTTDDMILSKKLVLQ
VIMSS10085387 1 495 0.352664242424242 Alpha-amylase 3, chloroplastic; AtAMY3; 1,4-alpha-D-glucan glucanohydrolase; EC 3.2.1.1 887 0 32 495 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94A41 1 SwissProt MSTVPIESLLHHSYLRHNSKVNRGNRSFIPISLNLRSHFTSNKLLHSIGKSVGVSSMNKSPVAIRATSSDTAVVETAQSDDVIFKEIFPVQRIEKAEGKIYVRLKEVKEKNWELSVGCSIPGKWILHWGVSYVGDTGSEWDQPPEDMRPPGSIAIKDYAIETPLKKLSEGDSFFEVAINLNLESSVAALNFVLKDEETGAWYQHKGRDFKVPLVDDVPDNGNLIGAKKGFGALGQLSNIPLKQDKSSAETDSIEERKGLQEFYEEMPISKRVADDNSVSVTARKCPETSKNIVSIETDLPGDVTVHWGVCKNGTKKWEIPSEPYPEETSLFKNKALRTRLQRKDDGNGSFGLFSLDGKLEGLCFVLKLNENTWLNYRGEDFYVPFLTSSSSPVETEAAQVSKPKRKTDKEVSASGFTKEIITEIRNLAIDISSHKNQKTNVKEVQENILQEIEKLAAEAYSIFRSTTPAFSEEGVLEAEADKPDIKISSGTGSGF
VIMSS10089108 1 1032 0.371166472868217 PF03859.16:CG-1:21:134,PF00612.27:IQ:854:872,PF00612.27:IQ:877:896,PF01833.24:TIG:465:550 Calmodulin-binding transcription activator 3; AtCAMTA3; Ethylene-induced calmodulin-binding protein 1; EICBP1; Ethylene-induced calmodulin-binding protein a; EICBP.a; Protein SAR-DEFICIENT 3; Signal-responsive protein 1; AtSR1 1032 239 32 1032 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GSA7 1 SwissProt MAEARRFSPVHELDVGQILSEARHRWLRPPEICEILQNYQRFQISTEPPTTPSSGSVFMFDRKVLRYFRKDGHNWRKKKDGKTVKEAHERLKAGSVDVLHCYYAHGQDNENFQRRSYWLLQEELSHIVFVHYLEVKGSRVSTSFNRMQRTEDAARSPQETGDALTSEHDGYASCSFNQNDHSNHSQTTDSASVNGFHSPELEDAESAYNQHGSSTAYSHQELQQPATGGNLTGFDPYYQISLTPRDSYQKELRTIPVTDSSIMVDKSKTINSPGVTNGLKNRKSIDSQTWEEILGNCGSGVEALPLQPNSEHEVLDQILESSFTMQDFASLQESMVKSQNQELNSGLTSDRTVWFQGQDMELNAISNLASNEKAPYLSTMKQHLLHGALGEEGLKKMDSFNRWMSKELGDVGVIADANESFTQSSSRTYWEEVESEDGSNGHNSRRDMDGYVMSPSLSKEQLFSINDFSPSWAYVGCEVVVFVTGKFLKTREETEIGEWSCMFGQTEVPADVISNGILQCVAPMHEAGRVPFYVTCSNRLACSEVREFEYKVAESQVFDREADDESTIDILEARFVKLLCSKSENTSPVSGNDSDLSQLSEKISLLLFENDDQLDQMLMNEISQENMKNNLLQEFLKESLHSWLLQKIAEGGKGPSVLDEGGQGVLHFAASLGYNWALEPTIIAGVSVDFRDVNGWTALHWAAFFGRERIIGSLIALGAAPGTLTDPNPDFPSGSTPSDLAYANGHKGIAGYLSEYALRAHVSLLSLNDKNAETVEMAPSPSSSSLTDSLTAVRNATQAAARIHQVFRAQSFQKKQLKEFGDKKLGMSEERALSMLAPKTHKSGRAHSDDSVQAAAIRIQNKFRGYKGRKDYLITRQRIIKIQAHVRGYQFRKNYRKIIWSVGVLEKVILRWRRKGAGLRGFKSEALVEKMQDGTEKEEDDDFFKQGRKQTEDRLQKALARVKSMVQYPEARDQYRRLLNVVNDIQESKVEKALENSEATCFDDDDDLIDIEALLEDDDTLMLPMSSSLWTS
VIMSS10089571 133 345 0.453778403755868 Heat stress transcription factor A-2; AtHsfA2; AtHsf-04 345 0 32 213 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80982 1 SwissProt RRRNMGLQNVNQQGSGMSCVEVGQYGFDGEVERLKRDHGVLVAEVVRLRQQQHSSKSQVAAMEQRLLVTEKRQQQMMTFLAKALNNPNFVQQFAVMSKEKKSLFGLDVGRKRRLTSTPSLGTMEENLLHDQEFDRMKDDMEMLFAAAIDDEANNSMPTKEEQCLEAMNVMMRDGNLEAALDVKVEDLVGSPLDWDSQDLHDMVDQMGFLGSEP
VIMSS10094065 1 300 0.548185000000001 PF06200.14:tify:175:206 Protein TIFY 6B; Jasmonate ZIM domain-containing protein 3; Protein JASMONATE INSENSITIVE 3 352 32 32 300 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVI4 1 SwissProt MERDFLGLGSKNSPITVKEETSESSRDSAPNRGMNWSFSNKVSASSSQFLSFRPTQEDRHRKSGNYHLPHSGSFMPSSVADVYDSTRKAPYSSVQGVRMFPNSNQHEETNAVSMSMPGFQSHHYAPGGRSFMNNNNNSQPLVGVPIMAPPISILPPPGSIVGTTDIRSSSKPIGSPAQLTIFYAGSVCVYDDISPEKAKAIMLLAGNGSSMPQVFSPPQTHQQVVHHTRASVDSSAMPPSFMPTISYLSPEAGSSTNGLGATKATRGLTSTYHNNQANGSNINCPVPVSCSTNVMAPTVA
VIMSS10094237 1 431 0.632199767981439 PF00170.21:bZIP_1:353:405,PF07716.15:bZIP_2:352:400 ABSCISIC ACID-INSENSITIVE 5-like protein 7; ABA-responsive element-binding protein 2; Abscisic acid responsive elements-binding factor 4; ABRE-binding factor 4; bZIP transcription factor 38; AtbZIP38 431 54 32 431 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M7Q2 1 SwissProt MGTHINFNNLGGGGHPGGEGSSNQMKPTGSVMPLARQSSVYSLTFDELQNTLGGPGKDFGSMNMDELLKSIWTAEEAQAMAMTSAPAATAVAQPGAGIPPPGGNLQRQGSLTLPRTISQKTVDEVWKCLITKDGNMEGSSGGGGESNVPPGRQQTLGEMTLEEFLFRAGVVREDNCVQQMGQVNGNNNNGFYGNSTAAGGLGFGFGQPNQNSITFNGTNDSMILNQPPGLGLKMGGTMQQQQQQQQLLQQQQQQMQQLNQPHPQQRLPQTIFPKQANVAFSAPVNITNKGFAGAANNSINNNNGLASYGGTGVTVAATSPGTSSAENNSLSPVPYVLNRGRRSNTGLEKVIERRQRRMIKNRESAARSRARKQAYTLELEAEIEKLKKTNQELQKKQAEMVEMQKNELKETSKRPWGSKRQCLRRTLTGPW
VIMSS10096525 186 956 0.262730609597924 PF02170.22:PAZ:611:746,PF00271.31:Helicase_C:166:273 ribonuclease III family protein (RefSeq) 1531 244 32 771 0 Arabidopsis thaliana VIMSS10096525 1 MicrobesOnline NPEEREGVEKFATTVKEGPILYNPSPSCSLELKEKLETSHLKFDASLRRLQELGKDSFLNMDNKFETYQKRLSIDYREILHCLDNLGLICAHLAAEVCLEKISDTKEESETYKECSMVCKEFLEDILSTIGVYLPQDDKSLVDLQQNHLSAVISGHVSPKLKELFHLLDSFRGDKQKQCLILVERIITAKVIERFVKKEASLAYLNVLYLTENNPSTNVSAQKMQIEIPDLFQHGKVNLLFITDVVEEGFQVPDCSCMVCFDLPKTMCSYSQSQKHAKQSNSKSIMFLERGNPKQRDHLHDLMRREVLIQDPEAPNLKSCPPPVKNGHGVKEIGSMVIPDSNITVSEEAASTQTMSDPPSRNEQLPPCKKLRLDNNLLQSNGKEKVASSKSKSSSSAAGSKKRKELHGTTCANALSGTWGENIDGATFQAYKFDFCCNISGEVYSSFSLLLESTLAEDVGKVEMDLYLVRKLVKASVSPCGQIRLSQEELVKAKYFQQFFFNGMFGKLFVGSKSQGTKREFLLQTDTSSLWHPAFMFLLLPVETNDLASSATIDWSAINSCASIVEFLKKNSLLDLRDSDGNQCNTSSGQEVLLDDKMEETNLIHFANASSDKNSLEELVVIAIHTGRIYSIVEAVSDSSAMSPFEVDASSGYATYAEYFNKKYGIVLAHPNQPLMKLKQSHHAHNLLVDFNEEMVVKTEPKAGNVRKRKPNIHAHLPPELLARIDVPRAVLKSIYLLPSVMHRLESLMLASQLREEIDCSIDNFSISSTS
VIMSS10097459 1 314 0.06045 PF03982.13:DAGAT:40:307 Diacylglycerol O-acyltransferase 2; AtDGAT2; EC 2.3.1.20 314 268 32 268 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ASU1 1 SwissProt MGGSREFRAEEHSNQFHSIIAMAIWLGAIHFNVALVLCSLIFLPPSLSLMVLGLLSLFIFIPIDHRSKYGRKLARYICKHACNYFPVSLYVEDYEAFQPNRAYVFGYEPHSVLPIGVVALCDLTGFMPIPNIKVLASSAIFYTPFLRHIWTWLGLTAASRKNFTSLLDSGYSCVLVPGGVQETFHMQHDAENVFLSRRRGFVRIAMEQGSPLVPVFCFGQARVYKWWKPDCDLYLKLSRAIRFTPICFWGVFGSPLPCRQPMHVVVGKPIEVTKTLKPTDEEIAKFHGQYVEALRDLFERHKSRVGYDLELKIL
VIMSS10100394 1 543 0.189154880294659 PF09737.9:Det1:122:543 Light-mediated development protein DET1; Protein DEETIOLATED 1 543 422 32 543 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48732 1 SwissProt MFTSGNVTARVFERQIRTPPPGASVNRARHFYENLVPSYTLYDVESPDHCFRKFTEDGLFLISFSRNHQELIVYRPSWLTYSTTDDSTTTLPPLPRRASKFDSFFTQLYSVNLASSNELICKDFFLYHQTRRFGLFATSTAQIHDSSSPSNDAVPGVPSIDKITFVLLRLDDGVVLDERVFLHDFVNLAHNMGVFLYDDLLAILSLRYQRIHLLQIRDSGHLVDARAIGYFCREDDELFLNSSSQAMMSQDKSKQQSLSGSKEDDTGENGLRHSLSQPSGSNSFLSGVKQRLLSFIFREIWNEESDNVMRVQSLKKKFYFHFQDYVDLIIWKVQFLDRQHLLIKFGSVDGGVTRSADHHPAFFAVYNMETTDIVAFYQNSAEDLYQLFEQFSDHFTVSSSTPFMNFVTSHSNNVYALEQLKYTKNKSNSFSQFVKKMLLSLPFSCQSQSPSPYFDQSLFRFDEKLISAADRHRQSSDNPIKFISRRQPQTLKFKIKPGPECGTADGRSKKICSFLFHPHLPLAISIQQTLFMPPSVVNIHFRR
VIMSS10105487 1 525 0.108874095238095 PF00520.31:Ion_trans:125:449 Cyclic nucleotide-gated ion channel 2; AtCNGC2; Cyclic nucleotide- and calmodulin-regulated ion channel 2; Protein DEFENSE NO DEATH 1 726 325 32 393 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65718 1 SwissProt MPSHPNFIFRWIGLFSDKFRRQTTGIDENSNLQINGGDSSSSGSDETPVLSSVECYACTQVGVPAFHSTSCDQAHAPEWRASAGSSLVPIQEGSVPNPARTRFRRLKGPFGEVLDPRSKRVQRWNRALLLARGMALAVDPLFFYALSIGRTTGPACLYMDGAFAAVVTVLRTCLDAVHLWHVWLQFRLAYVSRESLVVGCGKLVWDPRAIASHYARSLTGFWFDVIVILPVPQAVFWLVVPKLIREEKVKLIMTILLLIFLFQFLPKIYHCICLMRRMQKVTGYIFGTIWWGFALNLIAYFIASHVAGGCWYVLAIQRVASCIRQQCMRTGNCNLSLACKEEVCYQFVSPTSTVGYPCLSGNLTSVVNKPMCLDSNGPFRYGIYRWALPVISSNSLAVKILYPIFWGLMTLSTFANDLEPTSNWLEVIFSIVMVLSGLLLFTLLIGNIQVFLHAVMAKKRKMQIRCRDMEWWMKRRQLPSRLRQRVRRFERQRWNALGGEDELELIHDLPPGLRRDIKRYLCFDL
VIMSS1293657 1 169 0.502126035502959 PF03780.13:Asp23:40:145 alkaline shock protein 23 169 106 32 169 0 Staphylococcus aureus subsp. aureus USA300 CharProtDB::CH_088679 1 CharProtDB MTVDNNKAKQAYDNQTGVNEKEREERQKQQEQNQEPQFKNKLTFSDEVVEKIAGIAAREVKGILDMKGGLTDTFTNAFSSGNNVTQGVSVEVGEKQAAVDLKVILEYGESAPKIFRKVTELVKEQVKYITGLDVVEVNMQVDDVMTQKEWKQKHEKNNENNNQERQGLQ
VIMSS18317 1 173 0.175595375722543 PF08281.12:Sigma70_r4_2:112:164,PF04542.14:Sigma70_r2:16:81,PF04545.16:Sigma70_r4:118:163,PF07638.11:Sigma70_ECF:42:157 RNA polymerase sigma factor FecI 173 149 32 173 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD00440 1 ecocyc MSDRATTTASLTFESLYGTHHGWLKSWLTRKLQSAFDADDIAQDTFLRVMVSETLSTIRDPRSFLCTIAKRVMVDLFRRNALEKAYLEMLALMPEGGAPSPEERESQLETLQLLDSMLDGLNGKTREAFLLSQLDGLTYSEIAHKLGVSISSVKKYVAKAVEHCLLFRLEYGL
VIMSS35390 1 392 0.243214030612245 ESX-1 secretion-associated protein EspA 392 0 32 392 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJE1 1 SwissProt MSRAFIIDPTISAIDGLYDLLGIGIPNQGGILYSSLEYFEKALEELAAAFPGDGWLGSAADKYAGKNRNHVNFFQELADLDRQLISLIHDQANAVQTTRDILEGAKKGLEFVRPVAVDLTYIPVVGHALSAAFQAPFCAGAMAVVGGALAYLVVKTLINATQLLKLLAKLAELVAAAIADIISDVADIIKGTLGEVWEFITNALNGLKELWDKLTGWVTGLFSRGWSNLESFFAGVPGLTGATSGLSQVTGLFGAAGLSASSGLAHADSLASSASLPALAGIGGGSGFGGLPSLAQVHAASTRQALRPRADGPVGAAAEQVGGQSQLVSAQGSQGMGGPVGMGGMHPSSGASKGTTTKKYSEGAAAGTEDAERAPVEADAGGGQKVLVRNVV
VIMSS6583083 1 146 0.124784931506849 PF03650.13:MPC:10:116 Mitochondrial pyruvate carrier 3; MPC3; Protein FMP43 146 107 32 146 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53311 1 SwissProt MSASAFNFAFRRFWNSETGPKTVHFWAPTLKWGLVFAGLNDIKRPVEKVSGAQNLSLLATALIWTRWSFVIKPKNYLLASVNFFLGCTAGYHLTRIANFRIRNGDSFKQVIHYIIKGETPAAVAAKQTASTSMNKGVIGTNPPITH
VIMSS6583784 1 764 0.264750785340314 PF00533.26:BRCT:1:66,PF00533.26:BRCT:111:206,PF16589.5:BRCT_2:324:412,PF12738.7:PTCB-BRCT:8:66 DNA replication regulator DPB11 764 251 32 764 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47027 1 SwissProt MKPFQGITFCPTAINNEILAKKISKKIIKLGGIFSKDLTRQVNVLVVGSTTNTNKFKFAVKHRFDIIFIDIQAIDDIYQLWLSGENILPDSNTATMTGSTYEMLKILYRRFSFKYLHNFNIFIGRITDTNITSIDSLVRSIKKLGCSSYNYQNFVIKDTSSHNDDDDQGQNGQISIFVTDTLLGARVNAAIEQNIPIVHFKWILDCQKRSALLPYDPYYLLPNIKDLPYDSIGSNSCDCWDKINTTFPTNIDAQSSLQRQQSSSTLTPSLPKTSSLLNKFKPKGEKIWDKAMSLQQHSKTNFSVLGQSPLSINNKQEDLSDNSTLIFKNCAFIIHHIFPGNHRSILTKIVVQNGGKIETSYLSGIYDHSYYIIPSNKALDSFNDLPEIIDDNDGIVTEFFIERCLYYQKLLHPIDLWSKPFLSTIEFQVSSSSKLLHHEFSSSPFLNVTITGFSGVELLHLTKVLNLLKPMGINYVEYLNKSTDILLINLAALPSIPKTHPLWSNEFSDLFTQFCINNNNDDPGDNNRKDFQNNSILRNSMKRKIEYIKKFHSIPVVTPAFIFKLLSAASGENNEIFLNNIKWCIICPRGHKDDFKCKIKKPYYTSISSEKKYQNNDPKIDKTILLKRNNSSLSEHSMKDTKNELLQKIRETDSGRKKRSVSSSIMDVSSERQMPDTKRIKLESLPKNFVPKQIKRTTSWGTIMSENVPTEQPTAISNPEEIPRTEEVSHTQVTYGSIQDKKRTASLEKPMRRQTRNQTKELDS
XP_001347836.1 1 243 0.25123621399177 PF08246.12:Inhibitor_I29:165:222 cysteine proteinase falcipain 2a 484 58 32 220 1 Plasmodium falciparum 3D7 XP_001347836.1 1 RefSeq MDYNMDYAPHEVISQQGERFVDKYVDRKILKNKKSLLVIISLSVLSVVGFVLFYFTPNSRKSDLFKNSSVENNNDDYIINSLLKSPNGKKFIVSKIDEALSFYDSKKNDINKYNEGNNNNNADFKGLSLFKENTPSNNFIHNKDYFINFFDNKFLMNNAEHINQFYMFIKTNNKQYNSPNEMKERFQVFLQNAHKVNMHNNNKNSLYKKELNRFADLTYHEFKNKYLSLRSSKPLKNSKYLLD
XP_005247747.2 1 369 0.474723306233063 sentrin-specific protease 2 isoform X1 545 0 32 369 0 Homo sapiens XP_005247747.2 1 RefSeq MYRWLVRILGTIFRFCDRSVPPARALLKRRRSDSTLFSTVDTDEIPAKRPRLDCFIHQVKNSLYNAASLFGFPFQLTTKPMVTSACNGTRNVAPSGEVFSNSSSCELTGSGSWNNMLKLGNKSPNGISDYPKIRVTVTRDQPRRVLPSFGFTLNSEGCNRRPGGRRHSKGNPESSLMWKPQEQAVTEMISEESGKGLRRPHCTVEEGVQKEEREKYRKLLERLKESGHGNSVCPVTSNYHSSQRSQMDTLKTKGWGEEQNHGVKTTQFVPKQYRLVETRGPLCSLRSEKRCSKGKITDTETMVGIRFENESRRGYQLEPDLSEEVSARLRLGSGSNGLLRRKVSIIETKEKNCSGKERDRRTDDLLELT
XP_005274649.1 1 421 0.504658669833729 PF04704.13:Zfx_Zfy_act:70:410 zinc finger X-chromosomal protein isoform X3 805 341 32 421 0 Homo sapiens XP_005274649.1 1 RefSeq MDEDGLELQQEPNSFFDATGADGTHMDGDQIVVEVQETVFVSDVVDSDITVHNFVPDDPDSVVIQDVIEDVVIEDVQCPDIMEEADVSETVIIPEQVLDSDVTEEVSLAHCTVPDDVLASDITSASMSMPEHVLTGDSIHVSDVGHVGHVGHVEHVVHDSVVEAEIVTDPLTTDVVSEEVLVADCASEAVIDANGIPVDQQDDDKGNCEDYLMISLDDAGKIEHDGSSGMTMDTESEIDPCKVDGTCPEVIKVYIFKADPGEDDLGGTVDIVESEPENDHGVELLDQNSSIRVPREKMVYMTVNDSQPEDEDLNVAEIADEVYMEVIVGEEDAAAAAAAAAVHEQQMDDNEIKTFMPIAWAAAYGNNSDGIENRNGTASALLHIDESAGLGRLAKQKPKKRRRPDSRQYQTAIIIGPDGHP
XP_006241302.1 1 608 0.140557730263158 PF07690.16:MFS_1:106:484 vesicular glutamate transporter 3 isoform X2 608 379 32 378 10 Rattus norvegicus XP_006241302.1 1 RefSeq MPFNAFDTFKEKILKPGKEGVKNAVGDSLGILQRKLDGTNEEGDAIELSEEGRPVQTSRARAPVCDCSCCGIPKRYIIAVMTPVCDCSCCGIPKRYIIAVMSGLGFCISFGIRCNLGVAIVEMVNNSTVYVDGKPEIQTAQFNWDPETVGLIHGSFFWGYIVTQIPGGFISNKFAANRVFGAAIFLTSTLNMFIPSAARVHYGCVMCVRILQGLVEGVTYPACHGMWSKWAPPLERSRLATTSFCGSYAGAVVAMPLAGVLVQYIGWASVFYIYGMFGIIWYMFWLLQAYECPAVHPTISNEERTYIETSIGEGANLASLSKFNTPWRRFFTSLPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFAISKVGLLSAVPHMVMTIVVPIGGQLADYLRSRKILTTTAVRKIMNCGGFGMEATLLLVVGFSHTKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPLIVGAMTKHKTREEWQNVFLIAALVHYSGVIFYGVFASGEKQDWADPENLSEEKCGIIDQDELAEETELNHEAFVSPRKKMSYGATTQNCEVQKTDRRQQRESAFEGEEPLSYQNEEDFSETS
XP_006246645.1 347 907 0.606810160427807 myocardin isoform X2 907 0 32 561 0 Rattus norvegicus XP_006246645.1 1 RefSeq NFGDITTVTFPVTPNTLPSYQSSPSGFYHFGSTSSSPPISPASSDLSAAGSLPDTFTDASPGFGLHASPVPACTDESLLSSLNGGSGPSEPDGLDSEKDKMLVEKQKVINQLTWKLRQEQRQVEELRMQLQKQKSGCNDQKPLPFLATTIKQEDVSSCPFAAQQASGKGQGHSSDSPPPACETAQLLPHCVESSGQTHVLSSTFLSPQCSPQHSPLGTLKSPQHISLPPSPNNHYFLASSSGAQRENHGVSSPNSSQGCAQNSGAHEGHPPSFSPPSSSLHQPFSGTQADSSHSSGLNPCPKSPVIHPKMTGLQSSDKVGPTFSIPSPTFPKSSPTVPEITQPPSYEDAVKQQMTRSQQMDELLDVLIESGEMPADAREDHSCLQKIPKIPGSSCSPTTILPKSSASFEQASSGGQISFDHYATDSEEHLEVLLNSHSPIGKVSDVTLLKIGSEEPPFDGIMDGFPGKAAEDLFSAHELLPGPLSPMHTQLSPPSVDSSGLQLSFTESPWETMEWLDLTPPSSTPGFSNLTSSGPSIFNIDFLDVTDLNLNSPMDLHLQQW
XP_006498114.1 1 272 0.432192279411765 angiopoietin-related protein 2 isoform X1 493 0 32 272 0 Mus musculus XP_006498114.1 1 RefSeq MRPLCMTYWWLGLLATVGAATGPEADVEGTEDGSQREYIYLNRYKRAGESPDKCTYTFIVPQQRVTGAICVNSKEPEVHLENRVHKQELELLNNELLKQKRQIETLQQLVEVDGGIVSEVKLLRKESRNMNSRVTQLYMQLLHEIIRKRDNALELSQLENRILNQTADMLQLASKYKDLEHKFQHLAMLAHNQSEVIAQLEEHCQRVPAARPMPQPPPAAPPRVYQPPTYNRIINQISTNEIQSDQNLKVLPPSLPTMPALTSLPSSTDKPS
XP_006508090.1 1 228 0.446815350877193 nucleobindin-2 isoform X2 314 0 32 228 0 Mus musculus XP_006508090.1 1 RefSeq MRWRIIQVQYCFLLVPCMLTALEAVPIDVDKTKVHNTEPVENARIEPPDTGLYYDEYLKQVIEVLETDPHFREKLQKADIEEIRSGRLSQELDLVSHKVRTRLDELKRQEVGRLRMLIKAKLDALQDTGMNHHLLLKQFEHLNHQNPNTFESRDLDMLIKAATADLEQYDRTRHEEFKKYEMMKEHERREYLKTLSEEKRKEEESKFEEMKRKHEDHPKVNHPGSKDQ
XP_006514604.1 1 191 0.0992225130890052 PF03185.15:CaKB:8:189 calcium-activated potassium channel subunit beta-1 isoform X1 191 182 32 145 2 Mus musculus XP_006514604.1 1 RefSeq MGKKLVMAQKRGETRALCLGVAMVVCAAITYYVLGTTVLPLYQKSVWTQESICHLIETNIKDQEELEGKKVPQYPCLWVNVSAVGRWAMLYHTEDTRDQNQQCSYIPRNLDNYQTALADVKKVRANFYKHHEFYCLSAPQVNETSVVYQRLYGPQVLLFSFFWPTFLLTGGLILIAMVKLNRSLSILAAQK
XP_006713878.1 1 1271 0.271042800944138 PF00435.21:Spectrin:313:416,PF00435.21:Spectrin:542:642,PF00435.21:Spectrin:893:1000,PF00435.21:Spectrin:1134:1226,PF13716.6:CRAL_TRIO_2:57:183,PF00650.20:CRAL_TRIO:42:177 kalirin isoform X16 1665 548 32 1271 0 Homo sapiens XP_006713878.1 1 RefSeq MNPPEGAAEEGGAADSDVDAFFRTGSFRNDGLKASDVLPILKEKVAFVSGGRDKRGGPILTFPARSNHDRIRQEDLRKLVTYLASVPSEDVCKRGFTVIIDMRGSKWDLIKPLLKTLQEAFPAEIHVALIIKPDNFWQKQKTNFGSSKFIFETSMVSVEGLTKLVDPSQLTEEFDGSLDYNHEEWIELRLSLEEFFNSAVHLLSRLEDLQEMLARKEFPVDVEGSRRLIDEHTQLKKKVLKAPVEELDREGQRLLQCIRCSDGFSGRNCIPGSADFQSLVPKITSLLDKLHSTRQHLHQMWHVRKLKLDQCFQLRLFEQDAEKMFDWISHNKELFLQSHTEIGVSYQYALDLQTQHNHFAMNSMNAYVNINRIMSVASRLSEAGHYASQQIKQISTQLDQEWKSFAAALDERSTILAMSAVFHQKAEQFLSGVDAWCKMCSEGGLPSEMQDLELAIHHHQTLYEQVTQAYTEVSQDGKALLDVLQRPLSPGNSESLTATANYSKAVHQVLDVVHEVLHHQRRLESIWQHRKVRLHQRLQLCVFQQDVQQVLDWIENHGEAFLSKHTGVGKSLHRARALQKRHDDFEEVAQNTYTNADKLLEAAEQLAQTGECDPEEIYKAARHLEVRIQDFVRRVEQRKLLLDMSVSFHTHTKELWTWMEDLQKEMLEDVCADSVDAVQELIKQFQQQQTATLDATLNVIKEGEDLIQQLRSAPPSLGEPSEARDSAVSNNKTPHSSSISHIESVLQQLDDAQVQMEELFHERKIKLDIFLQLRIFEQYTIEVTAELDAWNEDLLRQMNDFNTEDLTLAEQRLQRHTERKLAMNNMTFEVIQQGQDLHQYITEVQASGIELICEKDIDLAAQVQELLEFLHEKQHELELNAEQTHKRLEQCLQLRHLQAEVKQVLGWIRNGESMLNASLVNASSLSEAEQLQREHEQFQLAIESLFHATSLQKTHQSALQVQQKAEVLLQAGHYDADAIRECAEKVALHWQQLMLKMEDRLKLVNASVAFYKTSEQVCSVLESLEQEYRRDEDWCGGRDKLGPAAEIDHVIPLISKHLEQKEAFLKACTLARRNAEVFLKYIHRNNVSMPSVASHTRGPEQQVKAILSELLQRENRVLHFWTLKKRRLDQCQQYVVFERSAKQALDWIQETGEFYLSTHTSTGETTEETQELLKEYGEFRVPAKQTKEKVKLLIQLADSFVEKGHIHATEIRKWVTTVDKHYRDFSLRMGKYRYSLEKALGVNTEDNKDLELDIIPASLSDREVKLRDANH
XP_011524203.1 1 755 0.387287682119205 PF02535.22:Zip:323:518,PF02535.22:Zip:524:742 Zinc transporter ZIP6; Estrogen-regulated protein LIV-1; Solute carrier family 39 member 6; Zrt- and Irt-like protein 6; ZIP-6 755 415 32 623 6 Homo sapiens (Human) SwissProt::Q13433 1 SwissProt MARKLSVILILTFALSVTNPLHELKAAAFPQTTEKISPNWESGINVDLAISTRQYHLQQLFYRYGENNSLSVEGFRKLLQNIGIDKIKRIHIHHDHDHHSDHEHHSDHERHSDHEHHSEHEHHSDHDHHSHHNHAASGKNKRKALCPDHDSDSSGKDPRNSQGKGAHRPEHASGRRNVKDSVSASEVTSTVYNTVSEGTHFLETIETPRPGKLFPKDVSSSTPPSVTSKSRVSRLAGRKTNESVSEPRKGFMYSRNTNENPQECFNASKLLTSHGMGIQVPLNATEFNYLCPAIINQIDARSCLIHTSEKKAEIPPKTYSLQIAWVGGFIAISIISFLSLLGVILVPLMNRVFFKFLLSFLVALAVGTLSGDAFLHLLPHSHASHHHSHSHEEPAMEMKRGPLFSHLSSQNIEESAYFDSTWKGLTALGGLYFMFLVEHVLTLIKQFKDKKKKNQKKPENDDDVEIKKQLSKYESQLSTNEEKVDTDDRTEGYLRADSQEPSHFDSQQPAVLEEEEVMIAHAHPQEVYNEYVPRGCKNKCHSHFHDTLGQSDDLIHHHHDYHHILHHHHHQNHHPHSHSQRYSREELKDAGVATLAWMVIMGDGLHNFSDGLAIGAAFTEGLSSGLSTSVAVFCHELPHELGDFAVLLKAGMTVKQAVLYNALSAMLAYLGMATGIFIGHYAENVSMWIFALTAGLFMYVALVDMVPEMLHNDASDHGCSRWGYFFLQNAGMLLGFGIMLLISIFEHKIVFRINF 2
XP_011527123.1 1 79 0.34699746835443 PF05039.12:Agouti:43:77 Agouti-signaling protein 132 35 32 79 0 Homo sapiens P42127 1 SwissProt/TReMBL MDVTRLLLATLLVFLCFFTANSHLPPEEKLRDDRSLRSNSSVNLLDVPSVSIVALNKKSKQIGRKAAEKKRSSKKEASM
XP_011528413.1 1 90 0.486496666666667 thioredoxin, mitochondrial isoform X3 128 0 32 90 0 Homo sapiens XP_011528413.1 1 RefSeq MYVPPRGSDDRRALDRQGGLGCASLRSHCREMAQRLLLRRFLASVISRKPSQGQWPPLTSRALQTPQCSPGGLTVTPNPARTIYTTRISL
XP_016860521.1 1 564 0.102933510638298 PF01770.18:Folate_carrier:11:51,PF01770.18:Folate_carrier:118:509,PF07690.16:MFS_1:100:482 thiamine transporter 2 isoform X3 564 451 32 297 12 Homo sapiens XP_016860521.1 1 RefSeq MDCYRTSLSSSWIYPTVILCLFGFFSMMRPSEPFLIPYLSGPDKNLTSAELLRLKHATIKTTKDRCRVRSNSMNPLGSASRATVDQLTDLPSKEQLSCVVFALWPPAFSSNLSDPGVKITNEIFPVWTYSYLVLLLPVFVLTDYVRYKPVIILQGISFIITWLLLLFGQGVKTMQVVEFFYGMVTAAEVAYYAYIYSVVSPEHYQRVSGYCRSVTLAAYTAGSVLAQLLVSLANMSYFYLNVISLASVSVAFLFSLFLPMPKKSMFFHAKPSREIKKSSSVNPVLEETHEGEAPGCEEQKPTSEILSTSGKLNKGQLNSLKPSNVTVDVFVQWFQDLKECYSSKRLFYWSLWWAFATAGFNQVLNYVQILWDYKAPSQDSSIYNGAVEAIATFGGAVAAFAVGYVKVNWDLLGELALVVFSVVNAGSLFLMHYTANIWACYAGYLIFKSSYMLLITIAVFQIAVNLNVERYALVFGINTFIALVIQTIMTVIVVDQRGLNLPVSIQFLVYGSYFAVIAGIFLMRSMYITYSTKSQKDVQSPAPSENPDVSHPEEESNIIMSTKL
XP_715199.1 1 209 0.683822966507178 Transcriptional regulator NRG1 310 0 32 209 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5A0E5 1 SwissProt MLYQQSYPITNKLLNASAAGSTSTASIIDGGCTLSKPGSGKTKSTTSLPSFNELLTSIPLPNEFKPSTKNTNQAAAATATSPYNYYMGPPAQHRLPTPPPYPMSSPTTATAATPLSQQSPHLQPQQTLQQPQPYHQQYYNYQYAAPPYPHPSQVPPPASYQQRHQQPMYQNTNGVPIIIRPSPGLITPTSTTFDHAKIRSNSTGDLSAN
NP_001035791.1 1 359 0.16381643454039 PF00852.19:Glyco_transf_10:188:358,PF17039.5:Glyco_tran_10_N:62:169 4-galactosyl-N-acetylglucosaminide 3-alpha-L-fucosyltransferase (EC 2.4.1.152); 3-galactosyl-N-acetylglucosaminide 4-alpha-L-fucosyltransferase (EC 2.4.1.65) 359 279 31 359 0 Homo sapiens BRENDA::P51993 1 BRENDA MDPLGPAKPQWSWRCCLTTLLFQLLMAVCFFSYLRVSQDDPTVYPNGSRFPDSTGTPAHSIPLILLWTWPFNKPIALPRCSEMVPGTADCNITADRKVYPQADAVIVHHREVMYNPSAQLPRSPRRQGQRWIWFSMESPSHCWQLKAMDGYFNLTMSYRSDSDIFTPYGWLEPWSGQPAHPPLNLSAKTELVAWAVSNWGPNSARVRYYQSLQAHLKVDVYGRSHKPLPQGTMMETLSRYKFYLAFENSLHPDYITEKLWRNALEAWAVPVVLGPSRSNYERFLPPDAFIHVDDFQSPKDLARYLQELDKDHARYLSYFRWRETLRPRSFSWALAFCKACWKLQEESRYQTRGIAAWFT
NP_001073860.1 1 594 0.629497138047138 PF03172.13:HSR:50:147 nuclear autoantigen Sp-100 isoform 1 885 98 31 594 0 Homo sapiens NP_001073860.1 1 RefSeq MAGGGGDLSTRRLNECISPVANEMNHLPAHSHDLQRMFTEDQGVDDRLLYDIVFKHFKRNKVEISNAIKKTFPFLEGLRDRDLITNKMFEDSQDSCRNLVPVQRVVYNVLSELEKTFNLPVLEALFSDVNMQEYPDLIHIYKGFENVIHDKLPLQESEEEEREERSGLQLSLEQGTGENSFRSLTWPPSGSPSHAGTTPPENGLSEHPCETEQINAKRKDTTSDKDDSLGSQQTNEQCAQKAEPTESCEQIAVQVNNGDAGREMPCPLPCDEESPEAELHNHGIQINSCSVRLVDIKKEKPFSNSKVECQAQARTHHNQASDIIVISSEDSEGSTDVDEPLEVFISAPRSEPVINNDNPLESNDEKEGQEATCSRPQIVPEPMDFRKLSTFRESFKKRVIGQDHDFSESSEEEAPAEASSGALRSKHGEKAPMTSRSTSTWRIPSRKRRFSSSDFSDLSNGEELQETCSSSLRRGSGSQPQEPENKKCSCVMCFPKGVPRSQEARTESSQASDMMDTMDVENNSTLEKHSGKRRKKRRHRSKVNGLQRGRKKDRPRKHLTLNNKVQKKRWQQRGRKANTRPLKRRRKRGPRIPK
NP_001124526.1 1 199 0.514058793969849 PF04856.13:Securin:1:174 Securin; Pituitary tumor-transforming gene 1 protein 199 174 31 199 0 Mus musculus (Mouse) SwissProt::Q9CQJ7 1 SwissProt MATLIFVDKDNEEPGRRLASKDGLKLGTGVKALDGKLQVSTPRVGKVFNAPAVPKASRKALGTVNRVAEKPMKTGKPLQPKQPTLTGKKITEKSTKTQSSVPAPDDAYPEIEKFFPFNPLDFESFDLPEEHQISLLPLNGVPLMTLNEERGLEKLLHLGPPSPLKTPFLSWESDPLYSPPSALSTLDVELPPVCYDADI
NP_001152867.1 1 349 0.61212893982808 NF-kappa-B inhibitor zeta isoform b 629 0 31 349 0 Mus musculus NP_001152867.1 1 RefSeq MGVGRQQRGPFQGVRVKNSVKELLLHIRSNKQKASGQPVDEFKTQSVNIEQLTDLKSAVSAVGKRKGPDPLSDGPVCKRPALLPSHFVTSPQTPTPGESMEDVRHSESKLDSSAALLQNIINIKNECNPVSLNTVQVSWMSPTVPQNSPRDQCQDFHGGQAFSPPQKYQPFQVSGSPQMMDQASMYQYSPQTQNMQQPPPLPPQQQHQQNYPHNSPLQFSPYSRMSQSPKYDSNLFDTHEPQFCTGQSFVSLLTGPGEPESLAVPVPAPTSIPPQTETQLQTFSLMPSNACEAVVGVHDVGSHSLGTSLSLQNIMGSPMNTTQLGKSFFQWQVEQEESKLANIPQDQFL
NP_001166924.1 1 450 0.361732888888889 PF04516.15:CP2:56:188,PF18016.1:SAM_3:273:330 alpha-globin transcription factor CP2 isoform 3 450 191 31 450 0 Homo sapiens NP_001166924.1 1 RefSeq MAWALKLPLADEVIESGLVQDFDASLSGIGQELGAGAYSMSDVLALPIFKQEESSLPPDNENKILPFQYVLCAATSPAVKLHDETLTYLNQGQSYEIRMLDNRKLGELPEINGKLVKSIFRVVFHDRRLQYTEHQQLEGWRWNRPGDRILDIDIPMSVGIIDPRANPTQLNTVEFLWDPAKRTSVFIQPKGADRKQKTDREKMEKRTPHEKEKYQPSYETTILTECSPWPEITYVNNSPSPGFNSSHSSFSLGEGNGSPNHQPEPPPPVTDNLLPTTTPQEAQQWLHRNRFSTFTRLFTNFSGADLLKLTRDDVIQICGPADGIRLFNALKGRMVRPRLTIYVCQESLQLREQQQQQQQQQQKHEDGDSNGTFFVYHAIYLEELTAVELTEKIAQLFSISPCQISQIYKQGPTGIHVLISDEMIQNFQEEACFILDTMKETNDSYHIILK
NP_001291379.1 1 110 0.215793636363636 PF00777.18:Glyco_transf_29:21:110 alpha-N-acetylneuraminide alpha-2,8-sialyltransferase isoform 2 213 90 31 110 0 Homo sapiens NP_001291379.1 1 RefSeq MTGSFYTHSPLTIQLTLSSHRCNLPPLSSEYTKDVGSKSQLVTANPSIIRQRFQNLLWSRKTFVDNMKIYNHSYIYMPAFSMKTGTEPSLRVYYTLSDVGANQTVLFANP
NP_001304282.1 1 207 0.285855072463768 lysosome-associated membrane glycoprotein 1 isoform 1 precursor 407 0 31 207 0 Mus musculus NP_001304282.1 1 RefSeq MAAPGARRPLLLLLLAAGLAHGASALFEVKNNGTTCIMASFSASFLTTYETANGSQIVNISLPASAEVLKNGSSCGKENVSDPSLTITFGRGYLLTLNFTKNTTRYSVQHMYFTYNLSDTEHFPNAISKEIYTMDSTTDIKADINKAYRCVSDIRVYMKNVTVVLRDATIQAYLSSGNFSKEETHCTQDGPSPTTGPPSPSPPLVPT
NP_001304967.1 1 353 0.135847875354108 PF04572.12:Gb3_synth:222:348,PF04488.15:Gly_transf_sug:94:213 Lactosylceramide 4-alpha-galactosyltransferase; Alpha-1,4-N-acetylglucosaminyltransferase; Alpha-1,4-galactosyltransferase; Alpha4Gal-T1; CD77 synthase; Globotriaosylceramide synthase; Gb3 synthase; P1/Pk synthase; UDP-galactose:beta-D-galactosyl-beta1-R 4-alpha-D-galactosyltransferase; EC 2.4.1.228 353 247 31 330 1 Homo sapiens (Human) SwissProt::Q9NPC4 1 SwissProt MSKPPDLLLRLLRGAPRQRVCTLFIIGFKFTFFVSIMIYWHVVGEPKEKGQLYNLPAEIPCPTLTPPTPPSHGPTPGNIFFLETSDRTNPNFLFMCSVESAARTHPESHVLVLMKGLPGGNASLPRHLGISLLSCFPNVQMLPLDLRELFRDTPLADWYAAVQGRWEPYLLPVLSDASRIALMWKFGGIYLDTDFIVLKNLRNLTNVLGTQSRYVLNGAFLAFERRHEFMALCMRDFVDHYNGWIWGHQGPQLLTRVFKKWCSIRSLAESRACRGVTTLPPEAFYPIPWQDWKKYFEDINPEELPRLLSATYAVHVWNKKSQGTRFEATSRALLAQLHARYCPTTHEAMKMYL
NP_002752.1 1 51 0.405139215686275 PF00260.20:Protamine_P1:2:50 Sperm protamine P1; Cysteine-rich protamine 51 49 31 51 0 Homo sapiens (Human) SwissProt::P04553 1 SwissProt MARYRCCRSQSRSRYYRQRQRSRRRRRRSCQTRRRAMRCCRPRYRPRCRRH
NP_003369.2 1 615 0.793003577235772 Neurosecretory protein VGF 615 0 31 615 0 Homo sapiens (Human) SwissProt::O15240 1 SwissProt MKALRLSASALFCLLLINGLGAAPPGRPEAQPPPLSSEHKEPVAGDAVPGPKDGSAPEVRGARNSEPQDEGELFQGVDPRALAAVLLQALDRPASPPAPSGSQQGPEEEAAEALLTETVRSQTHSLPAPESPEPAAPPRPQTPENGPEASDPSEELEALASLLQELRDFSPSSAKRQQETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPPAPSQFQARMPDSGPLPETHKFGEGVSSPKTHLGEALAPLSKAYQGVAAPFPKARRPESALLGGSEAGERLLQQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRGLGGRGLQEAAEERESAREEEEAEQERRGGEERVGEEDEEAAEAEAEAEEAERARQNALLFAEEEDGEAGAEDKRSQEETPGHRRKEAEGTEEGGEEEDDEEMDPQTIDSLIELSTKLHLPADDVVSIIEEVEEKRKRKKNAPPEPVPPPRAAPAPTHVRSPQPPPPAPAPARDELPDWNEVLPPWDREEDEVYPPGPYHPFPNYIRPRTLQPPSALRRRHYHHALPPSRHYPGREAQARRAQEEAEAEERRLQEQEELENYIEHVLLRRP
NP_003970.1 1 357 0.0916672268907564 PF00003.22:7tm_3:60:267 Retinoic acid-induced protein 3; G-protein coupled receptor family C group 5 member A; Phorbol ester induced gene 1; PEIG-1; Retinoic acid-induced gene 1 protein; RAIG-1 357 208 31 202 7 Homo sapiens (Human) SwissProt::Q8NFJ5 1 SwissProt MATTVPDGCRNGLKSKYYRLCDKAEAWGIVLETVATAGVVTSVAFMLTLPILVCKVQDSNRRKMLPTQFLFLLGVLGIFGLTFAFIIGLDGSTGPTRFFLFGILFSICFSCLLAHAVSLTKLVRGRKPLSLLVILGLAVGFSLVQDVIAIEYIVLTMNRTNVNVFSELSAPRRNEDFVLLLTYVLFLMALTFLMSSFTFCGSFTGWKRHGAHIYLTMLLSIAIWVAWITLLMLPDFDRRWDDTILSSALAANGWVFLLAYVSPEFWLLTKQRNPMDYPVEDAFCKPQLVKKSYGVENRAYSQEEITQGFEETGDTLYAPYSTHFQLQNQPPQKEFSIPRAHAWPSPYKDYEVKKEGS
NP_005094.1 1 392 0.531257142857143 PF07763.13:FEZ:58:296 Fasciculation and elongation protein zeta-1; Zygin I; Zygin-1 392 239 31 392 0 Homo sapiens (Human) SwissProt::Q99689 1 SwissProt MEAPLVSLDEEFEDLRPSCSEDPEEKPQCFYGSSPHHLEDPSLSELENFSSEIISFKSMEDLVNEFDEKLNVCFRNYNAKTENLAPVKNQLQIQEEEETLQDEEVWDALTDNYIPSLSEDWRDPNIEALNGNCSDTEIHEKEEEEFNEKSENDSGINEEPLLTADQVIEEIEEMMQNSPDPEEEEEVLEEEDGGETSSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELTELLDQVEGAIRDFSEELVQQLARRDELEFEKEVKNSFITVLIEVQNKQKEQRELMKKRRKEKGLSLQSSRIEKGNQMPLKRFSMEGISNILQSGIRQTFGSSGTDKQYLNTVIPYEKKASPPSVEDLQMLTNILFAMKEDNEKVPTLLTDYILKVLCPT
NP_006452.3 1 1193 0.442660854987427 Sperm-associated antigen 5; Astrin; Deepest; Mitotic spindle-associated protein p126; MAP126 1193 0 31 1193 0 Homo sapiens (Human) SwissProt::Q96R06 1 SwissProt MWRVKKLSLSLSPSPQTGKPSMRTPLRELTLQPGALTNSGKRSPACSSLTPSLCKLGLQEGSNNSSPVDFVNNKRTDLSSEHFSHSSKWLETCQHESDEQPLDPIPQISSTPKTSEEAVDPLGNYMVKTIVLVPSPLGQQQDMIFEARLDTMAETNSISLNGPLRTDDLVREEVAPCMGDRFSEVAAVSEKPIFQESPSHLLEESPPNPCSEQLHCSKESLSSRTEAVREDLVPSESNAFLPSSVLWLSPSTALAADFRVNHVDPEEEIVEHGAMEEREMRFPTHPKESETEDQALVSSVEDILSTCLTPNLVEMESQEAPGPAVEDVGRILGSDTESWMSPLAWLEKGVNTSVMLENLRQSLSLPSMLRDAAIGTTPFSTCSVGTWFTPSAPQEKSTNTSQTGLVGTKHSTSETEQLLCGRPPDLTALSRHDLEDNLLSSLVILEVLSRQLRDWKSQLAVPHPETQDSSTQTDTSHSGITNKLQHLKESHEMGQALQQARNVMQSWVLISKELISLLHLSLLHLEEDKTTVSQESRRAETLVCCCFDLLKKLRAKLQSLKAEREEARHREEMALRGKDAAEIVLEAFCAHASQRISQLEQDLASMREFRGLLKDAQTQLVGLHAKQEELVQQTVSLTSTLQQDWRSMQLDYTTWTALLSRSRQLTEKLTVKSQQALQERDVAIEEKQEVSRVLEQVSAQLEECKGQTEQLELENSRLATDLRAQLQILANMDSQLKELQSQHTHCAQDLAMKDELLCQLTQSNEEQAAQWQKEEMALKHMQAELQQQQAVLAKEVRDLKETLEFADQENQVAHLELGQVECQLKTTLEVLRERSLQCENLKDTVENLTAKLASTIADNQEQDLEKTRQYSQKLGLLTEQLQSLTLFLQTKLKEKTEQETLLLSTACPPTQEHPLPNDRTFLGSILTAVADEEPESTPVPLLGSDKSAFTRVASMVSLQPAETPGMEESLAEMSIMTTELQSLCSLLQESKEEAIRTLQRKICELQARLQAQEEQHQEVQKAKEADIEKLNQALCLRYKNEKELQEVIQQQNEKILEQIDKSGELISLREEVTHLTRSLRRAETETKVLQEALAGQLDSNCQPMATNWIQEKVWLSQEVDKLRVMFLEMKNEKEKLMIKFQSHRNILEENLRRSDKELEKLDDIVQHIYKTLLSIPEVVRGCKELQGLLEFLS
NP_006571.1 1 305 0.051032131147541 PF00822.20:PMP22_Claudin:80:252 Claudin-16; Paracellin-1; PCLN-1 305 173 31 213 4 Homo sapiens (Human) SwissProt::Q9Y5I7 1 SwissProt MTSRTPLLVTACLYYSYCNSRHLQQGVRKSKRPVFSHCQVPETQKTDTRHLSGARAGVCPCCHPDGLLATMRDLLQYIACFFAFFSAGFLIVATWTDCWMVNADDSLEVSTKCRGLWWECVTNAFDGIRTCDEYDSILAEHPLKLVVTRALMITADILAGFGFLTLLLGLDCVKFLPDEPYIKVRICFVAGATLLIAGTPGIIGSVWYAVDVYVERSTLVLHNIFLGIQYKFGWSCWLGMAGSLGCFLAGAVLTCCLYLFKDVGPERNYPYSLRKAYSAAGVSMAKSYSAPRTETAKMYAVDTRV
NP_010851.1 1 590 0.119551355932204 PF00324.21:AA_permease:92:554,PF13520.6:AA_permease_2:95:540 Arginine permease CAN1; Canavanine resistance protein 1 590 463 31 363 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P04817 1 SwissProt MTNSKEDADIEEKHMYNEPVTTLFHDVEASQTHHRRGSIPLKDEKSKELYPLRSFPTRVNGEDTFSMEDGIGDEDEGEVQNAEVKRELKQRHIGMIALGGTIGTGLFIGLSTPLTNAGPVGALISYLFMGSLAYSVTQSLGEMATFIPVTSSFTVFSQRFLSPAFGAANGYMYWFSWAITFALELSVVGQVIQFWTYKVPLAAWISIFWVIITIMNLFPVKYYGEFEFWVASIKVLAIIGFLIYCFCMVCGAGVTGPVGFRYWRNPGAWGPGIISKDKNEGRFLGWVSSLINAAFTFQGTELVGITAGEAANPRKSVPRAIKKVVFRILTFYIGSLLFIGLLVPYNDPKLTQSTSYVSTSPFIIAIENSGTKVLPHIFNAVILTTIISAANSNIYVGSRILFGLSKNKLAPKFLSRTTKGGVPYIAVFVTAAFGALAYMETSTGGDKVFEWLLNITGVAGFFAWLFISISHIRFMQALKYRGISRDELPFKAKLMPGLAYYAATFMTIIIIIQGFTAFAPKFNGVSFAAAYISIFLFLAVWILFQCIFRCRFIWKIGDVDIDSDRRDIEAIVWEDHEPKTFWDKFWNVVA
NP_011843.1 1 270 0.483859259259259 PF08618.10:Opi1:131:268 Transcriptional repressor OPI1; Negative regulator of phospholipid biosynthesis; Overproducer of inositol protein 1 404 138 31 270 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21957 1 SwissProt MSENQRLGLSEEEVEAAEVLGVLKQSCRQKSQPSEDVSQADKMPASESSTTPLNILDRVSNKIISNVVTFYDEINTNKRPLKSIGRLLDDDDDEHDDYDYNDDEFFTNKRQKLSRAIAKGKDNLKEYKLNMSIESKKRLVTCLHLLKLANKQLSDKISCLQDLVEKEQVHPLHKQDGNARTTTGAGEDETSSDEDDDDEEFFDASEQVNASEQSIVVKMEVVGTVKKVYSLISKFTANSLPEPARSQVRESLLNLPTNWFDSVHSTSLPH
NP_033223.2 101 545 0.0743604494382022 PF07690.16:MFS_1:46:355,PF07690.16:MFS_1:299:414 monocarboxylate transporter 8 545 369 31 185 12 Mus musculus NP_033223.2 0 RefSeq PEGGFGWIVVFAATWCNGSIFGIHNSVGILYSMLLEEEKEKNRQVEFQAAWVGALAMGMIFFCSPIVSIFTDRLGCRITATTGAAVAFIGLHTSSFTSSLSLRYFTYGILFGCGCSFAFQPSLVILGHYFQRRLGLANGVVSAGSSIFSMSFPFLIKMLGDKIKLAQTFQVLSTFMFVLTLLSLTYRPLLPSSQDTPSKRGAHTLRQRFLVQFRKYFNMRVFRQRTYRIWAFGIAAAALGYFVPYVHLMKYVEDKFKEIKETWVLLVCIGATSGLGRLVSGHISDSIPGLKKIYLQVLSFLLLGLMSMMIPLCRDFGGLIVVCLFLGLCDGFFITIMAPIAFELVGPMQASQAIGYLLGMMALPMIAGPPIAGLLRNCFGDYHVAFYFAGVPPIIGAVILFFVPLMHQRMFKKEQRDSSKDKMLSHDPDPNGELLPGSPTPEEPI
NP_033311.3 1 247 0.243423481781377 PF03298.13:Stanniocalcin:7:205 stanniocalcin-1 precursor 247 199 31 247 0 Mus musculus NP_033311.3 1 RefSeq MLQNSAVILALVISAAAAHEAEQNDSVSPRKSRVAAQNSAEVVRCLNSALQVGCGAFACLENSTCDTDGMYDICKSFLYSAAKFDTQGKAFVKESLKCIANGITSKVFLAIRRCSTFQRMIAEVQEDCYSKLNVCSIAKRNPEAITEVIQLPNHFSNRYYNRLVRSLLECDEDTVSTIRDSLMEKIGPNMASLFHILQTDHCAQTHPRADFNRRRTNEPQKLKVLLRNLRGEGDSPSHIKRTSQESA
NP_035402.2 1 185 0.262718918918919 PF00049.18:Insulin:34:185 prorelaxin 1 precursor 185 152 31 185 0 Mus musculus NP_035402.2 1 RefSeq MSSRFLLQLLGFWLLLSQPCRTRVSEEWMDGFIRMCGREYARELIKICGASVGRLALSQEEPALLARQATEVVPSFINKDAEPFDTTLKCLPNLSEELKAVLSEAQASLPELQHAPVLSDSVVSLEGFKKTLHDKLGEAEDGSPPGLKYLQSDTHSRKKRESGGLMSQQCCHVGCSRRSIAKLYC
NP_055236.1 1 171 0.325044444444445 PF04706.12:Dickkopf_N:78:128 dickkopf-related protein 2 precursor 259 51 31 171 0 Homo sapiens NP_055236.1 1 RefSeq MAALMRSKDSSCCLLLLAAVLMVESSQIGSSRAKLNSIKSSLGGETPGQAANRSAGMYQGLAFGGSKKGKNLGQAYPCSSDKECEVGRYCHSPHQGSSACMVCRRKKKRCHRDGMCCPSTRCNNGICIPVTESILTPHIPALDGTRHRDRNHGHYSNHDLGWQNLGRPHTK
NP_056629.1 1 349 0.394325787965616 PF04706.12:Dickkopf_N:146:196 Dickkopf-related protein 3; Dickkopf-3; Dkk-3; mDkk-3 349 51 31 349 0 Mus musculus (Mouse) SwissProt::Q9QUN9 1 SwissProt MQRLGGILLCTLLAAAVPTAPAPSPTVTWTPAEPGPALNYPQEEATLNEMFREVEELMEDTQHKLRSAVEEMEAEEAAAKTSSEVNLASLPPNYHNETSTETRVGNNTVHVHQEVHKITNNQSGQVVFSETVITSVGDEEGKRSHECIIDEDCGPTRYCQFSSFKYTCQPCRDQQMLCTRDSECCGDQLCAWGHCTQKATKGGNGTICDNQRDCQPGLCCAFQRGLLFPVCTPLPVEGELCHDPTSQLLDLITWELEPEGALDRCPCASGLLCQPHSHSLVYMCKPAFVGSHDHSEESQLPREAPDEYEDVGFIGEVRQELEDLERSLAQEMAFEGPAPVESLGGEEEI
NP_061155.2 1 711 0.644641068917018 PF16018.5:Anillin_N:142:229,PF16018.5:Anillin_N:429:502 Anillin 1124 162 31 711 0 Homo sapiens (Human) SwissProt::Q9NQW6 1 SwissProt MDPFTEKLLERTRARRENLQRKMAERPTAAPRSMTHAKRARQPLSEASNQQPLSGGEEKSCTKPSPSKKRCSDNTEVEVSNLENKQPVESTSAKSCSPSPVSPQVQPQAADTISDSVAVPASLLGMRRGLNSRLEATAASSVKTRMQKLAEQRRRWDNDDMTDDIPESSLFSPMPSEEKAASPPRPLLSNASATPVGRRGRLANLAATICSWEDDVNHSFAKQNSVQEQPGTACLSKFSSASGASARINSSSVKQEATFCSQRDGDASLNKALSSSADDASLVNASISSSVKATSPVKSTTSITDAKSCEGQNPELLPKTPISPLKTGVSKPIVKSTLSQTVPSKGELSREICLQSQSKDKSTTPGGTGIKPFLERFGERCQEHSKESPARSTPHRTPIITPNTKAIQERLFKQDTSSSTTHLAQQLKQERQKELACLRGRFDKGNIWSAEKGGNSKSKQLETKQETHCQSTPLKKHQGVSKTQSLPVTEKVTENQIPAKNSSTEPKGFTECEMTKSSPLKITLFLEEDKSLKVTSDPKVEQKIEVIREIEMSVDDDDINSSKVINDLFSDVLEEGELDMEKSQEEMDQALAESSEEQEDALNISSMSLLAPLAQTVGVVSPESLVSTPRLELKDTSRSDESPKPGKFQRTRVPRAESGDSLGSEDRDLLYSIDAYRSQRFKETERPSIKQVIVRKEDVTSKLDEKNNAFP
NP_061294.2 1 412 0.116814563106796 PF00860.20:Xan_ur_permease:103:411 Solute carrier family 23 member 2; Na(+)/L-ascorbic acid transporter 2; Sodium-dependent vitamin C transporter 2; SVCT-2; mSVCT2; Yolk sac permease-like molecule 2 648 309 31 280 6 Mus musculus (Mouse) SwissProt::Q9EPR4 1 SwissProt MMGIGKNTASKSVEAGGSTEGKYEEEAKHSNFFTLPVVINGGATSSGEQDNEDTELMAIYTTENGIAEKSSLAETLDSTGSLDPQRSDMIYTIEDVPPWYLCIFLGLQHYLTCFSGTIAVPFLLADAMCVGDDQWATSQLIGTIFFCVGITTLLQTTFGCRLPLFQASAFAFLAPARAILSLDKWKCNTTEITVANGTAELLEHIWHPRIQEIQGAIIMSSLIEVVIGLLGLPGALLRYIGPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLLFSQYARNVKFPLPIYKSKKGWTAYKFQLFKMFPIILAILVSWLLCFIFTVTDVFPSNSTDYGYYARTDARKGVLLVAPWFKVPYPFQWGMPTVSAAGVIGMLSAVVASIIESIGDYYACARLSCAPPPPIHA
NP_062538.5 1 539 0.469046753246753 E3 ubiquitin-protein ligase BRE1A; BRE1-A; hBRE1; RING finger protein 20; RING-type E3 ubiquitin transferase BRE1A; EC 2.3.2.27 975 0 31 539 0 Homo sapiens (Human) SwissProt::Q5VTR2 1 SwissProt MSGIGNKRAAGEPGTSMPPEKKAAVEDSGTTVETIKLGGVSSTEELDIRTLQTKNRKLAEMLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLEQGLGDLLTERKALVVPEPEPDSDSNQERKDDRERGEGQEPAFSFLATLASSSSEEMESQLQERVESSRRAVSQIVTVYDKLQEKVELLSRKLNSGDNLIVEEAVQELNSFLAQENMRLQELTDLLQEKHRTMSQEFSKLQSKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVNSKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRLCELEKLRQDFEEVTTQNEKLKVELRSAVEQVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQHQVELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLISSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPAELKPD
NP_076990.4 1 839 0.267230393325387 PF04109.16:APG9:37:525 Autophagy-related protein 9A; APG9-like 1; mATG9 839 489 31 727 5 Homo sapiens (Human) SwissProt::Q7Z3C6 1 SwissProt MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFTCMLIGEIFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQVCSARIQENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTWQEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEAVFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLLCPLILIWQILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKPASKYMNCFLSPLLTLLAKNGAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTVCRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEELLSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSAGQTEASVYQQAEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAASLAQGGLLPENALFTSIQSLQSESEPLSLIANVVAGSSCRGPPLPRDLQGSRHRAEVASALRSFSPLQPGQAPTGRAHSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQAQAEPERHVWHRRESDESGESAPDEGGEGARAPQSIPRSASYPCAAPRPGAPETTALHGGFQRRYGGITDPGTVPRVPSHFSRLPLGGWAEDGQSASRHPEPVPEEGSEDELPPQVHKV
NP_177078.1 1 181 0.511896685082873 PF04690.13:YABBY:18:156,PF09011.10:HMG_box_2:112:154 Protein CRABS CLAW 181 139 31 181 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L925 1 SwissProt MNLEEKPTMTASRASPQAEHLYYVRCSICNTILAVGIPLKRMLDTVTVKCGHCGNLSFLTTTPPLQGHVSLTLQMQSFGGSDYKKGSSSSSSSSTSSDQPPSPSPPFVVKPPEKKQRLPSAYNRFMRDEIQRIKSANPEIPHREAFSAAAKNWAKYIPNSPTSITSGGHNMIHGLGFGEKK
NP_179924.1 1 160 0.526365625 Cyclin-dependent kinase inhibitor 1; Inhibitor/interactor of CDK protein 1; KIP-related protein 1 191 0 31 160 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q67Y93 1 SwissProt MVRKYRKAKGIVEAGVSSTYMQLRSRRIVYVRSEKSSSVSVVGDNGVSSSCSGSNEYKKKELIHLEEEDKDGDTETSTYRRGTKRKLFENLREEEKEELSKSMENYSSEFESAVKESLDCCCSGRKTMEETVTAEEEEKAKLMTEMPTESEIEDFFVEAE
NP_182240.1 1 344 0.130672093023256 PF01501.20:Glyco_transf_8:34:277 Galactinol synthase 1; AtGolS1; GolS-1; EC 2.4.1.123 344 244 31 344 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22893 1 SwissProt MAPGLTQTADAMSTVTITKPSLPSVQDSDRAYVTFLAGNGDYVKGVVGLAKGLRKVKSAYPLVVAMLPDVPEEHRRILVDQGCIVREIEPVYPPENQTQFAMAYYVINYSKLRIWKFVEYSKMIYLDGDIQVYENIDHLFDLPDGYLYAVMDCFCEKTWSHTPQYKIRYCQQCPDKVQWPKAELGEPPALYFNAGMFLYEPNLETYEDLLRTLKITPPTPFAEQDFLNMYFKKIYKPIPLVYNLVLAMLWRHPENVELGKVKVVHYCAAGSKPWRYTGKEANMEREDIKMLVKKWWDIYDDESLDYKKPVTVVDTEVDLVNLKPFITALTEAGRLNYVTAPSAA
NP_188954.1 79 204 0.562052380952381 Transcriptional regulator SUPERMAN 204 0 31 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38895 1 SwissProt QQSPSSSSTPSPPYPNPNYSYSTMANSPPPHHSPLTLFPTLSPPSSPRYRAGLIRSLSPKSKHTPENACKTKKSSLLVEAGEATRFTSKDACKILRNDEIISLELEIGLINESEQDLDLELRLGFA
NP_196054.1 1 238 0.477890336134454 PF13912.6:zf-C2H2_6:89:113,PF13912.6:zf-C2H2_6:148:171,PF13894.6:zf-C2H2_4:89:111 Zinc finger protein ZAT6; COLD INDUCED ZINC FINGER PROTEIN 2 238 49 31 238 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22533 1 SwissProt MALETLTSPRLSSPMPTLFQDSALGFHGSKGKRSKRSRSEFDRQSLTEDEYIALCLMLLARDGDRNRDLDLPSSSSSPPLLPPLPTPIYKCSVCDKAFSSYQALGGHKASHRKSFSLTQSAGGDELSTSSAITTSGISGGGGGSVKSHVCSICHKSFATGQALGGHKRCHYEGKNGGGVSSSVSNSEDVGSTSHVSSGHRGFDLNIPPIPEFSMVNGDEEVMSPMPAKKLRFDFPEKP
NP_196312.1 1 330 0.422843636363636 PF14144.6:DOG1:128:203,PF00170.21:bZIP_1:46:86,PF07716.15:bZIP_2:44:88 Transcription factor TGA2; HBP-1b homolog; AHBP-1b; bZIP transcription factor 20; AtbZIP20 330 121 31 330 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P43273 1 SwissProt MADTSPRTDVSTDDDTDHPDLGSEGALVNTAASDSSDRSKGKMDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISGTGDQAHSTGGNGALAFDAEHSRWLEEKNKQMNELRSALNAHAGDSELRIIVDGVMAHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPMTERQLMGINNLQQTSQQAEDALSQGMESLQQSLADTLSSGTLGSSSSGNVASYMGQMAMAMGKLGTLEGFIRQADNLRLQTLQQMIRVLTTRQSARALLAIHDYFSRLRALSSLWLARPRE
NP_200217.1 1 267 0.202746441947566 Anthocyanidin 3-O-glucoside 2'''-O-xylosyltransferase; A3G2''XylT; EC 2.4.2.51 468 0 31 267 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVW3 1 SwissProt MGVFGSNESSSMSIVMYPWLAFGHMTPFLHLSNKLAEKGHKIVFLLPKKALNQLEPLNLYPNLITFHTISIPQVKGLPPGAETNSDVPFFLTHLLAVAMDQTRPEVETIFRTIKPDLVFYDSAHWIPEIAKPIGAKTVCFNIVSAASIALSLVPSAEREVIDGKEMSGEELAKTPLGYPSSKVVLRPHEAKSLSFVWRKHEAIGSFFDGKVTAMRNCDAIAIRTCRETEGKFCDYISRQYSKPVYLTGPVLPGSQPNQPSLDPQWAE
NP_415560.1 1 151 0.515517880794702 PF07012.12:Curlin_rpt:40:74,PF07012.12:Curlin_rpt:86:119,PF07012.12:Curlin_rpt:108:141 curlin, major subunit 151 91 31 151 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11489-MONOMER 1 ecocyc MKLLKVAAIAAIVFSGSALAGVVPQYGGGGNHGGGGNNSGPNSELNIYQYGGGNSALALQTDARNSDLTITQHGGGNGADVGQGSDDSSIDLTQRGFGNSATLDQWNGKNSEMTVKQFGGGNGAAVDQTASNSSVNVTQVGFGNNATAHQY
NP_476568.2 1 179 0.660308938547486 Protein gurken 295 0 31 179 0 Drosophila melanogaster (Fruit fly) SwissProt::P42287 1 SwissProt MMQIPFTRIFKVIFVLSTIVAVTDCCSSRILLLREHTLKIVQHQHSHMHEHAHELQQQIQETAVELLNRLELQRKQLEASAQEEADQLHPDTDPNPDSGGQLPNADDSIAADPEQDGIILGSSTDTWLASESSTPITDSETVTTPETVTHTGEPPPDPSSSSTPDSTTPSPNDKETEIQ
NP_564805.1 1 215 0.36956976744186 PF03791.13:KNOX2:84:134,PF03790.13:KNOX1:29:70 Homeobox protein knotted-1-like 7; Protein IRREGULAR XYLEM 11; Protein KNAT7 291 93 31 215 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FPQ8 1 SwissProt MQEAALGMMGATVGGDGDTAVVAEQNRQLKGEIATHPMYEQLLAAHVACLRVATPIDQLPIIEAQLSQSHHLLRSYASTAVGYHHDRHELDNFLAQYVMVLCSFKEQLQQHVRVHAVEAVMACREIENNLHSLTGATLGEGSGATMSEDEDDLPMDFSSDNSGVDFSGGHDMTGFGPLLPTESERSLMERVRQELKLELKQGFKSRIEDVREEIM
NP_567231.1 1 97 0.390394845360825 PF03242.13:LEA_3:1:93 Protein SENESCENCE-ASSOCIATED GENE 21, mitochondrial; Late embryogenesis abundant like 5; AtLEA5; Late embryogenis abundant protein 38 97 93 31 97 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93WF6 1 SwissProt MARSISNVKIVSAFVSRELSNAIFRRGYAATAAQGSVSSGGRSGAVASAVMKKKGVEESTQKISWVPDPKTGYYRPETGSNEIDAAELRAALLNNKQ
NP_567759.1 1 442 0.208289140271493 GDP-L-galactose phosphorylase 1; Protein VITAMIN C DEFECTIVE 2; EC 2.7.7.69 442 0 31 442 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWE8 1 SwissProt MLKIKRVPTVVSNYQKDDGAEDPVGCGRNCLGACCLNGARLPLYACKNLVKSGEKLVISHEAIEPPVAFLESLVLGEWEDRFQRGLFRYDVTACETKVIPGKYGFVAQLNEGRHLKKRPTEFRVDKVLQSFDGSKFNFTKVGQEELLFQFEAGEDAQVQFFPCMPIDPENSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHKSLLLAVHMAAEAANPYFRLGYNSLGAFATINHLHFQAYYLAMPFPLEKAPTKKITTTVSGVKISELLSYPVRSLLFEGGSSMQELSDTVSDCCVCLQNNNIPFNILISDCGRQIFLMPQCYAEKQALGEVSPEVLETQVNPAVWEISGHMVLKRKEDYEGASEDNAWRLLAEASLSEERFKEVTALAFEAIGCSNQEEDLEGTIVHQQNSSGNVNQKSNRTHGGPITNGTAAECLVLQ
NP_568334.1 1 220 0.681263636363636 Transcription repressor KAN1; Protein KANADI 1 403 0 31 220 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93WJ9 1 SwissProt MSMEGVFLEKTKTNTTTTLPDLSLHISLPDIHQYHHNESSKESSRRSSQLENNNRSSNFELSLSHHNHPTARIFHCPDRRTLNLPHQQHYNNPIINGVHQRVDESEISNLHRPIRGIPVYHNRSFPFHQQNSSLPSLGGGDMDQISILNSSSGYNNAYRSLQSSPRLKGVPLHHHHHHNQYGVVGSSDSSSPHHHNHHHHGMIRSRFLPKMPTKRSMRAP
NP_569826.2 1 1588 0.389497795969772 PF02141.21:DENN:175:402,PF03456.18:uDENN:32:97 MAP kinase-activating death domain protein isoform a 1588 294 31 1588 0 Homo sapiens NP_569826.2 1 RefSeq MVQKKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHTEFPLPPDVVFFCQPEGCLSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRISKEKGEGGAGSRGKEGTHATCASEEGGTESSESGSSLQPLSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLSHYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALLHDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQPALTFALPDPSRFTLVDFPLHLPLELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMASAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPESLELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGNDVDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEKLARTQAVEYFGEWILNPTNYAFQRIHNNMFDPALIGDKPKWYAHQLQPIHYRVYDSNSQLAEALSVPPERDSDSEPTDDSGSDSMDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLTHAALGDASEVEIDELQNQKEAEEPGPDSENSQENPPLRSSSSTTASSSPSTVIHGANSEPADSTEMDDKAAVGVSKPLPSVPPSIGKSNVDRRQAEIGEGSVRRRIYDNPYFEPQYGFPPEEDEDEQGESYTPRFSQHVSGNRAQKLLRPNSLRLASDSDAESDSRASSPNSTVSNTSTEGFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKATPFPSLKGNRRALVDQKSSVIKHSPTVKREPPSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLSKLNRMVQSEDDARQDIIPDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTESVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFIASIGPEVIKPVFDLGETEEKKSQISADSGVSLTSSSQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGDGPGGEGSVHLASSRGTLSDSEIETNSATSTIFGKAHSLKPSIKEKLAGSPIRTSEDVSQRVYLYEGLLGKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQINEVLDQLANLNGRDLSIWSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVKDSMERAAARQQSIKPGPELGGEFPVQDLKTGEGGLLQVTLEGINLKFMHNQVFIELNHIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVHSSEEDLRTPPRPVSS
NP_579849.2 1 570 0.104763684210526 PF06963.12:FPN1:23:530 solute carrier family 40 member 1 570 508 31 340 10 Rattus norvegicus NP_579849.2 1 RefSeq MTKSRDQTHQEGCCGSLANYLTSAKFLLYLGHSLSTWGDRMWHFAVSVFLVELYGNSLLLTAVYGLVVAGSVLVLGAIIGDWVDKNARLKVAQTSLVVQNVSVILCGIILMMVFLHKNELLNMYHGWVLTVCYILIITIANIANLASTATAITIQRDWIVVVAGENRSRLADMNATIRRIDQLTNILAPMAVGQIMTFGSPVIGCGFISGWNLVSMCVEYFLLWKVYQKTPALAVKAALKVEESELKQLTSPKDTEPKPLEGTHLMGEKDSNIRELECEQEPTCASQIAEPFRTFRDGWVSYYNQPVFLAGMGLAFLYMTVLGFDCITTGYAYTQGLSGSILSVLMGASAITGIMGTVAFTWLRRKCGLVRTGLFSGLAQLSCLILCVISVFMPGSPLDLSVSPFEDIRSRFIHEEAVSSTTKIPETEMLMSNVSNVVNTVHEMSTKSVPIISVSLLFAGVIAARIGLWSFDLTVTQLLQENVIESERGIINGVQNSMNYLLDLLHFIMVILAPNPEAFGLLVLISVSFVAMGHLMYFRFAQKTLGNQIFVCAPDEKEVTDESQPNTSVV
NP_604449.1 1 276 0.359952898550725 angiopoietin-2 precursor 496 0 31 276 0 Rattus norvegicus NP_604449.1 1 RefSeq MWQIVFLTFGCDLVLASAYNNFRKSVDSTGRRQYQVQNGPCSYTFLLPETDSCRSSSSPYMSNAVQRDAPLDYDDSVQRLQVLENILENNTQWLMKLENYIQDNMKKEMVEIQQNVVQNQTAVMIEIGTSLLNQTAAQTRKLTDVEAQVLNQTTRLELQLLQHSISTNKLEKQILDQTSEINKLQDKNSFLEKKVLDMEDKHSVQLQSMKEQKDQLQVLVSKQSSVIDELEKKLVTATVNNSVLQKQQHDLMETVNSLLTMMSSPDYKSSVAVPKE
NP_850616.1 1 561 0.152927629233512 PF01565.23:FAD_binding_4:110:199 Delta(24)-sterol reductase; Cell elongation protein DIMINUTO; Cell elongation protein Dwarf1; Protein CABBAGE1; Protein ENHANCED VERY-LOW-FLUENCE RESPONSE 1; EC 1.3.1.72 561 90 31 538 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39085 1 SwissProt MSDLQTPLVRPKRKKTWVDYFVKFRWIIVIFIVLPFSATFYFLIYLGDMWSESKSFEKRQKEHDENVKKVIKRLKGRDASKDGLVCTARKPWIAVGMRNVDYKRARHFEVDLGEFRNILEINKEKMTARVEPLVNMGQISRATVPMNLSLAVVAELDDLTVGGLINGYGIEGSSHIYGLFADTVEAYEIVLAGGELVRATRDNEYSDLYYAIPWSQGTLGLLVAAEIRLIKVKEYMRLTYIPVKGDLQALAQGYIDSFAPKDGDKSKIPDFVEGMVYNPTEGVMMVGTYASKEEAKKKGNKINNVGWWFKPWFYQHAQTALKKGQFVEYIPTREYYHRHTRCLYWEGKLILPFGDQFWFRYLLGWLMPPKVSLLKATQGEAIRNYYHDMHVIQDMLVPLYKVGDALEWVHREMEVYPIWLCPHKLFKQPIKGQIYPEPGFEYENRQGDTEDAQMYTDVGVYYAPGCVLRGEEFDGSEAVRRMEKWLIENHGFQPQYAVSELDEKSFWRMFNGELYEECRKKYRAIGTFMSVYYKSKKGRKTEKEVREAEQAHLETAYAEAD
NP_996220.1 1 145 0.480357931034483 abdominal B, isoform E 270 0 31 145 0 Drosophila melanogaster NP_996220.1 1 RefSeq MSIQLAPLHIPAIRAGPGFETDTSAAVKRHTAHWAYNDEGFNQHYGSGYYDRKHMFAYPYPETQFPVGQYWGPNYRPDQTTSAAAAAAYMNEAERHVSAAARQSVEGTSTSSYEPPTYSSPGGLRGYPSENYSSSGASGGLSVGA 1
P10124 1 93 0.211166666666667 PF04360.12:Serglycin:3:93 Serglycin; Hematopoietic proteoglycan core protein; Platelet proteoglycan core protein; P.PG; Secretory granule proteoglycan core protein 158 91 31 93 0 Homo sapiens (Human) SwissProt::P10124 1 SwissProt MMQKLLKCSRLVLALALILVLESSVQGYPTRRARYQWVRCNPDSNSANCLEEKGPMFELLPGESNKIPRLRTDLFPKTRIQDLNRIFPLSEDY
P10233 1 173 0.897865317919075 Tegument protein VP22 301 0 31 173 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P10233 1 SwissProt MTSRRSVKSGPREVPRDEYEDLYYTPSSGMASPDSPPDTSRRGALQTRSRQRGEVRFVQYDESDYALYGGSSSEDDEHPEVPRTRRPVSGAVLSGPGPARAPPPPAGSGGAGRTPTTAPRAPRTQRVATKAPAAPAAETTRGRKSAQPESAALPDAPASTAPTRSKTPAQGLA
P11912 1 226 0.246237610619469 PF00047.25:ig:38:116,PF07679.16:I-set:37:117,PF02189.15:ITAM:185:204 B-cell antigen receptor complex-associated protein alpha chain; Ig-alpha; MB-1 membrane glycoprotein; Membrane-bound immunoglobulin-associated protein; Surface IgM-associated protein; CD79a antigen 226 101 31 180 2 Homo sapiens (Human) SwissProt::P11912 1 SwissProt MPGGPGVLQALPATIFLLFLLSAVYLGPGCQALWMHKVPASLMVSLGEDAHFQCPHNSSNNANVTWWRVLHGNYTWPPEFLGPGEDPNGTLIIQNVNKSHGGIYVCRVQEGNESYQQSCGTYLRVRQPPPRPFLDMGEGTKNRIITAEGIILLFCAVVPGTLLLFRKRWQNEKLGLDAGDEYEDENLYEGLNLDDCSMYEDISRGLQGTYQDVGSLNIGDVQLEKP
P15891 142 527 0.857049740932643 Actin-binding protein 592 0 31 386 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P15891 1 SwissProt RYSIQTSSKQQGKASTPPVKKSFTPSKSPAPVSKKEPVKTPSPAPAAKISSRVNDNNDDDDWNEPELKERDFDQAPLKPNQSSYKPIGKIDLQKVIAEEKAKEDPRLVQKPTAAGSKIDPSSDIANLKNESKLKRDSEFNSFLGTTKPPSMTESSLKNDDDKVIKGFRNEKSPAQLWAERKAKQNSGNAETKAEAPKPEVPEDEPEGEPDVKDLKSKFEGLAASEKEEEEMENKFAPPPKKSEPTIISPKPFSKPQEPVKAEEAEQPKTDYKKIGNPLPGMHIEADNEEEPEENDDDWDDDEDEAAQPPLPSRNVASGAPVQKEEPEQEEIAPSLPSRNSIPAPKQEEAPEQAPEEEIEEEAEEAAPQLPSRSSAAPPPPPRRATP
P54851 1 167 0.0181760479041916 PF00822.20:PMP22_Claudin:1:160,PF13903.6:Claudin_2:58:161 Epithelial membrane protein 2; EMP-2; Protein XMP 167 161 31 75 4 Homo sapiens (Human) SwissProt::P54851 0 SwissProt MLVLLAFIIAFHITSAALLFIATVDNAWWVGDEFFADVWRICTNNTNCTVINDSFQEYSTLQAVQATMILSTILCCIAFFIFVLQLFRLKQGERFVLTSIIQLMSCLCVMIAASIYTDRREDIHDKNAKFYPVTREGSYGYSYILAWVAFACTFISGMMYLILRKRK
Q08629 1 326 0.228085889570552 PF10591.9:SPARC_Ca_bdg:196:304,PF07648.15:Kazal_2:135:180 Testican-1; Protein SPOCK 439 155 31 326 0 Homo sapiens (Human) SwissProt::Q08629 1 SwissProt MPAIAVLAAAAAAWCFLQVESRHLDALAGGAGPNHGNFLDNDQWLSTVSQYDRDKYWNRFRDDDYFRNWNPNKPFDQALDPSKDPCLKVKCSPHKVCVTQDYQTALCVSRKHLLPRQKKGNVAQKHWVGPSNLVKCKPCPVAQSAMVCGSDGHSYTSKCKLEFHACSTGKSLATLCDGPCPCLPEPEPPKHKAERSACTDKELRNLASRLKDWFGALHEDANRVIKPTSSNTAQGRFDTSILPICKDSLGWMFNKLDMNYDLLLDPSEINAIYLDKYEPCIKPLFNSCDSFKDGKLSNNEWCYCFQKPGGLPCQNEMNRIQKLSKG
Q15465 198 462 0.324491320754717 PF01079.20:Hint:1:250 Sonic hedgehog protein; SHH; HHG-1; Shh unprocessed N-terminal signaling and C-terminal autoprocessing domains; ShhNC 462 250 31 265 0 Homo sapiens (Human) SwissProt::Q15465 1 SwissProt CFPGSATVHLEQGGTKLVKDLSPGDRVLAADDQGRLLYSDFLTFLDRDDGAKKVFYVIETREPRERLLLTAAHLLFVAPHNDSATGEPEASSGSGPPSGGALGPRALFASRVRPGQRVYVVAERDGDRRLLPAAVHSVTLSEEAAGAYAPLTAQGTILINRVLASCYAVIEEHSWAHRAFAPFRLAHALLAALAPARTDRGGDSGGGDRGGGGGRVALTAPGAADAPGAGATAGIHWYSQLLYQIGTWLLDSEALHPLGMAVKSS
Q94883 1 709 0.257249083215797 PF02892.15:zf-BED:35:84 DNA replication-related element factor, isoform A 709 50 31 709 0 Drosophila melanogaster Q94883 1 SwissProt/TReMBL MSEGVPASPVAIGELKYEDVSQLNFSKLYSPKMKSVYWRYFGFPSNDNNEVITKQNVVCIKCHKVLTNHGNTTNLRAHLQHRHKDLFKELCQEHDIHVPPRKTPRNVSHPPLSKRNVSSRRVKLEFINNRNHDNASDDELDEAAVATAAMQAEEDASSQTMLYETMVPFTYDEAENLVEEEERLVMEPKYGRKRKVATPSSALMHGRVIKHEEGGYAAVANIANLAEALTDIVIKDLRNVDSLYDAGFGEFLRQVLGNSAAMPEPHKIDSLINEMHASKFLEIGEITRDFTSEKPFSLAFEMWVNVEQRRFLSIFHHFLDEETHSVRGMLYATVEYNDYIVFDDLLTDFYLANCTLAIINYDEEEDLLHTYLREKNIPISLCYVSVIDKCLRRVFEIEEVATLLEQVKDLMQRHSTEIASKVSEVPMPTYNEHFPWTLYETLKFFAESISWSEDMDHLVISAKTVTEALSALVIALDTLRGEDIPLCSMLSPITSKILIKKLGIAEQDDPLMMNLKRTISSVLQAHVISNDNLTAAALLDPRFHRLTTIDNLERTVRMLTHKYNINFGGVGEGESNEVAATSSVVAIKSEPRVVDGSAPKKLGLKLLFDSNEIPNPPKRDADSSVESDLKRYRNEVVVQLDESPIEWWLKMGHIYGTLRDLASLYHSVPGVVTLSFKKALRDQIYDFNKRFMLTGSHIDAILFLHHHNN
SwissProt::P35575 1 357 0.0239904761904762 PF01569.21:PAP2:60:192 Glucose-6-phosphatase; G-6-Pase; G6Pase; Glucose-6-phosphatase alpha; G6Pase-alpha; EC 3.1.3.9 357 133 31 159 9 Homo sapiens (Human) SwissProt::P35575 0 SwissProt MEEGMNVLHDFGIQSTHYLQVNYQDSQDWFILVSVIADLRNAFYVLFPIWFHLQEAVGIKLLWVAVIGDWLNLVFKWILFGQRPYWWVLDTDYYSNTSVPLIKQFPVTCETGPGSPSGHAMGTAGVYYVMVTSTLSIFQGKIKPTYRFRCLNVILWLGFWAVQLNVCLSRIYLAAHFPHQVVAGVLSGIAVAETFSHIHSIYNASLKKYFLITFFLFSFAIGFYLLLKGLGVDLLWTLEKAQRWCEQPEWVHIDTTPFASLLKNLGTLFGLGLALNSSMYRESCKGKLSKWLPFRLSSIVASLVLLHVFDSLKPPSQVELVFYVLSFCKSAVVPLASVSVIPYCLAQVLGQPHKKSL
VIMSS10083685 1 98 0.882691836734694 Dehydrin HIRD11; Histidine-rich dehydrin of 11 kDa; AtHIRD11; Protein SRC1 homolog 98 0 31 98 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SLJ2 1 SwissProt MAGLINKIGDALHIGGGNKEGEHKKEEEHKKHVDEHKSGEHKEGIVDKIKDKIHGGEGKSHDGEGKSHDGEKKKKKDKKEKKHHDDGHHSSSSDSDSD
VIMSS10086653 1 616 0.273302922077922 PF03547.18:Mem_trans:9:611 Auxin efflux carrier component 4; AtPIN4 616 603 31 396 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWZ6 1 SwissProt MITWHDLYTVLTAVVPLYVAMILAYGSVQWWKIFSPDQCSGINRFVAIFAVPLLSFHFISTNDPYAMNFRFVAADTLQKIIMLVLLALWANLTKNGSLEWMITIFSLSTLPNTLVMGIPLLIAMYGTYAGSLMVQVVVLQCIIWYTLLLFLFEYRGAKLLIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAEIGNDGKLHVTVRKSNASRRSLMMTPRPSNLTGAEIYSLSSTPRGSNFNHSDFYSVMGFPGGRLSNFGPADLYSVQSSRGPTPRPSNFEENNAVKYGFYNNTNSSVPAAGSYPAPNPEFSTGTGVSTKPNKIPKENQQQLQEKDSKASHDAKELHMFVWSSSASPVSDVFGGGAGDNVATEQSEQGAKEIRMVVSDQPRKSNARGGGDDIGGLDSGEGEREIEKATAGLNKMGSNSTAELEAAGGDGGGNNGTHMPPTSVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAYRWHVAMPKILQQSISILSDAGLGMAMFSLGLFMALQPKIIACGNSVATFAMAVRFITGPAIMAVAGIAIGLHGDLLRIAIVQAALPQGIVPFVFAKEYNVHPTILSTGVIFGMLIALPITLVYYILLGL
VIMSS10091523 1 253 0.724160869565218 Transcription factor PIF4; Basic helix-loop-helix protein 9; AtbHLH9; bHLH 9; Phytochrome-interacting factor 4; Short under red-light 2; Transcription factor EN 102; bHLH transcription factor bHLH009 430 0 31 253 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W2F3 1 SwissProt MEHQGWSFEENYSLSTNRRSIRPQDELVELLWRDGQVVLQSQTHREQTQTQKQDHHEEALRSSTFLEDQETVSWIQYPPDEDPFEPDDFSSHFFSTMDPLQRPTSETVKPKSSPEPPQVMVKPKACPDPPPQVMPPPKFRLTNSSSGIRETEMEQYSVTTVGPSHCGSNPSQNDLDVSMSHDRSKNIEEKLNPNASSSSGGSSGCSFGKDIKEMASGRCITTDRKRKRINHTDESVSLSDAIGNKSNQRSGSN
VIMSS10094870 1 80 0.63911625 PF08523.10:MBF1:11:79 Multiprotein-bridging factor 1c 148 69 31 80 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LV58 1 SwissProt MPSRYPGAVTQDWEPVVLHKSKQKSQDLRDPKAVNAALRNGVAVQTVKKFDAGSNKKGKSTAVPVINTKKLEEETEPAAM
VIMSS10095059 1 459 0.106207189542484 PF00615.19:RGS:296:411 Regulator of G-protein signaling 1; AtRGS1 459 116 31 309 7 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H1F2 1 SwissProt MASGCALHGGCPSDYVAVAISVICFFVLLSRSVLPCLIHKAPRTNSSSFWIPVIQVISSFNLLFSIMMSVNLLRFRTKHWWRYCYLWAVWIEGPLGFGLLMSCRITQAFQLYFIFVKKRLPPVKSYIFLPLVLLPWIFGAAIIHATKPLNDKCHMGLQWTFPVAGLHALYVLALIAFTRAVRHVEFRFDELRDLWKGILVSATSIVIWVTAFVLNEIHEEISWLQVASRFVLLVTGGILVVVFFSISSNQPLLSQISLKKRQNFEFQRMGQALGIPDSGLLFRKEEFRPVDPNEPLDKLLLNKRFRHSFMEFADSCYAGETLHFFEEVYEHGKIPEDDSIRRIYMARHIMEKFIVAGAEMELNLSHKTRQEILTTQDLTHTDLFKNALNEVMQLIKMNLVRDYWSSIYFIKFKEEESCHEAMHKEGYSFSSPRLSSVQGSDDPFYQEHMSKSSRCSSPG
VIMSS10101450 102 365 0.664816287878788 Transcription factor TCP2; Protein TEOSINTE BRANCHED 1; Protein TEOSINTE-LIKE1, CYCLOIDEA, and PROLIFERATING CELL FACTOR 2 365 0 31 264 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93V43 1 SwissProt SLNNTHFPTDDENHQNQTLTTVAANSLSKSACSSNSDTSKNSSGLSLSRSELRDKARERARERTAKETKERDHNHTSFTDLLNSGSDPVNSNRQWMASAPSSSPMEYFSSGLILGSGQQTHFPISTNSHPFSSISDHHHHHPHHQHQEFSFVPDHLISPAESNGGAFNLDFNMSTPSGAGAAVSAASGGGFSGFNRGTLQSNSTNQHQSFLANLQRFPTSESGGGPQFLFGALPAENHHHNHQFQLYYENGCRNSSEHKGKGKN
VIMSS10103601 110 284 0.580542857142858 Heat stress transcription factor B-1; AtHsfB1; AtHsf-16; Heat shock factor protein 4; HSF 4; Heat shock transcription factor 4; HSTF 4 284 0 31 175 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96320 1 SwissProt STAGKCVVVGSPSESNSGGGDDHGSSSTSSPGSSKNPGSVENMVADLSGENEKLKRENNNLSSELAAAKKQRDELVTFLTGHLKVRPEQIDKMIKGGKFKPVESDEESECEGCDGGGGAEEGVGEGLKLFGVWLKGERKKRDRDEKNYVVSGSRMTEIKNVDFHAPLWKSSKVCN
VIMSS10109106 1 247 0.0435396761133603 PF01027.20:Bax1-I:33:231 Bax inhibitor 1; AtBI-1; BI-1 247 199 31 94 7 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LD45 0 SwissProt MDAFSSFFDSQPGSRSWSYDSLKNFRQISPAVQNHLKRVYLTLCCALVASAFGAYLHVLWNIGGILTTIGCIGTMIWLLSCPPYEHQKRLSLLFVSAVLEGASVGPLIKVAIDVDPSILITAFVGTAIAFVCFSAAAMLARRREYLYLGGLLSSGLSMLMWLQFASSIFGGSASIFKFELYFGLLIFVGYMVVDTQEIIEKAHLGDMDYVKHSLTLFTDFVAVFVRILIIMLKNSADKEEKKKKRRN
VIMSS10109510 179 359 0.290039226519337 Squamosa promoter-binding-like protein 13A 359 0 31 181 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::B9DI20 1 SwissProt HIGRPANFFTGFQGSKLLEFSGGSHVFPTTSVLNPSWGNSLVSVAVAANGSSYGQSQSYVVGSSPAKTGIMFPISSSPNSTRSIAKQFPFLQEEESSRTASLCERMTSCIHDSDCALSLLSSSSSSVPHLLQPPLSLSQEAVETVFYGSGLFENASAVSDGSVISGNEAVRLPQTFPFHWE
VIMSS10109811 1 364 0.52572912087912 PF00010.26:HLH:100:151 Transcription factor SPEECHLESS; Basic helix-loop-helix protein 98; AtbHLH98; bHLH 98; Transcription factor EN 19; bHLH transcription factor bHLH098 364 52 31 364 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q700C7 1 SwissProt MQEIIPDFLEECEFVDTSLAGDDLFAILESLEGAGEISPTAASTPKDGTTSSKELVKDQDYENSSPKRKKQRLETRKEEDEEEEDGDGEAEEDNKQDGQQKMSHVTVERNRRKQMNEHLTVLRSLMPCFYVKRGDQASIIGGVVEYISELQQVLQSLEAKKQRKTYAEVLSPRVVPSPRPSPPVLSPRKPPLSPRINHHQIHHHLLLPPISPRTPQPTSPYRAIPPQLPLIPQPPLRSYSSLASCSSLGDPPPYSPASSSSSPSVSSNHESSVINELVANSKSALADVEVKFSGANVLLKTVSHKIPGQVMKIIAALEDLALEILQVNINTVDETMLNSFTIKIGIECQLSAEELAQQIQQTFC
VIMSS10110635 172 884 0.460907152875175 PF02891.20:zf-MIZ:188:236 E3 SUMO-protein ligase SIZ1; E3 SUMO-protein transferase SIZ1; EC 2.3.2.- 884 49 31 713 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q680Q4 1 SwissProt PFWVTVAHPLSPVRLTATTIPNDGASTMQSVERTFQITRADKDLLAKPEYDVQAWCMLLNDKVLFRMQWPQYADLQVNGVPVRAINRPGGQLLGVNGRDDGPIITSCIRDGVNRISLSGGDVRIFCFGVRLVKRRTLQQVLNLIPEEGKGETFEDALARVRRCIGGGGGDDNADSDSDIEVVADFFGVNLRCPMSGSRIKVAGRFLPCVHMGCFDLDVFVELNQRSRKWQCPICLKNYSVEHVIVDPYFNRITSKMKHCDEEVTEIEVKPDGSWRVKFKRESERRELGELSQWHAPDGSLCPSAVDIKRKMEMLPVKQEGYSDGPAPLKLGIRKNRNGIWEVSKPNTNGLSSSNRQEKVGYQEKNIIPMSSSATGSGRDGDDASVNQDAIGTFDFVANGMELDSISMNVDSGYNFPDRNQSGEGGNNEVIVLSDSDDENDLVITPGPAYSGCQTDGGLTFPLNPPGIINSYNEDPHSIAGGSSGLGLFNDDDEFDTPLWSFPSETPEAPGFQLFRSDADVSGGLVGLHHHSPLNCSPEINGGYTMAPETSMASVPVVPGSTGRSEANDGLVDNPLAFGRDDPSLQIFLPTKPDASAQSGFKNQADMSNGLRSEDWISLRLGDSASGNHGDPATTNGINSSHQMSTREGSMDTTTETASLLLGMNDSRQDKAKKQRSDNPFSFPRQKRSNNEQDHQTRHRSLNKICIILCAGKN
VIMSS13597 1 1627 0.452732452366318 PF12378.8:CytadhesinP1:147:213,PF12378.8:CytadhesinP1:427:507,PF03257.13:Adhesin_P1:922:1009 Adhesin P1 1627 236 31 1581 2 Mycoplasma pneumoniae (strain ATCC 29342 / M129) P11311 1 SwissProt/TReMBL MHQTKKTALSKSTWILILTATASLATGLTVVGHFTSTTTTLKRQQFSYTRPDEVALRHTNAINPRLTPWTYRNTSFSSLPLTGENPGAWALVRDNSAKGITAGSGSQQTTYDPTRTEAALTASTTFALRRYDLAGRALYDLDFSKLNPQTPTRDQTGQITFNPFGGFGLSGAAPQQWNEVKNKVPVEVAQDPSNPYRFAVLLVPRSVVYYEQLQRGLGLPQQRTESGQNTSTTGAMFGLKVKNAEADTAKSNEKLQGAEATGSSTTSGSGQSTQRGGSSGDTKVKALKIEVKKKSDSEDNGQLQLEKNDLANAPIKRSEESGQSVQLKADDFGTALSSSGSGGNSNPGSPTPWRPWLATEQIHKDLPKWSASILILYDAPYARNRTAIDRVDHLDPKAMTANYPPSWRTPKWNHHGLWDWKARDVLLQTTGFFNPRRHPEWFDGGQTVADNEKTGFDVDNSENTKQGFQKEADSDKSAPIALPFEAYFANIGNLTWFGQALLVFGGNGHVTKSAHTAPLSIGVFRVRYNATGTSATVTGWPYALLFSGMVNKQTDGLKDLPFNNNRWFEYVPRMAVAGAKFVGRELVLAGTITMGDTATVPRLLYDELESNLNLVAQGQGLLREDLQLFTPYGWANRPDLPIGAWSSSSSSSHNAPYYFHNNPDWQDRPIQNVVDAFIKPWEDKNGKDDAKYIYPYRYSGMWAWQVYNWSNKLTDQPLSADFVNENAYQPNSLFAAILNPELLAALPDKVKYGKENEFAANEYERFNQKLTVAPTQGTNWSHFSPTLSRFSTGFNLVGSVLDQVLDYVPWIGNGYRYGNNHRGVDDITAPQTSAGSSSGISTNTSGSRSFLPTFSNIGVGLKANVQATLGGSQTMITGGSPRRTLDQANLQLWTGAGWRNDKASSGQSDENHTKFTSATGMDQQGQSGTSAGNPDSLKQDNISKSGDSLTTQDGNAIDQQEATNYTNLPPNLTPTADWPNALSFTNKNNAQRAQLFLRGLLGSIPVLVNRSGSDSNKFQATDQKWSYTDLHSDQTKLNLPAYGEVNGLLNPALVETYFGNTRAGGSGSNTTSSPGIGFKIPEQNNDSKATLITPGLAWTPQDVGNLVVSGTTVSFQLGGWLVTFTDFVKPRAGYLGLQLTGLDASDATQRALIWAPRPWAAFRGSWVNRLGRVESVWDLKGVWADQAQSDSQGSTTTATRNALPEHPNALAFQVSVVEASAYKPNTSSGQTQSTNSSPYLHLVKPKKVTQSDKLDDDLKNLLDPNQVRTKLRQSFGTDHSTQPQPQSLKTTTPVFGTSSGNLSSVLSGGGAGGGSSGSGQSGVDLSPVEKVSGWLVGQLPSTSDGNTSSTNNLAPNTNTGNDVVGVGRLSESNAAKMNDDVDGIVRTPLAELLDGEGQTADTGPQSVKFKSPDQIDFNRLFTHPVTDLFDPVTMLVYDQYIPLFIDIPASVNPKMVRLKVLSFDTNEQSLGLRLEFFKPDQDTQPNNNVQVNPNNGDFLPLLTASSQGPQTLFSPFNQWPDYVLPLAITVPIVVIVLSVTLGLAIGIPMHKNKQALKAGFALSNQKVDVLTKAVGSVFKEIINRTGISQAPKRLKQTSAAKPGAPRPPVPPKPGAPKPPVQPPKKPA
VIMSS14567 1 109 0.0409302752293578 PF03626.14:COX4_pro:20:92 cytochrome bo3 ubiquinol oxidase subunit 4 (EC 7.1.1.3) 109 73 31 43 3 Escherichia coli K-12 substr. MG1655 ecocyc::CYOD-MONOMER 0 ecocyc MSHSTDHSGASHGSVKTYMTGFILSIILTVIPFWMVMTGAASPAVILGTILAMAVVQVLVHLVCFLHMNTKSDEGWNMTAFVFTVLIIAILVVGSIWIMWNLNYNMMMH
VIMSS2099603 1 419 0.048073508353222 PF07690.16:MFS_1:12:363,PF07690.16:MFS_1:252:396,PF05977.13:MFS_3:12:414 Multidrug efflux pump Tap 419 403 31 146 12 Mycobacterium bovis (strain BCG / Pasteur 1173P2) SwissProt::A0A0H3M5L9 0 SwissProt MRNSNRGPAFLILFATLMAAAGDGVSIVAFPWLVLQREGSAGQASIVASATMLPLLFATLVAGTAVDYFGRRRVSMVADALSGAAVAGVPLVAWGYGGDAVNVLVLAVLAALAAAFGPAGMTARDSMLPEAAARAGWSLDRINGAYEAILNLAFIVGPAIGGLMIATVGGITTMWITATAFGLSILAIAALQLEGAGKPHHTSRPQGLVSGIAEGLRFVWNLRVLRTLGMIDLTVTALYLPMESVLFPKYFTDHQQPVQLGWALMAIAGGGLVGALGYAVLAIRVPRRVTMSTAVLTLGLASMVIAFLPPLPVIMVLCAVVGLVYGPIQPIYNYVIQTRAAQHLRGRVVGVMTSLAYAAGPLGLLLAGPLTDAAGLHATFLALALPIVCTGLVAIRLPALRELDLAPQADIDRPVGSAQ
VIMSS3692045 1 374 0.315581016042781 PF18844.1:baeRF_family2:118:266,PF18854.1:baeRF_family10:104:223 Uncharacterized protein MT2704 374 163 31 374 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WL62 1 SwissProt MRSERLRWLVAAEGPFASVYFDDSHDTLDAVERREATWRDVRKHLESRDAKQELIDSLEEAVRDSRPAVGQRGRALIATGEQVLVNEHLIGPPPATVIRLSDYPYVVPLIDLEMRRPTYVFAAVDHTGADVKLYQGATISSTKIDGVGYPVHKPVTAGWNGYGDFQHTTEEAIRMNCRAVADHLTRLVDAADPEVVFVSGEVRSRTDLLSTLPQRVAVRVSQLHAGPRKSALDEEEIWDLTSAEFTRRRYAEITNVAQQFEAEIGRGSGLAAQGLAEVCAALRDGDVDTLIVGELGEATVVTGKARTTVARDADMLSELGEPVDRVARADEALPFAAIAVGAALVRDDNRIAPLDGVGALLRYAATNRLGSHRS
VIMSS539752 1 518 0.0475590733590734 PF07690.16:MFS_1:14:424,PF00083.24:Sugar_tr:46:184,PF06609.13:TRI12:15:190 Probable triacylglyceride transporter BCG_1471c; MFS-type drug efflux transporter P55 518 411 31 208 14 Mycobacterium bovis (strain BCG / Pasteur 1173P2) SwissProt::A1KIJ9 0 SwissProt MRAGRRVAISAGSLAVLLGALDTYVVVTIMRDIMNSVGIPINQLHRITWIVTMYLLGYIAAMPLLGRASDRFGRKLMLQVSLAGFIIGSVVTALAGHFGDFHMLIAGRTIQGVASGALLPITLALGADLWSQRNRAGVLGGIGAAQELGSVLGPLYGIFIVWLLHDWRDVFWINVPLTAIAMVMIHFSLPSHDRSTEPERVDLVGGLLLALALGLAVIGLYNPNPDGKHVLPDYGAPLLVGALVAAVAFFGWERFARTRLIDPAGVHFRPFLSALGASVAAGAALMVTLVDVELFGQGVLQMDQAQAAGMLLWFLIALPIGAVTGGWIATRAGDRAVAFAGLLIAAYGYWLISHWPVDLLADRHNILGLFTVPAMHTDLVVAGLGLGLVIGPLSSATLRVVPSAQHGIASAAVVVARMTGMLIGVAALSAWGLYRFNQILAGLSAAIPPNASLLERAAAIGARYQQAFALMYGEIFTITAIVCVFGAVLGLLISGRKEHADEPEVQEQPTLAPQVEPL
VIMSS6575908 141 552 0.528873300970874 PF08601.10:PAP1:300:409 AP-1-like transcription factor; Caffeine resistance protein 3 552 110 31 412 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q01663 1 SwissProt DGSFTFEMSLPHRNPSLSSLPTTGFSSNFAHMKDGISPQSNLHLSPNSIEKPNMHQNVLHNDRSADNLNHRYQVPPTLVDSNSAQGTLSPETPSSSDSPSNLYLNYPKRKSITHLHHDCSALSNGENGEDVADGKQFCQKLSTACGSIACSMLTKTTPHRASVDILSNLHESTVSPPMADESVQRSSEVSKSIPNVELSLNVNQQFVSPFGGTDSFPLPTDTGLDSLFEPDSAIENSHLKNVVMEPELFQAWREPAESLDKEFFNDEGEIDDVFHNYFHNSNENGDLITNSLHGLDFLENANESFPEQMYPFIKHNKDYISNHPDEVPPDGLPQKGKHDTSSQMPSENEIVPAKERAYLSCPKVWSKIINHPRFESFDIDDLCSKLKNKAKCSSSGVLLDERDVEAALNQFN
VIMSS6582463 1 238 0.521721428571429 PF07716.15:bZIP_2:38:77 Transcriptional activator HAC1 238 40 31 238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41546 1 SwissProt MEMTDFELTSNSQSNLAIPTNFKSTLPPRKRAKTKEEKEQRRIERILRNRRAAHQSREKKRLHLQYLERKCSLLENLLNSVNLEKLADHEDALTCSHDAFVASLDEYRDFQSTRGASLDTRASSHSSSDTFTPSPLNCTMEPATLSPKSMRDSASDQETSWELQMFKTENVPESTTLPAVDNNNLFDAVASPLADPLCDDIAGNSLPFDNSIDLDNWRNPEAQSGLNSFELNDFFITS
XP_005245568.1 154 342 0.0822809523809524 sorting nexin-27 isoform X6 342 0 31 189 0 Homo sapiens XP_005245568.1 1 RefSeq KLYIQNYTSAVPGTCLTIRKWLFTTEEEILLNDNDLAVTYFFHQAVDDVKKGYIKAEEKSYQLQKLYEQRKMVMYLNMLRTCEGYNEIIFPHCACDSRRKGHVITAISITHFKLHACTEEGQLENQVIAFEWDEMQRWDTDEEGMAFCFEYARGEKKPRWVKIFTPYFNYMHECFERVFCELKWRKEEY
XP_006515905.1 1 752 0.740746675531915 PF03832.13:WSK:79:107 A-kinase anchor protein 5 isoform X1 752 29 31 752 0 Mus musculus XP_006515905.1 1 RefSeq MKECSVKMETSVSEIQVETKDEKGPVAASPQKERQERKTATLCFKRRKKANKTKPKAGSRTAEETKKHTPEAGGSGQRQPAGAWASIKGLVTHRKRSEPAKKQKPPEAEVQPEDGALPKKKAKSRLKFPCLRFSRGAKRSRHSKLTEDSGYVRVQGEADDLEIKAQTQPDDQAIQAGSTQGLQEGVLVRDGKKSQESHISNSVTSGENVIAIELELENKSSAIQMGTPELEKETKVITEKPSVQTQRASLLESSAAGSPRSVTSAAPPSPATTHQHSLEEPSNGIRESAPSGKDDRRKTAAEEKKSGETALGQAEEAAVGQADKRALSQAGEATAGHPEEATVIQAESQAKEGKLSQAEETTVAQAKETVLSQAKEGELSQAKKATVGQAEEATIDHTEKVTVDQAEETTVGQAEEATVGQAGEAILSQAKEATVVGQAEEATVDRAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAEEATVGQAEEATVDWAEKPTVGQAEEATVGQAEEATVGHTEKVTVDQAEEATVGQAEEATVGHTEKVTVDHAEEATVGQAEEATVGQAEKVTVDHAEEATVGQAEEATVGQAEKVTVDHAEEATVGQAEEATVGQAEKVTVDQAEEPTVDQAEEAISSHAPDLKENGIDTEKPRSEESKRMEPIAIIITDTEISEFDVKKSKNVPKQFLISMENEQVGVFANDSDFEGRTSEQYETLLIETASSLVKNAIELSVEQLVNEMVSEDNQINTLFQ
XP_006716136.1 274 748 0.738677684210527 tyrosine-protein phosphatase non-receptor type 12 isoform X1 748 0 31 475 0 Homo sapiens XP_006716136.1 1 RefSeq AQKIADGVNEINTENMVSSIEPEKQDSPPPKPPRTRSCLVEGDAKEEILQPPEPHPVPPILTPSPPSAFPTVTTVWQDNDRYHPKPVLHMVSSEQHSADLNRNYSKSTELPGKNESTIEQIDKKLERNLSFEIKKVPLQEGPKSFDGNTLLNRGHAIKIKSASPCIADKISKPQELSSDLNVGDTSQNSCVDCSVTQSNKVSVTPPEESQNSDTPPRPDRLPLDEKGHVTWSFHGPENAIPIPDLSEGNSSDINYQTRKTVSLTPSPTTQVETPDLVDHDNTSPLFRTPLSFTNPLHSDDSDSDERNSDGAVTQNKTNISTASATVSAATSTESISTRKVLPMSIARHNIAGTTHSGAEKDVDVSEDSPPPLPERTPESFVLASEHNTPVRSEWSELQSQERSEQKKSEGLITSENEKCDHPAGGIHYEMCIECPPTFSDKREQISENPTEATDIGFGNRCGKPKGPRDPPSEWT
XP_011528408.1 180 366 0.445279144385027 PF12937.7:F-box-like:1:43 F-box only protein 7 isoform X2 366 43 31 187 0 Homo sapiens XP_011528408.1 1 RefSeq PLELKLRIFRLLDVRSVLSLSAVCRDLFTASNDPLLWRFLYLRDFRDNTVRVQDTDWKELYRKRHIQRKESPKGRFVMLLPSSTHTIPFYPNPLHPRPFPSSRLPPGIIGGEYDQRPTLPYVGDPISSLIPGPGETPSQFPPLRPRFDPVGPLPGPNPILPGRGGPNDRFPFRPSRGRPTDGRLSFM
XP_011543528.1 277 725 0.393818708240534 PF10186.9:Atg14:44:183 UV radiation resistance-associated gene protein isoform X1 725 140 31 449 0 Homo sapiens XP_011543528.1 1 RefSeq SAFSAEHLKLQLQKESLNELRKECTAKRELFLKTNAQLTIRCRQLLSELSYIYPIDLNEHKDYFVCGVKLPNSEDFQAKDDGSIAVALGYTAHLVSMISFFLQVPLRYPIIHKGSRSTIKDNINDKLTEKEREFPLYPKGGEKLQFDYGVYLLNKNIAQLRYQHGLGTPDLRQTLPNLKNFMEHGLMVRCIKPQMSCFQFGAKPSWKRQPLTGNGCDRHHTSSAIPVPKRQSSIFGGADVGFSGGIPSPDKGHRKRASSENERLQYKTPPPSYNSALAQPVTTVPSMGETERKITSLSSSLDTSLDFSKENKKKGEDLVGSLNGGHANVHPSQEQGEALSGHRATVNGTLLPSEQAGSASVQLPGEFHPVSEAELCCTVEQAEEIIGLEATGFASGDQLEAFNCIPVDSAVAVECDEQVLGEFEEFSRRIYALNENVSSFRRPRRSSDK
NP_000191.1 1 51 0.258896078431373 Histatin-3; Basic histidine-rich protein; Hst; Histidine-rich protein 3; PB 51 0 30 51 0 Homo sapiens (Human) SwissProt::P15516 1 SwissProt MKFFVFALILALMLSMTGADSHAKRHHGYKRKFHEKHHSHRGYRSNYLYDN
NP_001005474.1 1 338 0.58441449704142 NF-kappa-B inhibitor zeta isoform b 618 0 30 338 0 Homo sapiens NP_001005474.1 1 RefSeq MGVGRQQRGPFQGVRVKNSVKELLLHIRSHKQKASGQAVDDFKTQGVNIEQFRELKNTVSYSGKRKGPDSLSDGPACKRPALLHSQFLTPPQTPTPGESMEDVHLNEPKQESSADLLQNIINIKNECSPVSLNTVQVSWLNPVVVPQSSPAEQCQDFHGGQVFSPPQKCQPFQVRGSQQMIDQASLYQYSPQNQHVEQQPHYTHKPTLEYSPFPIPPQSPAYEPNLFDGPESQFCPNQSLVSLLGDQRESENIANPMQTSSSVQQQNDAHLHSFSMMPSSACEAMVGHEMASDSSNTSLPFSNMGNPMNTTQLGKSLFQWQVEQEESKLANISQDQFL
NP_001035784.1 48 521 0.784595991561182 Inner centromere protein 918 0 30 474 0 Homo sapiens (Human) SwissProt::Q9NQS7 1 SwissProt EFSKEPELMPKTPSQKNRRKKRRISYVQDENRDPIRRRLSRRKSRSSQLSSRRLRSKDSVEKLATVVGENGSVLRRVTRAAAAAAAATMALAAPSSPTPESPTMLTKKPEDNHTQCQLVPVVEIGISERQNAEQHVTQLMSTEPLPRTLSPTPASATAPTSQGIPTSDEESTPKKSKARILESITVSSLMATPQDPKGQGVGTGRSASKLRIAQVSPGPRDSPAFPDSPWRERVLAPILPDNFSTPTGSRTDSQSVRHSPIAPSSPSPQVLAQKYSLVAKQESVVRRASRRLAKKTAEEPAASGRIICHSYLERLLNVEVPQKVGSEQKEPPEEAEPVAAAEPEVPENNGNNSWPHNDTEIANSTPNPKPAASSPETPSAGQQEAKTDQADGPREPPQSARRKRSYKQAVSELDEEQHLEDEELQPPRSKTPSSPCPASKVVRPLRTFLHTVQRNQMLMTPTSAPRSVMKSFIK
NP_001128143.1 1 231 0.0634580086580086 PF04511.15:DER1:11:170 derlin-1 isoform b 231 160 30 126 5 Homo sapiens NP_001128143.1 1 RefSeq MSDIGDWFRSIPAITRYWFAATVAVPLVGKLGLISPAYLFLWPEAFLYRFQIWRPITATFYFPVGPGTGFLYLVNLYFLYQYSTRLETGAFDGRPADYLFMLLFNWICIVITGLAMDMQLLMIPLIMSVLYVWAQLNRDMIVSFWFGTRFKACYLPWVILGFNYIIGGSYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRHNWGQGFRLGDQ
NP_001171623.1 1 525 0.733084000000001 PF07175.11:Osteoregulin:97:256 Matrix extracellular phosphoglycoprotein; Osteoblast/osteocyte factor 45; OF45; Osteoregulin 525 160 30 525 0 Homo sapiens (Human) SwissProt::Q9NQ76 1 SwissProt MRVFCVGLLLFSVTWAAPTFQPQTEKTKQSCVEEQRQEEKNKDNIGFHHLGKRINQELSSKENIVQERKKDLSLSEASENKGSSKSQNYFTNRQRLNKEYSISNKENTHNGLRMSIYPKSTGNKGFEDGDDAISKLHDQEEYGAALIRNNMQHIMGPVTAIKLLGEENKENTPRNVLNIIPASMNYAKAHSKDKKKPQRDSQAQKSPVKSKSTHRIQHNIDYLKHLSKVKKIPSDFEGSGYTDLQERGDNDISPFSGDGQPFKDIPGKGEATGPDLEGKDIQTGFAGPSEAESTHLDTKKPGYNEIPEREENGGNTIGTRDETAKEADAVDVSLVEGSNDIMGSTNFKELPGREGNRVDAGSQNAHQGKVEFHYPPAPSKEKRKEGSSDAAESTNYNEIPKNGKGSTRKGVDHSNRNQATLNEKQRFPSKGKSQGLPIPSRGLDNEIKNEMDSFNGPSHENIITHGRKYHYVPHRQNNSTRNKGMPQGKGSWGRQPHSNRRFSSRRRDDSSESSDSGSSSESDGD
NP_001240628.1 409 1320 0.661346929824561 erbin isoform 8 1419 0 30 912 0 Homo sapiens NP_001240628.1 1 RefSeq LQKETDSETQKMVLTNYMFPQQPRTEDVMFISDNESFNPSLWEEQRKQRAQVAFECDEDKDEREAPPREGNLKRYPTPYPDELKNMVKTVQTIVHRLKDEETNEDSGRDLKPHEDQQDINKDVGVKTSESTTTVKSKVDEREKYMIGNSVQKISEPEAEISPGSLPVTANMKASENLKHIVNHDDVFEESEELSSDEEMKMAEMRPPLIETSINQPKVVALSNNKKDDTKETDSLSDEVTHNSNQNNSNCSSPSRMSDSVSLNTDSSQDTSLCSPVKQTHIDINSKIRQEDENFNSLLQNGDILNSSTEEKFKAHDKKDFNLPEYDLNVEERLVLIEKSVDSTATADDTHKLDHINMNLNKLITNDTFQPEIMERSKTQDIVLGTSFLSINSKEETEHLENGNKYPNLESVNKVNGHSEETSQSPNRTEPHDSDCSVDLGISKSTEDLSPQKSGPVGSVVKSHSITNMEIGGLKIYDILSDNGPQQPSTTVKITSAVDGKNIVRSKSATLLYDQPLQVFTGSSSSSDLISGTKAIFKFDSNHNPEEPNIIRGPTSGPQSAPQIYGPPQYNIQYSSSAAVKDTLWHSKQNPQIDHASFPPQLLPRSESTENQSYAKHSANMNFSNHNNVRANTAYHLHQRLGPARHGEMWAISPNDRLIPAVTRSTIQRQSSVSSTASVNLGDPGSTRRAQIPEGDYLSYREFHSAGRTPPMMPGSQRPLSARTYSIDGPNASRPQSARPSINEIPERTMSVSDFNYSRTSPSKRPNARVGSEHSLLDPPGKSKVPRDWREQVLRHIEAKKLEKSMLSRSFNSNFTTVSSFHCGSSRDLHGSQGSLALSVADRRGSGGHIFRMPLSNGQMGQPLRPQANYSQIHHPPQASVARHPSREQLIDYLMLKVAHQPPYTQPHCSPRQ
NP_001267716.1 1 191 0.343584816753927 PF02104.15:SURF1:5:174 surfeit locus protein 1 isoform 2 191 170 30 191 0 Homo sapiens NP_001267716.1 1 RefSeq MELKNLEYRPVKVRGCFDHSKELYMMPRTMVDPVREAREGGLISSSTQSGAYVVTPFHCTDLGVTILVNRGFVPRKKVNPETRQKGQIEGEVDLIGMVRLTETRQPFVPENNPERNHWHYRDLEAMARITGAEPIFIDANFQSTVPGGPIGGQTRVTLRNEHLQYIVTWYGLSAATSYLWFKKFLRGTPGV
NP_001307841.1 1 194 0.623404639175258 PF02038.16:ATP1G1_PLM_MAT8:131:165 FXYD domain-containing ion transport regulator 5 isoform 2 precursor 194 35 30 171 1 Homo sapiens NP_001307841.1 1 RefSeq MSPSGRLCLLTIVGLILPTRGQTLKDTTSSSSADSTIMDIQVPTRAPDAVYTELQPTSPTPTWPADETPQPQTQTQQLEGTDGPLVTDPETHKSTKAAHPTDDTTTLSERPSPSTDVQTDPQTLKPSGFHEDDPFFYDEHTLRKRGLLVAAVLFITGIIILTSENWGWVGRTPRPGGGLMTEGWVLKGPWAILF
NP_001608.1 323 726 0.71394306930693 Beta-adducin; Erythrocyte adducin subunit beta 726 0 30 404 0 Homo sapiens (Human) SwissProt::P35612 1 SwissProt AGGVENLILLEQEKHRPHEVGSVQWAGSTFGPMQKSRLGEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGAPVPALRQHAQKQQKEKTRWLNTPNTYLRVNVADEVQRSMGSPRPKTTWMKADEVEKSSSGMPIRIENPNQFVPLYTDPQEVLEMRNKIREQNRQDVKSAGPQSQLLASVIAEKSRSPSTESQLMSKGDEDTKDDSEETVPNPFSQLTDQELEEYKKEVERKKLELDGEKETAPEEPGSPAKSAPASPVQSPAKEAETKSPLVSPSKSLEEGTKKTETSKAATTEPETTQPEGVVVNGREEEQTAEEILSKGLSQMTTSADTDVDTSKDKTESVTSGPMSPEGSPSKSPSKKKKKFRTPSFLKKSKKKEKVES
NP_009992.1 96 487 0.566309948979592 PF02037.27:SAP:183:216 Postreplication repair E3 ubiquitin-protein ligase RAD18; RING-type E3 ubiquitin transferase RAD18; Radiation sensitivity protein 18; EC 2.3.2.27 487 34 30 392 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P10862 1 SwissProt DALRIPKPTPVPENEEVPGPENSSWIELISESESDSVNAADDDLQIVATSERKLAKRSMTDILPLSSKPSKRNFAMFRSERIKKKSKPNEQMAQCPICQQFYPLKALEKTHLDECLTLQSLGKKPKISTTFPTESNPHNKSSSRFKVRTPEVDKSSCGETSHVDKYLNSMMSAEHQRLPKINFTSMTQSQIKQKLSSLGLSTNGTRQNMIKRYNHYEMLWNSNFCDSLEPVDEAELKRQLLSWDVSHNKTPQNSSNKGGISKLMIMKSNGKSSSYRKLLENFKNDKFNRKGWMVMFRKDFARLIREAKMKIKTGSSDSSGSVGHSNDGDGVEKVQSDQGTEDQQMEKDQDTVINEDRVAGERNLPNEDSTDADLSRELMDLNEYSKDPPGNN
NP_010224.1 1 238 0.288377731092437 PF04098.15:Rad52_Rad22:47:200 DNA repair protein RAD59 238 154 30 238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12223 1 SwissProt MTIQAKPSSSISYDSTTYGTAPGLDIKEFQIIEDWNGRPASAWSVQRIGLLQSKIERYTYNIYHNNKYGKHNLSKLIPGHALIQFANETFGYDGWRMDVIDVEARECQPFTAVNNGENTNTSEVKYTVVAEAQVKVTLKDGTNTQCGGLGRITLSSRGECYNRSKKEAVGDALKKALLSFEKIILDYETKITNNYYVDGLYGSKKIKNEANTNYNLLSATNSKPTFIKLEDAKGTHIK
NP_012437.1 1 1058 0.422424763705103 PF04499.15:SAPS:259:772 SIT4-associating protein SAP185 1058 514 30 1058 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40856 1 SwissProt MSGSFWKFGQDFGSQSPLAKLLNRAFIKIDDKPTSTEAGKIDSNSTDESLESNSFKSEDEEEEYELPNREEDYKAYKPNLSLLNDLLDDEELYTELMCSNFKLLVYLKYPEVLSKLIDYVRNSTILESNIDRVTSEDRDLVRGEDKDTTEDFENAKADKKNIDGTFEEKERTRSGEEEELENEENDSASEDTRVTLPHELEEHDDTRRARIAAEILSADVWPISSALIENEGLLAKLWSILRLPSPLSIEASTYFMKINERLLDMNMDGIIEFILKKEHIVDDFLAHIDNPPLMDFLLKVISTDKPEISNGVIQLFKKQNLVPKLIHLLDPVFDSCTQSAAGDFLKALVTISGNCPNEITSSIGPNELTRQLVSPNMMKQLMDIMLKGGNSLNNGVGIIIELIRKNNSDYDTIQTNYTTIESHPPTDRDPIYLGYLVKMFSEHMADFNKILTEKKIPLLQTSYGTIEPLGFERFKICELIAELLHCSNMTLLNEPSAYDIVRERDAERERIFNSQNYVDSNDRSELKENEDDNTGDADDEVEDDTNQVESANTSIDGEEVIDKLNSLQIETNKVNQNMNNEEQHSLMPDFNNGDFKDEEDENPFEPQYSDVILDSSDIEKNFRVSPNVGDQLKISLQDTRVIDTMLEMFFHFQWNNFLHNVVYDVVQQIFNGPLKIGYNRFLLDDLLINIRLTDMIINGNNECIEYEKGHDTRLGYMGHLTLIAEEVTKFTAYIEEMNITFENTEVMSSLFESKWIAYTEDVLEDLKEKYNAILGDIAEEGDMLQDEEEDAVYDKGERTMGTVDDYINDIMQMDNVRCQEEEEDEGEGYVSFDEDEPQEYRNGDSVRSKESNSSEGKRDQEQLYYEYVNEDGTKTRLNFNPDSDATEQVPGEVNRDHKIPLKLKRSFTDACKSETIPNNTVNAKEESVFQFSNELSDGWESSPSNSIPKRASPSKNGMNSPMFQHQFELHSPTDEFGGHKDEILSAEGHDYDIDEYDELSDDSDEEYDNCEDEDSLDYADSAAYALCRSKSKDKISWDEEEQARLMGVVKFNSEHYRD
NP_013232.1 1 584 0.645298801369863 Metallothionein expression activator 770 0 30 584 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21192 1 SwissProt MDNVVDPWYINPSGFAKDTQDEEYVQHHDNVNPTIPPPDNYILNNENDDGLDNLLGMDYYNIDDLLTQELRDLDIPLVPSPKTGDGSSDKKNIDRTWNLGDENNKVSHYSKKSMSSHKRGLSGTAIFGFLGHNKTLSISSLQQSILNMSKDPQPMELINELGNHNTVKNNNDDFDHIRENDGENSYLSQVLLKQQEELRIALEKQKEVNEKLEKQLRDNQIQQEKLRKVLEEQEEVAQKLVSGATNSNSKPGSPVILKTPAMQNGRMKDNAIIVTTNSANGGYQFPPPTLISPRMSNTSINGSPSRKYHRQRYPNKSPESNGLNLFSSNSGYLRDSELLSFSPQNYNLNLDGLTYNDHNNTSDKNNNDKKNSTGDNIFRLFEKTSPGGLSISPRINGNSLRSPFLVGTDKSRDDRYAAGTFTPRTQLSPIHKKRESVVSTVSTISQLQDDTEPIHMRNTQNPTLRNANALASSSVLPPIPGSSNNTPIKNSLPQKHVFQHTPVKAPPKNGSNLAPLLNAPDLTDHQLEIKTPIRNNSHCEVESYPQVPPVTHDIHKSPTLHSTSPLPDEIIPRTTPMKITKKPT
NP_013476.3 1 345 0.0445730434782609 PF01151.18:ELO:72:313 Elongation of fatty acids protein 3; 3-keto acyl-CoA synthase ELO3; Affecting plasma membrane ATPase activity protein 1; Suppressor of RAD3 essential function protein 1; Suppressor of Rvs161 and Rvs167 mutations protein 4; Very-long-chain 3-oxoacyl-CoA synthase 3; v-SNARE bypass mutant gene 1 protein; EC 2.3.1.199 345 242 30 215 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40319 1 SwissProt MNTTTSTVIAAVADQFQSLNSSSSCFLKVHVPSIENPFGIELWPIFSKVFEYFSGYPAEQFEFIHNKTFLANGYHAVSIIIVYYIIIFGGQAILRALNASPLKFKLLFEIHNLFLTSISLVLWLLMLEQLVPMVYHNGLFWSICSKEAFAPKLVTLYYLNYLTKFVELIDTVFLVLRRKKLLFLHTYHHGATALLCYTQLIGRTSVEWVVILLNLGVHVIMYWYYFLSSCGIRVWWKQWVTRFQIIQFLIDLVFVYFATYTFYAHKYLDGILPNKGTCYGTQAAAAYGYLILTSYLLLFISFYIQSYKKGGKKTVKKESEVSGSVASGSSTGVKTSNTKVSSRKA
NP_033595.1 393 995 0.749771641791045 PF12874.7:zf-met:572:590 Zinc finger protein ZFPM1; Friend of GATA protein 1; FOG-1; Friend of GATA 1; Zinc finger protein multitype 1 995 19 30 603 0 Mus musculus (Mouse) SwissProt::O35615 1 SwissProt GEIYSPGAGHPAAKLPPDSLAGFQQHSLMHSPLVPADKAPTPSSGLDSKAEVTNGETRVPPQNGGSSESPAAPRTIKVEAAEEPEATRASGPGEPGPQAPSRTPSPHSPNPVRVKTELSSPTPGSSPGPGELTMAGTLFLPQYVFSPDAGTTTVPTAPQASEILAKMSELVHNRLQQGAGSSGAAGTPTGLFSGTKGATCFECEITFNNINNFYVHKRLYCSGRRAPEDPPTVRRPKAATGPARAPAGAAAEPDPSRSSPGPGPREEEASGTTTPEAEAAGRGSEGSQSPGSSVDDAEDDPSRTLCEACNIRFSRHETYTVHKRYYCASRHDPPPRRPPAPTTAPGPAAPALTAPPVRTRRRRKLYELPAAGAPPPAAGPAPVPVVPSPTAELPSSPRPGSASAGPAPALSPSPVPDGPIDLSKRPRRQSPDAPTALPALADYHECTACRVSFHSLEAYLAHKKYSCPAAPLRTTALCPYCPPNGRVRGDLVEHLRQAHGLQVAKPAASPGAEPRTPAERAPRDSPDGRAPRSPSPAPENTPSDPADQGARTPSKGPPAPAPAPGGGGGHRYCRLCNIRFSSLSTFIAHKKYYCSSHAAEHVK
NP_034722.1 1 258 0.637900775193798 PF03957.13:Jun:1:251 Transcription factor jun-D 341 251 30 258 0 Mus musculus (Mouse) SwissProt::P15066 1 SwissProt METPFYGEEALSGLAAGASSVAGATGAPGGGGFAPPGRAFPGAPPTSSMLKKDALTLSLAEQGAAGLKPGSATAPSALRPDGAPDGLLASPDLGLLKLASPELERLIIQSNGLVTTTPTSTQFLYPKVAASEEQEFAEGFVKALEDLHKQSQLGAATAATSGAPAPPAPADLAATPGATETPVYANLSSFAGGAGPPGGAATVAFAAEPVPFPPPPGALGPPPPPHPPRLAALKDEPQTVPDVPSFGDSPPLSPIDMD
NP_035292.2 1 480 0.734225208333333 C-Jun-amino-terminal kinase-interacting protein 1; JIP-1; JNK-interacting protein 1; Islet-brain-1; IB-1; JNK MAP kinase scaffold protein 1; Mitogen-activated protein kinase 8-interacting protein 1 707 0 30 480 0 Mus musculus (Mouse) SwissProt::Q9WVI9 1 SwissProt MAERESGLGGGAASPPAASPFLGLHIASPPNFRLTHDISLEEFEDEDLSEITDECGISLQCKDTLSLRPPRAGLLSAGSSGSAGSRLQAEMLQMDLIDAAGDTPGAEDDEEEEDDELAAQRPGVGPPKAESNQDPAPRSQGQGPGTGSGDTYRPKRPTTLNLFPQVPRSQDTLNNNSLGKKHSWQDRVSRSSSPLKTGEQTPPHEHICLSDELPPQGSPVPTQDRGTSTDSPCRRSAATQMAPPSGPPATAPGGRGHSHRDRIHYQADVRLEATEEIYLTPVQRPPDPAEPTSTFMPPTESRMSVSSDPDPAAYSVTAGRPHPSISEEDEGFDCLSSPERAEPPGGGWRGSLGEPPPPPRASLSSDTSALSYDSVKYTLVVDEHAQLELVSLRPCFGDYSDESDSATVYDNCASASSPYESAIGEEYEEAPQPRPPTCLSEDSTPDEPDVHFSKKFLNVFMSGRSRSSSAESFGLFSCVI
NP_036285.2 1 197 0.283987817258883 PF02198.16:SAM_PNT:38:114 ETS homologous factor; hEHF; ETS domain-containing transcription factor; Epithelium-specific Ets transcription factor 3; ESE-3 300 77 30 197 0 Homo sapiens (Human) SwissProt::Q9NZC4 1 SwissProt MILEGGGVMNLNPGNNLLHQPPAWTDSYSTCNVSSGFFGGQWHEIHPQYWTKYQVWEWLQHLLDTNQLDANCIPFQEFDINGEHLCSMSLQEFTRAAGTAGQLLYSNLQHLKWNGQCSSDLFQSTHNVIVKTEQTEPSIMNTWKDENYLYDTNYGSTVDLLDSKTFCRAQISMTTTSHLPVAESPDMKKEQDPPAKC
NP_038758.2 142 343 0.374116831683168 Signaling lymphocytic activation molecule; SLAM family member 1; CD150 antigen 343 0 30 179 1 Mus musculus (Mouse) SwissProt::Q9QUM4 1 SwissProt VSPPEIKVLNKTQENENGTCSLLLACTVKKGDHVTYSWSDEAGTHLLSRANRSHLLHITLSNQHQDSIYNCTASNPVSSISRTFNLSSQACKQESSSESSPWMQYTLVPLGVVIIFILVFTAIIMMKRQGKSNHCQPPVEEKSLTIYAQVQKSGPQEKKLHDALTDQDPCTTIYVAATEPAPESVQEPNPTTVYASVTLPES
NP_056189.1 1 392 0.371703826530613 SUN domain-containing protein 2; Protein unc-84 homolog B; Rab5-interacting protein; Rab5IP; Sad1/unc-84 protein-like 2 717 0 30 369 1 Homo sapiens (Human) SwissProt::Q9UH99 1 SwissProt MSRRSQRLTRYSQGDDDGSSSSGGSSVAGSQSTLFKDSPLRTLKRKSSNMKRLSPAPQLGPSSDAHTSYYSESLVHESWFPPRSSLEELHGDANWGEDLRVRRRRGTGGSESSRASGLVGRKATEDFLGSSSGYSSEDDYVGYSDVDQQSSSSRLRSAVSRAGSLLWMVATSPGRLFRLLYWWAGTTWYRLTTAASLLDVFVLTRRFSSLKTFLWFLLPLLLLTCLTYGAWYFYPYGLQTFHPALVSWWAAKDSRRPDEGWEARDSSPHFQAEQRVMSRVHSLERRLEALAAEFSSNWQKEAMRLERLELRQGAPGQGGGGGLSHEDTLALLEGLVSRREAALKEDFRRETAARIQEELSALRAEHQQDSEDLFKKIVRASQESEARIQQLK
NP_057735.2 1 836 0.561559688995215 PF15268.6:Dapper:46:836 Dapper homolog 1; hDPR1; Dapper antagonist of catenin 1; Hepatocellular carcinoma novel gene 3 protein 836 791 30 836 0 Homo sapiens (Human) SwissProt::Q9NYF0 1 SwissProt MKPSPAGTAKELEPPAPARGEQRTAEPEGRWREKGEADTERQRTRERQEATLAGLAELEYLRQRQELLVRGALRGAGGAGAAAPRAGELLGEAAQRSRLEEKFLEENILLLRKQLNCLRRRDAGLLNQLQELDKQISDLRLDVEKTSEEHLETDSRPSSGFYELSDGASGSLSNSSNSVFSECLSSCHSSTCFCSPLEATLSLSDGCPKSADLIGLLEYKEGHCEDQASGAVCRSLSTPQFNSLDVIADVNPKYQCDLVSKNGNDVYRYPSPLHAVAVQSPMFLLCLTGNPLREEDRLGNHASDICGGSELDAVKTDSSLPSPSSLWSASHPSSSKKMDGYILSLVQKKTHPVRTNKPRTSVNADPTKGLLRNGSVCVRAPGGVSQGNSVNLKNSKQACLPSGGIPSLNNGTFSPPKQWSKESKAEQAESKRVPLPEGCPSGAASDLQSKHLPKTAKPASQEHARCSAIGTGESPKESAQLSGASPKESPSRGPAPPQENKVVQPLKKMSQKNSLQGVPPATPPLLSTAFPVEERPALDFKSEGSSQSLEEAHLVKAQFIPGQQPSVRLHRGHRNMGVVKNSSLKHRGPALQGLENGLPTVREKTRAGSKKCRFPDDLDTNKKLKKASSKGRKSGGGPEAGVPGRPAGGGHRAGSRAHGHGREAVVAKPKHKRTDYRRWKSSAEISYEEALRRARRGRRENVGLYPAPVPLPYASPYAYVASDSEYSAECESLFHSTVVDTSEDEQSNYTTNCFGDSESSVSEGEFVGESTTTSDSEESGGLIWSQFVQTLPIQTVTAPDLHNHPAKTFVKIKASHNLKKKILRFRSGSLKLMTTV
NP_057880.2 1 623 0.28621974317817 Interleukin-27 receptor subunit alpha; IL-27 receptor subunit alpha; IL-27R subunit alpha; IL-27R-alpha; IL-27RA; Type I T-cell cytokine receptor; TCCR; WSX-1 623 0 30 623 0 Mus musculus (Mouse) SwissProt::O70394 1 SwissProt MNRLRVARLTPLELLLSLMSLLLGTRPHGSPGPLQCYSVGPLGILNCSWEPLGDLETPPVLYHQSQKYHPNRVWEVKVPSKQSWVTIPREQFTMADKLLIWGTQKGRPLWSSVSVNLETQMKPDTPQIFSQVDISEEATLEATVQWAPPVWPPQKVLICQFRYKECQAETWTRLEPQLKTDGLTPVEMQNLEPGTCYQVSGRCQVENGYPWGEWSSPLSFQTPFLDPEDVWVSGTVCETSGKRAALLVWKDPRPCVQVTYTVWFGAGDITTTQEEVPCCKSPVPAWMEWAVVSPGNSTSWVPPTNLSLVCLAPESAPCDVGVSSADGSPGIKVTWKQGTRKPLEYVVDWAQDGDSLDKLNWTRLPPGNLSTLLPGEFKGGVPYRITVTAVYSGGLAAAPSVWGFREELVPLAGPAVWRLPDDPPGTPVVAWGEVPRHQLRGQATHYTFCIQSRGLSTVCRNVSSQTQTATLPNLHLGSFKLWVTVSTVAGQGPPGPNLSLHLPDNRIRWKALPWFLSLWGLLLMGCGLSLASTRCLQARCLHWRHKLLPQWIWERVPDPANSNSGQPYIKEVSLPQPPKDGPILEVEEVELQPVVESPKASAPIYSGYEKHFLPTPEELGLLV
NP_065137.1 353 757 0.60101975308642 Endosialin; Tumor endothelial marker 1; CD248 antigen 757 0 30 382 1 Homo sapiens (Human) SwissProt::Q9HCU0 1 SwissProt AGAMGAQASQDLGDELLDDGEDEEDEDEAWKAFNGGWTEMPGILWMEPTQPPDFALAYRPSFPEDREPQIPYPEPTWPPPLSAPRVPYHSSVLSVTRPVVVSATHPTLPSAHQPPVIPATHPALSRDHQIPVIAANYPDLPSAYQPGILSVSHSAQPPAHQPPMISTKYPELFPAHQSPMFPDTRVAGTQTTTHLPGIPPNHAPLVTTLGAQLPPQAPDALVLRTQATQLPIIPTAQPSLTTTSRSPVSPAHQISVPAATQPAALPTLLPSQSPTNQTSPISPTHPHSKAPQIPREDGPSPKLALWLPSPAPTAAPTALGEAGLAEHSQRDDRWLLVALLVPTCVFLVVLLALGIVYCTRCGPHAPNKRITDCYRWVIHAGSKSPTEPMPPRGSLTGVQTCRTSV
NP_067337.1 1 1624 0.244091133004926 PF00084.20:Sushi:1282:1336,PF00084.20:Sushi:1343:1407,PF00084.20:Sushi:1412:1468,PF13385.6:Laminin_G_3:100:265,PF05572.13:Peptidase_M43:510:656 Pappalysin-1; Insulin-like growth factor-dependent IGF-binding protein 4 protease; IGF-dependent IGFBP-4 protease; IGFBP-4ase; Pregnancy-associated plasma protein A; PAPP-A; EC 3.4.24.79 1624 490 30 1624 0 Mus musculus (Mouse) SwissProt::Q8R4K8 1 SwissProt MRLWSWVLRLGLLSAALGCGLAERPRRVRRDPRAVRPPRPAAGPATCATRAARGRRASPPPPPGGAWEAVRVPRRRQQRAARGAEEPSPPSRALYFSGRGEQLRLRADLELPRDAFTLQVWLRAEGGQKSPAVITGLYDKCSYTSRDRGWVMGIHTTSDQGNRDPRYFFSLKTDRARKVTTIDAHRSYLPGQWVHLAATYDGRLMKLYMNGAQVATSAEQVGGIFSPLTQKCKVLMLGGSALNHNFRGHIEHFSLWKVARTQREIVSDMETRGLHTPLPQLLLQENWDNVKRTWSPMKDGNSPQVEFSNAHGFLLDTNLEPPLCGQTLCDNTEVISSYNQLPSFRQPKVVRYRVVNIYDDHHENPTVSWQQIDFQHQQLAEAFQHYNISWELEVLNINSSSLRHRLILANCDISKIGDEKCDPECNHTLTGHDGGDCRQLRYPAFMKKQQNGVCDMDCNYERFNFDGGECCDPDITDVTKTCFDPDSPHRAYLDVNELKNILRLDGSTHLNIFFANSSEEELAGVATWPWDKEALMHLGGIVLNPSFYGIPGHTHTMIHEIGHSLGLYHIFRGISEIQSCSDPCMETEPSFETGDLCNDTNPAPKHKFCGDPGPGNDTCGFHGFFNTPYNNFMSYADDDCTDSFTPNQVSRMHCYLDLVYQSWQPSRKPAPVALAPQVVGHTMDSVMLEWFPPIDGHFFERELGSACDLCLEGRILVQYAFNASSPMPCGPSGHWSPREAEGHPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEPQGCYLELEFRYPLVPESLTIWVTFVSSDWDSSGAVNDIKLLTISGKNISLGPQNVFCDIPLTIRLRDVGEEVYGIQIYTLDEHLEIDAAMLTSTVDSPLCLQCKPLQYKVLRDPPLLEDVASLLHLNRRFMDTDLKLGSVYQYRIITISGNEESEPSPAAIYTHGSGYCGDGVIQKDQGEECDDMNKVNGDGCSLFCKQEVSFNCIDEPSRCYFHDGDGMCEEFEQKTSIKDCGVYTPQGFLDQWASNASVSHQDQQCPGWVVIGQPAASQVCRTKVIDLSEGISQHAWYPCTITYPYYHLPQTTFWLQTYFSQPMVAAAVIIHLVTDGTYYGDQKQETISVQLLDTKDQSHDLGLHVLSCRNNPLIIPVVHDLSQPFYHSQAVHVSFSSPLVAISGVALRSFDNFDPVTLSSCQRGETYSPAEQSCVHFACQAADCPELAVGNASLNCSSNHHYHGAQCTVSCQTGYVLQIQRDDELIKSQVGPSITVTCTEGKWNKQVACEPVDCGIPDHHHVYAASFSCPEGTTFGRRCSFQCRHPAQLKGNNSFLTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSFCKYKCKPGYHVPGSSRKSKKRAFKTQCTQDGSWQEGTCVPVTCDPPPPKFHGLYQCTNGFQFNSECRIKCEDSDASQGRGSNIIHCRKDGTWSGSFHVCREMQGQCSAPNQLNSNLKLQCPDGYAIGSECAISCLDHNSESIILPVNLTVRDIPHWMNPTRVQRIVCTAGLQWYPHPALIHCVKGCEPFMGDNYCDAINNRAFCNYDGGDCCTSTVKTKKVTPFPMSCDLQNDCACRDPEAQEHNRKDLRGYSHG
NP_115953.2 1 388 0.107879381443299 PF03982.13:DAGAT:92:388 Diacylglycerol O-acyltransferase 2; Acyl-CoA retinol O-fatty-acyltransferase; ARAT; Retinol O-fatty-acyltransferase; Diglyceride acyltransferase 2; EC 2.3.1.20; EC 2.3.1.76 388 297 30 365 1 Homo sapiens (Human) SwissProt::Q96PD7 1 SwissProt MKTLIAAYSGVLRGERQAEADRSQRSHGGPALSREGSGRWGTGSSILSALQDLFSVTWLNRSKVEKQLQVISVLQWVLSFLVLGVACSAILMYIFCTDCWLIAVLYFTWLVFDWNTPKKGGRRSQWVRNWAVWRYFRDYFPIQLVKTHNLLTTRNYIFGYHPHGIMGLGAFCNFSTEATEVSKKFPGIRPYLATLAGNFRMPVLREYLMSGGICPVSRDTIDYLLSKNGSGNAIIIVVGGAAESLSSMPGKNAVTLRNRKGFVKLALRHGADLVPIYSFGENEVYKQVIFEEGSWGRWVQKKFQKYIGFAPCIFHGRGLFSSDTWGLVPYSKPITTVVGEPITIPKLEHPTQQDIDLYHTMYMEALVKLFDKHKTKFGLPETEVLEVN
NP_116265.1 1 330 0.728909696969697 LIM domain-containing protein ajuba 538 0 30 330 0 Homo sapiens (Human) SwissProt::Q96IF1 1 SwissProt MERLGEKASRLLEKFGRRKGESSRSGSDGTPGPGKGRLSGLGGPRKSGPRGATGGPGDEPLEPAREQGSLDAERNQRGSFEAPRYEGSFPAGPPPTRALPLPQSLPPDFRLEPTAPALSPRSSFASSSASDASKPSSPRGSLLLDGAGAGGAGGSRPCSNRTSGISMGYDQRHGSPLPAGPCLFGPPLAGAPAGYSPGGVPSAYPELHAALDRLYAQRPAGFGCQESRHSYPPALGSPGALAGAGVGAAGPLERRGAQPGRHSVTGYGDCAVGARYQDELTALLRLTVGTGGREAGARGEPSGIEPSGLEEPPGPFVPEAARARMREPEA
NP_150593.1 1 138 0.547871739130435 extracellular glycoprotein lacritin precursor 138 0 30 138 0 Homo sapiens NP_150593.1 1 RefSeq MKFTTLLFLAAVAGALVYAEDASSDSTGADPAQEAGTSKPNEEISGPAEPASPPETTTTAQETSAAAVQGTAKVTSSRQELNPLKSIVEKSILLTEQALAKAGKGMHGGVPGGKQFIENGSEFAQKLLKKFSLLKPWA
NP_195574.1 117 282 0.444751204819277 Transcription repressor MYB4; Myb-related protein 4; AtMYB4 282 0 30 166 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZP1 1 SwissProt INRGIDPTSHRPIQESSASQDSKPTQLEPVTSNTINISFTSAPKVETFHESISFPGKSEKISMLTFKEEKDECPVQEKFPDLNLELRISLPDDVDRLQGHGKSTTPRCFKCSLGMINGMECRCGRMRCDVVGGSSKGSDMSNGFDFLGLAKKETTSLLGFRSLEMK
NP_196693.1 198 411 0.183264953271028 Probable indole-3-pyruvate monooxygenase YUCCA4; Flavin-containing monooxygenase YUCCA4; EC 1.14.13.168 411 0 30 195 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LFM5 1 SwissProt YNALPHMVVRNSVHVLPRDFFGLSTFGIAMTLLKWFPLKLVDKFLLLLANSTLGNTDLLGLRRPKTGPIELKNVTGKTPVLDVGAISLIRSGQIKVTQAVKEITRNGAKFLNGKEIEFDSIILATGYKSNVPDWLKENSFFTKEGMPKTPFPNGWKGEKGLYTVGFTRRGLSGTAYDAVKIAEDITDQWMKFNGPLSCRNICSSHIIHLHFNKS
NP_524138.1 1 65 0.348704615384615 Cell death protein rpr; Protein reaper 65 0 30 65 0 Drosophila melanogaster (Fruit fly) SwissProt::Q24475 1 SwissProt MAVAFYIPDQATLLREAEQKEQQILRLRESQWRFLATVVLETLRQYTSCHPKTGRKSGKYRKPSQ
NP_564094.1 1 324 0.231996913580247 PF07224.11:Chlorophyllase:11:323,PF12740.7:Chlorophyllase2:41:296 Chlorophyllase-1; AtCLH1; Chlorophyll-chlorophyllido hydrolase 1; Chlase 1; Coronatine-induced protein 1; CORI1; EC 3.1.1.14 324 313 30 324 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22527 1 SwissProt MAAIEDSPTFSSVVTPAAFEIGSLPTTEIPVDPVENDSTAPPKPVRITCPTVAGTYPVVLFFHGFYLRNYFYSDVLNHIASHGYILVAPQLCKLLPPGGQVEVDDAGSVINWASENLKAHLPTSVNANGKYTSLVGHSRGGKTAFAVALGHAATLDPSITFSALIGIDPVAGTNKYIRTDPHILTYKPESFELDIPVAVVGTGLGPKWNNVMPPCAPTDLNHEEFYKECKATKAHFVAADYGHMDMLDDDLPGFVGFMAGCMCKNGQRKKSEMRSFVGGIVVAFLKYSLWGEKAEIRLIVKDPSVSPAKLDPSPELEEASGIFV
NP_565043.1 1 269 0.57787657992565 PF06200.14:tify:102:133,PF09425.10:CCT_2:186:210 Protein TIFY 11B; Jasmonate ZIM domain-containing protein 6 269 57 30 269 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9E3 1 SwissProt MSTGQAPEKSNFSQRCSLLSRYLKEKGSFGNINMGLARKSDLELAGKFDLKGQQNVIKKVETSETRPFKLIQKFSIGEASTSTEDKAIYIDLSEPAKVAPESGNSQLTIFFGGKVMVFNEFPEDKAKEIMEVAKEANHVAVDSKNSQSHMNLDKSNVVIPDLNEPTSSGNNEDQETGQQHQVVERIARRASLHRFFAKRKDRAVARAPYQVNQHGSHLPPKPEMVAPSIKSGQSSQHIATPPKPKAHNHMPMEVDKKEGQSSKNLELKL
NP_568855.1 178 609 0.24712800925926 PF13418.6:Kelch_4:116:166,PF13418.6:Kelch_4:167:219,PF13418.6:Kelch_4:219:266,PF13415.6:Kelch_3:125:174,PF13415.6:Kelch_3:180:227,PF13415.6:Kelch_3:232:281,PF07646.15:Kelch_2:273:324,PF01344.25:Kelch_1:115:152,PF12937.7:F-box-like:28:66,PF00646.33:F-box:20:65 Adagio protein 1; Clock-associated PAS protein ZTL; F-box only protein 2b; FBX2b; Flavin-binding kelch repeat F-box protein 1-like protein 2; FKF1-like protein 2; LOV kelch protein 1; Protein ZEITLUPE 609 257 30 432 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94BT6 1 SwissProt GIYSALAAGERNVSRGMCGLFQLSDEVVSMKILSRLTPRDVASVSSVCRRLYVLTKNEDLWRRVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLSVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSDYPEWQHVKVSSPPPGRWGHTLTCVNGSNLVVFGGCGQQGLLNDVFVLNLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSIEKPVWREIPAAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLKFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGVAPPPRLDHVAVNLPGGRILIFGGSVAGLHSASQLYLLDPTEDKPTWRILNIPGRPPRFAWGHGTCVVGGTRAIVLGGQTGEEWMLSELHELSLASYLT
NP_570602.2 1 495 0.335018585858586 PF13895.6:Ig_2:217:300 Alpha-1B-glycoprotein; Alpha-1-B glycoprotein 495 84 30 495 0 Homo sapiens (Human) SwissProt::P04217 1 SwissProt MSMLVVFLLLWGVTWGPVTEAAIFYETQPSLWAESESLLKPLANVTLTCQAHLETPDFQLFKNGVAQEPVHLDSPAIKHQFLLTGDTQGRYRCRSGLSTGWTQLSKLLELTGPKSLPAPWLSMAPVSWITPGLKTTAVCRGVLRGVTFLLRREGDHEFLEVPEAQEDVEATFPVHQPGNYSCSYRTDGEGALSEPSATVTIEELAAPPPPVLMHHGESSQVLHPGNKVTLTCVAPLSGVDFQLRRGEKELLVPRSSTSPDRIFFHLNAVALGDGGHYTCRYRLHDNQNGWSGDSAPVELILSDETLPAPEFSPEPESGRALRLRCLAPLEGARFALVREDRGGRRVHRFQSPAGTEALFELHNISVADSANYSCVYVDLKPPFGGSAPSERLELHVDGPPPRPQLRATWSGAVLAGRDAVLRCEGPIPDVTFELLREGETKAVKTVRTPGAAANLELIFVGPQHAGNYRCRYRSWVPHTFESELSDPVELLVAES
NP_598761.2 1 555 0.539463603603604 PF08357.11:SEFIR:391:533 adapter protein CIKS 555 143 30 555 0 Mus musculus NP_598761.2 1 RefSeq MNRSIPVEVDESEPFPSQLLKPIPEYSPEEELEPPAPNTRNMAPSSLSVLQCPPLKLANHQPVSQQVTCLRAKVLEEGEASFFRRHPELGKDISSCSSGASEPESELGALPPEHRFTLTEKRNRWLGSQLSAASPDTGHESDKSDPSLPNALADSFSGGQEMMPRPRPRPGPHRHRAAPDVPTIDTGYDSQPQDVLGIRQLERPLPLTSSCYLQDLPGPLRSRELPPQFELERYPMNAQLLPPHPSPQAPWNCQYYCPGGPYHHQVPHGHGYPPAAAYQQVLQPALPGQVLPGARARGPRPVQKVILNDSSPQDQEERPAQRDFSFPRLPRDQLYRPPSNGVEAPEESLDLPAELRPHGPQAPSLAAVPRPPSNPLARGTLRTSNLPEELRKVFITYSMDTAMEVVKFVNFLLVNGFQTAIDIFEDRIRGIDIIKWMERYLRDKTVMIIVAISPKYKQDVEGAESQLDEDEHGLHTKYIHRMMQIEFISQGSMNFRFIPVLFPNAKKEHVPTWLQNTHVYSWPKNKKNILLRLLREEEYVAPPRGPLPTLQVVPL
NP_631964.1 1 150 0.52311 PF15549.6:PGC7_Stella:1:143 Developmental pluripotency-associated protein 3; Compaction-associated protein 1; Primordial germ cell protein 7 150 143 30 150 0 Mus musculus (Mouse) SwissProt::Q8QZY3 1 SwissProt MEEPSEKVDPMKDPETPQKKDEEDALDDTDVLQPETLVKVMKKLTLNPGVKRSARRRSLRNRIAAVPVENKSEKIRREVQSAFPKRRVRTLLSVLKDPIAKMRRLVRIEQRQKRLEGNEFERDSEPFRCLCTFCHYQRWDPSENAKIGKN
NP_663735.2 171 464 0.361464965986395 Kinetochore protein Nuf2; hNuf2; hNuf2R; hsNuf2; Cell division cycle-associated protein 1 464 0 30 294 0 Homo sapiens (Human) SwissProt::Q9BZD4 1 SwissProt SVPVEEQEEFKQLSDGIQELQQSLNQDFHQKTIVLQEGNSQKKSNISEKTKRLNELKLSVVSLKEIQESLKTKIVDSPEKLKNYKEKMKDTVQKLKNARQEVVEKYEIYGDSVDCLPSCQLEVQLYQKKIQDLSDNREKLASILKESLNLEDQIESDESELKKLKTEENSFKRLMIVKKEKLATAQFKINKKHEDVKQYKRTVIEDCNKVQEKRGAVYERVTTINQEIQKIKLGIQQLKDAAEREKLKSQEIFLNLKTALEKYHDGIEKAAEDSYAKIDEKTAELKRKMFKMST
NP_726607.1 284 624 0.509286803519062 eyeless, isoform B 624 0 30 341 0 Drosophila melanogaster NP_726607.1 1 RefSeq TDSPNSLSACSSLLSGSAGGPSVSTINGLSSPSTLSTNVNAPTLGAGIDSSESPTPIPHIRPSCTSDNDNGRQSEDCRRVCSPCPLGVGGHQNTHHIQSNGHAQGHALVPAISPRLNFNSGSFGAMYSNMHHTALSMSDSYGAVTPIPSFNHSAVGPLAPPSPIPQQGDLTPSSLYPCHMTLRPPPMAPAHHHIVPGDGGRPAGVGLGSGQSANLGASCSGSGYEVLSAYALPPPPMASSSAADSSFSAASSASANVTPHHTIAQESCPSPCSSASHFGVAHSSGFSSDPISPAVSSYAHMSYNYASSANTMTPSSASGTSAHVAPGKQQFFASCFYSPWV
NP_859066.1 81 693 0.703391843393148 PF12885.7:TORC_M:88:240,PF12886.7:TORC_C:535:612 CREB-regulated transcription coactivator 2; Transducer of regulated cAMP response element-binding protein 2; TORC-2; Transducer of CREB protein 2 693 231 30 613 0 Homo sapiens (Human) SwissProt::Q53ET0 1 SwissProt LAEFQSPLHSPLDSSRSTRHHGLVERVQRDPRRMVSPLRRYTRHIDSSPYSPAYLSPPPESSWRRTMAWGNFPAEKGQLFRLPSALNRTSSDSALHTSVMNPSPQDTYPGPTPPSILPSRRGGILDGEMDPKVPAIEENLLDDKHLLKPWDAKKLSSSSSRPRSCEVPGINIFPSPDQPANVPVLPPAMNTGGSLPDLTNLHFPPPLPTPLDPEETAYPSLSGGNSTSNLTHTMTHLGISRGMGLGPGYDAPGLHSPLSHPSLQSSLSNPNLQASLSSPQPQLQGSHSHPSLPASSLARHVLPTTSLGHPSLSAPALSSSSSSSSTSSPVLGAPSYPASTPGASPHHRRVPLSPLSLLAGPADARRSQQQLPKQFSPTMSPTLSSITQGVPLDTSKLSTDQRLPPYPYSSPSLVLPTQPHTPKSLQQPGLPSQSCSVQSSGGQPPGRQSHYGTPYPPGPSGHGQQSYHRPMSDFNLGNLEQFSMESPSASLVLDPPGFSEGPGFLGGEGPMGGPQDPHTFNHQNLTHCSRHGSGPNIILTGDSSPGFSKEIAAALAGVPGFEVSAAGLELGLGLEDELRMEPLGLEGLNMLSDPCALLPDPAVEESFRSDRLQ
O43196 1 472 0.195193220338983 PF05192.18:MutS_III:226:403,PF05190.18:MutS_IV:398:463 MutS protein homolog 5; hMSH5 834 238 30 472 0 Homo sapiens (Human) SwissProt::O43196 1 SwissProt MASLGANPRRTPQGPRPGAASSGFPSPAPVPGPREAEEEEVEEEEELAEIHLCVLWNSGYLGIAYYDTSDSTIHFMPDAPDHESLKLLQRVLDEINPQSVVTSAKQDENMTRFLGKLASQEHREPKRPEIIFLPSVDFGLEISKQRLLSGNYSFIPDAMTATEKILFLSSIIPFDCLLTVRALGGLLKFLGRRRIGVELEDYNVSVPILGFKKFMLTHLVNIDQDTYSVLQIFKSESHPSVYKVASGLKEGLSLFGILNRCHCKWGEKLLRLWFTRPTHDLGELSSRLDVIQFFLLPQNLDMAQMLHRLLGHIKNVPLILKRMKLSHTKVSDWQVLYKTVYSALGLRDACRSLPQSIQLFRDIAQEFSDDLHHIASLIGKVVDFEGSLAENRFTVLPNIDPEIDEKKRRLMGLPSFLTEVARKELENLDSRIPSCSVIYIPLIGFLLSIPRLPSMVEASDFEINGLDFMFLS
O80341 1 151 0.41897880794702 Ethylene-responsive transcription factor 5; AtERF5; Ethylene-responsive element-binding factor 5; EREBP-5 300 0 30 151 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80341 1 SwissProt MATPNEVSALWFIEKHLLDEASPVATDPWMKHESSSATESSSDSSSIIFGSSSSSFAPIDFSESVCKPEIIDLDTPRSMEFLSIPFEFDSEVSVSDFDFKPSNQNQNQFEPELKSQIRKPPLKISLPAKTEWIQFAAENTKPEVTKPVSEE
P38159 110 391 0.887940425531914 PF08081.11:RBM1CTR:64:108 RNA-binding motif protein, X chromosome; Glycoprotein p43; Heterogeneous nuclear ribonucleoprotein G; hnRNP G 391 45 30 282 0 Homo sapiens (Human) SwissProt::P38159 1 SwissProt RGGRGGSGGTRGPPSRGGHMDDGGYSMNFNMSSSRGPLPVKRGPPPRSGGPPPKRSAPSGPVRSSSGMGGRAPVSRGRDSYGGPPRREPLPSRRDVYLSPRDDGYSTKDSYSSRDYPSSRDTRDYAPPPRDYTYRDYGHSSSRDDYPSRGYSDRDGYGRDRDYSDHPSGGSYRDSYESYGNSRSAPPTRGPPPSYGGSSRYDDYSSSRDGYGGSRDSYSSSRSDLYSSGRDRVGRQERGLPPSMERGYPPPRDSYSSSSRGAPRGGGRGGSRSDRGGGRSRY
P38270 1 344 0.1589125 PF10186.9:Atg14:3:289 Autophagy-related protein 14; Cytoplasm to vacuole targeting protein 12 344 287 30 344 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38270 1 SwissProt MHCPICHHRAHVVYCAHCINTSPSLLLKLKLDLILLKDENKELNGKVEQILNEAMNYDQLDIKRMEKKKDPLMNSLMKLDVLRMKKNNNLIRHRIEQLNERIYSKRNHISELKVEIDNYKCYKVGTGTDKLREQVEISDAKNKLAQVSKICESARDYKLNLLNNWFVIQKLQDNFQIPFAIAFQPLISLKNFRILPLAITNDSINIMWKYISFFSDILMIKLPYTNKICEQPMFEFSDSIQTVVQRLIKLIINILQICRHLKLVPSTPMDIPWLLDQYDVDGLFYNMVKRNKMKCRSVSLYWTFGMLYSMVLDNMNNPQRGHPARRTAPPPTVTGPHDRWYVVG
P42763 1 185 0.760437837837838 PF00257.19:Dehydrin:115:172 Dehydrin ERD14 185 58 30 185 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P42763 1 SwissProt MAEEIKNVPEQEVPKVATEESSAEVTDRGLFDFLGKKKDETKPEETPIASEFEQKVHISEPEPEVKHESLLEKLHRSDSSSSSSSEEEGSDGEKRKKKKEKKKPTTEVEVKEEEKKGFMEKLKEKLPGHKKPEDGSAVAAAPVVVPPPVEEAHPVEKKGILEKIKEKLPGYHPKTTVEEEKKDKE
P46607 167 747 0.299235972461273 PF01852.19:START:93:320 Homeobox-leucine zipper protein GLABRA 2; HD-ZIP protein ATHB-10; Homeobox-leucine zipper protein ATHB-10 747 228 30 581 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46607 1 SwissProt LLKAELEKLREENKAMRESFSKANSSCPNCGGGPDDLHLENSKLKAELDKLRAALGRTPYPLQASCSDDQEHRLGSLDFYTGVFALEKSRIAEISNRATLELQKMATSGEPMWLRSVETGREILNYDEYLKEFPQAQASSFPGRKTIEASRDAGIVFMDAHKLAQSFMDVGQWKETFACLISKAATVDVIRQGEGPSRIDGAIQLMFGEMQLLTPVVPTREVYFVRSCRQLSPEKWAIVDVSVSVEDSNTEKEASLLKCRKLPSGCIIEDTSNGHSKVTWVEHLDVSASTVQPLFRSLVNTGLAFGARHWVATLQLHCERLVFFMATNVPTKDSLGVTTLAGRKSVLKMAQRMTQSFYRAIAASSYHQWTKITTKTGQDMRVSSRKNLHDPGEPTGVIVCASSSLWLPVSPALLFDFFRDEARRHEWDALSNGAHVQSIANLSKGQDRGNSVAIQTVKSREKSIWVLQDSSTNSYESVVVYAPVDINTTQLVLAGHDPSNIQILPSGFSIIPDGVESRPLVITSTQDDRNSQGGSLLTLALQTLINPSPAAKLNMESVESVTNLVSVTLHNIKRSLQIEDC
P46897 91 258 0.620227380952381 PF02183.18:HALZ:2:37 Homeobox-leucine zipper protein ATHB-7; HD-ZIP protein ATHB-7; Homeodomain transcription factor ATHB-7 258 36 30 168 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46897 1 SwissProt TEYNILRQNYDNLASQFESLKKEKQALVSELQRLKEATQKKTQEEERQCSGDQAVVALSSTHHESENEENRRRKPEEVRPEMEMKDDKGHHGVMCDHHDYEDDDNGYSNNIKREYFGGFEEEPDHLMNIVEPADSCLTSSDDWRGFKSDTTTLLDQSSNNYPWRDFWS
P54219 1 525 0.0997712380952381 PF07690.16:MFS_1:135:431 Chromaffin granule amine transporter; Solute carrier family 18 member 1; Vesicular amine transporter 1; VAT1 525 297 30 252 12 Homo sapiens (Human) SwissProt::P54219 1 SwissProt MLRTILDAPQRLLKEGRASRQLVLVVVFVALLLDNMLFTVVVPIVPTFLYDMEFKEVNSSLHLGHAGSSPHALASPAFSTIFSFFNNNTVAVEESVPSGIAWMNDTASTIPPPATEAISAHKNNCLQGTGFLEEEITRVGVLFASKAVMQLLVNPFVGPLTNRIGYHIPMFAGFVIMFLSTVMFAFSGTYTLLFVARTLQGIGSSFSSVAGLGMLASVYTDDHERGRAMGTALGGLALGLLVGAPFGSVMYEFVGKSAPFLILAFLALLDGALQLCILQPSKVSPESAKGTPLFMLLKDPYILVAAGSICFANMGVAILEPTLPIWMMQTMCSPKWQLGLAFLPASVSYLIGTNLFGVLANKMGRWLCSLIGMLVVGTSLLCVPLAHNIFGLIGPNAGLGLAIGMVDSSMMPIMGHLVDLRHTSVYGSVYAIADVAFCMGFAIGPSTGGAIVKAIGFPWLMVITGVINIVYAPLCYYLRSPPAKEEKLAILSQDCPMETRMYATQKPTKEFPLGEDSDEEPDHEE
Q06677 184 668 0.415278762886598 Auxilin-like clathrin uncoating factor SWA2; Bud site selection protein 24; DnaJ-related protein SWA2; J protein SWA2; Synthetic lethal with ARF1 protein 2 668 0 30 485 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06677 1 SwissProt RNDLAIRKKESGIKMEKSGLSNIVGTDSNNLFSMATDFFNKGKKLVDQWTSFPPEANDRLNNYSKTHDKVEDYDLPQVNDSPNRILFEDNEVVENLPPADNPDQDLLTDFETKIDITKRTAPDVSHSSSPTSGILIEENSRRNEPLIEDSLLDFSEGNLTNSKSNEDSTLFNENSNTDSTIPISDIELSGYNEFKAKGTSLFKNGDYINSLQEYEKSLNTLPLNHPLRIIALSNIIASQLKIGEYSKSIENSSMALELFPSSKAKWKNKISNSDPERSFNDIWPKIMIRRAESFEHLESFKKALETYQELIKKNFFDDKIMQGKRRCQDFINPPPVKKSMPVKKKTTTTSPATKKQNLTASSSNSPISVDSTSEIKKRELENAKLALYDKVFEKISSWKDGKDDDIRHLLANLSSLLTWCNWKDVSMQDLVMPKRVKITYMKAVAKTHPDKIPESLSLENKMIAENIFSTLSIAWDKFKLQNDIN
Q15654 1 278 0.856756834532374 Thyroid receptor-interacting protein 6; TR-interacting protein 6; TRIP-6; Opa-interacting protein 1; OIP-1; Zyxin-related protein 1; ZRP-1 476 0 30 278 0 Homo sapiens (Human) SwissProt::Q15654 1 SwissProt MSGPTWLPPKQPEPARAPQGRAIPRGTPGPPPAHGAALQPHPRVNFCPLPSEQCYQAPGGPEDRGPAWVGSHGVLQHTQGLPADRGGLRPGSLDAEIDLLSSTLAELNGGRGHASRRPDRQAYEPPPPPAYRTGSLKPNPASPLPASPYGGPTPASYTTASTPAGPAFPVQVKVAQPVRGCGPPRRGASQASGPLPGPHFPLPGRGEVWGPGYRSQREPGPGAKEEAAGVSGPAGRGRGGEHGPQVPLSQPPEDELDRLTKKLVHDMNHPPSGEYFGQ
VIMSS10079970 1 535 0.200209906542056 PF00266.19:Aminotran_5:37:157,PF00266.19:Aminotran_5:236:487 Molybdenum cofactor sulfurase; MCS; MOS; MoCo sulfurase; Abscisic acid protein 3; Low expression of osmotically expressive genes protein 5; Molybdenum cofactor sulfurtransferase; EC 2.8.1.9 819 373 30 535 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5X8 1 SwissProt MEAFLKEFGDYYGYPDGPKNIQEIRDTEFKRLDKGVVYLDHAGSTLYSELQMEYIFKDFTSNVFGNPHSQSDISSATSDLIADARHQVLEYFNASPEDYSCLFTSGATAALKLVGETFPWTQDSNFLYTMENHNSVLGIREYALAQGASACAVDIEEAANQPGQLTNSGPSIKVKHRAVQMRNTSKLQKEESRGNAYNLFAFPSECNFSGLRFNLDLVKLMKENTETVLQGSPFSKSKRWMVLIDAAKGCATLPPDLSEYPADFVVLSFYKLFGYPTGLGALLVRNDAAKLLKKTYFSGGTVAASIADIDFVKRRERVEEFFEDGSASFLSIAAIRHGFKLLKSLTPSAIWMHTTSLSIYVKKKLQALRHGNGAAVCVLYGSENLELSSHKSGPTVTFNLKRPDGSWFGYLEVEKLASLSGIQLRTGCFCNPGACAKYLELSHSELRSNVEAGHICWDDNDVINGKPTGAVRVSFGYMSTFEDAKKFIDFIISSFASPPKKTGNGTVVSGRFPQLPSEDLESKESFPSHYLKSIT
VIMSS10080607 59 335 0.538661732851985 Agamous-like MADS-box protein AGL104 335 0 30 277 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LM46 1 SwissProt GKTRIEDVFSRFINLPKQERESALYFPDQNRRPDIQNKECLLRILQQLKTENDIALQVTNPAAINSDVEELEHEVCRLQQQLQMAEEELRRYEPDPIRFTTMEEYEVSEKQLLDTLTHVVQRRDHLMSNHLSSYEASTMQPNIGGPFVNDVVEGWLPENGTNQTHLFDASAHSNQLRELSSAMYEPLLQGSSSSSNQNNMSECHVTNHNGEMFPEWAQAYSSSALFASMQQQHEGVGPSIEEMMPAQQSDIPGVTAETQVDHEVSDYETKVPQLSSQ
VIMSS10083473 1 169 0.845111834319527 Late embryogenesis abundant protein 7 169 0 30 169 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96270 1 SwissProt MASHQEQSYKAGETRGKAQEKTGEAMGTMGDKTQAAKDKTQETAQSAQQKAHETAQSAKDKTSQAAQTTQERAQESKDKTGSYMSETGEAIKNKAHDAAEYTKETAEAGKEKTSGILGQTGEQVKQMAMGATDAVKHTLGLRTDEGNKEHVSSAPSTTTTTTTRETQRK
VIMSS10083539 108 391 0.690806690140845 Transcription factor TCP3 391 0 30 284 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MAH8 1 SwissProt AQLPPWNPADTLRQHAAAAANAKPRKTKTLISPPPPQPEETEHHRIGEEEDNESSFLPASMDSDSIADTIKSFFPVASTQQSYHHQPPSRGNTQNQDLLRLSLQSFQNGPPFPNQTEPALFSGQSNNQLAFDSSTASWEQSHQSPEFGKIQRLVSWNNVGAAESAGSTGGFVFASPSSLHPVYSQSQLLSQRGPLQSINTPMIRAWFDPHHHHHHHQQSMTTDDLHHHHPYHIPPGIHQSAIPGIAFASSGEFSGFRIPARFQGEQEEHGGDNKPSSASSDSRH
VIMSS10084867 1 815 0.482992024539877 PF12253.8:CAF1A:481:547 Chromatin assembly factor 1 subunit FAS1; CAF-1 subunit FAS1; CAF-1 p150 homolog; Protein FASCIATA 1 815 67 30 815 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SXY0 1 SwissProt MDEVSTVNENENRKTMIEPKKLNKRKREPTAIENLTSEEKESQISSLNLEMKGLFDYFREVMDKSKRTDLFSGFSECSSLNSMVALLMEEMSLPLSKLVDEIYLKLKEKTESVTMVAVKSAVVSVGQRVSYGVLNVDADVLEDDSESCLWCWETRDLKIMPSSVRGVLKLRRTCRKKIHERITAVSAMLAALQREETEKLWRSDLSKAAEKLGKILSEVDIRSFMDNMMQKNSSEMAEKDSKREEKLLLKQLEKNRCEAEKEKKRMERQVLKEKLQQEKEQKLLQKAIVDENNKEKEETESRKRIKKQQDESEKEQKRREKEQAELKKQLQVQKQASIMERFLKKSKDSSLTQPKLPSSEVTAQELSCTKHENEIGKVVQAIDNAFSTTCEATVDDIRREHFASWRQLGHLLSSSKKHWGMRRQPKSELFPKLKLSTNSGVTSDGEPNMEKQGDGCEENNFDGRQCKPSSSNRKKSRRVKQLLQFDKSCRPGFYGIWPSQSQVVKPRRPLQKDPELDYEVDSDEEWEEEEAGESLSDCEKDEDESLEEGCSKADDEDDSEDDFMVPDGYLSEDEGVQVDRMDIDPSEQDANTTSSKQDQESPEFCALLQQQKHLQNLTDHALKKTQPLIICNLTHEKVSLLAAKDLEGTQKVEQICLRALMVRQFPWSSLIEISINDIQDEDQEASKFSCSQSTPPSNSKAKIIPDSDLLTVVSTIQSCSQGINRVVETLQQKFPDVPKTKLRQKVREISDFEDSRWQVKKEVLTKLGLSPSPDKGGKRLPKTISTFFSKRCLPPSTKPQPAVEDAAERLENENA
VIMSS10085934 1 201 0.465812437810945 PF06200.14:tify:115:147 Protein TIFY 10B; Jasmonate ZIM domain-containing protein 2 249 33 30 201 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7M2 1 SwissProt MSSFSAECWDFSGRKPSFSQTCTRLSRYLKEKGSFGDLSLGMTCKPDVNGGSRQPTMMNLFPCEASGMDSSAGQEDIKPKTMFPRQSSFSSSSSSGTKEDVQMIKETTKSVKPESQSAPLTIFYGGRVMVFDDFSAEKAKEVIDLANKGSAKSFTCFTAEVNNNHSAYSQKEIASSPNPVCSPAKTAAQEPIQPNPASLAC
VIMSS10091999 124 273 0.498051333333334 myb domain protein 2 273 0 30 150 0 Arabidopsis thaliana NP_182241.1 1 RefSeq AKHLRCDVNSNLFKETMRNVWMPRLVERINAQSLPTTCEQVESMITDPSQPVNEPSPVEPGFVQFSQNHHQQFVPATELSATSSNSPAETFSDVRGGVVNGSGYDPSGQTGFGEFNDWGCVGGDNMWTDEESFWFLQDQFCPDTTSYSYN
VIMSS10093044 1 81 0.122175308641975 PF01439.18:Metallothio_2:1:80 Metallothionein-like protein 2A; MT-2A; MT-K; MT-1G 81 80 30 81 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P25860 1 SwissProt MSCCGGNCGCGSGCKCGNGCGGCKMYPDLGFSGETTTTETFVLGVAPAMKNQYEASGESNNAENDACKCGSDCKCDPCTCK
VIMSS10094270 1 273 0.525896336996337 PF13912.6:zf-C2H2_6:106:129,PF13912.6:zf-C2H2_6:165:188 Zinc finger protein AZF2; Zinc-finger protein 2 273 48 30 273 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SSW2 1 SwissProt MALEAMNTPTSSFTRIETKEDLMNDAVFIEPWLKRKRSKRQRSHSPSSSSSSPPRSRPKSQNQDLTEEEYLALCLLMLAKDQPSQTRFHQQSQSLTPPPESKNLPYKCNVCEKAFPSYQALGGHKASHRIKPPTVISTTADDSTAPTISIVAGEKHPIAASGKIHECSICHKVFPTGQALGGHKRCHYEGNLGGGGGGGSKSISHSGSVSSTVSEERSHRGFIDLNLPALPELSLHHNPIVDEEILSPLTGKKPLLLTDHDQVIKKEDLSLKI
VIMSS10102818 224 670 0.356695973154362 PF06507.13:Auxin_resp:56:139 Auxin response factor 16 670 84 30 447 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93YR9 1 SwissProt GGIGNGPEYSAGWNPIGGSCGYSSLLREDESNSLRRSNCSLADRKGKVTAESVIEAATLAISGRPFEVVYYPRASTSEFCVKALDARAAMRIPWCSGMRFKMAFETEDSSRISWFMGTVSAVNVSDPIRWPNSPWRLLQVAWDEPDLLQNVKRVNPWLVELVSNVHPIPLTSFSPPRKKMRLPQHPDYNNLINSIPVPSFPSNPLIRSSPLSSVLDNVPVGLQGARHNAHQYYGLSSSDLHHYYLNRPPPPPPPSSLQLSPSLGLRNIDTKNEKGFCFLTMGTTPCNDTKSKKSHIVLFGKLILPEEQLSEKGSTDTANIEKTQISSGGSNQNGVAGREFSSSDEGSPCSKKVHDASGLETGHCKVFMESDDVGRTLDLSVLGSYEELSRKLSDMFGIKKSEMLSSVLYRDASGAIKYAGNEPFSEFLKTARRLTILTEQGSESVVV
VIMSS10103136 171 462 0.251092808219178 PF03055.15:RPE65:27:291 Carotenoid cleavage dioxygenase 8, chloroplastic; AtCCD8; AtNCED8; Protein MORE AXILLARY BRANCHING 4; Protein MORE AXILLARY GROWTH 4; EC 1.13.11.69; EC 1.13.11.70 570 265 30 292 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VY26 1 SwissProt SETPKSVIINKNPFSGIGEIVRLFSGESLTDNANTGVIKLGDGRVMCLTETQKGSILVDHETLETIGKFEYDDVLSDHMIQSAHPIVTETEMWTLIPDLVKPGYRVVRMEAGSNKREVVGRVRCRSGSWGPGWVHSFAVTENYVVIPEMPLRYSVKNLLRAEPTPLYKFEWCPQDGAFIHVMSKLTGEVVASVEVPAYVTFHFINAYEEDKNGDGKATVIIADCCEHNADTRILDMLRLDTLRSSHGHDVLPDARIGRFRIPLDGSKYGKLETAVEAEKHGRAMDMCSINPL
VIMSS10103381 117 274 0.335721518987342 Transcription factor MYB32; Myb-related protein 32; AtMYB32 274 0 30 158 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49608 1 SwissProt LRKGIDPATHRPINETKTSQDSSDSSKTEDPLVKILSFGPQLEKIANFGDERIQKRVEYSVVEERCLDLNLELRISPPWQDKLHDERNLRFGRVKYRCSACRFGFGNGKECSCNNVKCQTEDSSSSSYSSTDISSSIGYDFLGLNNTRVLDFSTLEMK
VIMSS10109377 1 145 0.484513793103448 PF07716.15:bZIP_2:14:55 Basic leucine zipper 1; AtbZIP1; bZIP protein 1 145 42 30 145 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FGX2 1 SwissProt MANAEKTSSGSDIDEKKRKRKLSNRESARRSRLKKQKLMEDTIHEISSLERRIKENSERCRAVKQRLDSVETENAGLRSEKIWLSSYVSDLENMIATTSLTLTQSGGGDCVDDQNANAGIAVGDCRRTPWKLSCGSLQPMASFKT
VIMSS10110050 1 587 0.451671209540034 PF03999.12:MAP65_ASE1:52:554 65-kDa microtubule-associated protein 1; AtMAP65-1 587 503 30 587 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLP0 1 SwissProt MAVTDTESPHLGEITCGTLLEKLQEIWDEVGESDDERDKLLLQIEQECLDVYKRKVEQAAKSRAELLQTLSDANAELSSLTMSLGDKSLVGIPDKSSGTIKEQLAAIAPALEQLWQQKEERVREFSDVQSQIQKICGDIAGGLSNEVPIVDESDLSLKKLDDFQSQLQELQKEKSDRLRKVLEFVSTVHDLCAVLGLDFLSTVTEVHPSLDEDTSVQSKSISNETLSRLAKTVLTLKDDKKQRLQKLQELATQLIDLWNLMDTPDEERELFDHVTCNISSSVDEVTVPGALARDLIEQAEVEVDRLDQLKASRMKEIAFKKQSELEEIYARAHVEVNPESARERIMSLIDSGNVEPTELLADMDSQISKAKEEAFSRKDILDRVEKWMSACEEESWLEDYNRDQNRYSASRGAHLNLKRAEKARILVSKIPAMVDTLVAKTRAWEEEHSMSFAYDGVPLLAMLDEYGMLRQEREEEKRRLREQKKVQEQPHVEQESAFSTRPSPARPVSAKKTVGPRANNGGANGTHNRRLSLNANQNGSRSTAKEAGRRETLNRPAAPTNYVAISKEEAASSPVSGAADHQVPASP
VIMSS108095 1 159 0.357354088050315 PF00011.21:HSP20:47:157,PF17886.1:ArsA_HSP20:52:139 Heat shock protein Hsp (Heat-stress-induced ribosome-binding protein A) 159 111 30 159 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O53673 1 SwissProt/TReMBL MNNLALWSRPVWDVEPWDRWLRDFFGPAATTDWYRPVAGDFTPAAEIVKDGDDAVVRLELPGIDVDKDVNVELDPGQPVSRLVIRGEHRDEHTQDAGDKDGRTLREIRYGSFRRSFRLPAHVTSEAIAASYDAGVLTVRVAGAYKAPAETQAQRIAITK
VIMSS110627 1 272 0.370525 PF00582.26:Usp:9:140 Universal stress protein MT2699 272 132 30 272 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WFD4 1 SwissProt MSGRGEPTMKTIIVGIDGSHAAITAALWGVDEAISRAVPLRLVSVIKPTHPSPDDYDRDLAHAERSLREAQSAVEAAGKLVKIETDIPRGPAGPVLVEASRDAEMICVGSVGIGRYASSILGSTATELAEKAHCPVAVMRSKVDQPASDINWIVVRMTDAPDNEAVLEYAAREAKLRQAPILALGGRPEELREIPDGEFERRVQDWHHRHPDVRVYPITTHTGIARFLADHDERVQLAVIGGGEAGQLARLVGPSGHPVFRHAECSVLVVRR
VIMSS111170 1 268 0.341315671641791 PF00582.26:Usp:8:137 Universal stress protein MT3220 268 130 30 268 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WFD2 1 SwissProt MSDPRPARAVVVGIDGSRAATHAALWAVDEAVNRDIPLRLVYVIDPSQLSAAGEGGGQSAARAALHDASRKVEATGQPVKIETEVLCGRPLTKLMQESRSAAMLCVGSVGLDHVRGRRGSVAATLAGSALCPVAVIHPSPAEPATTSQVSAVVAEVDNGVVLRHAFEEARLRGVPLRAVAVHAAETPDDVEQGSRLAHVHLSRRLAHWTRLYPEVRVDRAIAGGSACRHLAANAKPGQLFVADSHSAHELCGAYQPGCAVLTVRSANL
VIMSS33506 1 210 0.306970476190476 Probable membrane protein Rv1733c 210 0 30 164 2 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WLS9 1 SwissProt MIATTRDREGATMITFRLRLPCRTILRVFSRNPLVRGTDRLEAVVMLLAVTVSLLTIPFAAAAGTAVQDSRSHVYAHQAQTRHPATATVIDHEGVIDSNTTATSAPPRTKITVPARWVVNGIERSGEVNAKPGTKSGDRVGIWVDSAGQLVDEPAPPARAIADAALAALGLWLSVAAVAGALLALTRAILIRVRNASWQHDIDSLFCTQR
VIMSS34401 1 120 0.341943333333334 Putative uncharacterized protein MT2703 120 0 30 120 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WL64 1 SwissProt MSTQRPRHSGIRAVGPYAWAGRCGRIGRWGVHQEAMMNLAIWHPRKVQSATIYQVTDRSHDGRTARVPGDEITSTVSGWLSELGTQSPLADELARAVRIGDWPAAYAIGEHLSVEIAVAV
VIMSS58645 56 284 0.266066812227074 PF01266.24:DAO:35:220 Hydrogen cyanide synthase subunit HcnC; HcnC; Glycine dehydrogenase (cyanide-forming); EC 1.4.99.5 417 186 30 229 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::G3XD12 1 SwissProt SVGLGCGVIFFRMMSSRNRREAQGAAVAVDASTPHILPPAFFDLALQSNALYPELHRELIERHGMDFKFERTGLKYVIQDDEDRQYAEHIVAQIPHLAEQVRWLDREELRRAEPAVSHAAHGALEFLCDHQVSPFRLADAYLEAARQNGVELLPGTNVTGVLRQGRRISGVRTDNAGVLHCRTLINAAGAWAAELSEMATGRRIPVKPVKGQIVLTERMPRLLNGCLTT
VIMSS6581575 1 395 0.422133417721519 PF09174.10:Maf1:25:337 Repressor of RNA polymerase III transcription MAF1 395 313 30 395 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41910 1 SwissProt MKFIDELDIERVNQTLNFETNDCKIVGSCDIFTTKAVASDRKLYKTIDQHLDTILQENENYNATLQQQLAAPETNQSPCSSPFYSNRRDSNSFWEQKRRISFSEYNSNNNTNNSNGNSSNNNNYSGPNGSSPATFPKSAKLNDQNLKELVSNYDSGSMSSSSLDSSSKNDERIRRRSSSSISSFKSGKSSNNNYSSGTATNNVNKRRKSSINERPSNLSLGPFGPINEPSSRKIFAYLIAILNASYPDHDFSSVEPTDFVKTSLKTFISKFENTLYSLGRQPEEWVWEVINSHMTLSDCVLFQYSPSNSFLEDEPGYLWNLIGFLYNRKRKRVAYLYLICSRLNSSTGEVEDALAKKPQGKLIIDDGSNEYEGEYDFTYDENVIDDKSDQEESLQ
VIMSS6582044 1 519 0.458971676300578 Transcription factor STP1 519 0 30 519 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q00947 1 SwissProt MPSTTLLFPQKHIRAIPGKIYAFFRELVSGVIISKPDLSHHYSCENATKEEGKDAADEEKTTTSLFPESNNIDRSLNGGCSVIPCSMDVSDLNTPISITLSPENRIKSEVNAKSLLGSRPEQDTGAPIKMSTGVTSSPLSPSGSTPEHSTKVLNNGEEEFICHYCDATFRIRGYLTRHIKKHAIEKAYHCPFFNSATPPDLRCHNSGGFSRRDTYKTHLKARHVLYPKGVKPQDRNKSSGHCAQCGEYFSTIENFVENHIESGDCKALPQGYTKKNEKRSGKLRKIKTSNGHSRFISTSQSVVEPKVLFNKDAVEAMTIVANNSSGNDIISKYGNNKLMLNSENFKVDIPKRKRKYIKKKQQQVSGSTVTTPEVATQNNQEVAPDEISSATIFSPFDTHLLEPVPSSSSESSAEVMFHGKQMKNFLIDINSFTNQQQQAQDNPSFLPLDIEQSSYDLSEDAMSYPIISTQSNRDCTQYDNTKISQILQSQLNPEYLSENHMRETQQYLNFYNDNFGSQF
VIMSS6582803 105 235 0.0605259541984733 PF04420.14:CHD5:2:87 Golgi to ER traffic protein 1; Guided entry of tail-anchored proteins 1; Mitochondrial distribution and morphology protein 39 235 86 30 131 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53192 1 SwissProt LLALTVPFFVFKIMYGKTPVYKLSSSTSTLFPTFVSGVWSQGWLYVLLHPLRTISQKWHIMEGKFGASKFDDMALQSVSLGIWVWALMNVINGVEFIVKQLFLTPKMEAPASVETQEEKALDAVDDAIILD
VIMSS6583860 1 682 0.35609633431085 Spindle pole body assembly component MPS3; 98 kDa nuclear envelope protein; Monopolar spindle protein 3 682 0 30 659 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47069 1 SwissProt MNNSNEHRREEAGAANEQMPYNKAVKSAYADVLKDKMNREQEISLRAIKKGIYTDGGETDNYDMDKENDSAYEMFKKNLDFPLDQHNDDDDDDPYIEDNGQETDGYSDEDYTDEADKSFIEDSDSDSYDLESNSDFEENLESSGEAKKLKWRTYIFYGGLFFVFYFFGSFLMTTVKNNDLESHSSGATSSPGKSFSNLQKQVNHLYSELSKRDEKHSSELDKTVKIIVSQFEKNIKRLLPSNLVNFENDINSLTKQVETISTSMSELQRRNHKFTVENVTQWQDQLVKQLDTHLPQEIPVVINNSSSLLIIPELHNYLSALISDVIESPGIGTAGSAESRWEYDLNRYVKEILSNELQYIDKDYFIQEMNRRLQSNKQEIWEEITNRLETQQQQQQQQVQQDYSNVPQQYSSILMKRLIHQIYNSNQHQWEDDLDFATYVQGTKLLNHLTSPTWRQGSGVQPIELLTDSKQSSSTYWQCENEPGCSWAIRFKTPLYLTKISYMHGRFTNNLHIMNSAPRLISLYVKLSQTKEIKALQTLANQYGFGQHHKRDRNYIKIAKFEYRLTDSRIRQQMYLPPWFIQLKPLVRSIVFQVDENYGNKKFISLRKFIINGVTPQDLQIIENNEFPVLLGDTPEYGVTQNTDEGKRKVLLSKPPYASSSTSTKFHPASNVPSFGQDELDQ
VIMSS6584796 1 264 0.107018939393939 Meiotic recombination protein REC102 264 0 30 264 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02721 1 SwissProt MARDITFLTVFLESCGAVNNDEAGKLLSAWTSTVRIEGPESTDSNSLYIPLLPPGMLKIKLNFKMNDRLVTEEQELFTKLREIVGSSIRFWEEQLFYQVQDVSTIENHVILSLKCTILTDAQISTFISKPRELHTHAKGYPEIYYLSELSTTVNFFSKEGNYVEISQVIPHFNEYFSSLIVSQLEFEYPMVFSMISRLRLKWQQSSLAPISYALTSNSVLLPIMLNMIAQDKSSTTAYQILCRRRGPPIQNFQIFSLPAVTYNK
VIMSS913423 1 233 0.0469334763948498 PF09922.9:DUF2154:119:230 hypothetical protein (NCBI) 233 112 30 155 4 Staphylococcus aureus subsp. aureus COL VIMSS913423 1 MicrobesOnline MTHKYISTQMLIIFTALMIIANFYYIFFEKIGFLLVLLLGCVLVYVGYLYFHKIRGLLAFWIGALLIAFTLLSNKYTIIILFVFLLLLIVRYLIHKFKPKKVVATDEVMTSPSFIKQKWFGEQRTPVYVYKWEDVQIQHGIGDLHIDLTKAANIKENNTIVVRHILGKVQVILPVNYNINLHVAAFYGSTYVNEKSYKVENNNIHIEEMMKPDNYTVNIYVSTFIGDVEVIYR
WP_001295748.1 1 201 0.429415422885572 PF04972.17:BON:55:122,PF04972.17:BON:135:199 periplasmic chaperone OsmY 201 133 30 201 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11391-MONOMER 1 ecocyc MTMTRLKISKTLLAVMLTSAVATGSAYAENNAQTTNESAGQKVDSSMNKVGNFMDDSAITAKVKAALVDHDNIKSTDISVKTDQKVVTLSGFVESQAQAEEAVKVAKGVEGVTSVSDKLHVRDAKEGSVKGYAGDTATTSEIKAKLLADDIVPSRHVKVETTDGVVQLSGTVDSQAQSDRAESIAKAVDGVKSVKNDLKTK
XP_001350081.1 1 351 0.421131054131054 PF12948.7:MSP7_C:216:346 merozoite surface protein 7 351 131 30 351 0 Plasmodium falciparum 3D7 XP_001350081.1 1 RefSeq MKSNIIFYFSFFFVYLYYVSCNQSTHSTPVNNEEDQEELYIKNKKLEKLKNIVSGDFVGNYKNNEELLNKKIEELQNSKEKNVHVLINGNSIIDEIEKNEENDDNEENNDDDNTYELDMNDDTFLGQNNDSHFENVDDDAVENEQEDENKEKSESFPLFQNLGLFGKNVLSKVKAQSETDTQSKNEQEISTQGQEVQKPAQGGESTFQKDLDKKLYNLGDVFNHVVDISNKKNKINLDEYGKKYTDFKKEYEDFVLNSKEYDIIKNLIIMFGQEDNKSKNGKTDIVSEAKHMTEIFIKLFKDKEYHEQFKNYIYGVYSYAKQNSHLSEKKIKPEEEYKKFLEYSFNLLNTM
XP_005259778.1 126 527 0.596909950248756 PF10523.9:BEN:274:329 Nucleus accumbens-associated protein 1; NAC-1; BTB/POZ domain-containing protein 14B 527 56 30 402 0 Homo sapiens (Human) SwissProt::Q96RE7 1 SwissProt PSCDSQGLHAEEAPSSEPQSPVAQTSGWPACSTPLPLVSRVKTEQQESDSVQCMPVAKRLWDSGQKEAGGGGNGSRKMAKFSTPDLAANRPHQPPPPQQAPVVAAAQPAVAAGAGQPAGGVAAAGGVVSGPSTSERTSPGTSSAYTSDSPGSYHNEEDEEEDGGEEGMDEQYRQICNMYTMYSMMNVGQTAEKVEALPEQVAPESRNRIRVRQDLASLPAELINQIGNRCHPKLYDEGDPSEKLELVTGTNVYITRAQLMNCHVSAGTRHKVLLRRLLASFFDRNTLANSCGTGIRSSTNDPRRKPLDSRVLHAVKYYCQNFAPNFKESEMNAIAADMCTNARRVVRKSWMPKVKVLKAEDDAYTTFISETGKIEPDMMGVEHGFETASHEGEAGPSAEALQ
XP_005265583.1 1 96 0.506930208333333 Homeobox expressed in ES cells 1; Homeobox protein ANF; hAnf 185 0 30 96 0 Homo sapiens (Human) SwissProt::Q9UBX0 1 SwissProt MSPSLQEGAQLGENKPSTCSFSIERILGLDQKKDCVPLMKPHRPWADTCSSSGKDGNLCLHVPNPPSGISFPSVVDHPMPEERASKYENYFSASER
XP_005273311.1 1 160 0.16764875 PF00084.20:Sushi:46:76,PF00084.20:Sushi:81:134 C4b-binding protein beta chain 252 85 30 160 0 Homo sapiens P20851 1 SwissProt/TReMBL MFFWCACCLMVAWRVSASDAEHCPELPPVDNSIFVAKEVEGQILGTYVCIKGYHLVGKKTLFCNASKEWDNTTTECRLGHCPDPVLVNGEFSSSGPVNVSDKITFMCNDHYILKGSNRSQCLEDHTWAPPFPICKSRDCDPPGNPVHGYFEGNNFTLGST
XP_006520541.1 236 539 0.407362828947369 interleukin-2 receptor subunit beta isoform X1 539 0 30 281 1 Mus musculus XP_006520541.1 1 RefSeq DPMKEILPMSWLRYLLLVLGCFSGFFSCVYILVKCRYLGPWLKTVLKCHIPDPSEFFSQLSSQHGGDLQKWLSSPVPLSFFSPSGPAPEISPLEVLDGDSKAVQLLLLQKDSAPLPSPSGHSQASCFTNQGYFFFHLPNALEIESCQVYFTYDPCVEEEVEEDGSRLPEGSPHPPLLPLAGEQDDYCAFPPRDDLLLFSPSLSTPNTAYGGSRAPEERSPLSLHEGLPSLASRDLMGLQRPLERMPEGDGEGLSANSSGEQASVPEGNLHGQDQDRGQGPILTLNTDAYLSLQELQAQDSVHLI
XP_011509392.1 1 405 0.590084938271604 PF03172.13:HSR:15:111 sp110 nuclear body protein isoform X5 695 97 30 405 0 Homo sapiens XP_011509392.1 1 RefSeq MGRGFRMFTMTRAMEEALFQHFMHQKLGIAYAIHKPFPFFEGLLDNSIITKRMYMESLEACRNLIPVSRVVHNILTQLERTFNLSLLVTLFSQINLREYPNLVTIYRSFKRVGASYEWQSRDTPILLEAPTGLAEGSSLHTPLALPPPQPPQPSCSPCAPRVSEPGTSSQQSDEILSESPSPSDPVLPLPALIQEGRSTSVTNDKLTSKMNAEEDSEEMPSLLTSTVQVASDNLIPQIRDKEDPQEMPHSPLGSMPEIRDNSPEPNDPEEPQEVSSTPSDKKGKKRKRCIWSTPKRRHKKKSLPGEIIDGTSEMNEGKRSQKTPSTPRRVTQGAASPGHGIQEKLQVVDKVTQRKDDSTWNSEVMMRVQKARTKCARKSRLKEKKKEKDICSSSKRRFQKNIHRR
XP_016871073.1 1 309 0.607622330097088 pro-neuregulin-3, membrane-bound isoform isoform X16 393 0 30 286 1 Homo sapiens XP_016871073.1 1 RefSeq MSEGAAAASPPGAASAAAASAEEGTAAAAAAAAAGGGPDGGGEGAAEPPRELRCSDCIVWNRQQTWLCVVPLFIGFIGLGLSLMLLKWIVVGSVKEYVPTDLVDSKGMGQDPFFLSKPSSFPKAMETTTTTTSTTSPATPSAGGAASSRTPNRISTRLTTITRAPTRFPGHRVPIRASPRSTTARNTAAPATVPSTTAPFFSSSTLGSRPPVPGTPSTQAMPSWPTAAYATSSYLHDSTPSWTLSPFQDAASSSSSSSSSATTTTPETSTSPKFRPRDRRHAIHGNMGKTRVVRRQKQEQDTTTYSTER
XP_016882154.1 519 1523 0.503341691542288 PF00400.32:WD40:187:224,PF12894.7:ANAPC4_WD40:125:206 WD repeat-containing protein 62 isoform X1 1523 100 30 1005 0 Homo sapiens XP_016882154.1 1 RefSeq HELHFMDELVKVEAHDAEVLCLEYSKPETGLTLLASASRDRLIHVLNVEKNYNLEQTLDDHSSSITAIKFAGNRDIQMISCGADKSIYFRSAQQGSDGLHFVRTHHVAEKTTLYDMDIDITQKYVAVACQDRNVRVYNTVNGKQKKCYKGSQGDEGSLLKVHVDPSGTFLATSCSDKSISVIDFYSGECIAKMFGHSEIITSMKFTYDCHHLITVSGDSCVFIWHLGPEITNCMKQHLLEIDHRQQQQHTNDKKRSGHPRQDTYVSTPSEIHSLSPGEQTEDDLEEECEPEEMLKTPSKDSLDPDPRCLLTNGKLPLWAKRLLGDDDVADGLAFHAKRSYQPHGRWAERAGQEPLKTILDAQDLDCYFTPMKPESLENSILDSLEPQSLASLLSESESPQEAGRGHPSFLPQQKESSEASELILYSLEAEVTVTGTDSQYCRKEVEAGPGDQQGDSYLRVSSDSPKDQSPPEDSGESEADLECSFAAIHSPAPPPDPAPRFATSLPHFPGCAGPTEDELSLPEGPSVPSSSLPQTPEQEKFLRHHFETLTESPCRELFPAALGDVEASEAEDHFFNPRLSISTQFLSSLQKASRFTHTFPPRATQCLVKSPEVKLMDRGGSQPRAGTGYASPDRTHVLAAGKAEETLEAWRPPPPCLTSLASCVPASSVLPTDRNLPTPTSAPTPGLAQGVHAPSTCSYMEATASSRARISRSISLGDSEGPIVATLAQPLRRPSSVGELASLGQELQAITTATTPSLDSEGQEPALRSWGNHEARANLRLTLSSACDGLLQPPVDTQPGVTVPAVSFPAPSPVEESALRLHGSAFRPSLPAPESPGLPAHPSNPQLPEARPGIPGGTASLLEPTSGALGLLQGSPARWSEPWVPVEALPPSPLELSRVGNILHRLQTTFQEALDLYRVLVSSGQVDTGQQQARTELVSTFLWIHSQLEAECLVGTSVAPAQALPSPGPPSPPTLYPLASPDLQALLEHYSELLVQAVRRKARGH
XP_017452541.1 132 271 0.616989285714286 hepatitis A virus cellular receptor 1 homolog isoform X2 271 0 30 117 1 Rattus norvegicus XP_017452541.1 1 RefSeq PTSPPTRPTTTRPTTTRPTTTSTRSTHVPTSTRVSTSTPTPEQTQTHKPEITTFYAHETTAEVTETPSYTPADWNGTVTSSEEAWNNHTVRIPLRKPQRNPTKGFYVGMSVAALLLLLLASTVVVTRYIIIRKKMGSLSE
XP_710435.2 331 1155 0.632515999999999 PF05792.13:Candida_ALS:35:66,PF05792.13:Candida_ALS:71:102,PF05792.13:Candida_ALS:108:139,PF05792.13:Candida_ALS:144:175,PF05792.13:Candida_ALS:180:211,PF05792.13:Candida_ALS:216:247,PF05792.13:Candida_ALS:252:283,PF05792.13:Candida_ALS:288:319,PF05792.13:Candida_ALS:324:355,PF05792.13:Candida_ALS:360:391,PF05792.13:Candida_ALS:396:427,PF05792.13:Candida_ALS:432:463,PF05792.13:Candida_ALS:468:499,PF05792.13:Candida_ALS:504:533 Agglutinin-like protein 3; 3D9 antigen; Adhesin 3 1155 446 30 825 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59L12 1 SwissProt TRTVTDSTTAVTTLPFDPNRDKTKTIEILKPIPTTTITTSYVGVTTSYSTKTAPIGETATVIVDIPYHTTTTVTSKWTGTITSTTTHTNPTDSIDTVIVQVPSPNPTVTTTEYWSQSFATTTTITGPPGNTDTVLIREPPNHTVTTTEYWSESYTTTSTFTAPPGGTDSVIIKEPPNPTVTTTEYWSESYTTTTTVTAPPGGTDTVIIREPPNHTVTTTEYWSQSYTTTTTVIAPPGGTDSVIIREPPNPTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSYTTTTTVIAPPGGTDSVIIKEPPNPTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSFATTTTVTAPPGGTDTVIIREPPNHTVTTTEYWSQSFATTTTIIAPPGETDTVLIREPPNPTVTTTEYWSQSYTTATTVTAPPGGTDTVIIYDTMSSSEISSFSRPHYTNHTTLWSTTWVIETKTITETSCEGDKGCSWVSVSTRIVTIPNNIETPMVTNTVDTTTTESTLQSPSGIFSESGVSVETESSTFTTAQTNPSVPTTESEVVFTTKGNNGNGPYESPSTNVKSSMDENSEFTTSTAASTSTDIENETIATTGSVEASSPIISSSADETTTVTTTAESTSVIEQQTNNNGGGNAPSATSTSSPSTTTTANSDSVITSTTSTNQSQSQSNSDTQQTTLSQQMTSSLVSLHMLTTFDGSGSVIQHSTWLCGLITLLSLFI
YP_009137116.1 1 489 0.300243967280163 PF00867.18:XPG_I:181:256 tegument host shutoff protein 489 76 30 489 0 Human alphaherpesvirus 1 YP_009137116.1 1 RefSeq MGLFGMMKFAHTHHLVKRRGLGAPAGYFTPIAVDLWNVMYTLVVKYQRRYPSYDREAITLHCLCRLLKVFTQKSLFPIFVTDRGVNCMEPVVFGAKAILARTTAQCRTDEEASDVDASPPPSPITDSRPSSAFSNMRRRGTSLASGTRGTAGSGAALPSAAPSKPALRLAHLFCIRVLRALGYAYINSGQLEADDACANLYHTNTVAYVYTTDTDLLLMGCDIVLDISACYIPTINCRDILKYFKMSYPQFLALFVRCHTDLHPNNTYASVEDVLRECHWTPPSRSQTRRAIRREHTSSRSTETRPPLPPAAGGTETRVSWTEILTQQIAGGYEDDEDLPLDPRDVTGGHPGPRSSSSEILTPPELVQVPNAQLLEEHRSYVANRRRHVIHDAPESLDWLPDPMTITELVEHRYIKYVISLIGPKERGPWTLLKRLPIYQDIRDENLARSIVTRHITAPDIADRFLEQLRTQAPPPAFYKDVLAKFWDE
NP_001020730.1 1 570 0.649062807017544 transcription factor SOX-6 isoform 2 787 0 29 570 0 Mus musculus NP_001020730.1 1 RefSeq MSSKQATSPFACTADGEEAMTQDLTSREKEEGSDQHPASHLPLHPIMHNKPHSEELPTLVSTIQQDADWDSVLSSQQRMESENNKLCSLYSFRNTSTSPHKPDEGSREREIMNSVTFGTPERRKGSLADVVDTLKQKKLEEMTRTEQEDSSCMEKLLSKDWKEKMERLNTSELLGEIKGTPESLAEKERQLSTMITQLISLREQLLAAHDEQKKLAASQIEKQRQQMDLARQQQEQIARQQQQLLQQQHKINLLQQQIQQVQGHMPPLMIPIFPHDQRTLAAAAAAQQGFLFPPGITYKPGDNYPVQFIPSTMAAAAASGLSPLQLQQLYAAQLASMQVSPGAKMPSTPQPPNSAGAVSPTGIKNEKRGTSPVTQVKDETTAQPLNLSSRPKTAEPVKSPTSPTQNLFPASKTSPVNLPNKSSIPSPIGGSLGRGSSLDILSSLNSPALFGDQDTVMKAIQEARKMREQIQREQQQQPHGVDGKLSSMNNMGLSNCRTEKERTRFENLGPQLTGKSSEDGKLGPGVIDLTRPEDAEGSKAMNGSAAKLQQYYCWPTGGATVAEARVYRDA
NP_001074962.1 1 75 0.151548 PF06373.11:CART:48:75 cocaine- and amphetamine-regulated transcript protein isoform 2 preproprotein 116 28 29 75 0 Mus musculus NP_001074962.1 1 RefSeq MESSRLRLLPLLGAALLLLLPLLGARAQEDAELQPRALDIYSAVDDASHEKELIEALQEVLKKLKSKRIPIYEKK
NP_001139783.1 1 438 0.139644292237443 PF02487.17:CLN3:40:436 Battenin; Protein CLN3 438 397 29 264 8 Mus musculus (Mouse) SwissProt::Q61124 1 SwissProt MGSSAGSWRRLEDSEREETDSEPQAPRLDSRSVLWKNAVGFWILGLCNNFSYVVMLSAAHDILKQEQASGNQSHVEPGPTPTPHNSSSRFDCNSISTAAVLLADILPTLVIKLLAPLGLHLLPYSPRVLVSGVCSAGSFVLVAFSQSVGLSLCGVVLASISSGLGEVTFLSLTAFYPSAVISWWSSGTGGAGLLGSLSYLGLTQAGLSPQHTLLSMLGIPVLLLASYFLLLTSPEPLDPGGENEAETAARQPLIGTETPESKPGASWDLSLQERWTVFKGLLWYIIPLVLVYFAEYFINQGLFELLFFRNTSLSHAQQYRWYQMLYQAGVFASRSSLQCCRIRFTWVLALLQCLNLALLLADVCLNFLPSIYLIFIIILYEGLLGGAAYVNTFHNIALETSDKHREFAMEAACISDTLGISLSGVLALPLHDFLCHLP
NP_001248320.1 163 408 0.568557723577236 calcium-binding and coiled-coil domain-containing protein 2 isoform 2 467 0 29 246 0 Homo sapiens NP_001248320.1 1 RefSeq VEEIEQHNKELCKENQELKDSCISLQKQNSDMQAELQKKQEELETLQSINKKLELKVKEQKDYWETELLQLKEQNQKMSSENEKMGIRVDQLQAQLSTQEKEMEKLVQGDQDKTEQLEQLKKENDHLFLSLTEQRKDQKKLEQTVEQMKQNETTAMKKQQELMDENFDLSKRLSENEIICNALQRQKERLEGENDLLKRENSRLLSYMGLDFNSLPYQVPTSDEGGARQNPGLAYGNPYSGIQESS
NP_001258846.1 1 130 0.620771538461538 PF08383.11:Maf_N:67:101 Neural retina-specific leucine zipper protein; NRL 237 35 29 130 0 Mus musculus (Mouse) SwissProt::P54846 1 SwissProt MAFPPSPLAMEYVNDFDLMKFEIKREPSEGRSGVPTASLGSTPYSSVPPSPTFSEPGMVGGGEAPRPGLEELYWLATLQQQLGSDEVLGLSPDEAVELLQNQGPVSMEGPLGYYSGSPGETGAQHVQLPE
NP_001264792.1 133 281 0.427135570469799 deleted in azoospermia-like isoform 2 281 0 29 149 0 Mus musculus NP_001264792.1 1 RefSeq PPPPPQFQSVWSSPNAETYMQPPTMMNPITQYVQAYPPYPSSPVQVITGYQLPVYNYQAYTTVNYHCSEVDPGADILPNECSVHDAAPASGNGPQKKSVDRSIQTVVSCLFNPENRLRNSLVTQDDYFKDKRVHHFRRSRAVLKSDHLC
NP_001265199.1 1 432 0.594023379629629 PF10198.9:Ada3:310:416 Transcriptional adapter 3; ADA3 homolog; hADA3; STAF54; Transcriptional adapter 3-like; ADA3-like protein 432 107 29 432 0 Homo sapiens (Human) SwissProt::O75528 1 SwissProt MSELKDCPLQFHDFKSVDHLKVCPRYTAVLARSEDDGIGIEELDTLQLELETLLSSASRRLRVLEAETQILTDWQDKKGDRRFLKLGRDHELGAPPKHGKPKKQKLEGKAGHGPGPGPGRPKSKNLQPKIQEYEFTDDPIDVPRIPKNDAPNRFWASVEPYCADITSEEVRTLEELLKPPEDEAEHYKIPPLGKHYSQRWAQEDLLEEQKDGARAAAVADKKKGLMGPLTELDTKDVDALLKKSEAQHEQPEDGCPFGALTQRLLQALVEENIISPMEDSPIPDMSGKESGADGASTSPRNQNKPFSVPHTKSLESRIKEELIAQGLLESEDRPAEDSEDEVLAELRKRQAELKALSAHNRTKKHDLLRLAKEEVSRQELRQRVRMADNEVMDAFRKIMAARQKKRTPTKKEKDQAWKTLKERESILKLLDG
NP_001321366.1 1 653 0.0880632465543646 PF00916.20:Sulfate_transp:90:470,PF01740.21:STAS:523:641 Sulfate transporter 1.2 653 500 29 427 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MAX3 1 SwissProt MSSRAHPVDGSPATDGGHVPMKPSPTRHKVGIPPKQNMFKDFMYTFKETFFHDDPLRDFKDQPKSKQFMLGLQSVFPVFDWGRNYTFKKFRGDLISGLTIASLCIPQDIGYAKLANLDPKYGLYSSFVPPLVYACMGSSRDIAIGPVAVVSLLLGTLLRAEIDPNTSPDEYLRLAFTATFFAGITEAALGFFRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKKFTKKTDIISVLESVFKAAHHGWNWQTILIGASFLTFLLTSKIIGKKSKKLFWVPAIAPLISVIVSTFFVYITRADKQGVQIVKHLDQGINPSSFHLIYFTGDNLAKGIRIGVVAGMVALTEAVAIGRTFAAMKDYQIDGNKEMVALGMMNVVGSMSSCYVATGSFSRSAVNFMAGCQTAVSNIIMSIVVLLTLLFLTPLFKYTPNAILAAIIINAVIPLIDIQAAILIFKVDKLDFIACIGAFFGVIFVSVEIGLLIAVSISFAKILLQVTRPRTAVLGNIPRTSVYRNIQQYPEATMVPGVLTIRVDSAIYFSNSNYVRERIQRWLHEEEEKVKAASLPRIQFLIIEMSPVTDIDTSGIHALEDLYKSLQKRDIQLILANPGPLVIGKLHLSHFADMLGQDNIYLTVADAVEACCPKLSNEV
NP_001443.1 218 444 0.560855947136564 Forkhead box protein F2; Forkhead-related activator 2; FREAC-2; Forkhead-related protein FKHL6; Forkhead-related transcription factor 2 444 0 29 227 0 Homo sapiens (Human) SwissProt::Q12947 1 SwissProt ASLLPQGFDFQAPPSAPLGCHSQGGYGGLDMMPAGYDAGAGAPSHAHPHHHHHHHVPHMSPNPGSTYMASCPVPAGPGGVGAAGGGGGGDYGPDSSSSPVPSSPAMASAIECHSPYTSPAAHWSSPGASPYLKQPPALTPSSNPAASAGLHSSMSSYSLEQSYLHQNAREDLSVGLPRYQHHSTPVCDRKDFVLNFNGISSFHPSASGSYYHHHHQSVCQDIKPCVM
NP_002139.2 1 253 0.530882608695653 Homeobox protein Hox-D10; Homeobox protein Hox-4D; Homeobox protein Hox-4E 340 0 29 253 0 Homo sapiens (Human) SwissProt::P28358 1 SwissProt MSFPNSSPAANTFLVDSLISACRSDSFYSSSASMYMPPPSADMGTYGMQTCGLLPSLAKREVNHQNMGMNVHPYIPQVDSWTDPNRSCRIEQPVTQQVPTCSFTTNIKEESNCCMYSDKRNKLISAEVPSYQRLVPESCPVENPEVPVPGYFRLSQTYATGKTQEYNNSPEGSSTVMLQLNPRGAAKPQLSAAQLQMEKKMNEPVSGQEPTKVSQVESPEAKGGLPEERSCLAEVSVSSPEVQEKESKEEIKS
NP_005508.1 1 90 0.949357777777778 PF01101.18:HMG14_17:1:88 Non-histone chromosomal protein HMG-17; High mobility group nucleosome-binding domain-containing protein 2 90 88 29 90 0 Homo sapiens (Human) SwissProt::P05204 1 SwissProt MPKRKAEGDAKGDKAKVKDEPQRRSARLSAKPAPPKPEPKPKKAPAKKGEKVPKGKKGKADAGKEGNNPAENGDAKTDQAQKAEGAGDAK
NP_006693.3 1 930 0.291224946236559 PF00027.29:cNMP_binding:166:260,PF00027.29:cNMP_binding:484:569,PF00027.29:cNMP_binding:602:682 neuropathy target esterase isoform b 1327 262 29 907 1 Homo sapiens NP_006693.3 1 RefSeq MEAPLQTGMVLGVMIGAGVAVVVTAVLILLVVRRLRVPKTPAPDGPRYRFRKRDKVLFYGRKIMRKVSQSTSSLVDTSVSATSRPRMRKKLKMLNIAKKILRIQKETPTLQRKEPPPAVLEADLTEGDLANSHLPSEVLYMLKNVRVLGHFEKPLFLELCRHMVFQRLGQGDYVFRPGQPDASIYVVQDGLLELCLPGPDGKECVVKEVVPGDSVNSLLSILDVITGHQHPQRTVSARAARDSTVLRLPVEAFSAVFTKYPESLVRVVQIIMVRLQRVTFLALHNYLGLTNELFSHEIQPLRLFPSPGLPTRTSPVRGSKRMVSTSATDEPRETPGRPPDPTGAPLPGPTGDPVKPTSLETPSAPLLSRCVSMPGDISGLQGGPRSDFDMAYERGRISVSLQEEASGGSLAAPARTPTQEPREQPAGACEYSYCEDESATGGCPFGPYQGRQTSSIFEAAKQELAKLMRIEDPSLLNSRVLLHHAKAGTIIARQGDQDVSLHFVLWGCLHVYQRMIDKAEDVCLFVAQPGELVGQLAVLTGEPLIFTLRAQRDCTFLRISKSDFYEIMRAQPSVVLSAAHTVAARMSPFVRQMDFAIDWTAVEAGRALYRQGDRSDCTYIVLNGRLRSVIQRGSGKKELVGEYGRGDLIGVVEALTRQPRATTVHAVRDTELAKLPEGTLGHIKRRYPQVVTRLIHLLSQKILGNLQQLQGPFPAGSGLGVPPHSELTNPASNLATVAILPVCAEVPMVAFTLELQHALQAIGPTLLLNSDIIRARLGASALDSIQEFRLSGWLAQQEDAHRIVLYQTDASLTPWTVRCLRQADCILIVGLGDQEPTLGQLEQMLENTAVRALKQLVLLHREEGAGPTRTVEWLNMRSWCSGHLHLRCPRRLFSRRSPAKLHELYEKVFSRRADRHSDFSRLARVLTGNT
NP_010456.1 1 375 0.633925066666666 PF00011.21:HSP20:249:355 Heat shock protein 42; 42 kDa heat shock protein 375 107 29 375 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12329 1 SwissProt MSFYQPSLSLYDVLNALSNQTGQRGQQGYPRQPQRPQRYHPHYGQVHVGGHHPRHHPLYSRYNGVPNTYYYQFPGQAYYYSPEYGYDDEDGEEEDQDEDMVGDSGTTRQEDGGEDSNSRRYPSYYHCNTARNNRTNQQANSLNDLLTALIGVPPYEGTEPEIEANTEQEGEKGEEKDKKDKSEAPKEEAGETNKEKPLNQLEESSRPPLAKKSSSFAHLQAPSPIPDPLQVSKPETRMDLPFSPEVNVYDTEDTYVVVLALPGANSRAFHIDYHPSSHEMLIKGKIEDRVGIDEKFLKITELKYGAFERTVKFPVLPRIKDEEIKATYNNGLLQIKVPKIVNDTEKPKPKKRIAIEEIPDEELEFEENPNPTVEN
NP_011477.1 1 480 0.274811666666667 PF04488.15:Gly_transf_sug:114:206,PF05704.12:Caps_synth:60:205 Initiation-specific alpha-1,6-mannosyltransferase; Outer chain elongation protein 1; EC 2.4.1.232 480 147 29 462 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P31755 1 SwissProt MSRKLSHLIATRKSKTIVVTVLLIYSLLTFHLSNKRLLSQFYPSKDDFKQTLLPTTSHSQDINLKKQITVNKKKNQLHNLRDQLSFAFPYDSQAPIPQRVWQTWKVGADDKNFPSSFRTYQKTWSGSYSPDYQYSLISDDSIIPFLENLYAPVPIVIQAFKLMPGNILKADFLRYLLLFARGGIYSDMDTMLLKPIDSWPSQNKSWLNNIIDLNKPIPYKNSKPSLLSSDEISHQPGLVIGIEADPDRDDWSEWYARRIQFCQWTIQAKPGHPILRELILNITATTLASVQNPGVPVSEMIDPRFEEDYNVNYRHKRRHDETYKHSELKNNKNVDGSDIMNWTGPGIFSDIIFEYMNNVLRYNSDILLINPNLNKNDEEGSESATTPAKDVDNDTLSKSTRKFYKKISESLQSSNSMPWEFFSFLKEPVIVDDVMVLPITSFSPDVGQMGAQSSDDKMAFVKHMFSGSWKEDADKNAGHK
NP_012331.1 1 840 0.149555357142858 PF07393.11:Sec10:75:826 Recyclin-1 840 752 29 840 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39531 1 SwissProt MDDLLKVPEIVTNIASYLSTVDYLSFQQVNKRVYAIINGKNDSKYWSLKLTRMGLQQVHSNEEEEITLLDENDNQNSLRIFEIYKSFTAQNSKKIFVKFYRCYNSYARKLYNNNLANFFPTSYSNDPLKQTRILNFIKKYNFSNKNDIETFTRIETNFNILREIFINSVLKESELNYQSNNLAAVARFMKILLISNEESNAIEFFKSKADLPPSLTVLPSNDELFWAEQPREEDSGGSTVIFNSKNLDTFLNQLRDFLNEKIKLADILFKDEFPVILQFIESFIQDILLDILNNILLSYSEFLKENGKDSKANYECVPELYFTFIKKFDTELNDSVNAGANFRKVVRDLLNLYLEPFVVNYMNQTTRVFESLINSQLANYDTQVQDKQREQNAKIYNTLKDQTDASSASNNELPNDLSIITETSKTVPEADSKPSTIHQSVHSTDISNDKLDFLSSFTKIFKFSNNENQRLKQQLQLAYNLNLISNNLQNIKSLISLDLCYKILQETSEKTDQIYKFHTIESLLPLIKLRCQEIFKILITQLNKNHVKPAFEKAILLLQKYNPNEIEQIEIKFNSLSPANTQVEPLVQFTELINIGDIILQMISIFYKNELIPKKIIDKNKDFLNDVIQLKKNFETSIDDFVAEGLNIGINKLMDEISFVFKTLQLPDDYNPPPPSRNSPIRDIKPTKCAIRVVELLSNHCFLLTGATDKGTIDVYQQEIGERFFNEIVKHLKKCFISTEGAIWLICDLNYFYDFIANKLKQKNVVPYFVGLKSIGQLYIISGKDSKELGKLISDLGKFNGIFTQEEIYEFVQRRSDWVRVRKDVEKVMYGLGIRDCCIM
NP_014371.1 154 563 0.591093414634146 Transcriptional regulator CRZ1 678 0 29 410 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53968 1 SwissProt WDDILTPADNQHRPSLTNQFLSPRSNYDGTTRSSGIDSNYSDTESNYHTPYLYPQDLVSSPAMSHLTANNDDFDDLLSVASMNSNYLLPVNSHGYKHISNLDELDDLLSLTYSDNNLLSASNNSDFNNSNNGIINTADTQNSTIAINKSKVGTNQKMLLTIPTSSTPSPSTHAAPVTPIISIQEFNEGHFPVKNEDDGTLQLKVRDNESYSATNNNNLLRPDDNDYNNEALSDIDRSFEDIINGRKLKLKKSRRRSSQTSNNSFTSRRSSRSRSISPDEKAKSISANREKLLEMADLLPSSENDNNRERYDNDSKTSYNTINSSNFNEDNNNNNLLTSKPKIESGIVNIKNELDDTSKDLGILLDIDSLGQFEQKVGFKNDDNHENNDNGTFSVKKNDNLEKLDSVTNNR
NP_015013.1 1 401 0.235099501246883 PF02144.16:Rad1:10:270 DNA damage checkpoint control protein RAD17; DNA repair exonuclease RAD17 401 261 29 401 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48581 1 SwissProt MRINSELANKFSASTVHLEHITTALSCLTPFGSKDDVLIFIDADGLSFVRENNHVIKIQLLLSRELFMSYSYRNETEDHMKLCVKINHILDSVSVMNRNSDDIVECTLSYDGHGSPFVLIFEDSFISERVEYSTYLIKDFDTNGLELDRERISFEAIIKGEALHSALKDLKEIGCKECYVYAKTEANDENVFALISKSQLGFSKIKLPSNRSILEKLQVFDGDSTTVIDGFAVIGFFDFTSFDKIRKSTKIASKVLFRMDVHGVLSVNILSQTDDVIITDTTRPSNNRPGSIRQLQLPKDYPGIVIEVCMLEKESIDEAAQTEIELLMETNELGNRNSFKKSTIRKRYGTDKGNETSNDNLLQLNGKKIKLPSEEENNKNRESEDEENHCKYPTKDIPIFF
NP_015449.1 1 406 0.345232512315271 PF04145.15:Ctr:132:281 Copper transport protein CTR1; Copper transporter 1 406 150 29 343 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P49573 1 SwissProt MEGMNMGSSMNMDAMSSASKTVASSMASMSMDAMSSASKTILSSMSSMSMEAMSSASKTLASTMSSMASMSMGSSSMSGMSMSMSSTPTSSASAQTTSDSSMSGMSGMSSSDNSSSSGMDMDMSMGMNYYLTPTYKNYPVLFHHLHANNSGKAFGIFLLFVVAAFVYKLLLFVSWCLEVHWFKKWDKQNKYSTLPSANSKDEGKHYDTENNFEIQGLPKLPNLLSDIFVPSLMDLFHDIIRAFLVFTSTMIIYMLMLATMSFVLTYVFAVITGLALSEVFFNRCKIAMLKRWDIQREIQKAKSCPGFGNCQCGRHPEPSPDPIAVADTTSGSDQSTRLEKNNESKVAISENNQKKTPTQEEGCNCATDSGKNQANIERDILENSKLQEQSGNMDQNLLPAEKFTHN
NP_029426.1 1 607 0.350509719934101 PF03164.14:Mon1:175:601 Vacuolar fusion protein MON1 homolog 607 427 29 607 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SKN1 1 SwissProt MATSDSRSSPSSSDTEFADPNPSSDPETNSERVQSQLESMNLSQPSEVSDGSHTEFSGGGDDNDDEVASANGNEGGVSNGGLLREGVAGTSGGEVLLRAENPVEMEAGEEPPSPTSSGYDGERGSSGGATSTYKADDGSEDEIREANVDGDTASQHEAAWLPGKRHVDEDDASTSWRKRKKHFFILSNSGKPIYSRYGDEHKLAGFSATLQAIISFVENGGDRVNLVKAGNHQVVFLVKGPIYLVCISCTDETYEYLRGQLDLLYGQMILILTKSIDRCFEKNAKFDMTPLLGGTDAVFSSLVHSFSWNPATFLHAYTCLPLPYALRQATGTILQEVCASGVLFSLLMCRHKVVSLAGAQKASLHPDDLLLLSNFVMSSESFRTSESFSPICLPRYNAQAFLHAYVHFFDDDTYVILLTTRSDAFHHLKDCRVRLEAVLLKSNILSVVQRSIAEGGMRVEDVPIDRRRRSSTTNQEQDSPGPDISVGTGGPFGLWHFMYRSIYLDQYISSEFSPPVTSHRQQKSLYRAYQKLYASMHVKGLGPHKTQYRRDENYTLLCWVTPDFELYAAFDPLADKAMAIKICNQVCQRVKDVENEVFLQGASPFSW
NP_035692.2 1 95 0.117123157894737 teratocarcinoma-derived growth factor precursor 171 0 29 95 0 Mus musculus NP_035692.2 1 RefSeq MGYFSSSVVLLVAISSAFEFGPVAGRDLAIRDNSIWDQKEPAVRDRSFQFVPSVGIQNSKSLNKTCCLNGGTCILGSFCACPPSFYGRNCEHDVR
NP_037368.1 1 475 0.159914105263158 PF09759.9:Atx10homo_assoc:370:462 Ataxin-10; Brain protein E46 homolog; Spinocerebellar ataxia type 10 protein 475 93 29 475 0 Homo sapiens (Human) SwissProt::Q9UBB4 1 SwissProt MAAPRPPPARLSGVMVPAPIQDLEALRALTALFKEQRNRETAPRTIFQRVLDILKKSSHAVELACRDPSQVENLASSLQLITECFRCLRNACIECSVNQNSIRNLDTIGVAVDLILLFRELRVEQESLLTAFRCGLQFLGNIASRNEDSQSIVWVHAFPELFLSCLNHPDKKIVAYSSMILFTSLNHERMKELEENLNIAIDVIDAYQKHPESEWPFLIITDLFLKSPELVQAMFPKLNNQERVTLLDLMIAKITSDEPLTKDDIPVFLRHAELIASTFVDQCKTVLKLASEEPPDDEEALATIRLLDVLCEMTVNTELLGYLQVFPGLLERVIDLLRVIHVAGKETTNIFSNCGCVRAEGDISNVANGFKSHLIRLIGNLCYKNKDNQDKVNELDGIPLILDNCNISDSNPFLTQWVIYAIRNLTEDNSQNQDLIAKMEEQGLADASLLKKVGFEVEKKGEKLILKSTRDTPKP
NP_040311.1 1 53 0.68333962264151 PF00527.18:E7:3:52 E7 protein 105 50 29 53 0 Alphapapillomavirus 7 NP_040311.1 1 RefSeq MHGPKATLQDIVLHLEPQNEIPVDLLCHEQLSDSEEENDEIDGVNHQHLPARR
NP_055500.1 91 391 0.459590033222591 Homocysteine-responsive endoplasmic reticulum-resident ubiquitin-like domain member 1 protein; Methyl methanesulfonate (MMF)-inducible fragment protein 1 391 0 29 301 0 Homo sapiens (Human) SwissProt::Q15011 1 SwissProt PSKMPEINAKVAESTEEPAGSNRGQYPEDSSSDGLRQREVLRNLSSPGWENISRPEAAQQAFQGLGPGFSGYTPYGWLQLSWFQQIYARQYYMQYLAATAASGAFVPPPSAQEIPVVSAPAPAPIHNQFPAENQPANQNAAPQVVVNPGANQNLRMNAQGGPIVEEDDEINRDWLDWTYSAATFSVFLSILYFYSSLSRFLMVMGATVVMYLHHVGWFPFRPRPVQNFPNDGPPPDVVNQDPNNNLQEGTDPETEDPNHLPPDRDVLDGEQTSPSFMSTAWLVFKTFFASLLPEGPPAIAN
NP_057887.2 1 971 0.0943796086508756 PF07648.15:Kazal_2:632:671,PF07648.15:Kazal_2:716:741,PF07648.15:Kazal_2:750:773 Reversion-inducing cysteine-rich protein with Kazal motifs; mRECK 971 90 29 971 0 Mus musculus (Mouse) SwissProt::Q9Z0J1 1 SwissProt MASVRASPRSALLLLLAAAGVAEVTGGLAPGSAGAVCCNHSKDNQMCRDVCEQIFSSKSESRLKHLLQRAPDYCPETMVEIWSCMNSSLPGVFKKSDGWVGLGCCELAIGLECRQACKQASSKNDISKVCRKEYENALFSCISRNEMGSVCCSYAGHHTNCREFCQAIFRTDSSPGPSQIKAVENYCASISPQLIHCVNNYTQSYPMRNPTDSLYCCDRAEDHACQNACKRILMSKKTEMEIVDGLIEGCKTQPLPQDPLWQCFLESSQSVHPGVTVHPPPSTGLDGAKLHCCSKANTSTCRELCTKLYSMSWGNTQSWQEFDRICEYNPVEVSMLTCLADVREPCQLGCTNLTYCTNFNNRPTELFRSCTAQSDQGAMSDMKLWEKGSIKMPFISIPVLDIKTCQPEMWKAVACSLQIKPCHSKSRGSIICKSDCVEILKKCGDQNKFPEEHTAESICEFLSPADDLESCIPLDTYLRPSALGNIIEEVTHPCNPNPCPANELCEVNRKGCPSADPCLPYSCVQGCKLGEASDFIVRQGTLIQVPSSAGEVGCYKICSCGQSGLLENCMEMHCIDLQKSCIVGGKRKSHGTSFTIDCNVCSCFAGNLVCSTRLCLSEHSSDDDRRTFTGLPCNCADQFVPVCAQNGRTYPSACIARCVGLQDHQFEFGPCISKNPCNPNLCPKSQRCVPKPQVCLTTFDKFGCSQYECVPRQLTCDQARDPVCDTDHMEHSNLCTLYQRGKSLSYRGPCQPFCRAKEPVCGHNGETYSSVCAAYSDRVAVDYYGPCQAVGVLSEYSAVAECAAVKCPSLSAIGCKPIIPPGACCPLCAGMLRVLFDKEKLDTIAKVTSKKPITVVEILQKVRMHVSVPQCDVFGYLSIESEIVILIIPVDHYPKALQIEACNKEAEKIESLINSDSPTLASHVPLSALIISQVQVSSSLPSSAVVGRPLFHSLLLLLSLGLTVHLLWTRP
NP_060910.3 1 290 0.598420689655172 PF15347.6:PAG:2:289 Phosphoprotein associated with glycosphingolipid-enriched microdomains 1; Csk-binding protein; Transmembrane adapter protein PAG; Transmembrane phosphoprotein Cbp 432 288 29 267 1 Homo sapiens (Human) SwissProt::Q9NWQ8 1 SwissProt MGPAGSLLGSGQMQITLWGSLAAVAIFFVITFLIFLCSSCDREKKPRQHSGDHENLMNVPSDKEMFSRSVTSLATDAPASSEQNGALTNGDILSEDSTLTCMQHYEEVQTSASDLLDSQDSTGKPKCHQSRELPRIPPESAVDTMLTARSVDGDQGLGMEGPYEVLKDSSSQENMVEDCLYETVKEIKEVAAAAHLEKGHSGKAKSTSASKELPGPQTEGKAEFAEYASVDRNKKCRQSVNVESILGNSCDPEEEAPPPVPVKLLDENENLQEKEGGEAEESATDTTSET
NP_077727.3 1 356 0.194290449438202 PF13855.6:LRR_8:185:237,PF00560.33:LRR_1:202:224 mimecan isoform 1 356 53 29 356 0 Homo sapiens NP_077727.3 1 RefSeq MLAKISTTWKLFLKLLGPEILLSSLKSRHSFSHSPSHLGLMHRHEHLLRKTTKNFKTATTTLQSTLLLLLLVPLIKPAPPTQQDSRIIYDYGTDNFEESIFSQDYEDKYLDGKNIKEKETVIIPNEKSLQLQKDEAITPLPPKKENDEMPTCLLCVCLSGSVYCEEVDIDAVPPLPKESAYLYARFNKIKKLTAKDFADIPNLRRLDFTGNLIEDIEDGTFSKLSLLEELSLAENQLLKLPVLPPKLTLFNAKYNKIKSRGIKANAFKKLNNLTFLYLDHNALESVPLNLPESLRVIHLQFNNIASITDDTFCKANDTSYIRDRIEEIRLEGNPIVLGKHPNSFICLKRLPIGSYF
NP_079427.4 1 579 0.59982262521589 PF00168.30:C2:19:128 rab11 family-interacting protein 1 isoform 1 649 110 29 579 0 Homo sapiens NP_079427.4 1 RefSeq MSLMVSAGRGLGAVWSPTHVQVTVLQARGLRAKGPGGTSDAYAVIQVGKEKYATSVSERSLGAPVWREEATFELPSLLSSGPAAAATLQLTVLHRALLGLDKFLGRAEVDLRDLHRDQGRRKTQWYKLKSKPGKKDKERGEIEVDIQFMRNNMTASMFDLSMKDKSRNPFGKLKDKIKGKNKDSGSDTASAIIPSTTPSVDSDDESVVKDKKKKSKIKTLLSKSNLQKTPLSQSMSVLPTSKPEKVLLRPGDFQSQWDEDDNEDESSSASDVMSHKRTASTDLKQLNQVNFTLPKKEGLSFLGGLRSKNDVLSRSNVCINGNHVYLEQPEAKGEIKDSSPSSSPSPKGFRKKHLFSSTENLAAGSWKEPAEGGGLSSDRQLSESSTKDSLKSMTLPSYRPAPLVSGDLRENMAPANSEATKEAKESKKPESRRSSLLSLMTGKKDVAKGSEGENPLTVPGREKEGMLMGVKPGEDASGPAEDLVRRSEKDTAAVVSRQGSSLNLFEDVQITEPEAEPESKSEPRPPISSPRAPQTRAVKPRLHPVKPMNAMATKVANCSLGTATIISENLNNEVMMKKY
NP_085097.3 1 293 0.483820136518772 PF16368.5:CEBP1_N:1:293 cytoplasmic polyadenylation element-binding protein 1 isoform 1 561 293 29 293 0 Homo sapiens NP_085097.3 1 RefSeq MAFPLEEEAGRIKDCWDNQEAPALSTCSNANIFRRINAILDNSLDFSRVCTTPINRGIHDHLPDFQDSEETVTSRMLFPTSAQESSRGLPDANDLCLGLQSLSLTGWDRPWSTQDSDSSAQSSTHSVLSMLHNPLGNVLGKPPLSFLPLDPLGSDLVDKFPAPSVRGSRLDTRPILDSRSSSPSDSDTSGFSSGSDHLSDLISSLRISPPLPFLSLSGGGPRDPLKMGVGSRMDQEQAALAAVTPSPTSASKRWPGASVWPSWDLLEAPKDPFSIEREARLHRQAAAVNEATC
NP_172988.1 93 199 0.443348598130841 Ethylene-responsive transcription factor WIN1; Protein SHINE 1; Protein WAX INDUCER 1 199 0 29 107 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XI33 1 SwissProt SLSSILSAKLRKCCKSPSPSLTCLRLDTASSHIGVWQKRAGSKSDSSWVMTVELGPASSSQETTSKASQDAILAPTTEVEIGGSREEVLDEEEKVALQMIEELLNTN
NP_178039.1 123 294 0.656329651162791 Transcription factor MYB63; Myb-related protein 63; AtMYB63 294 0 29 172 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6R0A6 1 SwissProt GTADEPASPCSSDSVSRGKDDKSSHVEDSLNRETNHRNELSTSMSSGGSNQQDDPKIDELRFEYIEEAYSEFNDIIIQEVDKPDLLEIPFDSDPDIWSFLDTSNSFQQSTANENSSGSRATTEEESDEDEVKKWFKHLESELGLEEDDNQQQYKEEESSSSSLLKNYELMIH
NP_182041.1 1 640 0.338254062499999 PF03514.14:GRAS:269:639 Scarecrow-like protein 27; AtSCL27; GRAS family protein 14; AtGRAS-14 640 371 29 640 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q7XJM8 1 SwissProt MPLSFERFQGEGVFGLSSSSFYSDSQKIWSNQDKTEAKQEDLGYVVGGFLPEPTSVLDALRSPSPLASYSSTTTTLSSSHGGGGTTVTNTTVTAGDDNNNNKCSQMGLDDLDGVLSASSPGQEQSILRLIMDPGSAFGVFDPGFGFGSGSGPVSAPVSDNSNLLCNFPFQEITNPAEALINPSNHCLFYNPPLSPPAKRFNSGSLHQPVFPLSDPDPGHDPVRRQHQFQFPFYHNNQQQQFPSSSSSTAVAMVPVPSPGMAGDDQSVIIEQLFNAAELIGTTGNNNGDHTVLAQGILARLNHHLNTSSNHKSPFQRAASHIAEALLSLIHNESSPPLITPENLILRIAAYRSFSETSPFLQFVNFTANQSILESCNESGFDRIHIIDFDVGYGGQWSSLMQELASGVGGRRRNRASSLKLTVFAPPPSTVSDEFELRFTEENLKTFAGEVKIPFEIELLSVELLLNPAYWPLSLRSSEKEAIAVNLPVNSVASGYLPLILRFLKQLSPNIVVCSDRGCDRNDAPFPNAVIHSLQYHTSLLESLDANQNQDDSSIERFWVQPSIEKLLMKRHRWIERSPPWRILFTQCGFSPASLSQMAEAQAECLLQRNPVRGFHVEKRQSSLVMCWQRKELVTVSAWKC
NP_186887.1 1 347 0.393631123919308 PF06203.14:CCT:278:319,PF00643.24:zf-B_box:56:101 Zinc finger protein CONSTANS-LIKE 2 347 88 29 347 0 Arabidopsis thaliana Q96502 1 SwissProt/TReMBL MLKEESNESGTWARACDTCRSAACTVYCEADSAYLCTTCDARVHAANRVASRHERVRVCQSCESAPAAFLCKADAASLCTACDAEIHSANPLARRHQRVPILPLSANSCSSMAPSETDADNDEDDREVASWLLPNPGKNIGNQNNGFLFGVEYLDLVDYSSSMDNQFEDNQYTHYQRSFGGDGVVPLQVEESTSHLQQSQQNFQLGINYGFSSGAHYNNNSLKDLNHSASVSSMDISVVPESTASDITVQHPRTTKETIDQLSGPPTQVVQQLTPMEREARVLRYREKKKTRKFDKTIRYASRKAYAEIRPRIKGRFAKRIETEAEAEEIFSTSLMSETGYGIVPSF
NP_187576.1 1 404 0.311575247524753 PF13041.6:PPR_2:234:282,PF13812.6:PPR_3:233:281,PF13812.6:PPR_3:294:336,PF01535.20:PPR:238:267,PF01535.20:PPR:273:302 Pentatricopeptide repeat-containing protein At3g09650, chloroplastic; Protein HIGH CHLOROPHYLL FLUORESCENCE 152 778 104 29 404 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SF38 1 SwissProt MNILRPPTSSSSSSFPPYPKPVSLTPPVSFTLIHNPINLCSINPPFTNAGRPIFQRSASGTANSSAEDLSSFLGSPSEAYSTHNDQELLFLLRNRKTDEAWAKYVQSTHLPGPTCLSRLVSQLSYQSKPESLTRAQSILTRLRNERQLHRLDANSLGLLAMAAAKSGQTLYAVSVIKSMIRSGYLPHVKAWTAAVASLSASGDDGPEESIKLFIAITRRVKRFGDQSLVGQSRPDTAAFNAVLNACANLGDTDKYWKLFEEMSEWDCEPDVLTYNVMIKLCARVGRKELIVFVLERIIDKGIKVCMTTMHSLVAAYVGFGDLRTAERIVQAMREKRRDLCKVLRECNAEDLKEKEEEEAEDDEDAFEDDEDSGYSARDEVSEEGVVDVFKKLLPNSVDPSGEPP
NP_191257.1 1 258 0.272840697674419 PF00010.26:HLH:77:129 Transcription factor ORG3; Basic helix-loop-helix protein 39; AtbHLH39; bHLH 39; OBP3-responsive gene 3; Transcription factor EN 9; bHLH transcription factor bHLH039 258 53 29 258 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M1K0 1 SwissProt MCALVPPLFPNFGWPSTGEYDSYYLAGDILNNGGFLDFPVPEETYGAVTAVTQHQNSFGVSVSSEGNEIDNNPVVVKKLNHNASERDRRRKINSLFSSLRSCLPASGQSKKLSIPATVSRSLKYIPELQEQVKKLIKKKEELLVQISGQRNTECYVKQPPKAVANYISTVSATRLGDNEVMVQISSSKIHNFSISNVLSGLEEDRFVLVDMSSSRSQGERLFYTLHLQVEKIENYKLNCEELSQRMLYLYEECGNSYI
NP_192311.1 1 318 0.332503459119497 PF04755.12:PAP_fibrillin:93:308 Probable plastid-lipid-associated protein 1, chloroplastic; AtPap1; Fibrillin-1a; Plastoglobulin 35; AtPGL35 318 216 29 318 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81439 1 SwissProt MATVPLFTQFPCKTLNPSSSNTKHQSKSPILLPINSINRRSEIGVSVHRPDFKIRATDIDDEWGQDGVERVFASSSTVSVADKAIESVEETERLKRSLADSLYGTDRGLSVSSDTRAEISELITQLESKNPTPAPNEALFLLNGKWILAYTSFVGLFPLLSRRIEPLVKVDEISQTIDSDSFTVQNSVRFAGPFSTTSFSTNAKFEIRSPKRVQIKFEQGVIGTPQLTDSIEIPESVEVLGQKIDLNPIKGLLTSVQDTASSVARTISNQPPLKFSLPSDNTQSWLLTTYLDKDLRISRGDGGSVYVLIKEGSSLLNP
NP_198044.2 1 563 0.345602131438721 PF07887.11:Calmodulin_bind:86:364 Calmodulin-binding protein 60 G 563 279 29 563 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4K2R6 1 SwissProt MKIRNSPSFHGGSGYSVFRARNLTFKKVVKKVMRDQSNNQFMIQMENMIRRIVREEIQRSLQPFLSSSCVSMERSRSETPSSRSRLKLCFINSPPSSIFTGSKIEAEDGSPLVIELVDATTNTLVSTGPFSSSRVELVPLNADFTEESWTVEGFNRNILTQREGKRPLLTGDLTVMLKNGVGVITGDIAFSDNSSWTRSRKFRLGAKLTGDGAVEARSEAFGCRDQRGESYKKHHPPCPSDEVWRLEKIAKDGVSATRLAERKILTVKDFRRLYTVNRNELHNIIGAGVSKKTWNTIVSHAMDCVLDETECYIYNANTPGVTLLFNSVYELIRVSFNGNDIQNLDQPILDQLKAEAYQNLNRITAVNDRTFVGHPQRSLQCPQDPGFVVTCSGSQHIDFQGSLDPSSSSMALCHKASSSTVHPDVLMSFDNSSTARFHIDKKFLPTFGNSFKVSELDQVHGKSQTVVTKGCIENNEEDENAFSYHHHDDMTSSWSPGTHQAVETMFLTVSETEEAGMFDVHFANVNLGSPRARWCKVKAAFKVRAAFKEVRRHTTARNPREGL
NP_200280.1 1 535 0.155018878504673 PF01501.20:Glyco_transf_8:202:508 Probable galacturonosyltransferase 12; Like glycosyl transferase 6; Protein IRREGULAR XYLEM 8; EC 2.4.1.- 535 307 29 517 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FH36 1 SwissProt MQLHISPSLRHVTVVTGKGLREFIKVKVGSRRFSYQMVFYSLLFFTFLLRFVFVLSTVDTIDGDPSPCSSLACLGKRLKPKLLGRRVDSGNVPEAMYQVLEQPLSEQELKGRSDIPQTLQDFMSEVKRSKSDAREFAQKLKEMVTLMEQRTRTAKIQEYLYRHVASSSIPKQLHCLALKLANEHSINAAARLQLPEAELVPMLVDNNYFHFVLASDNILAASVVAKSLVQNALRPHKIVLHIITDRKTYFPMQAWFSLHPLSPAIIEVKALHHFDWLSKGKVPVLEAMEKDQRVRSQFRGGSSVIVANNKENPVVVAAKLQALSPKYNSLMNHIRIHLPELFPSLNKVVFLDDDIVIQTDLSPLWDIDMNGKVNGAVETCRGEDKFVMSKKFKSYLNFSNPTIAKNFNPEECAWAYGMNVFDLAAWRRTNISSTYYHWLDENLKSDLSLWQLGTLPPGLIAFHGHVQTIDPFWHMLGLGYQETTSYADAESAAVVHFNGRAKPWLDIAFPHLRPLWAKYLDSSDRFIKSCHIRAS
NP_224781.1 1 651 0.325717511520737 hypothetical protein 651 0 29 605 2 Chlamydia pneumoniae CWL029 NP_224781.1 1 RefSeq MATPAQKSPTFQDPSFVRELGSNHPVFSPLTLEERGEMAIARVQQCGWNHTIVKVSLIILALLTILGGGLLVGLLPAVPMFIGTGLIALGAVIFALALILCLYDSQGLPEELPPVPEPQQIQIEDLRNETREVLEGTLLEVLLKDRDAKDPAVPQVVVDCEKRLGMLDRKLRREEEILYRSTAHLKDEERYEFLLELLEMRSLVADRLEFNRRSYERFVQGIMTVRSEEGEKEISRLQDLISLQQQTVQDLRSRIDDEQKRCWTALQRINQSQKDIQRAHDREASQRACEGTEMDCAERQQLEKDLRRQLKSMQEWIEMRGTIHQQEKAWRKQNAKLERLQEDLRLTGIAFDEQSLFYREYKEKYLSQKLDMQKILQEVNAEKSEKACLESLVHDYEKQLEQKDANLKKAAAVWEEELGKQQQEDYEQTQEIRRLSTFILEYQDSLREAEKVEKDFQELQQRYSRLQEEKQVKEKILEESMNHFADLFEKAQKENMAYKKKLADLEGAAAPTEIGEDDDWVLTDSASLSQKKIRELVEENQELLKALAFKSNELTQLVADAVEAEKEISKLREHIEEQKEGLRALDKMHAQAIKDCEAAQRKCCDLESLLSPVREDAGMRFELEVELQRLQEENAQLRAEVERLEQEQFQG
NP_415265.1 1 128 0.15385625 Tol-Pal system protein TolQ 230 0 29 105 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11010-MONOMER 1 ecocyc MTDMNILDLFLKASLLVKLIMLILIGFSIASWAIIIQRTRILNAAAREAEAFEDKFWSGIELSRLYQESQGKRDNLTGSEQIFYSGFKEFVRLHRANSHAPEAVVEGASRAMRISMNRELENLETHIP
NP_536682.2 1 368 0.453194021739131 PF01442.18:Apolipoprotein:53:256 Apolipoprotein A-V; Apo-AV; ApoA-V; Apolipoprotein A5; Regeneration-associated protein 3 368 204 29 368 0 Mus musculus (Mouse) SwissProt::Q8C7G5 1 SwissProt MAAVITWALALLAVFASTQARKSLWDYFSQNSWSKGVMGQPQKLAQENLKGSFEQDLYNMNNYLEKLGPLRGPGKEPPLLAQDPEGIRKQLQQELGEVSSRLEPYMAAKHQQVGWNLEGLRQQLKPYTAELMEQVGLSVQELQEQLRVVGEDTKAQLLGGVDEALNLLQDMQSRVLHHTDRVKELFHPYAERLVTGIGHHVQELHRSVAPHAAASPARLSRCVQTLSHKLTRKAKDLHTSIQRNLDQLRDELSAFIRVSTDGAEDGDSLDPQALSEEVRQRLQAFRHDTYLQIAAFTQAIDQETEEIQHQLAPPPPSHSAFAPELGHSDSNKALSRLQSRLDDLWEDIAYGLQDQGHSHLSDPEGHSG
NP_568925.1 1 376 0.115440957446809 PF01553.21:Acyltransferase:152:273 Glycerol-3-phosphate acyltransferase 9; AtGPAT9; EC 2.3.1.15 376 122 29 316 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GWG0 1 SwissProt MSSTAGRLVTSKSELDLDHPNIEDYLPSGSSINEPRGKLSLRDLLDISPTLTEAAGAIVDDSFTRCFKSNPPEPWNWNIYLFPLYCFGVVVRYCILFPLRCFTLAFGWIIFLSLFIPVNALLKGQDRLRKKIERVLVEMICSFFVASWTGVVKYHGPRPSIRPKQVYVANHTSMIDFIVLEQMTAFAVIMQKHPGWVGLLQSTILESVGCIWFNRSEAKDREIVAKKLRDHVQGADSNPLLIFPEGTCVNNNYTVMFKKGAFELDCTVCPIAIKYNKIFVDAFWNSRKQSFTMHLLQLMTSWAVVCEVWYLEPQTIRPGETGIEFAERVRDMISLRAGLKKVPWDGYLKYSRPSPKHSERKQQSFAESILARLEEK
NP_598332.1 79 216 0.389601449275362 PF08423.11:Rad51:9:104 DNA repair protein RAD51 homolog 4 isoform 4 216 96 29 138 0 Homo sapiens NP_598332.1 1 RefSeq QQVTGSSGTVKVVVVDSVTAVVSPLLGGQQREGLALMMQLARELKTLARDLGMAVVVTNHITRDRDSGRLKPALGRSWSFVPSTRILLDTIEGAGASGGRRMACLAKSSRQPTGFQEMVDIGTWGTSEQSATLQGDQT
NP_620409.1 1 524 0.239507824427481 PF06638.11:Strabismus:26:524 Vang-like protein 1; Loop-tail protein 2 homolog; LPP2; Strabismus 2; Van Gogh-like protein 1 524 499 29 432 4 Homo sapiens (Human) SwissProt::Q8TAA9 1 SwissProt MDTESTYSGYSYYSSHSKKSHRQGERTRERHKSPRNKDGRGSEKSVTIQPPTGEPLLGNDSTRTEEVQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCKRYLGLTVASFLGLLVFLTPIAFILLPPILWRDELEPCGTICEGLFISMAFKLLILLIGTWALFFRKRRADMPRVFVFRALLLVLIFLFVVSYWLFYGVRILDSRDRNYQGIVQYAVSLVDALLFIHYLAIVLLELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTASKFRAAKHMAGLKVYNVDGPSNNATGQSRAMIAAAARRRDSSHNELYYEEAEHERRVKKRKARLVVAVEEAFIHIQRLQAEEQQKAPGEVMDPREAAQAIFPSMARALQKYLRITRQQNYHSMESILQHLAFCITNGMTPKAFLERYLSAGPTLQYDKDRWLSTQWRLVSDEAVTNGLRDGIVFVLKCLDFSLVVNVKKIPFIILSEEFIDPKSHKFVLRLQSETSV
NP_659480.1 1 213 0.502369483568076 Endonuclease 8-like 2; DNA glycosylase/AP lyase Neil2; DNA-(apurinic or apyrimidinic site) lyase Neil2; Endonuclease VIII-like 2; Nei homolog 2; NEH2; Nei-like protein 2; EC 3.2.2.-; EC 4.2.99.18 332 0 29 213 0 Homo sapiens (Human) SwissProt::Q969S2 1 SwissProt MPEGPLVRKFHHLVSPFVGQQVVKTGGSSKKLQPASLQSLWLQDTQVHGKKLFLRFDLDEEMGPPGSSPTPEPPQKEVQKEGAADPKQVGEPSGQKTLDGSSRSAELVPQGEDDSEYLERDAPAGDAGRWLRVSFGLFGSVWVNDFSRAKKANKRGDWRDPSPRLVLHFGGGGFLAFYNCQLSWSSSPVVTPTCDILSEKFHRGQALEALGQA
NP_683743.2 1 312 0.0950477564102564 PF01151.18:ELO:42:277 Elongation of very long chain fatty acids protein 4; 3-keto acyl-CoA synthase Elovl4; ELOVL fatty acid elongase 4; ELOVL FA elongase 4; Very long chain 3-ketoacyl-CoA synthase 4; Very long chain 3-oxoacyl-CoA synthase 4; EC 2.3.1.199 312 236 29 164 7 Mus musculus (Mouse) SwissProt::Q9EQC4 0 SwissProt MGLLDSEPGSVLNAMSTAFNDTVEFYRWTWTIADKRVADWPLMQSPWPTISISTLYLLFVWLGPKWMKDREPFQMRLVLIIYNFGMVLLNLFIFRELFMGSYNAGYSYICQSVDYSNDVNEVRIAGALWWYFVSKGVEYLDTVFFILRKKNNQVSFLHVYHHCTMFTLWWIGIKWVAGGQAFFGAQMNSFIHVIMYSYYGLTAFGPWIQKYLWWKRYLTMLQLVQFHVTIGHTALSLYTDCPFPKWMHWALIAYAISFIFLFLNFYTRTYNEPKQSKTGKTATNGISSNGVNKSEKALENGKPQKNGKPKGE
NP_731223.1 1 255 0.49804 Protein atonal 312 0 29 255 0 Drosophila melanogaster (Fruit fly) SwissProt::P48987 1 SwissProt MSSSEIYRYYYKTSEDLQGFKTAAAEPYFNPMAAYNPGVTHYQFNGNTLASSSNYLSANGFISFEQASSDGWISSSPASHRSESPEYVDLNTMYNGGCNNMAQNQQYGMIMEQSVVSTAPAIPVASPPAVEVMGSSNVGTCKTIPASAGPKPKRSYTKKNQPSTTATSTPTAAAESSASVNLYTEEFQNFDFDNSALFDDSVEDDEDLMLFSGGEDFDGNDGSFDLADGENQDAAAGGSGKKRRGKQITPVVKRK
NP_777576.1 169 1749 0.162338962681847 PF02617.17:ClpS:54:132 E3 ubiquitin-protein ligase UBR1; N-recognin-1; RING-type E3 ubiquitin transferase UBR1; Ubiquitin-protein ligase E3-alpha-1; Ubiquitin-protein ligase E3-alpha-I; EC 2.3.2.27 1749 79 29 1581 0 Homo sapiens (Human) SwissProt::Q8IWV7 1 SwissProt GRAGTIKENSRCPLNEEVIVQARKIFPSVIKYVVEMTIWEEEKELPPELQIREKNERYYCVLFNDEHHSYDHVIYSLQRALDCELAEAQLHTTAIDKEGRRAVKAGAYAACQEAKEDIKSHSENVSQHPLHVEVLHSEIMAHQKFALRLGSWMNKIMSYSSDFRQIFCQACLREEPDSENPCLISRLMLWDAKLYKGARKILHELIFSSFFMEMEYKKLFAMEFVKYYKQLQKEYISDDHDRSISITALSVQMFTVPTLARHLIEEQNVISVITETLLEVLPEYLDRNNKFNFQGYSQDKLGRVYAVICDLKYILISKPTIWTERLRMQFLEGFRSFLKILTCMQGMEEIRRQVGQHIEVDPDWEAAIAIQMQLKNILLMFQEWCACDEELLLVAYKECHKAVMRCSTSFISSSKTVVQSCGHSLETKSYRVSEDLVSIHLPLSRTLAGLHVRLSRLGAVSRLHEFVSFEDFQVEVLVEYPLRCLVLVAQVVAEMWRRNGLSLISQVFYYQDVKCREEMYDKDIIMLQIGASLMDPNKFLLLVLQRYELAEAFNKTISTKDQDLIKQYNTLIEEMLQVLIYIVGERYVPGVGNVTKEEVTMREIIHLLCIEPMPHSAIAKNLPENENNETGLENVINKVATFKKPGVSGHGVYELKDESLKDFNMYFYHYSKTQHSKAEHMQKKRRKQENKDEALPPPPPPEFCPAFSKVINLLNCDIMMYILRTVFERAIDTDSNLWTEGMLQMAFHILALGLLEEKQQLQKAPEEEVTFDFYHKASRLGSSAMNIQMLLEKLKGIPQLEGQKDMITWILQMFDTVKRLREKSCLIVATTSGSESIKNDEITHDKEKAERKRKAEAARLHRQKIMAQMSALQKNFIETHKLMYDNTSEMPGKEDSIMEEESTPAVSDYSRIALGPKRGPSVTEKEVLTCILCQEEQEVKIENNAMVLSACVQKSTALTQHRGKPIELSGEALDPLFMDPDLAYGTYTGSCGHVMHAVCWQKYFEAVQLSSQQRIHVDLFDLESGEYLCPLCKSLCNTVIPIIPLQPQKINSENADALAQLLTLARWIQTVLARISGYNIRHAKGENPIPIFFNQGMGDSTLEFHSILSFGVESSIKYSNSIKEMVILFATTIYRIGLKVPPDERDPRVPMLTWSTCAFTIQAIENLLGDEGKPLFGALQNRQHNGLKALMQFAVAQRITCPQVLIQKHLVRLLSVVLPNIKSEDTPCLLSIDLFHVLVGAVLAFPSLYWDDPVDLQPSSVSSSYNHLYLFHLITMAHMLQILLTVDTGLPLAQVQEDSEEAHSASSFFAEISQYTSGSIGCDIPGWYLWVSLKNGITPYLRCAALFFHYLLGVTPPEELHTNSAEGEYSALCSYLSLPTNLFLLFQEYWDTVRPLLQRWCADPALLNCLKQKNTVVRYPRKRNSLIELPDDYSCLLNQASHFRCPRSADDERKHPVLCLFCGAILCSQNICCQEIVNGEEVGACIFHALHCGAGVCIFLKIRECRVVLVEGKARGCAYPAPYLDEYGETDPGLKRGNPLHLSRERYRKLHLVWQQHCIIEEIARSQETNQMLFGFNWQLL
NP_789743.2 1 342 0.124833918128655 interleukin-9 receptor isoform 2 342 0 29 319 1 Homo sapiens NP_789743.2 1 RefSeq MPQTCDGTGQMHLGSNCCKNGQTLLQRTCHGVSCCGWWFQAARSILGKGPSAQSLAGWTLESEALRRDMGTWLLACICICTCVCLGVSVTGEGQGPRSRTFTCLTNNILRIDCHWSAPELGQGSSPWLLFTRLLAAHISASCGAVSAPSCCHLRQCSCHLTISPSLSTTACLGGSRSAWWTRSTCPGDTSNISSGHCILTWSISPALEPMTTLLSYELAFKKQEEAWEQAQHRDHIVGVTWLILEAFELDPGFIHEARLRVQMATLEDDVVEEERYTGQWSEWSQPVCFQAPQRQGPLIPPWGWPGNTLVAVSIFLLLTGPTYLLFKLSPRLGWGPTGPVCC
NP_850947.1 1 260 0.795910384615385 PF00257.19:Dehydrin:38:132,PF00257.19:Dehydrin:144:201,PF00257.19:Dehydrin:187:245 Dehydrin ERD10 260 197 29 260 0 Arabidopsis thaliana P42759 1 SwissProt/TReMBL MAEEYKNTVPEQETPKVATEESSAPEIKERGMFDFLKKKEEVKPQETTTLASEFEHKTQISEPESFVAKHEEEEHKPTLLEQLHQKHEEEEENKPSLLDKLHRSNSSSSSSSDEEGEDGEKKKKEKKKKIVEGDHVKTVEEENQGVMDRIKEKFPLGEKPGGDDVPVVTTMPAPHSVEDHKPEEEEKKGFMDKIKEKLPGHSKKPEDSQVVNTTPLVETATPIADIPEEKKGFMDKIKEKLPGYHAKTTGEEEKKEKVSD
NP_973864.1 104 335 0.552376724137931 Protein BRASSINAZOLE-RESISTANT 2; Protein 107; Protein BIN2 SUBSTRATE 1; Protein BRI1-EMS-SUPPRESSOR 1 335 0 29 232 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LN63 1 SwissProt ATPYSSHNQSPLSSTFDSPILSYQVSPSSSSFPSPSRVGDPHNISTIFPFLRNGGIPSSLPPLRISNSAPVTPPVSSPTSRNPKPLPTWESFTKQSMSMAAKQSMTSLNYPFYAVSAPASPTHHRQFHAPATIPECDESDSSTVDSGHWISFQKFAQQQPFSASMVPTSPTFNLVKPAPQQLSPNTAAIQEIGQSSEFKFENSQVKPWEGERIHDVAMEDLELTLGNGKAHS
NP_976328.2 362 911 0.557816181818181 Transcription factor E2F7; E2F-7 911 0 29 550 0 Homo sapiens (Human) SwissProt::Q96AV8 1 SwissProt AFKWIGPVDFSSSDEELVDVSASVLPELKRETYGQIQVCAKQKLARHGSFNTVQASERIQRKVNSEPSSPYREEQGSGGYSLEIGSLAAVYRQKIEDNSQGKAFASKRVVPPSSSLDPVAPFPVLSVDPEYCVNPLAHPVFSVAQTDLQAFSMQNGLNGQVDVSLASAASAVESLKPALLAGQPLVYVPSASLFMLYGSLQEGPASGSGSERDDRSSEAPATVELSSAPSAQKRLCEERKPQEEDEPATKRQSREYEDGPLSLVMPKKPSDSTDLASPKTMGNRASIPLKDIHVNGQLPAAEEISGKATANSLVSSEWGNPSRNTDVEKPSKENESTKEPSLLQYLCVQSPAGLNGFNVLLSGSQTPPTVGPSSGQLPSFSVPCMVLPSPPLGPFPVLYSPAMPGPVSSTLGALPNTGPVNFSLPGLGSIAQLLVGPTAVVNPKSSTLPSADPQLQSQPSLNLSPVMSRSHSVVQQPESPVYVGHPVSVVKLHQSPVPVTPKSIQRTHRETFFKTPGSLGDPVLKRRERNQSRNTSSAQRRLEIPSGGAD
O15444 1 150 0.206055333333333 PF00048.20:IL8:28:90 C-C motif chemokine 25 150 63 29 150 0 Homo sapiens O15444 1 SwissProt/TReMBL MNLWLLACLVAGFLGAWAPAVHTQGVFEDCCLAYHYPIGWAVLRRAWTYRIQEVSGSCNLPAAIFYLPKRHRKVCGNPKSREVQRAMKLLDARNKVFAKLHHNTQTFQAGPHAVKKLSSGNSKLSSSKFSNPISSSKRNVSLLISANSGL
O35930 298 679 0.546971204188481 Platelet glycoprotein Ib alpha chain; GP-Ib alpha; GPIb-alpha; GPIbA; Glycoprotein Ibalpha; CD42b antigen 734 0 29 359 1 Mus musculus (Mouse) SwissProt::O35930 1 SwissProt VPATRTEVKFSTNTKVHTTHWSLLAAAPSTSQDSQMISLPPTHKPTKKQSTFIHTQSPGFTTLPETMESNPTFYSLKLNTVLIPSPTTLEPTSTQATPEPNIQPMLTTSTLTTPEHSTTPVPTTTILTTPEHSTIPVPTTAILTTPKPSTIPVPTTATLTTLEPSTTPVPTTATLTTPEPSTTLVPTTATLTTPEHSTTPVPTTATLTTPEHSTTPVPTTATLTTPEPSTTLTNLVSTISPVLTTTLTTPESTPIETILEQFFTTELTLLPTLESTTTIIPEQNSFLNLPEVALVSSDTSESSPFLNSDFCCFLPLGFYVLGLLWLLFASVVLILLLTWTWHVTPHSLDMEQSAALATSTHTTSLEVQRARQVTMPRAWLLF
O95859 1 305 0.077491475409836 PF00335.20:Tetraspanin:10:246 Tetraspanin-12; Tspan-12; Tetraspan NET-2; Transmembrane 4 superfamily member 12 305 237 29 213 4 Homo sapiens (Human) SwissProt::O95859 1 SwissProt MAREDSVKCLRCLLYALNLLFWLMSISVLAVSAWMRDYLNNVLTLTAETRVEEAVILTYFPVVHPVMIAVCCFLIIVGMLGYCGTVKRNLLLLAWYFGSLLVIFCVELACGVWTYEQELMVPVQWSDMVTLKARMTNYGLPRYRWLTHAWNFFQREFKCCGVVYFTDWLEMTEMDWPPDSCCVREFPGCSKQAHQEDLSDLYQEGCGKKMYSFLRGTKQLQVLRFLGISIGVTQILAMILTITLLWALYYDRREPGTDQMMSLKNDNSQHLSCPSVELLKPSLSRIFEHTSMANSFNTHFEMEEL
P28033 1 210 0.386817619047619 CCAAT/enhancer-binding protein beta; C/EBP beta; AGP/EBP; Interleukin-6-dependent-binding protein; IL-6DBP; Liver-enriched transcriptional activator; LAP 296 0 29 210 0 Mus musculus (Mouse) SwissProt::P28033 1 SwissProt MHRLLAWDAACLPPPPAAFRPMEVANFYYEPDCLAYGAKAARAAPRAPAAEPAIGEHERAIDFSPYLEPLAPAADFAAPAPAHHDFLSDLFADDYGAKPSKKPADYGYVSLGRAGAKAAPPACFPPPPPAALKAEPGFEPADCKRADDAPAMAAGFPFALRAYLGYQATPSGSSGSLSTSSSSSPPGTPSPADAKAAPAACFAGPPAAPA
P30408 1 202 0.0144252475247525 PF05805.12:L6_membrane:1:194 Transmembrane 4 L6 family member 1; Membrane component chromosome 3 surface marker 1; Tumor-associated antigen L6 202 194 29 110 4 Homo sapiens (Human) SwissProt::P30408 0 SwissProt MCYGKCARCIGHSLVGLALLCIAANILLYFPNGETKYASENHLSRFVWFFSGIVGGGLLMLLPAFVFIGLEQDDCCGCCGHENCGKRCAMLSSVLAALIGIAGSGYCVIVAALGLAEGPLCLDSLGQWNYTFASTEGQYLLDTSTWSECTEPKHIVEWNVSLFSILLALGGIEFILCLIQVINGVLGGICGFCCSHQQQYDC
P36135 130 365 0.269319915254237 PF03856.13:SUN:1:224 Probable secreted beta-glucosidase UTH1; Youth protein 1; EC 3.2.1.- 365 224 29 236 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36135 1 SwissProt WLGLGGWASIMDMNGNTATSCQDGYYCSYACSPGYAKTQWPSEQPSDGRSVGGLYCKNGKLYRSNTDTNSLCVEGQGSAQAVNKVSGSIAICGTDYPGSENMVVPTVVGAGSSQPINVIKEDSYYQWQGKKTSAQYYVNNAGVSVEDGCIWGTEGSGVGNWAPVVLGAGYTDGITYLSIIPNPNNKEAPNFNIKIVATDGSTVNGACSYENGVYSGSGSDGCTVSVTSGSANFVFY
P40318 102 1319 0.172479146141215 ERAD-associated E3 ubiquitin-protein ligase DOA10; RING-type E3 ubiquitin transferase DOA10; EC 2.3.2.27 1319 0 29 912 14 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40318 1 SwissProt IYAENMPEKIPFSLLLSKSILTFFEKARLALTIGLAAVLYIIGVPLVWNMFGKLYTMMLDGSSPYPGDFLKSLIYGYDQSATPELTTRAIFYQLLQNHSFTSLQFIMIVILHIALYFQYDMIVREDVFSKMVFHKIGPRLSPKDLKSRLKERFPMMDDRMVEYLAREMRAHDENRQEQGHDRLNMPAAAADNNNNVINPRNDNVPPQDPNDHRNFENLRHVDELDHDEATEEHENNDSDNSLPSGDDSSRILPGSSSDNEEDEEAEGQQQQQQPEEEADYRDHIEPNPIDMWANRRAQNEFDDLIAAQQNAINRPNAPVFIPPPAQNRAGNVDQDEQDFGAAVGVPPAQANPDDQGQGPLVINLKLKLLNVIAYFIIAVVFTAIYLAISYLFPTFIGFGLLKIYFGIFKVILRGLCHLYYLSGAHIAYNGLTKLVPKVDVAMSWISDHLIHDIIYLYNGYTENTMKHSIFIRALPALTTYLTSVSIVCASSNLVSRGYGRENGMSNPTRRLIFQILFALKCTFKVFTLFFIELAGFPILAGVMLDFSLFCPILASNSRMLWVPSICAIWPPFSLFVYWTIGTLYMYWFAKYIGMIRKNIIRPGVLFFIRSPEDPNIKILHDSLIHPMSIQLSRLCLSMFIYAIFIVLGFGFHTRIFFPFMLKSNLLSVPEAYKPTSIISWKFNTILLTLYFTKRILESSSYVKPLLERYWKTIFKLCSRKLRLSSFILGKDTPTERGHIVYRNLFYKYIAAKNAEWSNQELFTKPKTLEQAEELFGQVRDVHAYFVPDGVLMRVPSSDIVSRNYVQTMFVPVTKDDKLLKPLDLERIKERNKRAAGEFGYLDEQNTEYDQYYIVYVPPDFRLRYMTLLGLVWLFASILMLGVTFISQALINFVCSFGFLPVVKLLLGERNKVYVAWKELSDISYSYLNIYYVCVGSVCLSKIAKDILHFTEGQNTLDEHAVDENEVEEVEHDIPERDINNAPVNNINNVEEGQGIFMAIFNSIFDSMLVKYNLMVFIAIMIAVIRTMVSWVVLTDGILACYNYLTIRVFGNSSYTIGNSKWFKYDESLLFVVWIISSMVNFGTGYKSLKLFFRNRNTSKLNFLKTMALELFKQGFLHMVIYVLPIIILSLVFLRDVSTKQIIDISHGSRSFTLSLNESFPTWTRMQDIYFGLLIALESFTFFFQATVLFIQWFKSTVQNVKDEVYTKGRALENLPDES
P46013 547 3256 0.73274273062731 PF08065.12:KI67R:455:566,PF08065.12:KI67R:577:688,PF08065.12:KI67R:699:810,PF08065.12:KI67R:821:931,PF08065.12:KI67R:942:1051,PF08065.12:KI67R:1063:1174,PF08065.12:KI67R:1185:1296,PF08065.12:KI67R:1308:1418,PF08065.12:KI67R:1429:1540,PF08065.12:KI67R:1551:1658,PF08065.12:KI67R:1669:1780,PF08065.12:KI67R:1790:1901,PF08065.12:KI67R:1912:2023,PF08065.12:KI67R:2034:2142,PF08065.12:KI67R:2154:2259,PF08065.12:KI67R:2273:2382 Proliferation marker protein Ki-67; Antigen identified by monoclonal antibody Ki-67; Antigen KI-67; Antigen Ki67 3256 1773 29 2710 0 Homo sapiens (Human) SwissProt::P46013 1 SwissProt LKKIIKEQPQPSGKQESGSEIHVEVKAQSLVISPPAPSPRKTPVASDQRRRSCKTAPASSSKSQTEVPKRGGRKSGNLPSKRVSISRSQHDILQMICSKRRSGASEANLIVAKSWADVVKLGAKQTQTKVIKHGPQRSMNKRQRRPATPKKPVGEVHSQFSTGHANSPCTIIIGKAHTEKVHVPARPYRVLNNFISNQKMDFKEDLSGIAEMFKTPVKEQPQLTSTCHIAISNSENLLGKQFQGTDSGEEPLLPTSESFGGNVFFSAQNAAKQPSDKCSASPPLRRQCIRENGNVAKTPRNTYKMTSLETKTSDTETEPSKTVSTANRSGRSTEFRNIQKLPVESKSEETNTEIVECILKRGQKATLLQQRREGEMKEIERPFETYKENIELKENDEKMKAMKRSRTWGQKCAPMSDLTDLKSLPDTELMKDTARGQNLLQTQDHAKAPKSEKGKITKMPCQSLQPEPINTPTHTKQQLKASLGKVGVKEELLAVGKFTRTSGETTHTHREPAGDGKSIRTFKESPKQILDPAARVTGMKKWPRTPKEEAQSLEDLAGFKELFQTPGPSEESMTDEKTTKIACKSPPPESVDTPTSTKQWPKRSLRKADVEEEFLALRKLTPSAGKAMLTPKPAGGDEKDIKAFMGTPVQKLDLAGTLPGSKRQLQTPKEKAQALEDLAGFKELFQTPGHTEELVAAGKTTKIPCDSPQSDPVDTPTSTKQRPKRSIRKADVEGELLACRNLMPSAGKAMHTPKPSVGEEKDIIIFVGTPVQKLDLTENLTGSKRRPQTPKEEAQALEDLTGFKELFQTPGHTEEAVAAGKTTKMPCESSPPESADTPTSTRRQPKTPLEKRDVQKELSALKKLTQTSGETTHTDKVPGGEDKSINAFRETAKQKLDPAASVTGSKRHPKTKEKAQPLEDLAGLKELFQTPVCTDKPTTHEKTTKIACRSQPDPVDTPTSSKPQSKRSLRKVDVEEEFFALRKRTPSAGKAMHTPKPAVSGEKNIYAFMGTPVQKLDLTENLTGSKRRLQTPKEKAQALEDLAGFKELFQTRGHTEESMTNDKTAKVACKSSQPDPDKNPASSKRRLKTSLGKVGVKEELLAVGKLTQTSGETTHTHTEPTGDGKSMKAFMESPKQILDSAASLTGSKRQLRTPKGKSEVPEDLAGFIELFQTPSHTKESMTNEKTTKVSYRASQPDLVDTPTSSKPQPKRSLRKADTEEEFLAFRKQTPSAGKAMHTPKPAVGEEKDINTFLGTPVQKLDQPGNLPGSNRRLQTRKEKAQALEELTGFRELFQTPCTDNPTTDEKTTKKILCKSPQSDPADTPTNTKQRPKRSLKKADVEEEFLAFRKLTPSAGKAMHTPKAAVGEEKDINTFVGTPVEKLDLLGNLPGSKRRPQTPKEKAKALEDLAGFKELFQTPGHTEESMTDDKITEVSCKSPQPDPVKTPTSSKQRLKISLGKVGVKEEVLPVGKLTQTSGKTTQTHRETAGDGKSIKAFKESAKQMLDPANYGTGMERWPRTPKEEAQSLEDLAGFKELFQTPDHTEESTTDDKTTKIACKSPPPESMDTPTSTRRRPKTPLGKRDIVEELSALKQLTQTTHTDKVPGDEDKGINVFRETAKQKLDPAASVTGSKRQPRTPKGKAQPLEDLAGLKELFQTPICTDKPTTHEKTTKIACRSPQPDPVGTPTIFKPQSKRSLRKADVEEESLALRKRTPSVGKAMDTPKPAGGDEKDMKAFMGTPVQKLDLPGNLPGSKRWPQTPKEKAQALEDLAGFKELFQTPGTDKPTTDEKTTKIACKSPQPDPVDTPASTKQRPKRNLRKADVEEEFLALRKRTPSAGKAMDTPKPAVSDEKNINTFVETPVQKLDLLGNLPGSKRQPQTPKEKAEALEDLVGFKELFQTPGHTEESMTDDKITEVSCKSPQPESFKTSRSSKQRLKIPLVKVDMKEEPLAVSKLTRTSGETTQTHTEPTGDSKSIKAFKESPKQILDPAASVTGSRRQLRTRKEKARALEDLVDFKELFSAPGHTEESMTIDKNTKIPCKSPPPELTDTATSTKRCPKTRPRKEVKEELSAVERLTQTSGQSTHTHKEPASGDEGIKVLKQRAKKKPNPVEEEPSRRRPRAPKEKAQPLEDLAGFTELSETSGHTQESLTAGKATKIPCESPPLEVVDTTASTKRHLRTRVQKVQVKEEPSAVKFTQTSGETTDADKEPAGEDKGIKALKESAKQTPAPAASVTGSRRRPRAPRESAQAIEDLAGFKDPAAGHTEESMTDDKTTKIPCKSSPELEDTATSSKRRPRTRAQKVEVKEELLAVGKLTQTSGETTHTDKEPVGEGKGTKAFKQPAKRKLDAEDVIGSRRQPRAPKEKAQPLEDLASFQELSQTPGHTEELANGAADSFTSAPKQTPDSGKPLKISRRVLRAPKVEPVGDVVSTRDPVKSQSKSNTSLPPLPFKRGGGKDGSVTGTKRLRCMPAPEEIVEELPASKKQRVAPRARGKSSEPVVIMKRSLRTSAKRIEPAEELNSNDMKTNKEEHKLQDSVPENKGISLRSRRQNKTEAEQQITEVFVLAERIEINRNEKKPMKTSPEMDIQNPDDGARKPIPRDKVTENKRCLRSARQNESSQPKVAEESGGQKSAKVLMQNQKGKGEAGNSDSMCLRSRKTKSQPAASTLESKSVQRVTRSVKRCAENPKKAEDNVCVKKIRTRSHRDSEDI
Q06495 1 639 0.116234115805947 PF02690.15:Na_Pi_cotrans:113:216,PF02690.15:Na_Pi_cotrans:367:487 Sodium-dependent phosphate transport protein 2A; Sodium-phosphate transport protein 2A; Na(+)-dependent phosphate cotransporter 2A; NaPi-3; Sodium/phosphate cotransporter 2A; Na(+)/Pi cotransporter 2A; NaPi-2a; Solute carrier family 34 member 1 639 225 29 432 9 Homo sapiens (Human) SwissProt::Q06495 1 SwissProt MLSYGERLGSPAVSPLPVRGGHVMRGTAFAYVPSPQVLHRIPGTSAYAFPSLGPVALAEHTCPCGEVLERHEPLPAKLALEEEQKPESRLVPKLRQAGAMLLKVPLMLTFLYLFVCSLDMLSSAFQLAGGKVAGDIFKDNAILSNPVAGLVVGILVTVLVQSSSTSTSIIVSMVSSGLLEVSSAIPIIMGSNIGTSVTNTIVALMQAGDRTDFRRAFAGATVHDCFNWLSVLVLLPLEAATGYLHHITRLVVASFNIHGGRDAPDLLKIITEPFTKLIIQLDESVITSIATGDESLRNHSLIQIWCHPDSLQAPTSMSRAEANSSQTLGNATMEKCNHIFVDTGLPDLAVGLILLAGSLVLLCTCLILLVKMLNSLLKGQVAKVIQKVINTDFPAPFTWVTGYFAMVVGASMTFVVQSSSVFTSAITPLIGLGVISIERAYPLTLGSNIGTTTTAILAALASPREKLSSAFQIALCHFFFNISGILLWYPVPCTRLPIRMAKALGKRTAKYRWFAVLYLLVCFLLLPSLVFGISMAGWQVMVGVGTPFGALLAFVVLINVLQSRSPGHLPKWLQTWDFLPRWMHSLKPLDHLITRATLCCARPEPRSPPLPPRVFLEELPPATPSPRLALPAHHNATRL
Q11130 1 342 0.171598830409357 PF00852.19:Glyco_transf_10:169:340,PF17039.5:Glyco_tran_10_N:46:154 Alpha-(1,3)-fucosyltransferase 7; Fucosyltransferase 7; Fucosyltransferase VII; Fuc-TVII; FucT-VII; Galactoside 3-L-fucosyltransferase; Selectin ligand synthase; EC 2.4.1.- 342 281 29 319 1 Homo sapiens (Human) SwissProt::Q11130 1 SwissProt MNNAGHGPTRRLRGLGVLAGVALLAALWLLWLLGSAPRGTPAPQPTITILVWHWPFTDQPPELPSDTCTRYGIARCHLSANRSLLASADAVVFHHRELQTRRSHLPLAQRPRGQPWVWASMESPSHTHGLSHLRGIFNWVLSYRRDSDIFVPYGRLEPHWGPSPPLPAKSRVAAWVVSNFQERQLRARLYRQLAPHLRVDVFGRANGRPLCASCLVPTVAQYRFYLSFENSQHRDYITEKFWRNALVAGTVPVVLGPPRATYEAFVPADAFVHVDDFGSARELAAFLTGMNESRYQRFFAWRDRLRVRLFTDWRERFCAICDRYPHLPRSQVYEDLEGWFQA
VIMSS10078212 1 411 0.167114355231144 PF08392.12:FAE1_CUT1_RppA:114:403 3-ketoacyl-CoA synthase 1; KCS-1; Very long-chain fatty acid condensing enzyme 1; VLCFA condensing enzyme 1; EC 2.3.1.199 528 290 29 368 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MAM3 1 SwissProt MERTNSIEMDRERLTAEMAFRDSSSAVIRIRRRLPDLLTSVKLKYVKLGLHNSCNVTTILFFLIILPLTGTVLVQLTGLTFDTFSELWSNQAVQLDTATRLTCLVFLSFVLTLYVANRSKPVYLVDFSCYKPEDERKISVDSFLTMTEENGSFTDDTVQFQQRISNRAGLGDETYLPRGITSTPPKLNMSEARAEAEAVMFGALDSLFEKTGIKPAEVGILIVNCSLFNPTPSLSAMIVNHYKMREDIKSYNLGGMGCSAGLISIDLANNLLKANPNSYAVVVSTENITLNWYFGNDRSMLLCNCIFRMGGAAILLSNRRQDRKKSKYSLVNVVRTHKGSDDKNYNCVYQKEDERGTIGVSLARELMSVAGDALKTNITTLGPMVLPLSEQLMFLISLVKRKMFKLKVKPY 2
VIMSS10079524 86 209 0.497343548387097 Dehydration-responsive element-binding protein 1F; Protein DREB1F 209 0 29 124 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LN86 1 SwissProt ADSAWRLPVPESNDPDVIRRVAAEAAEMFRPVDLESGITVLPCAGDDVDLGFGSGSGSGSGSEERNSSSYGFGDYEEVSTTMMRLAEGPLMSPPRSYMEDMTPTNVYTEEEMCYEDMSLWSYRY
VIMSS10080127 107 249 0.413119580419581 Transcription factor MYB52; Myb-related protein 52; AtMYB52; Protein ABA-HYPERSENSITIVE 1 249 0 29 143 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6R0C4 1 SwissProt RERSKLRPRGLGHDGTVAATGMIGNYKDCDKERRLATTTAINFPYQFSHINHFQVLKEFLTGKIGFRNSTTPIQEGAIDQTKRPMEFYNFLQVNTDSKIHELIDNSRKDEEEDVDQNNRIPNENCVPFFDFLSVGNSASQGLC
VIMSS10080709 1 619 0.276294668820678 PF03547.18:Mem_trans:9:614 Auxin efflux carrier component 7; AtPIN7 619 606 29 424 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q940Y5 1 SwissProt MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISSNNPYAMNLRFIAADTLQKLIMLTLLIIWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQIVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAQIGDDGKLHVTVRKSNASRRSFYGGGGTNMTPRPSNLTGAEIYSLNTTPRGSNFNHSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEESCAMASSPRFGYYPGGAPGSYPAPNPEFSTGNKTGSKAPKENHHHVGKSNSNDAKELHMFVWGSNGSPVSDRAGLQVDNGANEQVGKSDQGGAKEIRMLISDHTQNGENKAGPMNGDYGGEEESERVKEVPNGLHKLRCNSTAELNPKEAIETGETVPVKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWDVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSTATFAMAVRFFTGPAVMAVAAMAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL
VIMSS10081446 1 131 0.612795419847328 PF06200.14:tify:41:73,PF09425.10:CCT_2:104:127 Protein TIFY 5A; Jasmonate ZIM domain-containing protein 8 131 57 29 131 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LBM2 1 SwissProt MKLQQNCDLELRLFPTSYDSDSSDTTSVVESTSSGNPQPNEESQRITIFYNGKMCFSSDVTHLQARSIISIASREMKTKSSSNGSDPPNKSTSFHHNQLPNPKASMKKSLQSFLQKRKIRIQATSPYHSRR
VIMSS10088709 1 393 0.0649770992366412 PF01040.18:UbiA:116:381 Homogentisate phytyltransferase 1, chloroplastic; AtHPT1; Tocopherol polyprenyltransferase 1; Vitamin E pathway gene 2-1 protein; AtVTE2-1; EC 2.5.1.115 393 266 29 192 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VWJ1 1 SwissProt MESLLSSSSLVSAAGGFCWKKQNLKLHSLSEIRVLRCDSSKVVAKPKFRNNLVRPDGQGSSLLLYPKHKSRFRVNATAGQPEAFDSNSKQKSFRDSLDAFYRFSRPHTVIGTVLSILSVSFLAVEKVSDISPLLFTGILEAVVAALMMNIYIVGLNQLSDVEIDKVNKPYLPLASGEYSVNTGIAIVASFSIMSFWLGWIVGSWPLFWALFVSFMLGTAYSINLPLLRWKRFALVAAMCILAVRAIIVQIAFYLHIQTHVFGRPILFTRPLIFATAFMSFFSVVIALFKDIPDIEGDKIFGIRSFSVTLGQKRVFWTCVTLLQMAYAVAILVGATSPFIWSKVISVVGHVILATTLWARAKSVDLSSKTEITSCYMFIWKLFYAEYLLLPFLK
VIMSS10091956 1 468 0.461190170940171 PF00072.24:Response_reg:39:150,PF06203.14:CCT:417:458 Two-component response regulator-like APRR9; Pseudo-response regulator 9 468 154 29 468 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L500 1 SwissProt MGEIVVLSSDDGMETIKNRVKSSEVVQWEKYLPKTVLRVLLVESDYSTRQIITALLRKCCYKVVAVSDGLAAWEVLKEKSHNIDLILTELDLPSISGFALLALVMEHEACKNIPVIMMSSQDSIKMVLKCMLRGAADYLIKPMRKNELKNLWQHVWRRLTLRDDPTAHAQSLPASQHNLEDTDETCEDSRYHSDQGSGAQAINYNGHNKLMENGKSVDERDEFKETFDVTMDLIGGIDKRPDSIYKDKSRDECVGPELGLSLKRSCSVSFENQDESKHQKLSLSDASAFSRFEESKSAEKAVVALEESTSGEPKTPTESHEKLRKVTSDQGSATTSSNQENIGSSSVSFRNQVLQSTVTNQKQDSPIPVESNREKAASKEVEAGSQSTNEGIAGQSSSTEKPKEEESAKQRWSRSQREAALMKFRLKRKDRCFDKKVRYQSRKKLAEQRPRVKGQFVRTVNSDASTKS
VIMSS10094847 131 269 0.434618705035971 myb domain protein 305 269 0 29 139 0 Arabidopsis thaliana NP_189074.1 1 RefSeq KTKNRILKRQQFQQQRQMELQQEQQLLQFNQIDMKKIMSLLDDDNNNGDNTFSSSSSGESGALYVPHQITHSTTTSGCEPNSNGYYPVVPVTIPEANVNEDNAIWDGLWNLDFEGQGSFGGAACAPRKHYFQNMVIPFC
VIMSS10098851 1 558 0.315279032258065 PF03514.14:GRAS:206:554 Scarecrow-like protein 6; AtSCL6; GRAS family protein 22; AtGRAS-22; SCL6-IV 558 349 29 558 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81316 1 SwissProt MPLPFEEFQGKGISCFSSFSSSFPQPPSSPLLSHRKARGGEEEEEEVPAAEPTSVLDSLISPTSSSTVSSSHGGNSAVGGGGDATTDEQCGAIGLGDWEEQVPHDHEQSILGLIMGDSTDPSLELNSILQTSPTFHDSDYSSPGFGVVDTGFGLDHHSVPPSHVSGLLINQSQTHYTQNPAAIFYGHHHHTPPPAKRLNPGPVGITEQLVKAAEVIESDTCLAQGILARLNQQLSSPVGKPLERAAFYFKEALNNLLHNVSQTLNPYSLIFKIAAYKSFSEISPVLQFANFTSNQALLESFHGFHRLHIIDFDIGYGGQWASLMQELVLRDNAAPLSLKITVFASPANHDQLELGFTQDNLKHFASEINISLDIQVLSLDLLGSISWPNSSEKEAVAVNISAASFSHLPLVLRFVKHLSPTIIVCSDRGCERTDLPFSQQLAHSLHSHTALFESLDAVNANLDAMQKIERFLIQPEIEKLVLDRSRPIERPMMTWQAMFLQMGFSPVTHSNFTESQAECLVQRTPVRGFHVEKKHNSLLLCWQRTELVGVSAWRCRSS
VIMSS10100795 1 785 0.141007388535032 PF02705.16:K_trans:62:630 Potassium transporter 5; AtHAK1; AtHAK5; AtPOT5 785 569 29 518 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M7K4 1 SwissProt MDGEEHQIDGDEVNNHENKLNEKKKSWGKLYRPDSFIIEAGQTPTNTGRRSLMSWRTTMSLAFQSLGVVYGDIGTSPLYVYASTFTDGINDKDDVVGVLSLIIYTITLVALLKYVFIVLQANDNGEGGTFALYSLICRYAKMGLIPNQEPEDVELSNYTLELPTTQLRRAHMIKEKLENSKFAKIILFLVTIMGTSMVIGDGILTPSISVLSAVSGIKSLGQNTVVGVSVAILIVLFAFQRFGTDKVGFSFAPIILVWFTFLIGIGLFNLFKHDITVLKALNPLYIIYYFRRTGRQGWISLGGVFLCITGTEAMFADLGHFSVRAVQISFSCVAYPALVTIYCGQAAYLTKHTYNVSNTFYDSIPDPLYWPTFVVAVAASIIASQAMISGAFSVISQSLRMGCFPRVKVVHTSAKYEGQVYIPEINYLLMLACIAVTLAFRTTEKIGHAYGIAVVTVMVITTLMVTLIMLVIWKTNIVWIAIFLVVFGSIEMLYLSSVMYKFTSGGYLPLTITVVLMAMMAIWQYVHVLKYRYELREKISRENAIQMATSPDVNRVPGIGLFYTELVNGITPLFSHYISNLSSVHSVFVLISIKTLPVNRVTSSERFFFRYVGPKDSGMFRCVVRYGYKEDIEEPDEFERHFVYYLKEFIHHEHFMSGGGGEVDETDKEEEPNAETTVVPSSNYVPSSGRIGSAHSSSSDKIRSGRVVQVQSVEDQTELVEKAREKGMVYLMGETEITAEKESSLFKKFIVNHAYNFLKKNCREGDKALAIPRSKLLKVGMTYEL
VIMSS10101518 86 252 0.584641916167665 PF01486.17:K-box:17:102 AG (AGAMOUS); DNA binding / transcription factor (RefSeq) 252 86 29 167 0 Arabidopsis thaliana VIMSS10101518 1 MicrobesOnline KKAISDNSNTGSVAEINAQYYQQESAKLRQQIISIQNSNRQLMGETIGSMSPKELRNLEGRLERSITRIRSKKNELLFSEIDYMQKREVDLHNDNQILRAKIAENERNNPSISLMPGGSNYEQLMPPPQTQSQPFDSRNYFQVAALQPNNHHYSSAGRQDQTALQLV
VIMSS10105250 59 165 0.57936261682243 PF06200.14:tify:44:73 Protein TIFY 9; Jasmonate ZIM domain-containing protein 10; Protein JASMONATE-ASSOCIATED 1; Protein JAZ10 197 30 29 107 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93ZM9 1 SwissProt GNNSDSSAKSRSVPSTPREDQPQIPISPVHASLARSSTELVSGTVPMTIFYNGSVSVFQVSRNKAGEIMKVANEAASKKDESSMETDLSVILPTTLRPKLFGQNLEG
VIMSS10106569 1 392 0.364984693877551 PF03181.15:BURP:175:390 BURP domain protein RD22; Dehydration-responsive protein RD22 392 216 29 392 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q08298 1 SwissProt MAIRLPLICLLGSFMVVAIAADLTPERYWSTALPNTPIPNSLHNLLTFDFTDEKSTNVQVGKGGVNVNTHKGKTGSGTAVNVGKGGVRVDTGKGKPGGGTHVSVGSGKGHGGGVAVHTGKPGKRTDVGVGKGGVTVHTRHKGRPIYVGVKPGANPFVYNYAAKETQLHDDPNAALFFLEKDLVRGKEMNVRFNAEDGYGGKTAFLPRGEAETVPFGSEKFSETLKRFSVEAGSEEAEMMKKTIEECEARKVSGEEKYCATSLESMVDFSVSKLGKYHVRAVSTEVAKKNAPMQKYKIAAAGVKKLSDDKSVVCHKQKYPFAVFYCHKAMMTTVYAVPLEGENGMRAKAVAVCHKNTSAWNPNHLAFKVLKVKPGTVPVCHFLPETHVVWFSY
VIMSS10106761 173 368 0.52967806122449 E3 ubiquitin-protein ligase ATL31; Protein CARBON/NITROGEN INSENSITIVE 1; Protein SUPER SURVIVAL 1; RING-H2 finger protein ATL31; RING-type E3 ubiquitin transferase ATL31; EC 2.3.2.27 368 0 29 196 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LGA5 1 SwissProt TPEPEVVVETDLEAQQQSAVPVPVVELPRVKFPRSHTTGHSVVLPGESTDRFTLRVPEELRKKIMANWKLNRSNSVFVLPRGGSSRSGKQVDRSRAKSDRWLFRKTPSFLWRNRDDGSIRLGGTGSVRGNSVTSPSGDSVRADRWAFLRNPSFLWRNTTPVPSPRVEVNNKDGEGTSSVQHIGTVGSTSGSLRLPV
VIMSS10111306 1 186 0.808475806451613 PF00257.19:Dehydrin:22:184 Dehydrin Rab18 186 163 29 186 0 Arabidopsis thaliana P30185 1 SwissProt/TReMBL MASYQNRPGGQATDEYGNPIQQQYDEYGNPMGGGGYGTGGGGGATGGQGYGTGGQGYGSGGQGYGTGGQGYGTGTGTEGFGTGGGARHHGQEQLHKESGGGLGGMLHRSGSGSSSSSEDDGQGGRRKKGITQKIKEKLPGHHDQSGQAQAMGGMGSGYDAGGYGGEHHEKKGMMDKIKEKLPGGGR 1
VIMSS110850 1 530 0.0873535849056603 PF07690.16:MFS_1:61:449 Uncharacterized MFS-type transporter EfpA; Efflux protein A 530 389 29 217 14 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJY5 1 SwissProt MTALNDTERAVRNWTAGRPHRPAPMRPPRSEETASERPSRYYPTWLPSRSFIAAVIAIGGMQLLATMDSTVAIVALPKIQNELSLSDAGRSWVITAYVLTFGGLMLLGGRLGDTIGRKRTFIVGVALFTISSVLCAVAWDEATLVIARLSQGVGSAIASPTGLALVATTFPKGPARNAATAVFAAMTAIGSVMGLVVGGALTEVSWRWAFLVNVPIGLVMIYLARTALRETNKERMKLDATGAILATLACTAAVFAFSIGPEKGWMSGITIGSGLVALAAAVAFVIVERTAENPVVPFHLFRDRNRLVTFSAILLAGGVMFSLTVCIGLYVQDILGYSALRAGVGFIPFVIAMGIGLGVSSQLVSRFSPRVLTIGGGYLLFGAMLYGSFFMHRGVPYFPNLVMPIVVGGIGIGMAVVPLTLSAIAGVGFDQIGPVSAIALMLQSLGGPLVLAVIQAVITSRTLYLGGTTGPVKFMNDVQLAALDHAYTYGLLWVAGAAIIVGGMALFIGYTPQQVAHAQEVKEAIDAGEL
VIMSS1936089 1 92 0.340114130434783 PF02467.16:Whib:20:68,PF02178.19:AT_hook:80:91 Probable transcriptional regulator WhiB7 92 61 29 92 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::Q6MX01 1 SwissProt MSVLTVPRQTPRQRLPVLPCHVGDPDLWFADTPAGLEVAKTLCVSCPIRRQCLAAALQRAEPWGVWGGEIFDQGSIVSHKRPRGRPRKDAVA
VIMSS542240 309 666 0.362106703910615 PF01656.23:CbiA:111:301,PF13614.6:AAA_31:111:197,PF02374.15:ArsA_ATPase:111:168,PF06564.12:CBP_BcsQ:110:149 ESX-1 secretion-associated protein EspI 666 192 29 358 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJC5 1 SwissProt RVHPDLAAQHAAAQPDSITAATTGGRRRKRAAPDLDATQKSLRPAAKGPKVKKVKPQKPKATKPPKVVSQRGWRHWVHALTRINLGLSPDEKYELDLHARVRRNPRGSYQIAVVGLKGGAGKTTLTAALGSTLAQVRADRILALDADPGAGNLADRVGRQSGATIADVLAEKELSHYNDIRAHTSVNAVNLEVLPAPEYSSAQRALSDADWHFIADPASRFYNLVLADCGAGFFDPLTRGVLSTVSGVVVVASVSIDGAQQASVALDWLRNNGYQDLASRACVVINHIMPGEPNVAVKDLVRHFEQQVQPGRVVVMPWDRHIAAGTEISLDLLDPIYKRKVLELAAALSDDFERAGRR
VIMSS6582304 39 285 0.255038866396761 PF08690.10:GET2:18:244 Golgi to ER traffic protein 2; Guided entry of tail-anchored proteins 2; Hydroxyurea resistance protein 2; Required for meiotic nuclear division protein 7 285 227 29 184 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40056 1 SwissProt SHLNAESPLDAPSAAKTTPPASVHSATPDIKEDSNVAPQLDLLKQLAAMQGQGTGKSTPQDSSTPDLLSLLSSMNTGMPSAEGTPSFGQAAPAAPINQAALDYHDYLLNRLKAWTILVKWVFFLLPYLYLITRPNSSVWPAYAFTQSAWFAPLRNPSNFTRIFATFEFLSISIYYQLLKNVEHKSKIKNLQDTNKLVKLVSLVPEGVIPVANLKGKLITLLQYWDLLSMLITDISFVLIVLGLLTYL
VIMSS6582384 1 402 0.220067910447761 PF02096.20:60KD_IMP:130:317 Mitochondrial inner membrane protein OXA1; Cytochrome oxidase biogenesis protein OXA1; Oxidase assembly protein 1 402 188 29 379 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39952 1 SwissProt MFKLTSRLVTSRFAASSRLATARTIVLPRPHPSWISFQAKRFNSTGPNANDVSEIQTQLPSIDELTSSAPSLSASTSDLIANTTQTVGELSSHIGYLNSIGLAQTWYWPSDIIQHVLEAVHVYSGLPWWGTIAATTILIRCLMFPLYVKSSDTVARNSHIKPELDALNNKLMSTTDLQQGQLVAMQRKKLLSSHGIKNRWLAAPMLQIPIALGFFNALRHMANYPVDGFANQGVAWFTDLTQADPYLGLQVITAAVFISFTRLGGETGAQQFSSPMKRLFTILPIISIPATMNLSSAVVLYFAFNGAFSVLQTMILRNKWVRSKLKITEVAKPRTPIAGASPTENMGIFQSLKHNIQKARDQAERRQLMQDNEKKLQESFKEKRQNSKIKIVHKSNFINNKK
VIMSS6583159 1 398 0.171263065326633 PF04406.14:TP6A_N:106:168 Meiosis-specific protein SPO11; Sporulation-specific protein 11; EC 5.6.2.2 398 63 29 398 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P23179 1 SwissProt MALEGLRKKYKTRQELVKALTPKRRSIHLNSNGHSNGTPCSNADVLAHIKHFLSLAANSLEQHQQPISIVFQNKKKKGDTSSPDIHTTLDFPLNGPHLCTHQFKLKRCAILLNLLKVVMEKLPLGKNTTVRDIFYSNVELFQRQANVVQWLDVIRFNFKLSPRKSLNIIPAQKGLVYSPFPIDIYDNILTCENEPKMQKQTIFPGKPCLIPFFQDDAVIKLGTTSMCNIVIVEKEAVFTKLVNNYHKLSTNTMLITGKGFPDFLTRLFLKKLEQYCSKLISDCSIFTDADPYGISIALNYTHSNERNAYICTMANYKGIRITQVLAQNNEVHNKSIQLLSLNQRDYSLAKNLIASLTANSWDIATSPLKNVIIECQREIFFQKKAEMNEIDARIFEYK
VIMSS6583358 1 182 0.275757142857143 PF17378.2:REC104:1:182 Meiotic recombination protein REC104 182 182 29 182 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33323 1 SwissProt MSIEEEDTNKITCTQDFLHQYFVTERVSIQFGLNNKTVKRINKDEFDKAVNCIMSWTNYPKPGLKRTASTYLLSNSFKKSATVSLPFILGDPVCMPKRVESNNNDTCLLYSDTLYDDPLIQRNDQAGDEIEDEFSFTLLRSEVNEIRPISSSSTAQILQSDYSALMYERQASNGSIFQFSSP
VIMSS6585134 1 317 0.42379905362776 PF07962.12:Swi3:53:136 Chromosome segregation in meiosis protein 3 317 84 29 317 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04659 1 SwissProt MDQDFDSLLLGFNDSDSVQKDPTVPNGLDGSVVDPTIADPTAITARKRRPQVKLTAEKLLSDKGLPYVLKNAHKRIRISSKKNSYDNLSNIIQFYQLWAHELFPKAKFKDFMKICQTVGKTDPVLREYRVSLFRDEMGMSFDVGTRETGQDLERQSPMVEEHVTSAEERPIVADSFAQDKRNVNNVDYDNDEDDDIYHLSYRNRRGRVLDERGNNETVLNNVVPPKEDLDALLKTFRVQGPVGLEENEKKLLLGWLDAHRKMEKGSMTEEDVQLIQSLEEWEMNDIEGQHTHYDLLPGGDEFGVDQDELDAMKEMGF 2
VIMSS6585587 1 500 0.217541 PF02666.15:PS_Dcarbxylase:183:492 Phosphatidylserine decarboxylase proenzyme 1, mitochondrial; EC 4.1.1.65 500 310 29 500 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39006 1 SwissProt MSIMPVKNALAQGRTLLMGRMPAVKFSTRMQLRNRTAVLWNRKFSTRLFVQQRRSSGEIVDRAKAAAANSGRKQVSMKWVVLTSFTIVLGTILLVSRNDSTEEDATEGKKGRRTRKIKIFNNNWLFFCYSTLPLNAMSRLWGQVNSLTLPIWVRPWGYRLYSFLFGVNLDEMEDPDLTHYANLSEFFYRNIKPGTRPVAQGEDVIASPSDGKILQVGIINSETGEIEQVKGMTYSIKEFLGTHSHPLMSKSASSLDLTSDEEKHREFARVNRIQLAGSEDTEQPLLNFKNEGDQSVREFKPSVSKNIHLLSQLSLNYFSNGFSCSEPHDTELFFAVIYLAPGDYHHFHSPVDWVCKVRRHFPGDLFSVAPYFQRNFPNLFVLNERVALLGSWKYGFFSMTPVGATNVGSIKLNFDQEFVTNSKSDKHLEPHTCYQAVYENASKILGGMPLVKGEEMGGFELGSTVVLCFEAPTEFKFDVRVGDKVKMGQKLGIIGKNDLK
WP_003400655.1 1 273 0.403302564102565 PF16321.5:Ribosom_S30AE_C:132:179 Dormancy associated translation inhibitor; DATIN 273 48 29 273 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WMA8 1 SwissProt MEPKRSRLVVCAPEPSHAREFPDVAVFSGGRANASQAERLARAVGRVLADRGVTGGARVRLTMANCADGPTLVQINLQVGDTPLRAQAATAGIDDLRPALIRLDRQIVRASAQWCPRPWPDRPRRRLTTPAEALVTRRKPVVLRRATPLQAIAAMDAMDYDVHLFTDAETGEDAVVYRAGPSGLRLARQHHVFPPGWSRCRAPAGPPVPLIVNSRPTPVLTEAAAVDRAREHGLPFLFFTDQATGRGQLLYSRYDGNLGLITPTGDGVADGLA
XP_005257801.1 1 441 0.650497732426304 tensin-4 isoform X1 714 0 29 441 0 Homo sapiens XP_005257801.1 1 RefSeq MSQVMSSPLLAGGHAVSLAPCDEPRRTLHPAPSPSLPPQCSYYTTEGWGAQALMAPVPCMGPPGRLQQAPQVEAKATCFLPSPGEKALGTPEDLDSYIDFSLESLNQMILELDPTFQLLPPGTGGSQAELAQSTMSMRKKEESEALDIKYIEVTSARSRCHDGPQHCSSPSVTPPFGSLRSGGLLLSRDVPRETRSSSESLIFSGNQGRGHQRPLPPSEGLSPRPPNSPSISIPCMGSKASSPHGLGSPLVASPRLEKRLGGLAPQRGSRISVLSASPVSDVSYMFGSQSLLHSSNSSHQSSSRSLESPANSSSSLHSLGSVSLCTRPSDFQAPRNPTLTMGQPRTPHSPPLAKEHASSCPPSITNSMVDIPIVLINGCPEPGSSPPQRTPGHQNSVQPGAASPSNPCPATRSNSQTLSDAPFTTCPEGPARDMQPTMKFV
XP_005262053.1 485 1085 0.637683527454243 histone lysine demethylase PHF8 isoform X1 1085 0 29 601 0 Homo sapiens XP_005262053.1 1 RefSeq GKTSNIFGLQRIFPAGSIPLTRPAHSTSVSMSRLSLPSKNGSKKKGLKPKELFKKAERKGKESSALGPAGQLSYNLMDTYSHQALKTGSFQKAKFNITGACLNDSDDDSPDLDLDGNESPLALLMSNGSTKRVKSLSKSRRTKIAKKVDKARLMAEQVMEDEFDLDSDDELQIDERLGKEKATLIIRPKFPRKLPRAKPCSDPNRVREPGEVEFDIEEDYTTDEDMVEGVEGKLGNGSGAGGILDLLKASRQVGGPDYAALTEAPASPSTQEAIQGMLCMANLQSSSSSPATSSLQAWWTGGQDRSSGSSSSGLGTVSNSPASQRTPGKRPIKRPAYWRTESEEEEENASLDEQDSLGACFKDAEYIYPSLESDDDDPALKSRPKKKKNSDDAPWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQQELQKAQKKKYIKKKPLLKEVEQPRPQDSNLSLTVPAPTVAATPQLVTSSSPLPPPEPKQEALSGSLADHEYTARPNAFGMAQANRSTTPMAPGVFLTQRRPSVGSQSNQAGQGKRPKKGLATAKQRLGRILKIHRNGKLLLRQVIVQAECRQAIHEPKLKRRDAHP
XP_006502262.1 1 365 0.136623835616438 PF14360.6:PAP2_C:221:293 Phosphatidylcholine:ceramide cholinephosphotransferase 2; Sphingomyelin synthase 2; EC 2.7.8.27 365 73 29 232 6 Mus musculus (Mouse) SwissProt::Q9D4B1 1 SwissProt MDIIETAKLEGHLESQTNDSTNTYTSPTEAVEEEGKNGKGKPKTLSNGLRKGAKKYPDYIQISMPNDSKNKFPLEWWKTGIAFVYALFNLILTTVMITVVHERVPPKELSPPLPDKFFDYFDRVKWAFSVSEINGMVLVGLWITQWLFLRYKSIVGRRFFFIMGTLYLYRCITMYVTTLPVPGMHFQCAPKLNGDSQAKIQRILRLISGGGLSITGSHILCGDFLFSGHTVVLTLTYLFIKEYSPRHFWWYHLVCWLLSAAGIICILVAHEHYTVDVIIAYYITTRLFWWYHSMANEKNLKVSSQTNFLSRAWWFPIFYFFEKNVQGSIPCCFSWPLSWPPGCFKSSCRKYSRVQKIGEDNEKST
XP_006505560.1 57 268 0.703465094339623 docking protein 1 isoform X1 268 0 29 212 0 Mus musculus XP_006505560.1 1 RefSeq DGETEGKTVPPPVPQDPLGSPPALYAEPLDSLRIPPGPSQDSVYSDPLGSTPAGAGEGVHSKKPLYWDLYGHVQQQLLKTKLTDSKEDPIYDEPEGLAPAPPRGLYDLPQEPRDAWWCQARLKEEGYELPYNPATDDYAVPPPRSPKPAPAPKPQGLILPESGTTRGSGSKGFSSDTALYSQVQKSGTSGAWDCGLSKVGNDRAGVKSEGST
XP_006508160.1 233 532 0.370757333333334 interleukin-21 receptor isoform X1 532 0 29 280 1 Mus musculus XP_006508160.1 1 RefSeq PEAGWDPHMLLLLAVLIIVLVFMGLKIHLPWRLWKKIWAPVPTPESFFQPLYREHSGNFKKWVNTPFTASSIELVPQSSTTTSALHLSLYPAKEKKFPGLPGLEEQLECDGMSEPGHWCIIPLAAGQAVSAYSEERDRPYGLVSIDTVTVGDAEGLCVWPCSCEDDGYPAMNLDAGRESGPNSEDLLLVTDPAFLSCGCVSGSGLRLGGSPGSLLDRLRLSFAKEGDWTADPTWRTGSPGGGSESEAGSPPGLDMDTFDSGFAGSDCGSPVETDEGPPRSYLRQWVVRTPPPVDSGAQSS
XP_006532523.1 1 369 0.645350677506775 PF04621.13:ETS_PEA3_N:54:367 ETS translocation variant 4 isoform X3 527 314 29 369 0 Mus musculus XP_006532523.1 1 RefSeq MLSIPPRLRHRRPDLSAPGTVCELPPPSQVSASARGPGPVAGGSGRMERRMKGGYLDQRVPYTFCSQKSPGNGSLGEALMVPQGKLMDPGSLPPSDSEDLFQDLSHFQETWLAEAQVPDSDEQFVPDFHSENLAFHSPTTRIKKEPQSPRTDPALSCSRKPPLPYHHGEQCLYSRQIAIKSPAPGAPGQSPLQPFSRAEQQQSLLRASSSSQSHPGHGYLGEHSSVFQQPVDMCHSFTSPQGGGREPLPAPYQHQLSEPCPPYPQQNFKQEYHDPLYEQAGQPASSQGGVSGHRYPGAGVVIKQERTDFAYDSDVPGCASMYLHPEGFSGPSPGDGVMGYGYEKSLRPFPDDVCIVPEKFEGDIKQEGI
XP_006539381.1 1 340 0.219330588235294 PF00020.18:TNFR_c6:91:133 tumor necrosis factor receptor superfamily member 25 isoform X1 424 43 29 317 1 Mus musculus XP_006539381.1 1 RefSeq MEELPRRERSPPGAATPGSTARVLQPLFLPLLLLLLLLLGGQGQGGMSGRCDCASESQKRYGPFCCRGCPKGHYMKAPCAEPCGNSTCLPCPSDTFLTRDNHFKTDCTRCQVCDEEALQVTLENCSAKSDTHCGCQSGWCVDCSTEPCGKSSPFSCVPCGATTPVHEAPTPRPCLPGFYIRGNDCTSCPTGFSSVCPKACTAVCGWKQMFWVQVLLGVAFLFGAILICAYCRWQPCKAVVTADTAGTETLASPQTAHLSASDSAHTLLAPPSSTGKICTTVQLVGNNWTPGLSQTQEVVCGQASQPWDQLPNRTLGTPLASPLSPAPPAGSPAAVLQPGP
XP_006714119.1 1 1142 0.735988178633976 PF15362.6:Enamelin:213:1113 Enamelin 1142 901 29 1142 0 Homo sapiens (Human) SwissProt::Q9NRM1 1 SwissProt MLVLRCRLGTSFPKLDNLVPKGKMKILLVFLGLLGNSVAMPMHMPRMPGFSSKSEEMMRYNQFNFMNGPHMAHLGPFFGNGLPQQFPQYQMPMWPQPPPNTWHPRKSSAPKRHNKTDQTQETQKPNQTQSKKPPQKRPLKQPSHNQPQPEEEAQPPQAFPPFGNGLFPYQQPPWQIPQRLPPPGYGRPPISNEEGGNPYFGYFGYHGFGGRPPYYSEEMFEQDFEKPKEEDPPKAESPGTEPTANSTVTETNSTQPNPKGSQGGNDTSPTGNSTPGLNTGNNPPAQNGIGPLPAVNASGQGGPGSQIPWRPSQPNIRENHPYPNIRNFPSGRQWYFTGTVMGHRQNRPFYRNQQVQRGPRWNFFAWERKQVARPGNPVYHKAYPPTSRGNYPNYAGNPANLRRKPQGPNKHPVGTTVAPLGPKPGPVVRNEKIQNPKEKPLGPKEQIIVPTKNPTSPWRNSQQYEVNKSNYKLPHSEGYMPVPNFNSVDQHENSYYPRGDSRKVPNSDGQTQSQNLPKGIVLGSRRMPYESETNQSELKHSSYQPAVYPEEIPSPAKEHFPAGRNTWDHQEISPPFKEDPGRQEEHLPHPSHGSRGSVFYPEYNPYDPRENSPYLRGNTWDERDDSPNTMGQKESPLYPINTPDQKEIVPYNEEDPVDPTGDEVFPGQNRWGEELSFKGGPTVRHYEGEQYTSNQPKEYLPYSLDNPSKPREDFYYSEFYPWSPDENFPSYNTASTMPPPIESRGYYVNNAAGPEESTLFPSRNSWDHRIQAQGQRERRPYFNRNIWDQATHLQKAPARPPDQKGNQPYYSNTPAGLQKNPIWHEGENLNYGMQITRMNSPEREHSSFPNFIPPSYPSGQKEAHLFHLSQRGSCCAGSSTGPKDNPLALQDYTPSYGLAPGENQDTSPLYTDGSHTKQTRDIISPTSILPGQRNSSEKRESQNPFRDDVSTLRRNTPCSIKNQLGQKEIMPFPEASSLQSKNTPCLKNDLGGDGNNILEQVFEDNQLNERTVDLTPEQLVIGTPDEGSNPEGIQSQVQENESERQQQRPSNILHLPCFGSKLAKHHSSTTGTPSSDGRQSPFDGDSITPTENPNTLVELATEEQFKSINVDPLDADEHSPFEFLQRGTNVQDQVQDCLLLQA
XP_016866242.1 1 850 0.17508494117647 PF01839.23:FG-GAP:392:428,PF01839.23:FG-GAP:460:498,PF01839.23:FG-GAP:524:558,PF00882.18:Zn_dep_PLPC:38:220 phosphatidylinositol-glycan-specific phospholipase D isoform X1 850 294 29 850 0 Homo sapiens XP_016866242.1 1 RefSeq MQVAMARATTATGNRLWPGLLIMLGSLCHRGSPCGLSTHVEIGHRALEFLQLHNGRVNYRELLLEHQDAYQAGIVFPDCFYPSICKGGKFHDVSESTHWTPFLNASVHYIRENYPLPWEKDTEKLVAFLFGITSHMAADVSWHSLGLEQGFLRTMGAIDFHGSYSEAHSAGDFGGDVLSQFEFNFNYLARRWYVPVKDLLGIYEKLYGRKVITENVIVDCSHIQFLEMYGEMLAVSKLYPTYSTKSPFLVEQFQEYFLGGLDDMAFWSTNIYHLTSFMLENGTSDCNLPENPLFIACGGQQNHTQGSKMQKNDFHRNLTTSLTESVDRNINYTERGVFFSVNSWTPDSMSFIYKALERNIRTMFIGGSQLSQKHVSSPLASYFLSFPYARLGWAMTSADLNQDGHGDLVVGAPGYSRPGHIHIGRVYLIYGNDLGLPPVDLDLDKEAHRILEGFQPSGRFGSALAVLDFNVDGVPDLAVGAPSVGSEQLTYKGAVYVYFGSKQGGMSSSPNITISCQDIYCNLGWTLLAADVNGDSEPDLVIGSPFAPGGGKQKGIVAAFYSGPSLSDKEKLNVEAANWTVRGEEDFSWFGYSLHGVTVDNRTLLLVGSPTWKNASRLGHLLHIRDEKKSLGRVYGYFPPNGQSWFTISGDKAMGKLGTSLSSGHVLMNGTLKQVLLVGAPTYDDVSKVAFLTVTLHQGGATRMYALTSDAQPLLLSTFSGDRRFSRFGGVLHLSDLDDDGLDEIIMAAPLRIADVTSGLIGGEDGRVYVYNGKETTLGDMTGKCKSWITPCPEEKAQYVLISPEASSRFGSSLITVRSKAKNQVVIAAGRSSLGARLSGALHVYSLGSD
XP_016871057.1 1 184 0.283083152173913 CUGBP Elav-like family member 2 isoform X16 286 0 29 184 0 Homo sapiens XP_016871057.1 1 RefSeq MQQLNTATWGNLTGLGGLTPQYLALLQQATSSSNLGAFSGIQQMAGMNALQLQNLATLAAAAAAAQTSATSTNANPLSTTSSALGALTSPVAASTPNSTAGAAMNSLTSLGTLQGLAGATVGLNNINALAVAQMLSGMAALNGGLGATGLTNGTAGTMDALTQAYSGIQQYAAAALPTLYSQSL
XP_016875483.1 1 443 0.264332279909706 PF07576.12:BRAP2:6:101,PF02148.19:zf-UBP:168:227,PF13639.6:zf-RING_2:114:155,PF13923.6:zf-C3HC4_2:114:154,PF00097.25:zf-C3HC4:115:154 BRCA1-associated protein isoform X3 443 198 29 443 0 Homo sapiens XP_016875483.1 1 RefSeq MTSLKEDVRRSAMLCILTVPAAMTSHDLMKFVAPFNEVIEQMKIIRDSTPNQYMVLIKFRAQADADSFYMTCNGRQFNSIEDDVCQLVYVERAEVLKSEDGASLPVMDLTELPKCTVCLERMDESVNGILTTLCNHSFHSQCLQRWDDTTCPVCRYCQTPEPVEENKCFECGVQENLWICLICGHIGCGRYVSRHAYKHFEETQHTYAMQLTNHRVWDYAGDNYVHRLVASKTDGKIVQYECEGDTCQEEKIDALQLEYSYLLTSQLESQRIYWENKIVRIEKDTAEEINNMKTKFKETIEKCDNLEHKLNDLLKEKQSVERKCTQLNTKVAKLTNELKEEQEMNKCLRANQVLLQNKLKEEERVLKETCDQKDLQITEIQEQLRDVMFYLETQQKINHLPAETRQEIQEGQINIAMASASSPASSGGSGKLPSRKGRSKRGK
XP_016881173.1 393 1879 0.284491190316073 PF06470.13:SMC_hinge:1141:1266 structural maintenance of chromosomes flexible hinge domain-containing protein 1 isoform X3 1879 126 29 1487 0 Homo sapiens XP_016881173.1 1 RefSeq GVITRPDLPSKKQGPWATYAAIEWDGKIYKAGQLVKTIKTLPLFYGSIVRFFLYGDHDGEVYATGGEVQIAMEPQALYDEVRTVPIAKLDRTVAEKAVKKYVEDEMARLPDRLSVTWPEGDELLPNEVRPAGTPIGALRIEILNKKGEAMQKLPGTSHGGSKKLLVELKVILHSSSGNKEIISHISQHGGKWPYWFKKMENIQKLGNYTLKLQVVLNESNADTYAGRPLPSKAIKFSVKEGKPEKFSFGLLDLPFRVGVPFNIPLEFQDEFGHTSQLVTDIQPVLEASGLSLHYEEITKGPNCVIRGVTAKGPVNSCQGKNYNLKVTLPGLKEDSQILKIRLLPGHPRRLKVKPDSEILVIENGTAFPFQVEVLDESDNITAQPKLIVHCKFSGAPNLPVYVVDCSSSGTSILTGSAIQVQNIKKDQTLKARIEIPSCKDVAPVEKTIKLLPSSHVARLQIFSVEGQKAIQIKHQDEVNWIAGDIMHNLIFQMYDEGEREINITSALAEKIKVNWTPEINKEHLLQGLLPDVQVPTSVKDMRYCQVSFQDDHVSLESAFTVRPLPDEPKHLKCEMKGGKTVQMGQELQGEVVIIITDQYGNQIQAFSPSSLSSLSIAGVGLDSSNLKTTFQENTQSISVRGIKFIPGPPGNKDLCFTWREFSDFIRVQLISGPPAKLLLIDWPELKESIPVINGRDLQNPIIVQLCDQWDNPAPVQHVKISLTKASNLKLMPSNQQHKTDEKGRANLGVFSVFAPRGEHTLQVKAIYNKSIIEGPIIKLMILPDPEKPVRLNVKYDKDASFLAGGLFTDFMISVISEDDSIIKNINPARISMKMWKLSTSGNRPPANAETFSCNKIKDNDKEDGCFYFRDKVIPNKVGTYCIQFGFMMDKTNILNSEQVIVEVLPNQPVKLVPKIKPPTPAVSNVRSVASRTLVRDLHLSITDDYDNHTGIDLVGTIIATIKGSNEEDTDTPLFIGKVRTLEFPFVNGSAEIMSLVLAESSPGRDSTEYFIVFEPRLPLLSRTLEPYILPFMFYNDVKKQQQMAALTKEKDQLSQSIVMYKSLFEASQQLLNEMKCQVEEARLKEAQLRNELKIHNIDIPTTQQVPHIEALLKRKLSEQEELKKKPRRSCTLPNYTKGSGDVLGKIAHLAQIEDDRAAMVISWHLASDMDCVVTLTTDAARRIYDETQGRQQVLPLDSIYKKTLPDWKRSLPHFRNGKLYFKPIGDPVFARDLLTFPDNVEHCETVFGMLLGDTIILDNLDAANHYRKEVVKITHCPTLLTRDGDRIRSNGKFGGLQNKAPPMDKLRGMVFGAPVPKQCLILGEQIDLLQQYRSAVCKLDSVNKDLNSQLEYLRTPDMRKKKQELDEHEKNLKLIEEKLGMTPIRKCNDSLRHSPKVETTDCPVPPKRMRREATRQNSLHNSEDSKSQLGLATLQVLWSHTHGWWLPFWTAQDYNQNRCMRGDREKRPLVSVRMPCFLHLCFRRPRG
YP_177924.1 1 301 0.132222591362126 PF00934.20:PE:3:93 Triacylglycerol lipase; Esterase/lipase; Triolein hydrolase; EC 3.1.1.3 437 91 29 301 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::I6Y2J4 1 SwissProt MVSYVVALPEVMSAAATDVASIGSVVATASQGVAGATTTVLAAAEDEVSAAIAALFSGHGQDYQALSAQLAVFHERFVQALTGAAKGYAAAELANASLLQSEFASGIGNGFATIHQEIQRAPTALAAGFTQVPPFAAAQAGIFTGTPSGAAGFDIASLWPVKPLLSLSALETHFAIPNNPLLALIASDIPPLSWFLGNSPPPLLNSLLGQTVQYTTYDGMSVVQITPAHPTGEYVVAIHGGAFILPPSIFHWLNYSVTAYQTGATVQVPIYPLVQEGGTAGTVVPAMAGLISTQIAQHGVS
NP_001002880.2 73 169 0.42599587628866 PF14645.6:Chibby:2:87 protein chibby homolog 1 isoform b 169 86 28 97 0 Homo sapiens NP_001002880.2 1 RefSeq STREVELGLEYGSPTMNLAGQSLKFENGQWIAETGVSGGVDRREVQRLRRRNQQLEEENNLLRLKVDILLDMLSESTAESHLMEKELDELRISRKRK
NP_001020460.1 1 197 0.801513705583756 PF01669.17:Myelin_MBP:149:193 Golli-Mbp isoform 1 197 45 28 197 0 Rattus norvegicus NP_001020460.1 1 RefSeq MGNHSGKRELASEKASKDGEIHRGEAEKKRSVGKLSQTASEDSDVFGEADAIQNNGTSAEDTAVTDSKHTADPKNNWQGAHPADPGNRPHLIRLFSRDAPGREDNTFKDRPSESDELQTIQEDPTAASEGLDVMASQKRPSQRHGSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKVSSEA
NP_001027454.1 169 454 0.51350979020979 Lamina-associated polypeptide 2, isoforms beta/gamma; Thymopoietin, isoforms beta/gamma; TP beta/gamma; Thymopoietin-related peptide isoforms beta/gamma; TPRP isoforms beta/gamma 454 0 28 263 1 Homo sapiens (Human) SwissProt::P42167 1 SwissProt AENTRQNGSNDSDRYSDNEEDSKIELKLEKREPLKGRAKTPVTLKQRRVEHNQSYSQAGITETEWTSGSSKGGPLQALTRESTRGSRRTPRKRVETSEHFRIDGPVISESTPIAETIMASSNESLVVNRVTGNFKHASPILPITEFSDIPRRAPKKPLTRAEVGEKTEERRVERDILKEMFPYEASTPTGISASCRRPIKGAAGRPLELSDFRMEESFSSKYVPKYVPLADVKSEKTKKGRSIPVWIKILLFVVVAVFLFLVYQAMETNQVNPFSNFLHVDPRKSN
NP_001033692.2 1 438 0.31646095890411 PF01284.23:MARVEL:193:360 MARVEL domain-containing protein 2; Tricellulin 558 168 28 305 6 Homo sapiens (Human) SwissProt::Q8N4S9 1 SwissProt MSNDGRSRNRDRRYDEVPSDLPYQDTTIRTHPTLHDSERAVSADPLPPPPLPLQPPFGPDFYSSDTEEPAIAPDLKPVRRFVPDSWKNFFRGKKKDPEWDKPVSDIRYISDGVECSPPASPARPNHRSPLNSCKDPYGGSEGTFSSRKEADAVFPRDPYGSLDRHTQTVRTYSEKVEEYNLRYSYMKSWAGLLRILGVVELLLGAGVFACVTAYIHKDSEWYNLFGYSQPYGMGGVGGLGSMYGGYYYTGPKTPFVLVVAGLAWITTIIILVLGMSMYYRTILLDSNWWPLTEFGINVALFILYMAAAIVYVNDTNRGGLCYYPLFNTPVNAVFCRVEGGQIAAMIFLFVTMIVYLISALVCLKLWRHEAARRHREYMEQQEINEPSLSSKRKMCEMATSGDRQRDSEVNFKELRTAKMKPELLSGHIPPGHIPKPIV
NP_001074288.1 1125 2283 0.592624245038826 PF12031.8:BAF250_C:850:1105 AT-rich interactive domain-containing protein 1A; ARID domain-containing protein 1A; BRG1-associated factor 250; BAF250; BRG1-associated factor 250a; BAF250A; Osa homolog 1; SWI-like protein; SWI/SNF complex protein p270; SWI/SNF-related, matrix-associated, actin-dependent regulator of chromatin subfamily F member 1 2283 256 28 1159 0 Mus musculus (Mouse) SwissProt::A2BH40 1 SwissProt KKSQPKIQPPSPAGSGSMQGPQTPQSTSSSMAEGGDLKPPTPASTPHSQIPPLPGMSRSNSVGIQDAFPDGSDPTFQKRNSMTPNPGYQPSMNTSDMMGRMSYEPNKDPYGSMRKAPGSDPFMSSGQGPNGGMGDPYSRAAGPGLGSVAMGPRQHYPYGGPYDRVRTEPGIGPEGNMGTGAPQPNLMPSTPDSGMYSPSRYPPQQQQQQQQQHDSYGNQFSTQGTPSSSPFPSQQTTMYQQQQQNYKRPMDGTYGPPAKRHEGEMYSVPYSAGQGQPQQQQLPAAQSQPASQPQAAQPSPQQDVYNQYSNAYPASATAATDRRPAGGPQNQFPFQFGRDRVSAPPGSSAQQNMPPQMMGGPIQASAEVAQQGTMWQGRNDMTYNYANRQNTGSATQGPAYHGVNRTDEMLHTDQRANHEGPWPSHGTRQPPYGPSAPVPPMTRPPPSNYQPPPSMPNHIPQVSSPAPLPRPMENRTSPSKSPFLHSGMKMQKAGPPVPASHIAPTPVQPPMIRRDITFPPGSVEATQPVLKQRRRLTMKDIGTPEAWRVMMSLKSGLLAESTWALDTINILLYDDNSIMTFNLSQLPGLLELLVEYFRRCLIEIFGILKEYEVGDPGQRTLLDPGRFTKVYSPAHTEEEEEEHLDPKLEEEEEEGVGNDEEMAFLGKDKPSSENNEEKLVSKFDKLPVKIVQRNDPFVVDCSDKLGRVQEFDSGLLHWRIGGGDTTEHIQTHFESKIELLPSRPYVPCPTPPRKHLTTVEGTPGTTEQEGPPPDGLPEKRITATMDDMLSTRSSTLTDEGAKSAEATKESSKFPFGISPAQSHRNIKILEDEPHSKDETPLCTLLDWQDSLAKRCVCVSNTIRSLSFVPGNDFEMSKHPGLLLILGKLILLHHKHPERKQAPLTYEKEEEQDQGVSCDKVEWWWDCLEMLRENTLVTLANISGQLDLSPYPESICLPVLDGLLHWAVCPSAEAQDPFSTLGPNAVLSPQRLVLETLSKLSIQDNNVDLILATPPFSRLEKLYSTMVRFLSDRKNPVCREMAVVLLANLAQGDSLAARAIAVQKGSIGNLLGFLEDSLAATQFQQSQASLLHMQNPPFEPTSVDMMRRAARALLALAKVDENHSEFTLYESRLLDISVSPLMNSLVSQVICDVLFLIGQS
NP_001120926.1 1 332 0.141868975903615 PF17708.1:Gasdermin_C:116:304,PF04598.12:Gasdermin:1:82 gasdermin-E isoform b 332 271 28 332 0 Homo sapiens NP_001120926.1 1 RefSeq MQKCVISEHMQVEEKCGGIVGIQTKTVQVSATEDGNVTKDSNVVLEIPAATTIAYGVIELYVKLDGQFEFCLLRGKQGGFENKKRIDSVYLDPLVFREFAFIDMPDAAHGISSQDGPLSVLKQATLLLERNFHPFAELPEPQQTALSDIFQAVLFDDELLMVLEPVCDDLVSGLSPTVAVLGELKPRQQQDLVAFLQLVGCSLQGGCPGPEDAGSKQLFMTAYFLVSALAEMPDSAAALLGTCCKLQIIPTLCHLLRALSDDGVSDLEDPTLTPLKDTERFGIVQRLFASADISLERLKSSVKAVILKDSKVFPLLLCITLNGLCALGREHS
NP_001123536.1 93 274 0.964692307692308 PF06614.11:Neuromodulin:11:182 neuromodulin isoform 1 274 172 28 182 0 Homo sapiens NP_001123536.1 1 RefSeq DDVQAAEAEANKKDEAPVADGVEKKGEGTTTAEAAPATGSKPDEPGKAGETPSEEKKGEGDAATEQAAPQAPASSEEKAGSAETESATKASTDNSPSSKAEDAPAKEEPKQADVPAAVTAAAATTPAAEDAAAKATAQPPTETGESSQAEENIEAVDETKPKESARQDEGKEEEPEADQEHA
NP_001258535.1 1 227 0.947357709251102 PF05466.12:BASP1:2:227 Brain acid soluble protein 1; 22 kDa neuronal tissue-enriched acidic protein; Neuronal axonal membrane protein NAP-22 227 226 28 227 0 Homo sapiens (Human) SwissProt::P80723 1 SwissProt MGGKLSKKKKGYNVNDEKAKEKDKKAEGAATEEEGTPKESEPQAAAEPAEAKEGKEKPDQDAEGKAEEKEGEKDAAAAKEEAPKAEPEKTEGAAEAKAEPPKAPEQEQAAPGPAAGGEAPKAAEAAAAPAESAAPAAGEEPSKEEGEPKKTEAPAAPAAQETKSDGAPASDSKPGSSEAAPSSKETPAATEAPSSTPKAQGPAASAEEPKPVEAPAANSDQTVTVKE
NP_001259004.1 1 523 0.503394455066922 PF07145.15:PAM2:83:98 ubiquitin carboxyl-terminal hydrolase 10 isoform 1 802 16 28 523 0 Homo sapiens NP_001259004.1 1 RefSeq MPWLPSPGIGQYIFGDFSPDEFNQFFVTPRSSVELPPYSGTVLCGTQAVDKLPDGQEYQRIEFGVDEVIEPSDTLPRTPSYSISSTLNPQAPEFILGCTASKITPDGITKEASYGSIDCQYPGSALALDGSSNVEAEVLENDGVSGGLGQRERKKKKKRPPGYYSYLKDGGDDSISTEALVNGHANSAVPNSVSAEDAEFMGDMPPSVTPRTCNSPQNSTDSVSDIVPDSPFPGALGSDTRTAGQPEGGPGADFGQSCFPAEAGRDTLSRTAGAQPCVGTDTTENLGVANGQILESSGEGTATNGVELHTTESIDLDPTKPESASPPADGTGSASGTLPVSQPKSWASLFHDSKPSSSSPVAYVETKYSPPAISPLVSEKQVEVKEGLVPVSEDPVAIKIAELLENVTLIHKPVSLQPRGLINKGNWCYINATLQALVACPPMYHLMKFIPLYSKVQRPCTSTPMIDSFVRLMNEFTNMPVPPKPRQALGDKIVRDIRPGAAFEPTYIYRLLTVNKSSLSEKG
NP_001273286.1 1 77 0.732679220779221 PF00841.19:Protamine_P2:1:76 protamine-2 isoform 3 77 76 28 77 0 Homo sapiens NP_001273286.1 1 RefSeq MVRYRVRSLSERSHEVYRQQLHGQEQGHHGQEEQGLSPEHVEVYERTHGQSHYRRRHCSRRRLHRIHRRQHRSCRRH
NP_001273588.1 1 801 0.496329712858926 PF11830.8:DUF3350:738:801,PF00640.23:PID:118:184,PF00640.23:PID:345:440 TBC1 domain family member 4 isoform 3 1235 227 28 801 0 Homo sapiens NP_001273588.1 1 RefSeq MEPPSCIQDEPFPHPLEPEPGVSAQPGPGKPSDKRFRLWYVGGSCLDHRTTLPMLPWLMAEIRRRSQKPEAGGCGAPAAREVILVLSAPFLRCVPAPGAGASGGTSPSATQPNPAVFIFEHKAQHISRFIHNSHDLTYFAYLIKAQPDDPESQMACHVFRATDPSQVPDVISSIRQLSKAAMKEDAKPSKDNEDAFYNSQKFEVLYCGKVTVTHKKAPSSLIDDCMEKFSLHEQQRLKIQGEQRGPDPGEDLADLEVVVPGSPGDCLPEEADGTDTHLGLPAGASQPALTSSRVCFPERILEDSGFDEQQEFRSRCSSVTGVQRRVHEGSQKSQPRRRHASAPSHVQPSDSEKNRTMLFQVGRFEINLISPDTKSVVLEKNFKDISSCSQGIKHVDHFGFICRESPEPGLSQYICYVFQCASESLVDEVMLTLKQAFSTAAALQSAKTQIKLCEACPMHSLHKLCERIEGLYPPRAKLVIQRHLSSLTDNEQADIFERVQKMKPVSDQEENELVILHLRQLCEAKQKTHVHIGEGPSTISNSTIPENATSSGRFKLDILKNKAKRSLTSSLENIFSRGANRMRGRLGSVDSFERSNSLASEKDYSPGDSPPGTPPASPPSSAWQTFPEEDSDSPQFRRRAHTFSHPPSSTKRKLNLQDGRAQGVRSPLLRQSSSEQCSDGEGRKRTSSTCSNESLSVGGTSVTPRRISWRQRIFLRVASPMNKSPSAMQQQDGLDRNELLPLSPLSPTMEEEPLVVFLSGEDDPEKIEERKKSKELRSLWRKAIHQQILLLRMEKENQKLE
NP_001288270.1 1 374 0.534852673796791 PF08067.11:ROKNT:1:43,PF00013.29:KH_1:45:102,PF00013.29:KH_1:147:210 heterogeneous nuclear ribonucleoprotein K isoform 1 464 165 28 374 0 Mus musculus NP_001288270.1 1 RefSeq METEQPEETFPNTETNGEFGKRPAEDMEEEQAFKRSRNTDEMVELRILLQSKNAGAVIGKGGKNIKALRTDYNASVSVPDSSGPERILSISADIETIGEILKKIIPTLEEGLQLPSPTATSQLPLESDAVECLNYQHYKGSDFDCELRLLIHQSLAGGIIGVKGAKIKELRENTQTTIKLFQECCPHSTDRVVLIGGKPDRVVECIKIILDLISESPIKGRAQPYDPNFYDETYDYGGFTMMFDDRRGRPVGFPMRGRGGFDRMPPGRGGRPMPPSRRDYDDMSPRRGPPPPPPGRGGRGGSRARNLPLPPPPPPRGGDLMAYDRRGRPGDRYDGMVGFSADETWDSAIDTWSPSEWQMAYEPQGGSGYDYSYA
NP_002691.1 1 181 0.526624309392265 POU domain, class 4, transcription factor 3; Brain-specific homeobox/POU domain protein 3C; Brain-3C; Brn-3C 338 0 28 181 0 Homo sapiens (Human) SwissProt::Q15319 1 SwissProt MMAMNSKQPFGMHPVLQEPKFSSLHSGSEAMRRVCLPAPQLQGNIFGSFDESLLARAEALAAVDIVSHGKNHPFKPDATYHTMSSVPCTSTSSTVPISHPAALTSHPHHAVHQGLEGDLLEHISPTLSVSGLGAPEHSVMPAQIHPHHLGAMGHLHQAMGMSHPHTVAPHSAMPACLSDVE
NP_003302.1 1 152 0.404253289473684 PF17339.2:PH_15:11:94 Pleckstrin homology-like domain family A member 2; Beckwith-Wiedemann syndrome chromosomal region 1 candidate gene C protein; Imprinted in placenta and liver protein; Tumor-suppressing STF cDNA 3 protein; Tumor-suppressing subchromosomal transferable fragment candidate gene 3 protein; p17-Beckwith-Wiedemann region 1 C; p17-BWR1C 152 84 28 152 0 Homo sapiens (Human) SwissProt::Q53GA4 1 SwissProt MKSPDEVLREGELEKRSDSLFQLWKKKRGVLTSDRLSLFPASPRARPKELRFHSILKVDCVERTGKYVYFTIVTTDHKEIDFRCAGESCWNAAIALALIDFQNRRALQDFRSRQERTAPAAPAEDAVAAAAAAPSEPSEPSRPSPQPKPRTP
NP_003782.1 480 1052 0.298348167539267 Membrane-bound transcription factor site-1 protease; Endopeptidase S1P; Subtilisin/kexin-isozyme 1; SKI-1; EC 3.4.21.112 1052 0 28 550 1 Homo sapiens (Human) SwissProt::Q14703 1 SwissProt PQASLSPSYIDLTECPYMWPYCSQPIYYGGMPTVVNVTILNGMGVTGRIVDKPDWQPYLPQNGDNIEVAFSYSSVLWPWSGYLAISISVTKKAASWEGIAQGHVMITVASPAETESKNGAEQTSTVKLPIKVKIIPTPPRSKRVLWDQYHNLRYPPGYFPRDNLRMKNDPLDWNGDHIHTNFRDMYQHLRSMGYFVEVLGAPFTCFDASQYGTLLMVDSEEEYFPEEIAKLRRDVDNGLSLVIFSDWYNTSVMRKVKFYDENTRQWWMPDTGGANIPALNELLSVWNMGFSDGLYEGEFTLANHDMYYASGCSIAKFPEDGVVITQTFKDQGLEVLKQETAVVENVPILGLYQIPAEGGGRIVLYGDSNCLDDSHRQKDCFWLLDALLQYTSYGVTPPSLSHSGNRQRPPSGAGSVTPERMEGNHLHRYSKVLEAHLGDPKPRPLPACPRLSWAKPQPLNETAPSNLWKHQKLLSIDLDKVVLPNFRSNRPQVRPLSPGESGAWDIPGGIMPGRYNQEVGQTIPVFAFLGAMVVLAFFVVQINKAKSRPKRRKPRVKRPQLMQQVHPPKTPSV
NP_003947.1 1 272 0.0185911764705882 PF04116.13:FA_hydroxylase:129:263 Cholesterol 25-hydroxylase; Cholesterol 25-monooxygenase; h25OH; EC 1.14.99.38 272 135 28 203 3 Homo sapiens (Human) SwissProt::O95992 1 SwissProt MSCHNCSDPQVLCSSGQLFLQPLWDHLRSWEALLQSPFFPVIFSITTYVGFCLPFVVLDILCSWVPALRRYKIHPDFSPSAQQLLPCLGQTLYQHVMFVFPVTLLHWARSPALLPHEAPELLLLLHHILFCLLLFDMEFFVWHLLHHKVPWLYRTFHKVHHQNSSSFALATQYMSVWELFSLGFFDMMNVTLLGCHPLTTLTFHVVNIWLSVEDHSGYNFPWSTHRLVPFGWYGGVVHHDLHHSHFNCNFAPYFTHWDKILGTLRTASVPAR
NP_004075.1 1 64 0.2919671875 PF00879.18:Defensin_propep:1:52 Neutrophil defensin 1; Defensin, alpha 1; HNP-1; HP-1; HP1 94 52 28 64 0 Homo sapiens (Human) SwissProt::P59665 1 SwissProt MRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDESLAPKHPGSRKNM
NP_005655.1 1 507 0.354155818540434 PF15815.5:MKRN1_C:436:505,PF18044.1:zf-CCCH_4:100:120,PF00097.25:zf-C3HC4:311:364,PF12861.7:zf-ANAPC11:306:367 Probable E3 ubiquitin-protein ligase makorin-3; RING finger protein 63; RING-type E3 ubiquitin transferase makorin-3; Zinc finger protein 127; EC 2.3.2.27 507 153 28 507 0 Homo sapiens (Human) SwissProt::Q13064 1 SwissProt MEEPAAPSEAHEAAGAQAGAEAAREGVSGPDLPVCEPSGESAAPDSALPHAARGWAPFPVAPVPAHLRRGGLRPAPASGGGAWPSPLPSRSSGIWTKQIICRYYIHGQCKEGENCRYSHDLSGRKMATEGGVSPPGASAGGGPSTAAHIEPPTQEVAEAPPAASSLSLPVIGSAAERGFFEAERDNADRGAAGGAGVESWADAIEFVPGQPYRGRWVASAPEAPLQSSETERKQMAVGSGLRFCYYASRGVCFRGESCMYLHGDICDMCGLQTLHPMDAAQREEHMRACIEAHEKDMELSFAVQRGMDKVCGICMEVVYEKANPNDRRFGILSNCNHSFCIRCIRRWRSARQFENRIVKSCPQCRVTSELVIPSEFWVEEEEEKQKLIQQYKEAMSNKACRYFAEGRGNCPFGDTCFYKHEYPEGWGDEPPGPGGGSFSAYWHQLVEPVRMGEGNMLYKSIKKELVVLRLASLLFKRFLSLRDELPFSEDQWDLLHYELEEYFNLIL
NP_006186.1 1 233 0.515715450643777 PF03792.13:PBC:43:233 Pre-B-cell leukemia transcription factor 3; Homeobox protein PBX3 434 191 28 233 0 Homo sapiens (Human) SwissProt::P40426 1 SwissProt MDDQSRMLQTLAGVNLAGHSVQGGMALPPPPHGHEGADGDGRKQDIGDILHQIMTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRGAQEEDPPDPQLMRLDNMLLAEGVSGPEKGGGSAAAAAAAAASGGSSDNSIEHSDYRAKLTQIRQIYHTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVGIIHRKFSSIQMQLKQSTCEAVMILRSRFL
NP_006448.5 94 399 0.743716666666667 PF15936.5:DUF4749:121:211 PDZ and LIM domain protein 5; Enigma homolog; Enigma-like PDZ and LIM domains protein 596 91 28 306 0 Homo sapiens (Human) SwissProt::Q96HC4 1 SwissProt PVQKGEPKEVVKPVPITSPAVSKVTSTNNMAYNKAPRPFGSVSSPKVTSIPSPSSAFTPAHATTSSHASPSPVAAVTPPLFAASGLHANANLSADQSPSALSAGKTAVNVPRQPTVTSVCSETSQELAEGQRRGSQGDSKQQNGPPRKHIVERYTEFYHVPTHSDASKKRLIEDTEDWRPRTGTTQSRSFRILAQITGTEHLKESEADNTKKANNSQEPSPQLASSVASTRSMPESLDSPTSGRPGVTSLTAAAAFKPVGSTGVIKSPSWQRPNQGVPSTGRISNSATYSGSVAPANSALGQTQPS
NP_010683.3 1 944 0.0978088983050848 PF03810.19:IBN_N:25:97,PF08506.10:Cse1:194:420 Importin beta SMX1; Suppressor of mRNA export mutant protein 1; karyopherin-108 944 300 28 944 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04175 1 SwissProt MVQEQAILSCIEQTMVADAKIIKEAEQQLFEFQKQPGFTSFLLNIVSDDNFALNVRLSSAIYLKNKIHRSWDTKREDGIKADEKLSIKERLIETLVKNCENNHIRPILTETINGILVGQEDWDLAPIIKNLLSSGDASYIYPGLLLLFQLCKAHRWDMVGSRDYIDSVIEELFPIVEGIASNIGSQTDYRSNEILYLILKSFKYACLNNLPQYFSQPERIMSWVQLHLYLCSKPLPVEVMELDPADRSLDKRVKVNKWGFGNLNRFLQRYNKITKAITKEFIDYIFNTIVPIILREFFKDIEAWGNNSLWLSDSSLYFLISFLEKCVTIDQLYPLIEPHLQIIFENVIFPCLCANEQSIELLEDDQEEYTRRYFDINREGSTPDAASADFIFLIGSKRPEKLNNILPFINDIFTRFDANSSDINMAFKEEGALRTLSNLFSFIDEPSVLENIFGHFIVPLLSQDKYMFLVARSLETIALYSEEFKDMNILSQLFELTYTNFLNSNVLPVQIEAADAIKCLIVSNPQIHPAVSAHVPGMMEKLLKLSKIFEIDILSEVMEALVERFSDELSPFAKDLASNLVEQFLRIAQALVENPSETYSASDQEQEIQASGLLQTMTTMVMSMNKVPLIESLAPVVKFVVLHAQISFITEAVDLLDALTISSHLLYNQIAPPIWELLHDILDSFQTYAMDYFEAYSIFFETIVMTGFPQDQTYVQPLLEILSAKLESEVDYDIEHVMQILMYFALSMRDIPLFSKAIKVSTNDELGLDSKCIVKLGLANLFAKPIETLQIMENEGFTINFFTNWFNEKFYSVFAIKLQVLVILTLLKMPEVPNSVSPLLNNLTNKLVELTLSLPKAIRNRDAVTEGKSLEGDLTPEEEEEYFIECDDDMKETVLDQINVFQEVHTFFKNLQNEDAGKYEKIINYLDESKRDSLQVILEFVSQH
NP_011259.1 1 376 0.0933401595744681 PF02535.22:Zip:48:372 Zinc-regulated transporter 1; High-affinity zinc transport protein ZRT1 376 325 28 203 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32804 1 SwissProt MSNVTTPWWKQWDPSEVTLADKTPDDVWKTCVLQGVYFGGNEYNGNLGARISSVFVILFVSTFFTMFPLISTKVKRLRIPLYVYLFAKYFGSGVIVATAFIHLMDPAYGAIGGTTCVGQTGNWGLYSWCPAIMLTSLTFTFLTDLFSSVWVERKYGLSHDHTHDEIKDTVVRNTAAVSSENDNENGTANGSHDTKNGVEYYEDSDATSMDVVQSFQAQFYAFLILEFGVIFHSVMIGLNLGSVGDEFSSLYPVLVFHQSFEGLGIGARLSAIEFPRSKRWWPWALCVAYGLTTPICVAIGLGVRTRYVSGSYTALVISGVLDAISAGILLYTGLVELLARDFIFNPQRTKDLRELSFNVICTLFGAGIMALIGKWA
NP_012151.1 611 1424 0.584701597051597 Nucleoporin NUP159; Nuclear pore protein NUP159 1460 0 28 814 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40477 1 SwissProt AFGTASSNETNSGSIFGKAAFGSSSFAPANNELFGSNFTISKPTVDSPKEVDSTSPFPSSGDQSEDESKSDVDSSSTPFGTKPNTSTKPKTNAFDFGSSSFGSGFSKALESVGSDTTFKFGTQASPFSSQLGNKSPFSSFTKDDTENGSLSKGSTSEINDDNEEHESNGPNVSGNDLTDSTVEQTSSTRLPETPSDEDGEVVEEEAQKSPIGKLTETIKKSANIDMAGLKNPVFGNHVKAKSESPFSAFATNITKPSSTTPAFSFGNSTMNKSNTSTVSPMEEADTKETSEKGPITLKSVENPFLPAKEERTGESSKKDHNDDPKDGYVSGSEISVRTSESAFDTTANEEIPKSQDVNNHEKSETDPKYSQHAVVDHDNKSKEMNETSKNNERSGQPNHGVQGDGIALKKDNEKENFDSNMAIKQFEDHQSSEEDASEKDSRQSSEVKESDDNMSLNSDRDESISESYDKLEDINTDELPHGGEAFKAREVSASADFDVQTSLEDNYAESGIQTDLSESSKENEVQTDAIPVKHNSTQTVKKEAVDNGLQTEPVETCNFSVQTFEGDENYLAEQCKPKQLKEYYTSAKVSNIPFVSQNSTLRLIESTFQTVEAEFTVLMENIRNMDTFFTDQSSIPLVKRTVRSINNLYTWRIPEAEILLNIQNNIKCEQMQITNANIQDLKEKVTDYVRKDIAQITEDVANAKEEYLFLMHFDDASSGYVKDLSTHQFRMQKTLRQKLFDVSAKINHTEELLNILKLFTVKNKRLDDNPLVAKLAKESLARDGLLKEIKLLREQVSRLQLEEKGKKASSFDAS
NP_034556.2 163 378 0.461943981481482 Forkhead box protein F1; Forkhead-related protein FKHL5; Forkhead-related transcription factor 1; FREAC-1; Hepatocyte nuclear factor 3 forkhead homolog 8; HFH-8 378 0 28 216 0 Mus musculus (Mouse) SwissProt::Q61080 1 SwissProt FNHLPDTYGFQGSGGLSCAPNSLALEGGLGMMNGHLAGNVDGMALPSHSVPHLPSNGGHSYMGGCGGSAAGEYPHHDSSVPASPLLPAGAGGVMEPHAVYSSSAAAWPPAASAALNSGASYIKQQPLSPCNPAANPLSGSISTHSLEQPYLHQNSHNGPAELQGIPRYHSQSPSMCDRKEFVFSFNAMASSSMHTTGGGSYYHQQVTYQDIKPCVM
NP_034694.3 1 258 0.348395348837209 Inhibin alpha chain 366 0 28 258 0 Mus musculus (Mouse) SwissProt::Q04997 1 SwissProt MVSQRSLLLLLLLTLRDVDSCQGPELVRELVLAKVKALFLDALGPPAMDGEGGDPGIRRLPRRHAVGGFMHRTSEPEEEDVSQAILFPATGATCEDQPAARGLAQEAEEGLFTYVFRPSQHIRSHQVTSAQLWFHTGLGRKSTAAANSSAPLLDLLVLSSGGPMAVPVSLGQGPPRWAVLHLAASAFPLLTHPILVLLLRCPLCSCSGRPETTPFLVAHTRARAPSAGERARRSTPSVPWPWSPAALRLLQRPPEEPA
NP_035036.1 1 400 0.42474225 PF00859.18:CTF_NFI:213:320,PF00859.18:CTF_NFI:319:378,PF10524.9:NfI_DNAbd_pre-N:9:46,PF03165.16:MH1:69:169 nuclear factor 1 X-type isoform 2 400 305 28 400 0 Mus musculus NP_035036.1 1 RefSeq MYSPYCLTQDEFHPFIEALLPHVRAFSYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKPEIKQKWASRLLAKLRKDIRPEFREDFVLTITGKKPPCCVLSNPDQKGKIRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLYKSPQCSNPGLCVQPHHIGVTIKELDLYLAYFVHTPESGQSDSSNQQGDADIKPLPNGHLSFQDCFVTSGVWNVTELVRVSQTPVATASGPNFSLADLESPSYYNINQVTLGRRSITSPPSTSSTKRPKSIDDSEMESPVDDVFYPGTGRSPAAGSSQSSGWPNDVDAGSPRATASALHFPSTSIIQQSSPYFTHPTIRYHHHHGQDSLKEFVQFVCSDGSGQATGQHSQRQAPPLPTGLSASDPGTATF
NP_035810.1 1 231 0.609212987012987 Upstream stimulatory factor 2; Major late transcription factor 2; Upstream transcription factor 2 346 0 28 231 0 Mus musculus (Mouse) SwissProt::Q64705 1 SwissProt MDMLDPGLDPASSATAAAAASHDKGPEAEEGVELQEGGDGPGAEEQTAVAIASVQQAAFGDHNIQYQFRTESNGGQVTYRVVQVTDGQLDGQGDAAGAVSVVSTAAFAGGQQAVTQVGVDGAAQRPGPAAASVPTGPAAPFPLAVIQNPFSNGGSPAAEAVSGEARFAYFPASSVGDTTAVSVQTTDQSLQAGGQFYVMMTPQDVLQTGTQRTIAPRTHPYSPKIDGTRTP
NP_035989.1 1 156 0.321485897435897 Protein odd-skipped-related 1 266 0 28 156 0 Mus musculus (Mouse) SwissProt::Q9WVG7 1 SwissProt MGSKTLPAPVPIHPSLQLTNYSFLQAVNGLPTVPSDHLPNLYGFSALHAVHLHQWTLGYPAMHLPRSSFSKVPGAVSSLMDARFQLPAFPWFPHVIHPKPEITAGGSGAALKTKPRFDFANLALAATQEDPTKLGRGEGPGSPAGGLGALLDVTKL
NP_055483.2 1 1115 0.249281345291479 PF15782.5:GREB1:1:1112 Protein GREB1; Gene regulated in breast cancer 1 protein 1949 1112 28 1115 0 Homo sapiens (Human) SwissProt::Q4ZG55 1 SwissProt MGNSYAGQLKTTRFEEVLHNSIEASLRSNNLVPRPIFSQLYLEAEQQLAALEGGSRVDNEEEEEEGEGGLETNGPPNPFQLHPLPEGCCTTDGFCQAGKDLRLVSISNEPMDVPAGFLLVGVKSPSLPDHLLVCAVDKRFLPDDNGHNALLGFSGNCVGCGKKGFCYFTEFSNHINLKLTTQPKKQKHLKYYLVRNAQGTLTKGPLICWKGSEFRSRQIPASTCSSSLFPALESTAAFPSEPVPGTNPSILMGAQQAGPASDHPSLNAAMGPAVFNGKDSPKCQQLAKNNLLALPRPSALGILSNSGPPKKRHKGWSPESPSAPDGGCPQGGGNRAKYESAGMSCVPQVGLVGPASVTFPVVASGEPVSVPDNLLKICKAKPVIFKGHGNFPYLCGNLNDVVVSPLLYTCYQNSQSVSRAYEQYGASAIQPISEEMQLLLTVYYLVQLAADQVPLMEDLEQIFLRSWRESHLTEIRQYQQAPPQPFPPAPSAAAPVTSAQLPWLASLAASSCNDSVHVIECAYSLAEGLSEMFRLLVEGKLAKTNYVVIICACRSAAIDSCIAVTGKYQARILSESLLTPAEYQKEVNYELVTGKVDSLGAFFSTLCPEGDIDILLDKFHQENQGHISSSLAASSVTKAASLDVSGTPVCTSYNLEPHSIRPFQLAVAQKLLSHVCSIADSSTQNLDLGSFEKVDFLICIPPSEVTYQQTLLHVWHSGVLLELGLKKEHMTKQRVEQYVLKLDTEAQTKFKAFLQNSFQNPHTLFVLIHDHAHWDLVSSTVHNLYSQSDPSVGLVDRLLNCREVKEAPNIVTLHVTSFPYALQTQHTLISPYNEIHWPASCSNGVDLYHENKKYFGLSEFIESTLSGHSLPLLRYDSSFEAMVTALGKRFPRLHSAVIRTFVLVQHYAAALMAVSGLPQMKNYTSVETLEITQNLLNSPKQCPCGHGLMVLLRVPCSPLAVVAYERLAHVRARLALEEHFEIILGSPSSGVTVGKHFVKQLRMWQKIEDVEWRPQTYLELEGLPCILIFSGMDPHGESLPRSLRYCDLRLINSSCLVRTALEQELGLAAYFVSNEVPLEKGARNEALESDAEKLSSTDNEDEELGTEGSTSEKRS
NP_064671.2 173 381 0.324382296650718 PF00531.22:Death:105:183 tumor necrosis factor receptor superfamily member 10B precursor 381 79 28 189 1 Mus musculus NP_064671.2 1 RefSeq TAWASWHKLGLWIGLLVPVVLLIGALLVWKTGAWRQWLLCIKRGCERDPESANSVHSSLLDRQTSSTTNDSNHNTEPGKTQKTGKKLLVPVNGNDSADDLKFIFEYCSDIVPFDSWNRLMRQLGLTDNQIQMVKAETLVTREALYQMLLKWRHQTGRSASINHLLDALEAVEERDAMEKIEDYAVKSGRFTYQNAAAQPETGPGGSQCV
NP_067004.3 1 335 0.23494328358209 PF07686.17:V-set:28:124 SLAM family member 7; CD2 subset 1; CD2-like receptor-activating cytotoxic cells; CRACC; Membrane protein FOAP-12; Novel Ly9; Protein 19A; CD319 antigen 335 97 28 312 1 Homo sapiens (Human) SwissProt::Q9NQ25 1 SwissProt MAGSPTCLTLIYILWQLTGSAASGPVKELVGSVGGAVTFPLKSKVKQVDSIVWTFNTTPLVTIQPEGGTIIVTQNRNRERVDFPDGGYSLKLSKLKKNDSGIYYVGIYSSSLQQPSTQEYVLHVYEHLSKPKVTMGLQSNKNGTCVTNLTCCMEHGEEDVIYTWKALGQAANESHNGSILPISWRWGESDMTFICVARNPVSRNFSSPILARKLCEGAADDPDSSMVLLCLLLVPLLLSLFVLGLFLWFLKRERQEEYIEEKKRVDICRETPNICPHSGENTEYDTIPHTNRTILKEDPANTVYSTVEIPKKMENPHSLLTMPDTPRLFAYENVI
NP_067017.2 1 507 0.268951282051282 PF01545.21:Cation_efflux:12:272 zinc transporter 1 507 261 28 379 6 Homo sapiens NP_067017.2 1 RefSeq MGCWGRNRGRLLCMLALTFMFMVLEVVVSRVTSSLAMLSDSFHMLSDVLALVVALVAERFARRTHATQKNTFGWIRAEVMGALVNAIFLTGLCFAILLEAIERFIEPHEMQQPLVVLGVGVAGLLVNVLGLCLFHHHSGFSQDSGHGHSHGGHGHGHGLPKGPRVKSTRPGSSDINVAPGEQGPDQEETNTLVANTSNSNGLKLDPADPENPRSGDTVEVQVNGNLVREPDHMELEEDRAGQLNMRGVFLHVLGDALGSVIVVVNALVFYFSWKGCSEGDFCVNPCFPDPCKAFVEIINSTHASVYEAGPCWVLYLDPTLCVVMVCILLYTTYPLLKESALILLQTVPKQIDIRNLIKELRNVEGVEEVHELHVWQLAGSRIIATAHIKCEDPTSYMEVAKTIKDVFHNHGIHATTIQPEFASVGSKSSVVPCELACRTQCALKQCCGTLPQAPSGKDAEKTPAVSISCLELSNNLEKKPRRTKAENIPAVVIEIKNMPNKQPESSL
NP_080858.3 1 541 0.0872316081330869 PF06664.12:MIG-14_Wnt-bd:178:496 Protein wntless homolog; Integral membrane protein GPR177; Protein evenness interrupted homolog; EVI 541 319 28 365 8 Mus musculus (Mouse) SwissProt::Q6DID7 1 SwissProt MAGAIIENMSTKKLCIVGGILLVFQIVAFLVGGLIAPAPTTAVPYTAIKCVDVRKNHHKTRWLAPWGPNKCDKIRDIEEAIPREIEANDIVFSVHIPLPSMEMSPWFQFMLFILQLDIAFKLNNQIRENAEISMDVSLGYRDDMFSEWTEMAHERVPRKLKCTFTSPKTPEHEGRYYNCDVLPFMEIGSVAHKYYLLNIRLPVNEKKKINVGIGEIKDIRLVGIHQNGGFTKVWFAMKTFLTPSIFIIMVWYWRRITMMSRPPVLLEKVIFALGISMTFINIPVEWFSIGFDWTWMLLFGDIRQGIFYAMLLSFWIIFCGEHMMDQHERNHIAGYWKQVGPIAVGSFCLFIFDMCERGVQLTNPFYSIWTTDVGTELAMAFIIVAGICLCLYFLFLCFMVFQVFRNISGKQSSLPAMSKVRRLHYEGLIFRFKFLMLITLACAAMTVIFFIVSQVSEGHWKWGGVTVQVSSAFFTGIYGMWNLYVFALMFLYAPSHKNYGEDQSNGDLGVHSGEELQLTTTITHVDGPTEIYKLTRKEAQE
NP_112494.3 375 898 0.455345610687023 Kinesin-like protein KIF18A; Marrow stromal KIF18A; MS-KIF18A 898 0 28 524 0 Homo sapiens (Human) SwissProt::Q8NI77 1 SwissProt KICNEQKAEILLLKEKLKAYEEQKAFTNENDQAKLMISNPQEKEIERFQEILNCLFQNREEIRQEYLKLEMLLKENELKSFYQQQCHKQIEMMCSEDKVEKATGKRDHRLAMLKTRRSYLEKRREEELKQFDENTNWLHRVEKEMGLLSQNGHIPKELKKDLHCHHLHLQNKDLKAQIRHMMDLACLQEQQHRQTEAVLNALLPTLRKQYCTLKEAGLSNAAFESDFKEIEHLVERKKVVVWADQTAEQPKQNDLPGISVLMTFPQLGPVQPIPCCSSSGGTNLVKIPTEKRTRRKLMPSPLKGQHTLKSPPSQSVQLNDSLSKELQPIVYTPEDCRKAFQNPSTVTLMKPSSFTTSFQAISSNINSDNCLKMLCEVAIPHNRRKECGQEDLDSTFTICEDIKSSKCKLPEQESLPNDNKDILQRLDPSSFSTKHSMPVPSMVPSYMAMTTAAKRKRKLTSSTSNSSLTADVNSGFAKRVRQDNSSEKHLQENKPTMEHKRNICKINPSMVRKFGRNISKGNLR
NP_112617.2 1 226 0.141258407079646 PF00219.18:IGFBP:26:78,PF00093.18:VWC:100:163 protein CYR61 precursor 379 117 28 226 0 Rattus norvegicus NP_112617.2 1 RefSeq MSSSTIKTLAVAVTLLHLTRLALSTCPAACHCPLEAPKCAPGVGLVRDGCGCCKVCAKQLNEDCSKTQPCDHTKGLECNFGASSTALKGICRAQSEGRPCEYNSRIYQNGESFQPNCKHQCTCIDGAVGCIPLCPQELSLPNLGCPNPRLVKVSGQCCEEWVCDEDSIKDSLDDQDDLLGFDASEVELTRNNELIAIGKGSSLKRLPVFGTEPRVLYNPLHAHGQK
NP_172467.1 1 510 0.0951594117647059 PF13347.6:MFS_2:57:205,PF03209.15:PUCC:68:384 Sucrose transport protein SUC4; Sucrose permease 4; Sucrose transporter 4; Sucrose-proton symporter 4 510 328 28 241 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FE59 0 SwissProt MATSDQDRRHRVTRNRPPIARPSTSSSRPVVSPPRSKVSKRVLLRVASVACGIQFGWALQLSLLTPYVQELGIPHAWASVIWLCGPLSGLFVQPLVGHSSDRCTSKYGRRRPFIVAGAVAISISVMVIGHAADIGWAFGDREGKIKPRAIVAFVLGFWILDVANNMTQGPCRALLADLTENDNRRTRVANGYFSLFMAVGNVLGYATGSYNGWYKIFTFTKTVACNVECANLKSAFYIDVVFIAITTILSVSAAHEVPLASLASEAHGQTSGTDEAFLSEIFGTFRYFPGNVWIILLVTALTWIGWFPFILFDTDWMGREIYGGEPNIGTSYSAGVSMGALGLMLNSVFLGITSVLMEKLCRKWGAGFVWGISNILMAICFLGMIITSFVASHLGYIGHEQPPASIVFAAVLIFTILGIPLAITYSVPYALISIRIESLGLGQGLSLGVLNLAIVIPQVIVSVGSGPWDQLFGGGNSPALAVGAATGFIGGIVAILALPRTRIQKPIPLP
NP_176053.1 1 335 0.138845373134328 PF01501.20:Glyco_transf_8:26:268 Galactinol synthase 2; AtGolS2; GolS-2; EC 2.4.1.123 335 243 28 335 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FXB2 1 SwissProt MAPEINTKLTVPVHSATGGEKRAYVTFLAGTGDYVKGVVGLAKGLRKAKSKYPLVVAVLPDVPEDHRKQLVDQGCVVKEIEPVYPPENQTEFAMAYYVINYSKLRIWEFVEYNKMIYLDGDIQVFDNIDHLFDLPNGQFYAVMDCFCEKTWSHSPQYKIGYCQQCPDKVTWPEAKLGPKPPLYFNAGMFVYEPNLSTYHNLLETVKIVPPTLFAEQDFLNMYFKDIYKPIPPVYNLVLAMLWRHPENIELDQVKVVHYCAAGAKPWRFTGEEENMDREDIKMLVKKWWDIYNDESLDYKNVVIGDSHKKQQTLQQFIEALSEAGALQYVKAPSAA
NP_179701.1 1 483 0.0357173913043478 PF01490.18:Aa_trans:38:431 like AUXIN RESISTANT 2 483 394 28 263 10 Arabidopsis thaliana NP_179701.1 1 RefSeq MENGEKAAETVVVGNYVEMEKDGKALDIKSKLSDMFWHGGSAYDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILFQLFYGILGSWTAYLISILYVEYRTRKEREKVNFRNHVIQWFEVLDGLLGKHWRNVGLAFNCTFLLFGSVIQLIACASNIYYINDNLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLLMTTYTAWYLTIASILHGQVEGVKHSGPSKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKSIYLFATLYVLTLTLPSASAVYWAFGDLLLNHSNAFALLPKNLYRDFAVVLMLIHQFITFGFACTPLYFVWEKLIGMHECRSMCKRAAARLPVVIPIWFLAIIFPFFGPINSTVGSLLVSFTVYIIPALAHIFTFRSSAARENAVEQPPRFLGRWTGAFTINAFIVVWVFIVGFGFGGWASMINFVHQIDTFGLFTKCYQCPPPVMVSPPPISHPHFNHTHGL
NP_181007.1 1 148 0.37167027027027 PF06200.14:tify:56:88 Protein TIFY 5B; Jasmonate ZIM domain-containing protein 7 148 33 28 148 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64687 1 SwissProt MIIIIKNCDKPLLNFKEMEMQTKCDLELRLLTSSYDSDFHSSLDESSSSEISQPKQESQILTIFYNGHMCVSSDLTHLEANAILSLASRDVEEKSLSLRSSDGSDPPTIPNNSTRFHYQKASMKRSLHSFLQKRSLRIQATSPYHRYR
NP_181546.1 1 92 0.840755434782609 PF00477.17:LEA_5:2:56,PF00477.17:LEA_5:41:88 Em-like protein GEA6 92 87 28 92 0 Arabidopsis thaliana Q02973 1 SwissProt/TReMBL MASQQEKKQLDERAKKGETVVPGGTGGKSFEAQQHLAEGRSRGGQTRKEQLGTEGYQQMGRKGGLSTGDKPGGEHAEEEGVEIDESKFRTKT
NP_188966.1 132 285 0.468178571428571 Transcription factor MYB15; Myb-related protein 15; AtMYB15; Myb-related protein Y19; AtY19 285 0 28 154 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LTC4 1 SwissProt GTKPKSESVITSSNSTRSESELADSSNPSGESLFSTSPSTSEVSSMTLISHDGYSNEINMDNKPGDISTIDQECVSFETFGADIDESFWKETLYSQDEHNYVSNDLEVAGLVEIQQEFQNLGSANNEMIFDSEMDFWFDVLARTGGEQDLLAGL
NP_190074.1 182 537 0.207895786516854 PF08417.12:PaO:115:209 Pheophorbide a oxygenase, chloroplastic; AtPaO; Pheide a oxygenase; Accelerated cell death 1; Lethal leaf-spot 1 homolog; Lls1; EC 1.14.15.17 537 95 28 356 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FYC2 1 SwissProt PRACAIKFPTMVSQGLLFVWPDENGWDRANSIEPPRLPDDFDKPEFSTVTIQRDLFYGYDTLMENVSDPSHIDFAHHKVTGRRDRAKPLPFKVESSGPWGFQGANDDSPRITAKFVAPCYSMNKIELDAKLPIVGNQKWVIWICSFNIPMAPGKTRSIVCSARNFFQFSVPGPAWWQVVPRWYEHWTSNLVYDGDMIVLQGQEKVFLAKSMESPDYDVNKQYTKLTFTPTQADRFVLAFRNWLRRHGKSQPEWFGSTPSNQPLPSTVLTKRQMLDRFDQHTQVCSSCKGAYNSFQILKKFLVGATVFWAATAGVPSDVQIRLVLAGLSLISAASAYALHEQEKNFVFRDYVHSEIE
NP_190575.1 109 301 0.620176165803109 Transcription factor MYB77; Myb-related protein 77; AtMYB77; Myb-related protein R2; AtMYBR2 301 0 28 193 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SN12 1 SwissProt GGVAVTTVTETEEDQDRPKKRRSVSFDSAFAPVDTGLYMSPESPNGIDVSDSSTIPSPSSPVAQLFKPMPISGGFTVVPQPLPVEMSSSSEDPPTSLSLSLPGAENTSSSHNNNNNALMFPRFESQMKINVEERGEGRRGEFMTVVQEMIKAEVRSYMAEMQKTSGGFVVGGLYESGGNGGFRDCGVITPKVE
NP_191465.3 1 260 0.626976538461539 Transcription factor PIF5; Basic helix-loop-helix protein 65; AtbHLH65; bHLH 65; Phytochrome interacting factor-like 6; Phytochrome-interacting factor 5; Transcription factor EN 103; bHLH transcription factor bHLH065 444 0 28 260 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84LH8 1 SwissProt MEQVFADWNFEDNFHMSTNKRSIRPEDELVELLWRDGQVVLQSQARREPSVQVQTHKQETLRKPNNIFLDNQETVQKPNYAALDDQETVSWIQYPPDDVIDPFESEFSSHFFSSIDHLGGPEKPRTIEETVKHEAQAMAPPKFRSSVITVGPSHCGSNQSTNIHQATTLPVSMSDRSKNVEERLDTSSGGSSGCSYGRNNKETVSGTSVTIDRKRKHVMDADQESVSQSDIGLTSTDDQTMGNKSSQRSGSTRRSRAAEV
NP_191801.1 1 146 0.606484931506849 PF00170.21:bZIP_1:25:83,PF07716.15:bZIP_2:22:72 bZIP transcription factor 53; AtbZIP53 146 62 28 146 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZP8 1 SwissProt MGSLQMQTSPESDNDPRYATVTDERKRKRMISNRESARRSRMRKQKQLGDLINEVTLLKNDNAKITEQVDEASKKYIEMESKNNVLRAQASELTDRLRSLNSVLEMVEEISGQALDIPEIPESMQNPWQMPCPMQPIRASADMFDC
NP_192165.1 1 953 0.536091920251836 Homeobox protein LUMINIDEPENDENS 953 0 28 953 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38796 1 SwissProt MDAFKEEIEIGSSVESLMELLDSQKVLFHSQIDQLQDVVVAQCKLTGVNPLAQEMAAGALSIKIGKRPRDLLNPKAVKYLQAVFAIKDAISKRESREISALFGITVAQVREFFVTQKTRVRKQVRLSREKVVMSNTHALQDDGVPENNNATNHVEPVPLNSIHPEACSISWGEGETVALIPPEDIPPDISDSDKYFVENIFSLLRKEETFSGQVKLMEWIMQIQDASVLIWFLSKGGVLILTTWLSQAASEEQTSVLLLILKVLCHLPLHKASPENMSAILQSVNGLRFYRISDISNRAKGLLSRWTKLFAKIQAMKKQNRNSSQIDSQSQLLLKQSIAEIMGDSSNPEDILSLSNGKSENVRRIESSQGPKLLLTSADDSTKKHMLGSNPSYNKERRKVQMVEQPGQKAAGKSPQTVRIGTSGRSRPMSADDIQKAKMRALYMQSKNSKKDPLPSAIGDSKIVAPEKPLALHSAKDSPPIQNNEAKTEDTPVLSTVQPVNGFSTIQPVNGPSAVQPVNGPLAVQPVNGPSALQPVNGPSAVIVPVQADEIKKPSTPPKSISSKVGVMMKMSSQTILKNCKRKQIDWHVPPGMELDELWRVAAGGNSKEADVQRNRNRRERETTYQSLQTIPLNPKEPWDREMDYDDSLTPEIPSQQPPEESLTEPQDSLDERRIAAGAATTSSSLSSPEPDLELLAALLKNPDLVYALTSGKPSNLAGQDMVKLLDVIKTGAPNSSSSSNKQVEERVEVSLPSPTPSTNPGMSGWGQEGIRNPFSRQNQVGTAVARSGTQLRVGSMQWHQTNEQSIPRHAPSAYSNSITLAHTEREQQQYMQPKLHHNLHFQQQQQQPISTTSYAVREPVGQMGTGTSSSWRSQQSQNSYYSHQENEIASASQVTSYQGNSQYMSSNPGYESWSPDNSPSRNQLNMRGQQQQASRKHDSSTHPYWNQNKRWR
NP_196837.1 99 212 0.752971929824561 Ethylene-responsive transcription factor ERF113; Protein RELATED TO AP2 6L 212 0 28 114 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LYU3 1 SwissProt QGPTTTTTISHAPRGVSESMNSPPPRPGPPSTTTTSWPMTYNQDILQYAQLLTSNNEVDLSYYTSTLFSQPFSTPSSSSSSSQQTQQQQLQQQQQQREEEEKNYGYNYYNYPRE
NP_249676.1 1 308 0.380707792207792 pyocin S5 498 0 28 308 0 Pseudomonas aeruginosa PAO1 NP_249676.1 1 RefSeq MSNDNEVPGSMVIVAQGPDDQYAYEVPPIDSAAVAGNMFGDLIQREIYLQKNIYYPVRSIFEQGTKEKKEINKKVSDQVDGLLKQITQGKREATRQERVDVMSAVLHKMESDLEGYKKTFTKGPFIDYEKQSSLSIYEAWVKIWEKNSWEERKKYPFQQLVRDELERAVAYYKQDSLSEAVKVLRQELNKQKALKEKEDLSQLERDYRTRKANLEMKVQSELDQAGSALPPLVSPTPEQWLERATRLVTQAIADKKQLQTTNNTLIKNSPTPLEKQKAIYNGELLVDEIASLQARLVKLNAETTRRRT
NP_418584.1 1 322 0.214634472049689 PF02666.15:PS_Dcarbxylase:63:282 Phosphatidylserine decarboxylase proenzyme; EC 4.1.1.65 322 220 28 322 0 Escherichia coli (strain K12) SwissProt::P0A8K1 1 SwissProt MLNSFKLSLQYILPKLWLTRLAGWGASKRAGWLTKLVIDLFVKYYKVDMKEAQKPDTASYRTFNEFFVRPLRDEVRPIDTDPNVLVMPADGVISQLGKIEEDKILQAKGHNYSLEALLAGNYLMADLFRNGTFVTTYLSPRDYHRVHMPCNGILREMIYVPGDLFSVNHLTAQNVPNLFARNERVICLFDTEFGPMAQILVGATIVGSIETVWAGTITPPREGIIKRWTWPAGENDGSVALLKGQEMGRFKLGSTVINLFAPGKVNLVEQLESLSVTKIGQPLAVSTETFVTPDAEPAPLPAEEIEAEHDASPLVDDKKDQV
NP_443215.2 216 618 0.165699255583127 PF00474.17:SSF:35:237 sodium/iodide cotransporter 618 203 28 245 7 Rattus norvegicus NP_443215.2 1 RefSeq PRNMLSLAQNHSRINLMDFDPDPRSRYTFWTFIVGGTLVWLSMYGVNQAQVQRYVACHTEGKAKLALLVNQLGLFLIVASAACCGIVMFVYYKDCDPLLTGRISAPDQYMPLLVLDIFEDLPGVPGLFLACAYSGTLSTASTSINAMAAVTVEDLIKPRMPGLAPRKLVFISKGLSFIYGSACLTVAALSSLLGGGVLQGSFTVMGVISGPLLGAFTLGMLLPACNTPGVLSGLAAGLAVSLWVAVGATLYPPGEQTMGVLPTSAAGCTNDSVLLGPPGATNASNGIPSSGMDTGRPALADTFYAISYLYYGALGTLTTMLCGALISYLTGPTKRSSLGPGLLWWDLARQTASVAPKEDTATLEESLVKGPEDIPAVTKKPPGLKPGAETHPLYLGHDVETNL
NP_564176.2 117 257 0.372257446808511 Transcription factor MYB3; Myb-related protein 3; AtMYB3 257 0 28 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S9K9 1 SwissProt LSRGIDPNSHRLINESVVSPSSLQNDVVETIHLDFSGPVKPEPVREEIGMVNNCESSGTTSEKDYGNEEDWVLNLELSVGPSYRYESTRKVSVVDSAESTRRWGSELFGAHESDAVCLCCRIGLFRNESCRNCRVSDVRTH
NP_595357.1 435 1790 0.0881589970501477 PF02259.23:FAT:1086:1355,PF08064.13:UME:431:528 Protein kinase rad3; DNA repair protein rad3; EC 2.7.11.1 2386 368 28 1356 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q02099 1 SwissProt FQNGHNICTFAKWCINNNLDEPSNLKHFREMLDYYSSHNVTISEDDLKNFSLVLCTHVAKVNEKTNSIFRTYEVHGCEVCNSFCLLFDERSLFKIPYHELFCALLKNPDIISSSVKQSLLLDGFFRWSQHCSNFNKESMLSLREFIMKALASTSRCLRVVAAKVLPIFIKGPNNLDIVEFHKESKALIFNTLKILAVENTAILETVILSWISLSRVVEEEELHFVLLEVISSVINSGIFYQGIGLSALQQIASTRHISVWQLLSPYWPTVSVAIVQGMGKKPNIASLFAQLMNISEGDFLIRTQAYTLPFLVLTKNKALIVRIAELSQSDVATLCLTNMHKILASLLTTDHPNLEESVMLLLSLATSDFEKVDLTSLLRSDPISITVELLQLYQNDVPHEKIENALRKVAMIVSQVVNDEDLSNKELLYDFFNNHILGILAEFSNILNDLKGKTSINEKIKTIVGIEKMLSLCGGAVKLGLPQILSNLQSAFQNEHLRFYAIKAWFSLILATKEPEYSSIAGLSLVILPPLFPYLEPQEAELVIQIFDFISSDTHKCLQGLKWAIPTSLDSACFSLKAKEIFCSLQNEDFYSELQSIIKCLTNENEPVCYLGLQKLELFFQAKVDELHDTLNLDISNEVLDQLLRCLLDCCVKYASTNMQISYLAAKNLGELGAIDPSRAKAQHIIKETVVLDNFENGEESLKFILDFMQSQLIPAFLVTTDTKAQGFLAYALQEFLKLGGFKSAVINKKKGLTVVTEHWMSLPDLSKRVLIPFLTSKYHLTPIPKIDIRYPIYKENVTIHTWMQLFSLKLMEYAHSQNAEKIFGICSKVVKDQEVNIPCFLLPFLVLNVILTESELEVNKVIEEFQLVINQPGPDGLNSVGQQRYTSFVDVFFKIVDYLNKWLRMRKKRNWDRRSAIARKENRYMSVEDATSRESSISKVESFLSRFPSKTLGIVSLNCGFHARALFYWEQHIRNATAPYAALESDYRVLQEIYAGIDDPDEIEAVSLNFHDYSFDQQLLLHENSGTWDSALSCYEIIIQKDPENKKAKIGLLNSMLQSGHYESLVLSLDSFIINDNHEYSKMLNLGIEASWRSLSIDSLKKCLSKSNLESFEAKLGSIFYQYLRKDSFAELTERLQPLYVDAATAIANTGAHSAYDCYDILSKLHAINDFSRIAETDGIVSDNLDIVLRRRLSQVAPYGKFKHQILSTHLVGYEKFENTKKTAEIYLEIARISRKNGQFQRAFNAILKAMDLDKPLATIEHAQWWWHQGQHRKAISELNFSLNNNMFDLVDEHEERPKNRKETLGNPLKGKVFLKLTKWLGKAGQLGLKDLETYYHKAVEIYSECENTHYYLGH
NP_596052.1 1 655 0.233313129770992 Nucleoporin pom152 1250 0 28 655 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O94385 1 SwissProt MVTRVASSERPRPLVPESIVDAPTQRLYAIGVFVALQAYKIYDLLKLETSSISDVPKSGFLVKWIIIDAIYLRLLPKFRIPWLSFQPAATLLQIAIFAAINLLLSSLSSLKWISIGSILLPYFKKKELSISEHKINPNNVIHNSSRILGQYTLQVLPEGTAKINPLHENYCLNSLRKDQYVDLAIQFNSTIPKYIQYSHVDLETKEETLVEVSGRSLRKLLSSSSKNPKEPRLQTIYLKTNKRGLYTLKHVVDKSKLDVRIFRSEAVVVSCPTATFASRQSGGRLRERCVGDTDNAELKVTGVAPLQVTYRNWDGKHFNTHIIDSTIPDDFHPPAVVLSSNPKDIVFYKGIDIQWARSSEIFVPINTLLKAPGQWIYAVTQVTDALGNSQQFPSNDQFLLRFAHGYTEADGESHSLPENVYSVFVHQRPDIQFRGCSIESPANLFPNKETSLSLYSSFSEYNSLEVGVDRYELGLDPQNITVPPLSHKTYQISPRSSANINVKKPGIYVLSSVSSQYCSGEVLEPNTCLVVTPPEAKVSVSFEEISDQCAGSIGARADLELEGTPPFTIAYRMTKDNEASRIQYVTTDRTRYQLNFTPKKAGKYRYIILGIQDANYGYRELSGSSFYKDQTVFPLADASFEERRNGDLSTVVKTS
NP_598199.2 1 714 0.677989775910363 PF03832.13:WSK:72:100 A-kinase anchor protein 5 714 29 28 714 0 Rattus norvegicus NP_598199.2 1 RefSeq METSVSEIQIETKDEKRPEAASPQKERQERKTATLCFKRRKKVNKKKAKAGSKTAEETKKHAPEAGGSGQRQPAGAWASIKRLVTHRKPSESAEKQKPSEAEMQPEDGALPKKKTKSKLKIPCIRFSRGAKRSRPSKLTEDSGYVRVQGEADDLEIKAQIQPDEQATQAKSTQGLQEDVMVRDGKEIQESHISNNVISGEHVIAIELELEKESSALRMRTPGSEKEAKVILVKQSVQVQQASVLENSAADSPQPVTSTAPLSPATTHQLGLEEPSDSIRESAPSGKDDGRRKTAAEEKKSGETALGQAEEASSVSQADKSVLSQAEEATVGHTEEATVIQAQSQAKEGKLSQAEEATVAQAKETVLSQAEEVKLSQIEEPAISQAKKATVGQAKEAIVSQAEEAIVGHTEKATMGQAEEATVGHIEKTTVGQAEEATVGQAEEATVGQAEEATVGQAEEATVGQAEEATVGQAGEATVSHIEKTTVGQAEEAIVGQAEEATVGQAEEATVGQAEEATVGQAEEATVDQAEEATVGQAEEATVGQAGEAAVGQAEEAIVAQAEEATVGQAGEATVGQAEKATVGQAEEPIVGQAEETVLRHASDLKVNGVDAEKPRSEESKRMEPIAIIITDTEISEFDVKKSKNVPKQFLISMENEQVGVFANDSDFEGRTSEQYETLLIETASSLVKNAIELSVEQLVNEMVSEDNQINTLFQ
NP_647537.1 1 1429 0.1351911126662 PF01344.25:Kelch_1:340:382,PF01344.25:Kelch_1:554:604,PF13964.6:Kelch_6:392:436,PF00059.21:Lectin_C:806:920,PF01437.25:PSI:933:983,PF01437.25:PSI:986:1061,PF13854.6:Kelch_5:392:422,PF00431.20:CUB:132:245 Attractin; DPPT-L; Mahogany homolog 1429 495 28 1406 1 Homo sapiens (Human) SwissProt::O75882 1 SwissProt MVAAAAATEARLRRRTAATAALAGRSGGPHWDWDVTRAGRPGLGAGLRLPRLLSPPLRPRLLLLLLLLSPPLLLLLLPCEAEAAAAAAAVSGSAAAEAKECDRPCVNGGRCNPGTGQCVCPAGWVGEQCQHCGGRFRLTGSSGFVTDGPGNYKYKTKCTWLIEGQPNRIMRLRFNHFATECSWDHLYVYDGDSIYAPLVAAFSGLIVPERDGNETVPEVVATSGYALLHFFSDAAYNLTGFNITYSFDMCPNNCSGRGECKISNSSDTVECECSENWKGEACDIPHCTDNCGFPHRGICNSSDVRGCSCFSDWQGPGCSVPVPANQSFWTREEYSNLKLPRASHKAVVNGNIMWVVGGYMFNHSDYNMVLAYDLASREWLPLNRSVNNVVVRYGHSLALYKDKIYMYGGKIDSTGNVTNELRVFHIHNESWVLLTPKAKEQYAVVGHSAHIVTLKNGRVVMLVIFGHCPLYGYISNVQEYDLDKNTWSILHTQGALVQGGYGHSSVYDHRTRALYVHGGYKAFSANKYRLADDLYRYDVDTQMWTILKDSRFFRYLHTAVIVSGTMLVFGGNTHNDTSMSHGAKCFSSDFMAYDIACDRWSVLPRPDLHHDVNRFGHSAVLHNSTMYVFGGFNSLLLSDILVFTSEQCDAHRSEAACLAAGPGIRCVWNTGSSQCISWALATDEQEEKLKSECFSKRTLDHDRCDQHTDCYSCTANTNDCHWCNDHCVPRNHSCSEGQISIFRYENCPKDNPMYYCNKKTSCRSCALDQNCQWEPRNQECIALPENICGIGWHLVGNSCLKITTAKENYDNAKLFCRNHNALLASLTTQKKVEFVLKQLRIMQSSQSMSKLTLTPWVGLRKINVSYWCWEDMSPFTNSLLQWMPSEPSDAGFCGILSEPSTRGLKAATCINPLNGSVCERPANHSAKQCRTPCALRTACGDCTSGSSECMWCSNMKQCVDSNAYVASFPFGQCMEWYTMSTCPPENCSGYCTCSHCLEQPGCGWCTDPSNTGKGKCIEGSYKGPVKMPSQAPTGNFYPQPLLNSSMCLEDSRYNWSFIHCPACQCNGHSKCINQSICEKCENLTTGKHCETCISGFYGDPTNGGKCQPCKCNGHASLCNTNTGKCFCTTKGVKGDECQLCEVENRYQGNPLRGTCYYTLLIDYQFTFSLSQEDDRYYTAINFVATPDEQNRDLDMFINASKNFNLNITWAASFSAGTQAGEEMPVVSKTNIKEYKDSFSNEKFDFRNHPNITFFVYVSNFTWPIKIQIAFSQHSNFMDLVQFFVTFFSCFLSLLLVAAVVWKIKQSCWASRRREQLLREMQQMASRPFASVNVALETDEEPPDLIGGSIKTVPKPIALEPCFGNKAAVLSVFVRLPRGLGGIPPPGQSGLAVASALVDISQQMPIVYKEKSGAVRNRKQQPPAQPGTCI
NP_659057.2 1 489 0.175303885480573 PF02535.22:Zip:150:479 Zinc transporter ZIP14; Factor for adipocyte differentiation 123; FAD-123; Solute carrier family 39 member 14; Zrt- and Irt-like protein 14; ZIP-14 489 330 28 333 7 Mus musculus (Mouse) SwissProt::Q75N73 1 SwissProt MKRLHPALPSCLLLVLFGIWRTAPQTHASSAGLPPLSATSFLEDLMDRYGKNDSLTLTQLKSLLDHLHVGVGRDNVSQPKEGPRNLSTCFSSGDLFAAHNLSERSQIGASEFQEFCPTILQQLDSQACTSENQKSEENEQTEEGKPSAIEVWGYGFLCVTVISLCSLMGASVVPFMKKTFYKRLLLYFIALAIGTLYSNALFQLIPEAFGFNPQDNYVSKSAVVFGGFYLFFFTEKILKMLLKQKNEHHHGHNHFTSETLPSKKDQEEGVTEKLQNGDLDHMIPQHCNSELDGKAPGTDEKVIVNSMSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSVFQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHFSANWIFALAGGMFLYIALADMFPEMNEVCQEDEKNDSFLVPFVIQNLGLLTGFSIMLVLTMYSGQIQIG
NP_724878.2 1 415 0.513076626506024 PF00229.18:TNF:308:415 Protein eiger 415 108 28 392 1 Drosophila melanogaster (Fruit fly) SwissProt::Q8MUJ1 1 SwissProt MTAETLKPFITPTSANDDGFPAKATSTATAQRRTRQLIPLVLGFIGLGLVVAILALTIWQTTRVSHLDKELKSLKRVVDNLQQRLGINYLDEFDEFQKEYENALIDYPKKVDGLTDEEDDDDGDGLDSIADDEDDDVSYSSVDDVGADYEDYTDMLNKLNNAHTGTTPTSETTAEGEGETDSASSASNDDNVFDDFTSYNAHKKKQERKSRSIADVRNEEQNIQGNHTELQEKSSNEATSKESPAPLHHRRRMHSRHRHLLVRKGESLLSARSEDSRPAAHFHLSSRRRHQGSMGYHGDMYIGNDNERNSYQGHFQTRDGVLTVTNTGLYYVYAQICYNNSHDQNGFIVFQGDTPFLQCLNTVPTNMPHKVHTCHTSGLIHLERNERIHLKDIHNDRNAVLREGNNRSYFGIFKV
NP_766111.1 1 517 0.120091102514507 PF07690.16:MFS_1:107:421,PF00083.24:Sugar_tr:114:271 Synaptic vesicular amine transporter; Monoamine transporter; Solute carrier family 18 member 2; Vesicular amine transporter 2; VAT2 517 315 28 264 11 Mus musculus (Mouse) SwissProt::Q8BRU6 1 SwissProt MALSDLVLLRWLRDSRHSRKLILFIVFLALLLDNMLLTVVVPIIPSYLYSIKHEKNTTEIQTARPALTASTSESFHSIFSYYNNSTVFTGNATGGLPGGESPKATTTQHTVTNTTVPPDCPSEDKDLLNENVQVGLLFASKATVQLLTNPFIGLLTNRIGYPIPMFAGFCIMFISTVMFAFSSSYAFLLIARSLQGIGSSCSSVAGMGMLASVYTDDEERGNAMGIALGGLAMGVLVGPPFGSVLYEFVGKTAPFLVLAALVLLDGAIQLFVLQPSRVQPESQKGTPLTTLLKDPYILIAAGSICFANMGIAMLEPALPIWMMETMCSRKWQLGVAFLPASISYLIGTNIFGILAHKMGRWLCALLGMIVVGISILCIPFAKNIYGLIAPNFGVGFAIGMVDSSMMPIMGYLVDLRHVSVYGSVYAIADVAFCMGYAIGPSAGGAIAKAIGFPWLMTIIGIIDIVFAPLCFFLRSPPAKEEKMAILMDHNCPIKTKMYTQNNVQPYPVGDDEESESD
NP_849559.1 1 224 0.473113392857143 Probable WRKY transcription factor 11; WRKY DNA-binding protein 11 325 0 28 224 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SV15 1 SwissProt MAVDLMRFPKIDDQTAIQEAASQGLQSMEHLIRVLSNRPEQQHNVDCSEITDFTVSKFKTVISLLNRTGHARFRRGPVHSTSSAASQKLQSQIVKNTQPEAPIVRTTTNHPQIVPPPSSVTLDFSKPSIFGTKAKSAELEFSKENFSVSLNSSFMSSAITGDGSVSNGKIFLASAPLQPVNSSGKPPLAGHPYRKRCLEHEHSESFSGKVSGSAYGKCHCKKSR
NP_990568.3 1 283 0.377471731448764 PF00688.18:TGFb_propeptide:47:275 bone morphogenetic protein 4 precursor 404 229 28 283 0 Gallus gallus NP_990568.3 1 RefSeq MIPGNRMLMVILLCQVLLGGTNHASLIPETGRKKVAELQGQAGSGRRSAQSHELLRGFETTLLQMFGLRRRPQPSKSAVIPSYMLDLYRLQSGEEEESLQEISLQYPERSTSRANTVRSFHHEEHLESVPGPSEVPRIRFVFNLSSVPDNEVISSAELRLYREQVEEPSAAWERGFHRINIYEVMKPLSERSQAITRLLDTRLVHHNVTRWETFDVSPAVIRWTKDKQPNHGLVIEVTHLHQAQTHQGKHVRISRSLPQGYGGDWAQLRPLLVTFGHDGRGHA
NP_995311.2 1 97 0.289445360824742 PF05083.13:LST1:16:96 Leukocyte-specific transcript 1 protein; Protein B144 97 81 28 74 1 Homo sapiens (Human) SwissProt::O00453 1 SwissProt MLSRNDDICIYGGLGLGGLLLLAVVLLSACLCWLHRRVKRLERSWAQGSSEQELHYASLQRLPVPSSEGPDLRGRDKRGTKEDPRADYACIAENKPT
NP_995789.1 94 501 0.750744607843137 PF12347.8:HJURP_C:4:63,PF10462.9:Peptidase_M66:158:323 myocyte enhancer factor 2, isoform F 501 226 28 408 0 Drosophila melanogaster NP_995789.1 1 RefSeq MSPDSPEAETDYTLTPRTEAKYNKIDEEFQNMMQRNQMAIGGAGAPRQLPNSSYTLPVSVPVPGSYGDNLLQASPQMSHTNISPRPSSSETDSAHHLSIKQQSPGSQNGRASNLRVVIPPTIAPIPPNMSAPDDVGYADQRQSQTSLNTPVVTLQTPIPALTSYSFGAQDFSSSGVMNSADIMSLNTWHQGLVPHSSLSHLAVSNSTPPPATSPVSIKVKAEPQSPPRDLSASGHQQNSNGSTGSGGSSSSTSSNASGGAGGGGAVSAANVITHLNNVSVLAGGPSGQGGGGGGGGSNGNVEQATNLSVLSHAQQHHLGMPNSRPSSTGHITPTPGHDKYEGYPYRALMGHNPRWNLNFAGAPSSDQDVRLAAVAVQQQQQQPHQQQQLGDYDAPNHKRPRISGGWGT
O50835 1 205 0.514118536585366 Fibronectin-binding protein BBK32 354 0 28 205 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) O50835 1 SwissProt/TReMBL MKKVKSKYLALGLLFGFISCDLFIRYEMKEESPGLFDKGNSILETSEESIKKPMNKKGKGKIARKKGKSKVSRKEPYIHSLKRDSANKSNFLQKNVILEEESLKTELLKEQSETRKEKIQKQQDEYKGMTQGSLNSLSGESGELEEPIESNEIDLTIDSDLRPKSSLQGIAGSNSISYTDEIEEEDYDQYYLDEYDEEDEEEIRL
P03409 1 353 0.200217847025496 PF02959.16:Tax:3:224 Protein Tax-1; Protein X-LOR; Protein PX; Trans-activating transcriptional regulatory protein of HTLV-1 353 222 28 353 0 Human T-cell leukemia virus 1 (strain Japan ATK-1 subtype A) (HTLV-1) SwissProt::P03409 1 SwissProt MAHFPGFGQSLLFGYPVYVFGDCVQGDWCPISGGLCSARLHRHALLATCPEHQITWDPIDGRVIGSALQFLIPRLPSFPTQRTSKTLKVLTPPITHTTPNIPPSFLQAMRKYSPFRNGYMEPTLGQHLPTLSFPDPGLRPQNLYTLWGGSVVCMYLYQLSPPITWPLLPHVIFCHPGQLGAFLTNVPYKRIEELLYKISLTTGALIILPEDCLPTTLFQPARAPVTLTAWQNGLLPFHSTLTTPGLIWTFTDGTPMISGPCPKDGQPSLVLQSSSFIFHKFQTKAYHPSFLLSHGLIQYSSFHSLHLLFEEYTNIPISLLFNEKEADDNDHEPQISPGGLEPPSEKHFRETEV
P07248 162 1323 0.271692512908778 Regulatory protein ADR1 1323 0 28 1162 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P07248 1 SwissProt TISHTKKVSRTITKARKNSASSVKFQTPTYGTPDNGNFLNRTTANTRRKASPEANVKRKYLKKLTRRASFSAQSASSYALPDQSSLEQHPKDRVKFSTPELVPLDLKNPELDSSFDLNMNLDLNLNLDSNFNIALNRSDSSGSTMNLDYKLPESANNYTYSSGSPTRAYVGANTNSKNASFNDADLLSSSYWIKAYNDHLFSVSESDETSPMNSELNDTKLIVPDFKSTIHHLKDSRSSSWTVAIDNNSNNNKVSDNQPDFVDFQELLDNDTLGNDLLETTAVLKEFELLHDDSVSATATSNEIDLSHLNLSNSPISPHKLIYKNKEGTNDDMLISFGLDHPSNREDDLDKLCNMTRDVQAIFSQYLKGEESKRSLEDFLSTSNRKEKPDSGNYTFYGLDCLTLSKISRALPASTVNNNQPSHSIESKLFNEPMRNMCIKVLRYYEKFSHDSSESVMDSNPNLLSKELLMPAVSELNEYLDLFKNNFLPHFPIIHPSLLDLDLDSLQRYTNEDGYDDAENAQLFDRLSQGTDKEYDYEHYQILSISKIVCLPLFMATFGSLHKFGYKSQTIELYEMSRRILHSFLETKRRCRSTTVNDSYQNIWLMQSLILSFMFALVADYLEKIDSSLMKRQLSALCSTIRSNCLPTISANSEKSINNNNEPLTFGSPLQYIIFESKIRCTLMAYDFCQFLKCFFHIKFDLSIKEKDVETIYIPDNESKWASESIICNGHVVQKQNFYDFRNFYYSFTYGHLHSIPEFLGSSMIYYEYDLRKGTKSHVFLDRIDTKRLERSLDTSSYGNDNMAATNKNIAILIDDTIILKNNLMSMRFIKQIDRSFTEKVRKGQIAKIYDSFLNSVRLNFLKNYSVEVLCEFLVALNFSIRNISSLYVEEESDCSQRMNSPELPRIHLNNQALSVFNLQGYYYCFILIIKFLLDFEATPNFKLLRIFIELRSLANSILLPTLSRLYPQEFSGFPDVVFTQQFINKDNGMLVPGLSANEHHNGASAAVKTKLAKKINVEGLAMFINEILVNSFNDTSFLNMEDPIRNEFSFDNGDRAVTDLPRSAHFLSDTGLEGINFSGLNDSHQTVSTLNLLRYGENHSSKHKNGGKGQGFAEKYQLSLKYVTIAKLFFTNVKENYIHCHMLDKMASDFHTLENHLKGNS
P13521 1 617 0.597785413290114 PF01271.17:Granin:28:614 Secretogranin-2; Chromogranin-C; Secretogranin II; SgII 617 587 28 594 1 Homo sapiens (Human) SwissProt::P13521 1 SwissProt MAEAKTHWLGAALSLIPLIFLISGAEAASFQRNQLLQKEPDLRLENVQKFPSPEMIRALEYIENLRQQAHKEESSPDYNPYQGVSVPLQQKENGDESHLPERDSLSEEDWMRIILEALRQAENEPQSAPKENKPYALNSEKNFPMDMSDDYETQQWPERKLKHMQFPPMYEENSRDNPFKRTNEIVEEQYTPQSLATLESVFQELGKLTGPNNQKRERMDEEQKLYTDDEDDIYKANNIAYEDVVGGEDWNPVEEKIESQTQEEVRDSKENIEKNEQINDEMKRSGQLGIQEEDLRKESKDQLSDDVSKVIAYLKRLVNAAGSGRLQNGQNGERATRLFEKPLDSQSIYQLIEISRNLQIPPEDLIEMLKTGEKPNGSVEPERELDLPVDLDDISEADLDHPDLFQNRMLSKSGYPKTPGRAGTEALPDGLSVEDILNLLGMESAANQKTSYFPNPYNQEKVLPRLPYGAGRSRSNQLPKAAWIPHVENRQMAYENLNDKDQELGEYLARMLVKYPEIINSNQVKRVPGQGSSEDDLQEEEQIEQAIKEHLNQGSSQETDKLAPVSKRFPVGPPKNDDTPNRQYWDEDLLMKVLEYLNQEKAEKGREHIAKRAMENM
P34901 1 145 0.613521379310345 Syndecan-4 202 0 28 145 0 Rattus norvegicus P34901 1 SwissProt/TReMBL MAPVCLFAPLLLLLLGGFPVAPGESIRETEVIDPQDLLEGRYFSGALPDDEDAGGLEQDSDFELSGSGDLDDTEEPRTFPEVISPLVPLDNHIPENAQPGIRVPSEPKELEENEVIPKRVPSDVGDDDVSNKVSMSSTSQGSNIF
P38992 1 349 0.0872681948424069 PF04116.13:FA_hydroxylase:162:297 Sphingolipid C4-hydroxylase SUR2; Syringomycin response protein 2; EC 1.-.-.- 349 136 28 258 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38992 1 SwissProt MNVTSNATAAGSFPLAFGLKTSFGFMHYAKAPAINLRPKESLLPEMSDGVLALVAPVVAYWALSGIFHVIDTFHLAEKYRIHPSEEVAKRNKASRMHVFLEVILQHIIQTIVGLIFMHFEPIYMTGFEENAMWKLRADLPRIIPDAAIYYGYMYGMSALKIFAGFLFVDTWQYFLHRLMHMNKTLYKWFHSVHHELYVPYAYGALFNNPVEGFLLDTLGTGIAMTLTHLTHREQIILFTFATMKTVDDHCGYALPLDPFQWLFPNNAVYHDIHHQQFGIKTNFAQPFFTFWDNLFQTNFKGFEEYQKKQRRVTIDKYKEFLQERELEKKEKLKNFKAMNAAENEVKKEK
P40356 1 397 0.647356171284635 PF11593.8:Med3:3:397 Mediator of RNA polymerase II transcription subunit 3; Hyper-recombination suppressor protein 1; Mediator complex subunit 3; Poly-glutamine domain protein 1 397 395 28 397 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40356 1 SwissProt MDSIIPAGVKLDDLQVILAKNENETRDKVCKQINEARDEILPLRLQFNEFIQIMANIDQEGSKQADRMAKYLHIRDKILQLNDRFQTLSSHLEALQPLFSTVPEYLKTADNRDRSFQLLEPLSTYNKNGNAVCSTATVVSTNHSAAASTPTTTATPHANPITHAHSLSNPNSTATMQHNPLAGKRGPKSGSTMGTPTVHNSTAAAPIAAPKKPRKPRQTKKAKAQAQAQAQAQAQVYAQQSTVQTPITASMAAALPNPTPSMINSVSPTNVMGTPLTNMMSPMGNAYSMGAQNQGGQVSMSQFNGSGNGSNPNTNTNSNNTPLQSQLNLNNLTPANILNMSMNNDFQQQQQQQQQQQQPQPQYNMNMGMNNMNNGGKELDSLDLNNLELGGLNMDFL
P49796 387 1045 0.642821851289833 Regulator of G-protein signaling 3; RGP3; RGS3 1198 0 28 659 0 Homo sapiens (Human) SwissProt::P49796 1 SwissProt VLRRASCKSTHDLQSPPNKREKNCTHGVQARPEQRHSCHLVCDSSDGLLLGGWERYTEVAKRGGQHTLPALSRATAPTDPNYIILAPLNPGSQLLRPVYQEDTIPEESGSPSKGKSYTGLGKKSRLMKTVQTMKGHGNYQNCPVVRPHATHSSYGTYVTLAPKVLVFPVFVQPLDLCNPARTLLLSEELLLYEGRNKAAEVTLFAYSDLLLFTKEDEPGRCDVLRNPLYLQSVKLQEGSSEDLKFCVLYLAEKAECLFTLEAHSQEQKKRVCWCLSENIAKQQQLAASPPDSKMFETEADEKREMALEEGKGPGAEDSPPSKEPSPGQELPPGQDLPPNKDSPSGQEPAPSQEPLSSKDSATSEGSPPGPDAPPSKDVPPCQEPPPAQDLSPCQDLPAGQEPLPHQDPLLTKDLPAIQESPTRDLPPCQDLPPSQVSLPAKALTEDTMSSGDLLAATGDPPAAPRPAFVIPEVRLDSTYSQKAGAEQGCSGDEEDAEEAEEVEEGEEGEEDEDEDTSDDNYGERSEAKRSSMIETGQGAEGGLSLRVQNSLRRRTHSEGSLLQEPRGPCFASDTTLHCSDGEGAASTWGMPSPSTLKKELGRNGGSMHHLSLFFTGHRKMSGADTVGDDDEASRKRKSKNLAKDMKNKLGIFRRRNESP
P49956 229 663 0.198655862068966 Chromosome transmission fidelity protein 18 741 0 28 435 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P49956 1 SwissProt HTFDTNPVCLVADEIDGSIESGFIRILVDIMQSDIKATNKLLYGQPDKKDKKRKKKRSKLLTRPIICICNNLYAPSLEKLKPFCEIIAVKRPSDTTLLERLNLICHKENMNIPIKAINDLIDLAQGDVRNCINNLQFLASNVDSRDSSASDKPACAKNTWASSNKDSPISWFKIVNQLFRKDPHRDIKEQFYELLNQVELNGNSDRILQGCFNIFPYVKYSDNGIRKPANISDWLFFHDLMYQSMYAHNGELLRYSALVPLVFFQTFGDIANKDDIRMKNSEYEQRELKRANSDIVSLIMRHISVQSPLMASFTDRKSLIFEILPYLDSMISSDFNKIRNLKLKQAIMEELVQLLKSFQLNLIQNRSEGFDVRGGLTIDPPIDEVVLLNPKHINEVQHKRANNLSSLLAKIEENRAKKRHIDQVTEDRLQSQEMH
P81133 350 766 0.588297362110312 PF06621.12:SIM_C:10:320 Single-minded homolog 1 766 311 28 417 0 Homo sapiens P81133 1 SwissProt/TReMBL SKPAFSYTSSSTPTMTDNRKGAKSRLSSSKSKSRTSPYPQYSGFHTERSESDHDSQWGGSPLTDTASPQLLDPADRPGSQHDASCAYRQFSDRSSLCYGFALDHSRLVEERHFHTQACEGGRCEAGRYFLGTPQAGREPWWGSRAALPLTKASPESREAYENSMPHIASVHRIHGRGHWDEDSVVSSPDPGSASESGDRYRTEQYQSSPHEPSKIETLIRATQQMIKEEENRLQLRKAPSDQLASINGAGKKHSLCFANYQQPPPTGEVCHGSALANTSPCDHIQQREGKMLSPHENDYDNSPTALSRISSPNSDRISKSSLILAKDYLHSDISPHQTAGDHPTVSPNCFGSHRQYFDKHAYTLTGYALEHLYDSETIRNYSLGCNGSHFDVTSHLRMQPDPAQGHKGTSVIITNGS
Q62737 1 148 0.0910979729729729 PF05038.13:Cytochrom_B558a:2:148 Cytochrome b-245 light chain; Cytochrome b(558) alpha chain; Cytochrome b558 subunit alpha; Neutrophil cytochrome b 22 kDa polypeptide; Superoxide-generating NADPH oxidase light chain subunit; p22 phagocyte B-cytochrome; p22-phox; p22phox 192 147 28 64 4 Rattus norvegicus (Rat) SwissProt::Q62737 0 SwissProt MGQIEWAMWANEQALASGLILITGGIVATAGRFTQWYFGAYSIVAGVLICLLEYPRGKRKKGSTMERCGQKYLTAVVKLFGPLTRNYYVRAVLHLLLSVPAGFLLATILGTVCLAIASVIYLLAAIRGEQWTPIEPKPKERPQVGGTI
SwissProt::Q5AJU7 101 426 0.618352453987731 AP-1-like transcription factor CAP1 499 0 28 326 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AJU7 1 SwissProt AKYTGGSDFSDLNLPTKVGHLSHPNNHHSNVSTGTPHGSMSSSNSVASLDNDKPSSASSVSNNSPGFAFDNPWSKDNIQKLKHQHQQQQQKVPQGVPDLVSGSSSSSTPLNDNLLVTPESLTGLSTSSKYTGQNNVPTNLDFTNQFDEQVDPFCVKLNEACGTKSNPVPKFKRSGSKANTSVTNNSPLAHLVSPESQQYTNSSNIDFMNDPFFNGVGTDYNFNFDSKNGSIQDPLSFLQDDNFDLALAFGDPSPTGNEAEADPISLLTTEESIYDPLTNNSDKLCSTVKADDVNTDFNFNDFVKNSLPEKQEKGKYEPPSTSKTTN
VIMSS10079160 130 366 0.489969198312236 Transcription factor MYB61; Myb-related protein 61; AtMYB61 366 0 28 237 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VZQ2 1 SwissProt SEVESFSDKDKPTTSNNKRSGNDHKSPSSSSATNQDFFLERPSDLSDYFGFQKLNFNSNLGLSVTTDSSLCSMIPPQFSPGNMVGSVLQTPVCVKPSISLPPDNNSSSPISGGDHVKLAAPNWEFQTNNNNTSNFFDNGGFSWSIPNSSTSSSQVKPNHNFEEIKWSEYLNTPFFIGSTVQSQTSQPIYIKSETDYLANVSNMTDPWSQNENLGTTETSDVFSKDLQRMAVSFGQSL
VIMSS10080064 1 274 0.663559489051094 PF06200.14:tify:93:125,PF09425.10:CCT_2:182:206 Protein TIFY 11A; Jasmonate ZIM domain-containing protein 5 274 58 28 274 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDU5 1 SwissProt MSSSNENAKAQAPEKSDFTRRCSLLSRYLKEKGSFGNIDLGLYRKPDSSLALPGKFDPPGKQNAMHKAGHSKGEPSTSSGGKVKDVADLSESQPGSSQLTIFFGGKVLVYNEFPVDKAKEIMEVAKQAKPVTEINIQTPINDENNNNKSSMVLPDLNEPTDNNHLTKEQQQQQEQNQIVERIARRASLHRFFAKRKDRAVARAPYQVNQNAGHHRYPPKPEIVTGQPLEAGQSSQRPPDNAIGQTMAHIKSDGDKDDIMKIEEGQSSKDLDLRL
VIMSS10080404 1 265 0.806164905660377 PF00257.19:Dehydrin:48:198 Dehydrin COR47 265 151 28 265 0 Arabidopsis thaliana P31168 1 SwissProt/TReMBL MAEEYKNNVPEHETPTVATEESPATTTEVTDRGLFDFLGKKEEEVKPQETTTLESEFDHKAQISEPELAAEHEEVKENKITLLEELQEKTEEDEENKPSVIEKLHRSNSSSSSSSDEEGEEKKEKKKKIVEGEEDKKGLVEKIKEKLPGHHDKTAEDDVPVSTTIPVPVSESVVEHDHPEEEKKGLVEKIKEKLPGHHDEKAEDSPAVTSTPLVVTEHPVEPTTELPVEHPEEKKGILEKIKEKLPGYHAKTTEEEVKKEKESDD
VIMSS10080601 1 384 0.405890625 PF14144.6:DOG1:185:259,PF07716.15:bZIP_2:98:143 Transcription factor TGA3; bZIP transcription factor 22; AtbZIP22 384 121 28 384 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39234 1 SwissProt MEMMSSSSSTTQVVSFRDMGMYEPFQQLSGWESPFKSDINNITSNQNNNQSSSTTLEVDARPEADDNNRVNYTSVYNNSLEAEPSSNNDQDEDRINDKMKRRLAQNREAARKSRLRKKAHVQQLEESRLKLSQLEQELVRARQQGLCVRNSSDTSYLGPAGNMNSGIAAFEMEYTHWLEEQNRRVSEIRTALQAHIGDIELKMLVDSCLNHYANLFRMKADAAKADVFFLMSGMWRTSTERFFQWIGGFRPSELLNVVMPYVEPLTDQQLLEVRNLQQSSQQAEEALSQGLDKLQQGLVESIAIQIKVVESVNHGAPMASAMENLQALESFVNQADHLRQQTLQQMSKILTTRQAARGLLALGEYFHRLRALSSLWAARPREHT
VIMSS10088406 116 888 0.250093531694696 PF00176.23:SNF2_N:255:436,PF00271.31:Helicase_C:584:696,PF04851.15:ResIII:234:408 Protein CHROMATIN REMODELING 35; Protein DEFECTIVE IN MERISTEM SILENCING 1; Protein DEFECTIVE IN RNA-DIRECTED DNA METHYLATION 1 888 316 28 773 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SIW2 1 SwissProt DDTDVEKKALCVVPSSSEIVLLDSDDEDNERQRPMYQFQSTLVQHQKNQGDVTPLIPQCSFEEVDLGRGKEMPSAIKAIVEGQTSRGKVLPIENGVVNEKGVYVGVEEDDSDNESEAADEDLGNIWNEMALSIECSKDVARETSHKEKADVVEDCEHSFILKDDMGYVCRVCGVIEKSILEIIDVQFTKAKRNTRTYASETRTKRFGESDNELKFSEEGLMIGGLAAHPTHAAEMKPHQIEGFQFLCSNLVADDPGGCIMAHAPGSGKTFMIISFMQSFLAKYPQAKPLVVLPKGILPTWKKEFVRWQVEDIPLLDFYSAKAENRAQQLSILKQWMEKKSILFLGYQQFSTIVCDDTTDSLSCQEILLKVPSILILDEGHTPRNEDTNLLQSLAQVQTPRKVVLSGTLYQNHVKEVFNILNLVRPKFLKLDTSKSAVKRILAYTPCDVRGRLTGSNSDMASMFNETVEHTLQKSEDFTVKIKVIQDLREMTKKVLHYYKGDFLDELPGLADFTVVLNLSPKQLNEVKKLRREKRKFKVSAVGSAIYLHPKLKVFSDKSDDVSDTTMDEMVEKLDLNEGVKAKFFLNLINLCDSAGEKLLVFSQYLIPLKFLERLAALAKGWKLGKEVFVLTGNTSSEQREWSMETFNSSPDAKIFFGSIKACGEGISLVGASRILILDVPLNPSVTRQAIGRAFRPGQKKMVHAYRLIAGSSPEEEDHNTCFKKEVISKMWFEWNEYCGYQNFEVETIDVDEAGDTFLESPALREDIRVLYKR
VIMSS10092944 141 343 0.4377960591133 Transcription factor MYB83; Myb-related protein 83; AtMYB83 343 0 28 203 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C6U1 1 SwissProt NTSSGSSPNNSNSNSLDPRDQHVDMGGNSTSLMDDYHHDENMMTVGNTMRMDSSSPFNVGPMVNSVGLNQLYDPLMISVPDNGYHQMGNTVNVFSVNGLGDYGNTILDPISKRVSVEGDDWFIPPSENTNVIACSTSNNLNLQALDPCFNSKNLCHSESFKVGNVLGIENGSWEIENPKIGDWDLDGLIDNNSSFPFLDFQVD
VIMSS10093286 145 553 0.399933985330073 Transcription factor MYB65; Myb-related protein 65; AtMYB65 553 0 28 409 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FR97 1 SwissProt QRAGLPLYPPEIYVDDLHWSEEYTKSNIIRVDRRRRHQDFLQLGNSKDNVLFDDLNFAASLLPAASDLSDLVACNMLGTGASSSRYESYMPPILPSPKQIWESGSRFPMCSSNIKHEFQSPEHFQNTAVQKNPRSCSISPCDVDHHPYENQHSSHMMMVPDSHTVTYGMHPTSKPLFGAVKLELPSFQYSETSAFDQWKTTPSPPHSDLLDSVDAYIQSPPPSQVEESDCFSSCDTGLLDMLLHEAKIKTSAKHSLLMSSPQKSFSSTTCTTNVTQNVPRGSENLIKSGEYEDSQKYLGRSEITSPSQLSAGGFSSAFAGNVVKTEELDQVWEPKRVDITRPDVLLASSWLDQGCYGIVSDTSSMSDALALLGGDDIGNSYVTVGSSSGQAPRGVGSYGWTNMPPVWSL
VIMSS10093593 240 802 0.30115648312611 PF05879.12:RHD3:2:545 Protein ROOT HAIR DEFECTIVE 3; Protein FRAGILE FIBER 4; Protein SEY1 homolog 1; EC 3.6.5.- 802 544 28 563 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93042 1 SwissProt VAPGGLAGDRRGVVPANAFAFSAKQMWQVIKDNKDLDLPAHKVMVATVRCEEIANEKFSSFIANENWRELEEAVQSGPVSGFGRKLSSILQASLSEYDTEATYFEESVRSSKRQQLQEKLLQLVQPTFQDVLGHLRAGALENFKNAFEKALDAGEGFSSSAKSCAQSCISKFDKGCEEAVIEQAKWDTSKTREKLERDIEAHISSVRTAKLAELTTLYESKLNVALSGPVEALLDGANDETWPAIRKLLRREGELAVYGLSNALSGFEMDEETRSKMLADLENYARGIVETKAKEEAGRAMMRMKDRFATIFSHDSDSMPRVWTGKEDIRAITKMARSASLKLLSVMAVIRLDDELDNIEKTLTLALFNSTGNNATSKSISTIDSLASSTWEKVAPEKTLITPVQCKSLWRQFKNETEYTVTQAISAQEANRRNNNWLPPPWAILALVVLGFNEFMTLLRNPLWLLVLFVGYLVSKALWVQLNISGEFQNGVLPGLLSLSTKFIPTVMNLLKKLAEEGQAPPTNSNQSMNSTAQSEVTTNGESSSSSSSGSSPAKNVPIDTSA
VIMSS10093946 296 690 0.590113924050633 Two-component response regulator ARR1 690 0 28 395 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q940D0 1 SwissProt GGVSQHQGNLNNSFMTGQDASFGPLSTLNGFDLQALAVTGQLPAQSLAQLQAAGLGRPAMVSKSGLPVSSIVDERSIFSFDNTKTRFGEGLGHHGQQPQQQPQMNLLHGVPTGLQQQLPMGNRMSIQQQIAAVRAGNSVQNNGMLMPLAGQQSLPRGPPPMLTSSQSSIRQPMLSNRISERSGFSGRNNIPESSRVLPTSYTNLTTQHSSSSMPYNNFQPELPVNSFPLASAPGISVPVRKATSYQEEVNSSEAGFTTPSYDMFTTRQNDWDLRNIGIAFDSHQDSESAAFSASEAYSSSSMSRHNTTVAATEHGRNHQQPPSGMVQHHQVYADGNGGSVRVKSERVATDTATMAFHEQYSNQEDLMSALLKQEGIAPVDGEFDFDAYSIDNIPV
VIMSS10098477 1 623 0.362087158908507 PF03514.14:GRAS:244:622 Scarecrow-like protein 22; AtSCL22; GRAS family protein 21; AtGRAS-21; SCL6-III 623 379 28 623 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M000 1 SwissProt MPLPFEQFQGKGVLGFLDSSSSPGYKIWANPEKLHGRVEEDLCFVVNNGGFSEPTSVLDSVRSPSPFVSSSTTTLSSSHGGPSGGGAAAATFSGADGKCDQMGFEDLDGVLSGGSPGQEQSIFRLIMAGDVVDPGSEFVGFDIGSGSDPVIDNPNPLFGYGFPFQNAPEEEKFQISINPNPGFFSDPPSSPPAKRLNSGQPGSQHLQWVFPFSDPGHESHDPFLTPPKIAGEDQNDQDQSAVIIDQLFSAAAELTTNGGDNNPVLAQGILARLNHNLNNNNDDTNNNPKPPFHRAASYITEALHSLLQDSSLSPPSLSPPQNLIFRIAAYRAFSETSPFLQFVNFTANQTILESFEGFDRIHIVDFDIGYGGQWASLIQELAGKRNRSSSAPSLKITAFASPSTVSDEFELRFTEENLRSFAGETGVSFEIELLNMEILLNPTYWPLSLFRSSEKEAIAVNLPISSMVSGYLPLILRFLKQISPNVVVCSDRSCDRNNDAPFPNGVINALQYYTSLLESLDSGNLNNAEAATSIERFCVQPSIQKLLTNRYRWMERSPPWRSLFGQCGFTPVTLSQTAETQAEYLLQRNPMRGFHLEKRQSSSPSLVLCWQRKELVTVSAWKC
VIMSS10101676 1 225 0.552151111111111 PF05558.12:DREPP:1:225 Plasma membrane-associated cation-binding protein 1; AtPCAP1; Microtubule-destabilizing protein 25 225 225 28 225 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96262 1 SwissProt MGYWNSKVVPKFKKLFEKNSAKKAAAAEATKTFDESKETINKEIEEKKTELQPKVVETYEATSAEVKALVRDPKVAGLKKNSAAVQKYLEELVKIEFPGSKAVSEASSSFGAGYVAGPVTFIFEKVSVFLPEEVKTKEIPVEEVKAEEPAKTEEPAKTEGTSGEKEEIVEETKKGETPETAVVEEKKPEVEEKKEEATPAPAVVETPVKEPETTTTAPVAEPPKP
VIMSS10108276 1 199 0.319638190954774 PF01277.17:Oleosin:52:164 Oleosin 21.2 kDa; Oleosin type 2 199 113 28 130 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39165 1 SwissProt MADTHRVDRTDRHFQFQSPYEGGRGQGQYEGDRGYGGGGYKSMMPESGPSSTQVLSLLIGVPVVGSLLALAGLLLAGSVIGLMVALPLFLLFSPVIVPAALTIGLAMTGFLASGMFGLTGLSSISWVMNYLRGTRRTVPEQLEYAKRRMADAVGYAGQKGKEMGQHVQNKAQDVKQYDISKPHDTTTKGHETQGRTTAA
VIMSS10108524 1 626 0.257876677316294 Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 6, chloroplastic 801 0 28 626 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FIG9 1 SwissProt MEALSHVGIGLSPFQLCRLPPATTKLRRSHNTSTTICSASKWADRLLSDFNFTSDSSSSSFATATTTATLVSPPPSIDRPERHVPIPIDFYQVLGAQTHFLTDGIRRAFEARVSKPPQFGFSDDALISRRQILQAACETLSNPRSRREYNEGLLDDEEATVITDVPWDKVPGALCVLQEGGETEIVLRVGEALLKERLPKSFKQDVVLVMALAFLDVSRDAMALDPPDFITGYEFVEEALKLLQEEGASSLAPDLRAQIDETLEEITPRYVLELLGLPLGDDYAAKRLNGLSGVRNILWSVGGGGASALVGGLTREKFMNEAFLRMTAAEQVDLFVATPSNIPAESFEVYEVALALVAQAFIGKKPHLLQDADKQFQQLQQAKVMAMEIPAMLYDTRNNWEIDFGLERGLCALLIGKVDECRMWLGLDSEDSQYRNPAIVEFVLENSNRDDNDDLPGLCKLLETWLAGVVFPRFRDTKDKKFKLGDYYDDPMVLSYLERVEVVQGSPLAAAAAMARIGAEHVKASAMQALQKVFPSRYTDRNSAEPKDVQETVFSVDPVGNNVGRDGEPGVFIAEAVRPSENFETNDYAIRAGVSESSVDETTVEMSVADMLKEASVKILAAGVAI
VIMSS10110686 117 295 0.355617318435754 Transcription factor MYB34; Myb-related protein 34; AtMYB34; Protein ALTERED TRYPTOPHAN REGULATION 1; ATR1 295 0 28 179 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64399 1 SwissProt KQKGIDAITHKPINSTGQTGFEPKVNKPVYSSGSARLLNRVASKYAVELNRDLLTGIISGNSTVAEDSQNSGDVDSPTSTLLNKMAATSVLINTTTTYSGFSDNCSFTDEFNEFFNNEEISDIYTTVDNFGFMEELKSILSYGDASAGVIENSPEVNVADAMEFIDSWNEDDNMVGVFV
VIMSS104229 1 231 0.600152813852814 PF06737.14:Transglycosylas:154:231,PF01464.20:SLT:157:221 lytic transglycosylase SceD 231 78 28 231 0 Staphylococcus aureus WP_000752005.1 1 RefSeq MKKTLLASSLAVGLGIVAGNAGHEAHASEADLNKASLAQMAQSNDQTLNQKPIEAGAYNYTFDYEGFTYHFESDGTHFAWNYHATGANGANMSAQAPATNNVEPSAVQANQVQSQEVEAPQNAQTQQPQASTSNNSQVTATPTESKASEGSSVNVNAHLKQIAQRESGGNIHAVNPTSGAAGKYQFLQSTWDSVAPAKYKGVSPANAPESVQDAAAVKLYNTGGAGHWVTA
VIMSS17572 1 190 0.0022321052631579 PF03729.13:DUF308:27:97,PF03729.13:DUF308:84:153 acid-resistance membrane protein 190 127 28 56 6 Escherichia coli K-12 substr. MG1655 ecocyc::EG11495-MONOMER 0 ecocyc MLYIDKATILKFDLEMLKKHRRAIQFIAVLLFIVGLLCISFPFVSGDILSTVVGALLICSGIALIVGLFSNRSHNFWPVLSGFLVAVAYLLIGYFFIRAPELGIFAIAAFIAGLFCVAGVIRLMSWYRQRSMKGSWLQLVIGVLDIVIAWIFLGATPMVSVTLVSTLVGIELIFSAASLFSFASLFVKQQ
VIMSS2056854 1 499 0.0622593186372745 PF00324.21:AA_permease:35:476,PF13520.6:AA_permease_2:34:459 L-asparagine transporter 499 443 28 223 12 Escherichia coli K-12 substr. MG1655 ecocyc::ANSP-MONOMER 0 ecocyc MSKHDTDTSDQHAAKRRWLNAHEEGYHKAMGNRQVQMIAIGGAIGTGLFLGAGARLQMAGPALALVYLICGLFSFFILRALGELVLHRPSSGSFVSYAREFLGEKAAYVAGWMYFINWAMTGIVDITAVALYMHYWGAFGGVPQWVFALAALTIVGTMNMIGVKWFAEMEFWFALIKVLAIVTFLVVGTVFLGSGQPLDGNTTGFHLITDNGGFFPHGLLPALVLIQGVVFAFASIEMVGTAAGECKDPQTMVPKAINSVIWRIGLFYVGSVVLLVMLLPWSAYQAGQSPFVTFFSKLGVPYIGSIMNIVVLTAALSSLNSGLYCTGRILRSMAMGGSAPSFMAKMSRQHVPYAGILATLVVYVVGVFLNYLVPSRVFEIVLNFASLGIIASWAFIIVCQMRLRKAIKEGKAADVSFKLPGAPFTSWLTLLFLLSVLVLMAFDYPNGTYTIAALPIIGILLVIGWFGVRKRVAEIHSTAPVVEEDEEKQEIVFKPETAS
VIMSS32447 1 964 0.178265041493776 PF03176.15:MMPL:63:393,PF03176.15:MMPL:618:949 Siderophore exporter MmpL5 964 663 28 691 12 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJV1 1 SwissProt MIVQRTAAPTGSVPPDRHAARPFIPRMIRTFAVPIILGWLVTIAVLNVTVPQLETVGQIQAVSMSPDAAPSMISMKHIGKVFEEGDSDSAAMIVLEGQRPLGDAAHAFYDQMIGRLQADTTHVQSLQDFWGDPLTATGAQSSDGKAAYVQVKLAGNQGESLANESVEAVKTIVERLAPPPGVKVYVTGSAALVADQQQAGDRSLQVIEAVTFTVIIVMLLLVYRSIITSAIMLTMVVLGLLATRGGVAFLGFHRIIGLSTFATNLLVVLAIAAATDYAIFLIGRYQEARGLGQDRESAYYTMFGGTAHVVLGSGLTIAGATFCLSFTRLPYFQTLGVPLAIGMVIVVAAALTLGPAIIAVTSRFGKLLEPKRMARVRGWRKVGAAIVRWPGPILVGAVALALVGLLTLPGYRTNYNDRNYLPADLPANEGYAAAERHFSQARMNPEVLMVESDHDMRNSADFLVINKIAKAIFAVEGISRVQAITRPDGKPIEHTSIPFLISMQGTSQKLTEKYNQDLTARMLEQVNDIQSNIDQMERMHSLTQQMADVTHEMVIQMTGMVVDVEELRNHIADFDDFFRPIRSYFYWEKHCYDIPVCWSLRSVFDTLDGIDVMTEDINNLLPLMQRLDTLMPQLTAMMPEMIQTMKSMKAQMLSMHSTQEGLQDQMAAMQEDSAAMGEAFDASRNDDSFYLPPEVFDNPDFQRGLEQFLSPDGHAVRFIISHEGDPMSQAGIARIAKIKTAAKEAIKGTPLEGSAIYLGGTAAMFKDLSDGNTYDLMIAGISALCLIFIIMLITTRSVVAAAVIVGTVVLSLGASFGLSVLIWQHILGIELHWLVLAMAVIILLAVGADYNLLLVARLKEEIHAGINTGIIRAMGGSGSVVTAAGLVFAFTMMSFAVSELTVMAQVGTTIGMGLLFDTLIVRSFMTPSIAALLGKWFWWPQVVRQRPIPQPWPSPASARTFALV
VIMSS33777 1 498 0.233725502008032 PF13671.6:AAA_33:325:460 Uncharacterized protein MT2060 498 136 28 498 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WLN2 1 SwissProt MDSPTNDGTCDAHPVTDEPFIDVRETHTAVVVLAGDRAFKAKKPVVTDFCDFRTAEQRERACIREFELNSRLAAQSYLGIAHLSDPSGGHAEPVVVMRRYRDKQRLASMVTAGLPVEGALDAIAEVLARFHQRAQRNRCIDTQGEVGAVARRWHENLAELRHHADKVVSGDVIRRIEHMVDEFVSGREVLFAGRIKEGCIVDGHADLLADDIFLVDGEPALLDCLEFEDELRYLDRIDDAAFLAMDLEFLGRKDLGDYFLAGYAVRSGDTAPASLRDFYIAYRAVVRAKVECVRFSQGKPEAAADAVRHLIIATQHLQHATVRLALVGGNPGTGKSTLARGVAELVGAQVISTDDVRRRLRDCGVITGEPGVLDSGLYSRANVVAVYQEALRKARLLLGSGHSVILDGTWGDPQMRACARRLAADTHSAIVEFRCSATVDVMADRIVARAGGNSDATAEIAAALAARQADWDTGHRIDTAGPRERSVGQAYHIWRSAI
VIMSS33801 1 279 0.229333333333333 PF00582.26:Usp:10:141 Universal stress protein MT2087 279 132 28 279 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WFD8 1 SwissProt MNQSHKPPSIVVGIDGSKPAVQAALWAVDEAASRDIPLRLLYAIEPDDPGYAAHGAAARKLAAAENAVRYAFTAVEAADRPVKVEVEITQERPVTSLIRASAAAALVCVGAIGVHHFRPERVGSTAAALALSAQCPVAIVRPHRVPIGRDAAWIVVEADGSSDIGVLLGAVMAEARLRDSPVRVVTCRQSGVGDTGDDVRASLDRWLARWQPRYPDVRVQSAAVHGELLDYLAGLGRSVHMVVLSASDQEHVEQLVGAPGNAVLQEAGCTLLVVGQQYL
VIMSS34400 1 413 0.247554479418886 Uncharacterized protein Rv2627c 413 0 28 413 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WL67 1 SwissProt MASSASDGTHERSAFRLSPPVLSGAMGPFMHTGLYVAQSWRDYLGQQPDKLPIARPTIALAAQAFRDEIVLLGLKARRPVSNHRVFERISQEVAAGLEFYGNRRWLEKPSGFFAQPPPLTEVAVRKVKDRRRSFYRIFFDSGFTPHPGEPGSQRWLSYTANNREYALLLRHPEPRPWLVCVHGTEMGRAPLDLAVFRAWKLHDELGLNIVMPVLPMHGPRGQGLPKGAVFPGEDVLDDVHGTAQAVWDIRRLLSWIRSQEEESLIGLNGLSLGGYIASLVASLEEGLACAILGVPVADLIELLGRHCGLRHKDPRRHTVKMAEPIGRMISPLSLTPLVPMPGRFIYAGIADRLVHPREQVTRLWEHWGKPEIVWYPGGHTGFFQSRPVRRFVQAALEQSGLLDAPRTQRDRSA
VIMSS35567 1 718 0.107074791086351 PF04602.12:Arabinose_trans:225:681,PF17689.1:Arabino_trans_N:53:221 Probable arabinosyltransferase C; EC 2.4.2.- 1094 626 28 436 13 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNL5 1 SwissProt MATEAAPPRIAVRLPSTSVRDAGANYRIARYVAVVAGLLGAVLAIATPLLPVNQTTAQLNWPQNGTFASVEAPLIGYVATDLNITVPCQAAAGLAGSQNTGKTVLLSTVPKQAPKAVDRGLLLQRANDDLVLVVRNVPLVTAPLSQVLGPTCQRLTFTAHADRVAAEFVGLVQGPNAEHPGAPLRGERSGYDFRPQIVGVFTDLAGPAPPGLSFSASVDTRYSSSPTPLKMAAMILGVALTGAALVALHILDTADGMRHRRFLPARWWSTGGLDTLVIAVLVWWHFVGANTSDDGYILTMARVSEHAGYMANYYRWFGTPEAPFGWYYDLLALWAHVSTASIWMRLPTLAMALTCWWVISREVIPRLGHAVKTSRAAAWTAAGMFLAVWLPLDNGLRPEPIIALGILLTWCSVERAVATSRLLPVAIACIIGALTLFSGPTGIASIGALLVAIGPLRTILHRRSRRFGVLPLVAPILAAATVTAIPIFRDQTFAGEIQANLLKRAVGPSLKWFDEHIRYERLFMASPDGSIARRFAVLALVLALAVSVAMSLRKGRIPGTAAGPSRRIIGITIISFLAMMFTPTKWTHHFGVFAGLAGSLGALAAVAVTGAAMRSRRNRTVFAAVVVFVLALSFASVNGWWYVSNFGVPWSNSFPKWRWSLTTALLELTVLVLLLAAWFHFVANGDGRRTARPTRFRARLAGIVQSPLAIATWLLVLF
VIMSS44245 1 618 0.232151294498382 PF11263.8:Attachment_P66:328:580 integral outer membrane protein p66 618 253 28 600 1 Borreliella burgdorferi B31 NP_212737.1 1 RefSeq MKSHILYKLIIFLTTSAAIFAADALKEKDIFKINPWMPTFGFENTSEFRLDMDELVPGFENKSKITIKLKPFEANPELGKDDPFSAYIKVEDLALKAEGKKGDQFKIDVGDITAQINMYDFFIKISTMTDFDFNKESLFSFAPMTGFKSTYYGFPSNDRAVRGTILARGTSKNIGTIQLGYKLPKLDLTFAIGGTGTGNRNQENDKDTPYNKTYQGILYGIQATWKPIKNLLDQNEDTKSVIAETPFELNFGLSGAYGNETFNNSSITYSLKDKSVVGNDLLSPTLSNSAILASFGAKYKLGLTKINDKNTYLILQMGTDFGIDPFASDFSIFGHISKAANFKKETPSDPNKKAEIFDPNGNALNFSKNTELGIAFSTGASIGFAWNKDTGEKESWAIKGSDSYSTRLFGEQDKKSGVALGISYGQNLYRSKDTEKRLKTISENAFQSLNVEISSYEDNKKGIINGLGWITSIGLYDILRQKSVENYPTTISSTTENNQTEQSSTSTKTTTPNLTFEDAMKLGLALYLDYAIPIASISTEAYVVPYIGAYILGPSNKLSSDATKIYLKTGLSLEKLIRFTTISLGWDSNNIIELANKNTNNAAIGSAFLQFKIAYSGS
VIMSS541587 1 427 0.237317798594848 PF00487.24:FA_desaturase:69:339 NADPH-dependent stearoyl-CoA 9-desaturase; Delta(9)-stearoyl desaturase; Stearoyl-CoA 9-desaturase (NADPH); Stearoyl-CoA Delta(9)-desaturase; EC 1.14.19.n4 427 271 28 427 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNZ3 1 SwissProt MAITDVDVFAHLTDADIENLAAELDAIRRDVEESRGERDARYIRRTIAAQRALEVSGRLLLAGSSRRLAWWTGALTLGVAKIIENMEIGHNVMHGQWDWMNDPEIHSSTWEWDMSGSSKHWRYTHNFVHHKYTNILGMDDDVGYGMLRVTRDQRWKRYNIFNVVWNTILAIGFEWGVALQHLEIGKIFKGRADREAAKTRLREFSAKAGRQVFKDYVAFPALTSLSPGATYRSTLTANVVANVIRNVWSNAVIFCGHFPDGAEKFTKTDMIGEPKGQWYLRQMLGSANFNAGPALRFMSGNLCHQIEHHLYPDLPSNRLHEISVRVREVCDRYDLPYTTGSFLVQYGKTWRTLAKLSLPDKYLRDNADDAPETRSERMFAGLGPGFAGADPVTGRRRGLKTAIAAVRGRRRSKRMAKSVTEPDDLAA
VIMSS58644 1 464 0.214426724137931 PF07992.14:Pyr_redox_2:6:311,PF04324.15:Fer2_BFD:381:424,PF13450.6:NAD_binding_8:7:60,PF01134.22:GIDA:6:33,PF17806.1:SO_alpha_A3:385:457,PF00890.24:FAD_binding_2:6:36 Hydrogen cyanide synthase subunit HcnB; HcnB; Glycine dehydrogenase (cyanide-forming); EC 1.4.99.5 464 383 28 464 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9I1S2 1 SwissProt MNLRPVIVGGGSAGMAAAIELARRGVPCVLFDEASRPGGVVYRGPLRAGVDPAYLGARYTRMLEKLRRDFSACAGHIDLRLNSRVVGGDGQRLMVLDEAERLHEVEYSHLLLATGCHERSVPFPGWTLPGVMLLGGLQLQIKSGVVKPLGDTLIAGSGPLLPLVACQLHAAGVRVAGVYEACAFGRMARESLALLNKPQLFLDGLSMLGYLKLNGIPLHYGWGVVEASGDGELTEVTVAPYDEEWRPDLENARPVKASTLAVGYGFIPRTQLSQQLGLEHGFSDDGYLRAECNVWQQSSQPHIHLAGDMAGIRGGEAAMIGGRIAALSILLQREAIAPAEAIERRESHLARLEAIKRFRAGVERYTQRGARQVELARADTVICRCEQVTRGDIERALEQGVQDIAGLKMRTRAGMGDCQGRMCIGYCSDRLRRATGRHDVGWLRPRFPIDPIPFSAFQNLGTEA
VIMSS5916395 1 533 0.394772232645403 PF00924.18:MS_channel:72:278 small-conductance mechanosensitive channel 533 207 28 464 3 Corynebacterium glutamicum ATCC 13032 NP_600492.1 1 RefSeq MILGVPIQYLLYSLWNWIVDTGFDVAIILVLAFLIPRIGRLAMRIIKRRVESAADADTTKNQLAFAGVGVYIAQIVAFFMLAVSAMQAFGFSLAGAAIPATIASAAIGLGAQSIVADFLAGFFILTEKQFGVGDWVRFEGNGIVVEGTVIEITMRATKIRTIAQETVIIPNSTAKVCINNSNNWSRAVVVIPIPMLGSENITDVIARSEAATRRALGQEKIAPEILGELDVHPATEVTPPTVVGMPWMVTMRFLVQVTAGNQWLVERAIRTEIISEFWEEYGSATTTSGTLIDSLHVEHEEPKTSLIDASPQALKEPKPEAAATVASLAASSNDDADNADASVINAGNPEKELDSDVLEQELSSEEPEETAKPDHSLRGFFRTDYYPNRWQKILSFGGRVRMSTSLLLGALLLLSLFKVMTVEPSENWQNSSGWLSPSTATSTAVTTSETSAPVSTPSMTVPTTVEETPTMESNVETQQETSTPATATPQRADTIEPTEEATSQEETTASQTQSPAVEAPTAVQETVAPTSTP
VIMSS6580999 1 296 0.0481733108108108 PF04193.14:PQ-loop:14:71,PF04193.14:PQ-loop:209:264 Probable vacuolar amino acid transporter YPQ3; PQ-loop repeat-containing protein 3; Protein RTC2; Restriction of telomere capping protein 2 296 114 28 142 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38279 1 SwissProt MKLIPIILNAKNLSGMAGSISICCWIVVFVPQIYENFRRQSAEGLSLLFIVLWLLGDIFNVMGAMMQNLLPTMIILAAYYTLADLILLIQCMWYDKEKKSILQEVKKNVDPVHLPPANPINETVLQDVFNEYEPLLPRIEEEDSQSYSSLELGRTIVVKERENFFNDFLIVSGVLIAGILSWYISYCSGLDNGIPKKKPAFEQINLPAQILGYLSAILYLGSRIPQIVLNFKRKSCEGVSFLFFLFACLGNTSFIISVLSASWLIGSAGTLLMDFTVFIQFFLYAKPKYEKILIDN
VIMSS6582406 1 659 0.318445675265554 PF03215.15:Rad17:58:237 Checkpoint protein RAD24 659 180 28 659 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32641 1 SwissProt MDSTNLNKRPLLQYSLSSLGSQITKWSSSRPTSPVRKARSTENDFLSKQDTSSILPSINDDGGEQWYEKFKPNCLEQVAIHKRKLKDVQEALDAMFLPNAKHRILLLSGPSGCSKSTVIKELSKILVPKYRQNSNGTSFRSTPNEHKVTEFRGDCIVNDLPQMESFSEFLKGARYLVMSNLSLILIEDLPNVFHIDTRRRFQQLILQWLYSSEPLLPPLVICITECEIPENDNNYRKFGIDYTFSAETIMNKEILMHPRLKRIKFNPINSTLLKKHLKFICVQNMKMLKEKNKWNKRQEVIDYIAQETGDIRSAITTLQFWATSSGSLPISTRESTISYFHAIGKVIHGSHSTNNDNEMINNLFENSNNLLSKEDFKLGILENYNTFNKGEFSISDASSIVDCLSECDNMNGLPESNEYGLREVRKTFRNISKQGHNHGTVYFPREWKVRKLQNSFKVQAEDWLNVSLYKYNAVHSFRNITLEFGYYAPLIRKCQSYKKKYILYYLKNLPSGSSGPKQTMDKFSDIMKVENGIDVVDRIGGPIEALSVEDGLAPLMDNDSNNCDHLEDQKKERDRRLRMLIDQYERNVMMANDDLEDEETSFNDDPIVDSDSDNSNNIGNETFGRSDEDESLCEILSQRQPRKAPVISESLSDSDLEIL
VIMSS6582789 110 504 0.699964810126582 Regulatory protein MIG1; Regulatory protein CAT4 504 0 28 395 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P27705 1 SwissProt INSASSSATSIPDLNTANFSPPLPQQHLSPLIPIAIAPKENSSRSSTRKGRKTKFEIGESGGNDPYMVSSPKTMAKIPVSVKPPPSLALNNMNYQTSSASTALSSLSNSHSGSRLKLNALSSLQMMTPIASSAPRTVFIDGPEQKQLQQQQNSLSPRYSNTVILPRPRSLTDFQGLNNANPNNNGSLRAQTQSSVQLKRPSSVLSLNDLLVGQRNTNESDSDFTTGGEDEEDGLKDPSNSSIDNLEQDYLQEQSRKKSKTSTPTTMLSRSTSGTNLHTLGYVMNQNHLHFSSSSPDFQKELNNRLLNVQQQQQEQHTLLQSQNTSNQSQNQNQNQMMASSSSLSTTPLLLSPRVNMINTAISTQQTPISQSDSQVQELETLPPIRSLPLPFPHMD
VIMSS6583455 1 571 0.406971453590193 PF09329.11:zf-primase:301:347 Minichromosome maintenance protein 10; Protein DNA43 571 47 28 571 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32354 1 SwissProt MNDPREILAVDPYNNITSDEEDEQAIARELEFMERKRQALVERLKRKQEFKKPQDPNFEAIEVPQSPTKNRVKVGSHNATQQGTKFEGSNINEVRLSQLQQQPKPPASTTTYFMEKFQNAKKNEDKQIAKFESMMNARVHTFSTDEKKYVPIITNELESFSNLWVKKRYIPEDDLKRALHEIKILRLGKLFAKIRPPKFQEPEYANWATVGLISHKSDIKFTSSEKPVKFFMFTITDFQHTLDVYIFGKKGVERYYNLRLGDVIAILNPEVLPWRPSGRGNFIKSFNLRISHDFKCILEIGSSRDLGWCPIVNKKTHKKCGSPINISLHKCCDYHREVQFRGTSAKRIELNGGYALGAPTKVDSQPSLYKAKGENGFNIIKGTRKRLSEEEERLKKSSHNFTNSNSAKAFFDEKFQNPDMLANLDNKRRKIIETKKSTALSRELGKIMRRRESSGLEDKSVGERQKMKRTTESALQTGLIQRLGFDPTHGKISQVLKSSVSGSEPKNNLLGKKKTVINDLLHYKKEKVILAPSKNEWFKKRSHREEVWQKHFGSKETKETSDGSASDLEII
VIMSS6584664 1 254 0.50951062992126 Cell wall biogenesis protein NCW2; New cell wall protein 2 254 0 28 254 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05777 1 SwissProt MKACSILFTTLITLAAAQKDSGSLDGQNSEDSSQKESSNSQEITPTTTKEAQESASTVVSTGKSLVQTSNVVSNTYAVAPSTTVVTTDAQGKTTTQYLWWVAESNSAVSTTSTASVQPTGETSSGITNSASSSTTSTSTDGPVTIVTTTNSLGETYTSTVWWLPSSATTDNTASSSKSSSGSSSKPESSTKVVSTIKSTYTTTSGSTVETLTTTYKSTVNGKVASVMSNSTNGAFAGTHIAYGAGAFAVGALLL
VIMSS6585639 272 970 0.704627610872674 m7GpppN-mRNA hydrolase; Protein PSU1; mRNA-decapping enzyme subunit 2; EC 3.6.1.62 970 0 28 699 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53550 1 SwissProt ELLNMLHTAVQANSNNNAVSNGQVPSSQELQHLKEQSGEHNQQKDQQSSFSSQQQPSIFPSLSEPFANNKNVIPPTMPMANVFMSNPQLFATMNGQPFAPFPFMLPLTNNSNSANPIPTPVPPNFNAPPNPMAFGVPNMHNLSGPAVSQPFSLPPAPLPRDSGYSSSSPGQLLDILNSKKPDSNVQSSKKPKLKILQRGTDLNSIKQNNNDETAHSNSQALLDLLKKPTSSQKIHASKPDTSFLPNDSVSGIQDAEYEDFESSSDEEVETARDERNSLNVDIGVNVMPSEKDSRRSQKEKPRNDASKTNLNASAESNSVEWGPGKSSPSTQSKQNSSVGMQNKYRQEIHIGDSDAYEVFESSSDEEDGKKLEELEQTQDNSKLISQDILKENNFQDGEVPHRDMPTESNKSINETVGLSSTTNTVKKVPKVKILKRGETFASLANDKKAFDSSSNVSSSKDLLQMLRNPISSTVSSNQQSPKSQHLSGDEEIMMMLKRNSVSKPQNSEENASTSSINDANASELLGMLKQKEKDITAPKQPYNVDSYSQKNSAKGLLNILKKNDSTGYPRTEGGPSSEMSTSMKRNDATNNQELDKNSTELLNYLKPKPLNDGYENISNKDSSHELLNILHGNKNSSAFNNNVYATDGYSLASDNNENSSNKLLNMLQNRSSAINEPNFDVRSNGTSGSNELLSILHRK
VIMSS73673 1 73 0.170147945205479 PF09584.10:Phageshock_PspD:11:71 phage shock protein D 73 61 28 73 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10779-MONOMER 1 ecocyc MNTRWQQAGQKVKPGFKLAGKLVLLTALRYGPAGVAGWAIKSVARRPLKMLLAVALEPLLSRAANKLAQRYKR
WP_000093247.1 1 365 0.0532934246575342 PF02378.18:PTS_EIIC:17:277 mannitol-specific PTS enzyme IICBA component (EC 2.7.1.197; EC 2.7.1.198) 637 261 28 184 8 Escherichia coli K-12 substr. MG1655 ecocyc::MTLA-MONOMER 1 ecocyc MSSDIKIKVQSFGRFLSNMVMPNIGAFIAWGIITALFIPTGWLPNETLAKLVGPMITYLLPLLIGYTGGKLVGGERGGVVGAITTMGVIVGADMPMFLGSMIAGPLGGWCIKHFDRWVDGKIKSGFEMLVNNFSAGIIGMILAILAFLGIGPIVEALSKMLAAGVNFMVVHDMLPLASIFVEPAKILFLNNAINHGIFSPLGIQQSHELGKSIFFLIEANPGPGMGVLLAYMFFGRGSAKQSAGGAAIIHFLGGIHEIYFPYVLMNPRLILAVILGGMTGVFTLTILGGGLVSPASPGSILAVLAMTPKGAYFANIAGVCAAMAVSFVVSAILLKTSKVKEEDDIEAATRRMQDMKAESKGASPL
WP_000751263.1 1 233 0.395677682403434 PF01464.20:SLT:163:204,PF06737.14:Transglycosylas:173:232 lytic transglycosylase IsaA 233 70 28 233 0 Staphylococcus aureus subsp. aureus Mu50 WP_000751263.1 1 RefSeq MKKTIMASSLAVALGVTGYAAGTGHQAHAAEVNVDQAHLVDLAHNHQDQLNAAPIKDGAYDIHFVKDGFQYNFTSNGTTWSWSYEAANGQTAGFSNVAGADYTTSYNQGSDVQSVSYNAQSSNSNVEAVSAPTYHNYSTSTTSSSVRLSNGNTAGATGSSAAQIMAQRTGVSASTWAAIIARESNGQVNAYNPSGASGLFQTMPGWGPTNTVDQQINAAVKAYKAQGLGAWGF 1
XP_001347449.2 1 108 0.235483333333333 PF09716.10:ETRAMP:1:81 early transcribed membrane protein 10.3 108 81 28 62 2 Plasmodium falciparum 3D7 XP_001347449.2 0 RefSeq MKVSRHTVLLNIILIVSLLGCVLTLNLFSANDDKRALKDIDRTLEKLLRKKQIIISTAAVALAITLGGLFGSLGYKSWKNKNKSKDKVNDGSDSEELDSSKEDKDKDE
XP_001347628.1 1 868 0.796941359447004 glutamate-rich protein 1233 0 28 868 0 Plasmodium falciparum 3D7 XP_001347628.1 1 RefSeq MRNLFHITICLVTLNLFILEINAKTNTSENRNKRIGGPKLRGNVTSNIKFPSDNKGKIIRGSNDKLNKNSEDVLEQSEKSLVSENVPSGLDIDDIPKESIFIQEDQEGQTHSELNPETSEHSKDLNNNDSKNESSDIISVNNKSNKVQNHFESLSDLELLENSSQDNLDKDTISTEPFPNQKHKDLQQDLNDEPLEPFPTQIHKDYKEKNLINEEDSEPFPRQKHKKVDNHNEEKNVFHENGSANGNQGSLKLKSFDEHLKDEKIENEPLVHENLSIPNDPIEQILNQPEQETNIQEQLYNEKQNVEEKQNSQIPSLDLKEPTNEDILPNHNPLENIKQSESEINHVQDHALPKENIIDKLDNQKEHIDQSQHNINVLQENNINNHQLEPQEKPNIESFEPKNIDSEIILPENVETEEIIDDVPSPKHSNHETFEEETSESEHEEAVSEKNAHETVEHEETVSQESNPEKADNDGNVSQNSNNELNENEFVESEKSEHEPAENEESSLEEGHHEEIVPEQNNEESGESKLVDNDEGGFEEAHHENFSSEVSNSELNENEFVESDKSVTEPAEHEEVVSEESNPEPAENEESSIEEAHQEEIVPEQNDEESGESGLVDNEEGDFEEPNHEEFEPDQNDSELSENELVESEKSVSEPAEHVEIVSEKSVSEPAEHVEIVSEKSTSEPAEHVESVSEQSNNEPSEKKDGPVPSKPFEEIEKVDVQPKIVDLQIIEPNFVDSQPNPQEPVEPSFVKIEKVPSEENKHASVDPEVKEKENVSEVVEEKQNSQESVEEIPVNEDEFEDVHTEQLDLDHKTVDPEIVEVEEIPSELHENEVAHPEIVEIEEVFPEPNQNNEFQEINEDDKSAHIQ
XP_005253103.1 236 504 0.554029739776951 single Ig IL-1-related receptor isoform X1 504 0 28 269 0 Homo sapiens XP_005253103.1 1 RefSeq AWCSHSFREGLCRLLELTRRPIFITFEGQRRDPAHPALRLLRQHRHLVTLLLWRPGSVTPSSDFWKEVQLALPRKVQYRPVEGDPQTQLQDDKDPMLILRGRVPEGRALDSEVDPDPEGDLGMPAQPHSPTGEAQHRAEWGQAQGTGPGGAPGVEDSSRHREPLHGLCPGGARPSVCLGTSWASQAITAGGEQGQPLAVGLGQGCGWPPQASRSPHPRCPGACLWRAISSTAHQWGLAGREPEQRSGRLGSRLAKLQCPHRLLLPGVQG
XP_006502323.1 129 380 0.0910968253968254 PF03798.16:TRAM_LAG1_CLN8:4:197 Ceramide synthase 2; CerS2; LAG1 longevity assurance homolog 2; Sphingosine N-acyltransferase CERS2; Translocating chain-associating membrane protein homolog 3; TRAM homolog 3; EC 2.3.1.-; EC 2.3.1.24 380 194 28 144 5 Mus musculus (Mouse) SwissProt::Q924Z4 1 SwissProt LLKKFREASWRFTYYLIAFVAGMAVTVDKPWFYDLRKVWEGYPIQSIIPSQYWYYMIELSFYWSLLFSIASDVKRKDFKEQIIHHVATIILLCFSWFANYVRAGTLIMALHDASDYLLESAKMFNYAGWKNTCNNLFIVFAIVFIITRLVIMPFWILHCTMIYPLELYPAFFGYYFFNFMMAVLQMLHIFWAYFILRMAHKFITGKLIEDERSDREETESSEGEETAAGAGAKSRLLANGHPILNNNHPKND
XP_011514283.1 409 1501 0.655921957913999 serine/threonine-protein kinase LMTK2 isoform X1 1501 0 28 1093 0 Homo sapiens XP_011514283.1 1 RefSeq RLQSQRDSEVDFEQQWNALKPNTNSRDSSNNAAFPILDHFARDRLGREMEEVLTVTETSQGLSFEYVWEAAKHDHFDERSRGHLDEGLSYTSIFYPVEVFESSLSDPGPGKQDDSGQDVPLRVPGVVPVFDAHNLSVGSDYYIQLEEKSGSNLELDYPPALLTTDMDNPERTGPELSQLTALRSVELEESSTDEDFFQSSTDPKDSSLPGDLHVTSGPESPFNNIFNDVDKSEDLPSHQKIFDLMELNGVQADFKPATLSSSLDNPKESVITGHFEKEKPRKIFDSEPLCLSDNLMHQDNFDPLNVQELSENFLFLQEKNLLKGSLSSKEHINDLQTELKNAGFTEAMLETSCRNSLDTELQFAENKPGLSLLQENVSTKGDDTDVMLTGDTLSTSLQSSPEVQVPPTSFETEETPRRVPPDSLPTQGETQPTCLDVIVPEDCLHQDISPDAVTVPVEILSTDARTHSLDNRSQDSPGESEETLRLTESDSVLADDILASRVSVGSSLPELGQELHNKPFSEDHHSHRRLEKNLEAVETLNQLNSKDAAKEAGLVSALSSDSTSQDSLLEDSLSAPFPASEPSLETPDSLESVDVHEALLDSLGSHTPQKLVPPDKPADSGYETENLESPEWTLHPAPEGTADSEPATTGDGGHSGLPPNPVIVISDAGDGHRGTEVTPETFTAGSQGSYRDSAYFSDNDSEPEKRSEEVPGTSPSALVLVQEQPLPEPVLPEQSPAAQDSCLEARKSQPDESCLSALHNSSDLELRATPEPAQTGVPQQVHPTEDEASSPWSVLNAELSSGDDFETQDDRPCTLASTGTNTNELLAYTNSALDKSLSSHSEGPKLKEPDIEGKYLGKLGVSGMLDLSEDGMDADEEDENSDDSDEDLRAFNLHSLSSESEDETEHPVPIILSNEDGRHLRSLLKPTAANAPDPLPEDWKKEKKAVTFFDDVTVYLFDQETPTKELGPCGGEACGPDLSGPAPASGSPYLSRCINSESSTDEEGGGFEWDDDFSPDPFMSKTTSNLLSSKPSLQTSKYFSPPPPARSTEQSWPHSAPYSRFSISPANIASFSLTHLTDSDIEQGGSSEDGEKD
XP_011518262.1 1 298 0.116435570469799 PF00153.27:Mito_carr:119:182 mitochondrial carrier homolog 2 isoform X2 298 64 28 298 0 Homo sapiens XP_011518262.1 1 RefSeq MADAASQVLLGSGLTILSQPLMYVKVLIQVGYEPLPPTIGRNIFGRQVCQLPGLFSYAQHIASIDGRRGLFTGLTPRLCSGVLGTVVHGKVLQELGPGNVQKEVSSSFDHVIKETTREMIARSAATLITHPFHVITLRSMVQFIGRESKYCGLCDSIITIYREEGILGFFAGLVPRLLGDILSLWLCNSLAYLVNTYALDSGVSTMNEMKSYSQAVTGFFASMLTYPFVLVSNLMAVNNCGLAGGCPPYSPIYTSWIDCWCMLQKEEKHFQTGKSSAKQGLEDIKPQIEEKIRENLTT
XP_011529137.1 1 464 0.713174784482758 Melanoma-associated antigen D1; MAGE tumor antigen CCF; MAGE-D1 antigen; Neurotrophin receptor-interacting MAGE homolog 778 0 28 464 0 Homo sapiens (Human) SwissProt::Q9Y5V3 1 SwissProt MAQKMDCGAGLLGFQAEASVEDSALLMQTLMEAIQISEAPPTNQATAAASPQSSQPPTANEMADIQVSAAAARPKSAFKVQNATTKGPNGVYDFSQAHNAKDVPNTQPKAAFKSQNATPKGPNAAYDFSQAATTGELAANKSEMAFKAQNATTKVGPNATYNFSQSLNANDLANSRPKTPFKAWNDTTKAPTADTQTQNVNQAKMATSQADIETDPGISEPDGATAQTSADGSQAQNLESRTIIRGKRTRKINNLNVEENSSGDQRRAPLAAGTWRSAPVPVTTQNPPGAPPNVLWQTPLAWQNPSGWQNQTARQTPPARQSPPARQTPPAWQNPVAWQNPVIWPNPVIWQNPVIWPNPIVWPGPVVWPNPLAWQNPPGWQTPPGWQTPPGWQGPPDWQGPPDWPLPPDWPLPPDWPLPTDWPLPPDWIPADWPIPPDWQNLRPSPNLRPSPNSRASQNPGAAQ
XP_013833429.1 1 255 0.733324705882352 vascular endothelial growth factor A isoform X1 383 0 28 255 0 Sus scrofa XP_013833429.1 1 RefSeq QELQREVEEERETGSESARGQARNERDRGKVSDLLLGVTARAQREPSPSGSRVGPAALTDRQTDTAPRPSAHLLPGRRPTVDAAASRGQEPELAPGGGVEGVGARGVALKLFVQLLGCSRSGGAVVRAGAAEPSGTGRSASSGREEPQSEEGEEEEEKEEERGPRWRLGSRKPGSWTGEAAVCADSAPAERAPQALARASAPGGRGARLGAEESGPSRSPSRRGSASGAGPGRASETMNFLLSWVHWSLALLLYL
XP_016863740.1 122 1051 0.604328064516129 serine/threonine-protein kinase Nek1 isoform X5 1051 0 28 930 0 Homo sapiens XP_016863740.1 1 RefSeq LHEKKPLQKHKQAHQTPEKRVNTGEERRKISEEAARKRRLEFIEKEKKQKDQIISLMKAEQMKRQEKERLERINRAREQGWRNVLSAGGSGEVKAPFLGSGGTIAPSSFSSRGQYEHYHAIFDQMQQQRAEDNEAKWKREIYGRGLPERGILPGVRPGFPYGAAGHHHFPDADDIRKTLKRLKAVSKQANANRQKGQLAVERAKQVEEFLQRKREAMQNKARAEGHMVYLARLRQIRLQNFNERQQIKAKLRGEKKEANHSEGQEGSEEADMRRKKIESLKAHANARAAVLKEQLERKRKEAYEREKKVWEEHLVAKGVKSSDVSPPLGQHETGGSPSKQQMRSVISVTSALKEVGVDSSLTDTRETSEEMQKTNNAISSKREILRRLNENLKAQEDEKGKQNLSDTFEINVHEDAKEHEKEKSVSSDRKKWEAGGQLVIPLDELTLDTSFSTTERHTVGEVIKLGPNGSPRRAWGKSPTDSVLKILGEAELQLQTELLENTTIRSEISPEGEKYKPLITGEKKVQCISHEINPSAIVDSPVETKSPEFSEASPQMSLKLEGNLEEPDDLETEILQEPSGTNKDESLPCTITDVWISEEKETKETQSADRITIQENEVSEDGVSSTVDQLSDIHIEPGTNDSQHSKCDVDKSVQPEPFFHKVVHSEHLNLVPQVQSVQCSPEESFAFRSHSHLPPKNKNKNSLLIGLSTGLFDANNPKMLRTCSLPDLSKLFRTLMDVPTVGDVRQDNLEIDEIEDENIKEGPSDSEDIVFEETDTDLQELQASMEQLLREQPGEEYSEEEESVLKNSDVEPTANGTDVADEDDNPSSESALNEEWHSDNSDGEIASECECDSVFNHLEELRLHLEQEMGFEKFFEVYEKIKAIHEDEDENIEICSKIVQNILGNEHQHLYAKILHLVMADGAYQEDNDE
XP_016864157.1 1 390 0.664903076923077 PF05182.13:Fip1:139:181 pre-mRNA 3'-end-processing factor FIP1 isoform X13 543 43 28 390 0 Homo sapiens XP_016864157.1 1 RefSeq MSAGEVERLVSELSGGTGGDEEEEWLYGDENEVERPEEENASANPPSGIEDETAENGVPKPKVTETEDDSDSDSDDDEDDVHVTIGDIKTGAPQYGSYGTAPVNLNIKTGGRVYGTTGTKVKGVDLDAPGSINGVPLLEVDLDSFEDKPWRKPGADLSDYFNYGFNEDTWKAYCEKQKRIRMGLEVIPVTSTTNKITAEDCTMEVTPGAEIQDGRFNLFKVQQGRTGNSEKETALPSTKAEFTSPPSLFKTGLPPSRRLPGAIDVIGQTITISRVEGRRRANENSNIQVLSERSATEVDNNFSKPPPFFPPGAPPTHLPPPPFLPPPPTVSTAPPLIPPPGFPPPPGAPPPSLIPTIESGHSSGYDSRSARAFPYGNVAFPHLPGSAPSW
XP_016865458.1 245 5298 0.198916818361693 PF03160.14:Calx-beta:11:118,PF03160.14:Calx-beta:171:243,PF03160.14:Calx-beta:335:370,PF03160.14:Calx-beta:432:501,PF03160.14:Calx-beta:522:617,PF03160.14:Calx-beta:633:734,PF03160.14:Calx-beta:751:848,PF03160.14:Calx-beta:864:963,PF03160.14:Calx-beta:1260:1301,PF03160.14:Calx-beta:1320:1421,PF03160.14:Calx-beta:1484:1564,PF03160.14:Calx-beta:1609:1706,PF03160.14:Calx-beta:1725:1834,PF03160.14:Calx-beta:1865:1962,PF03160.14:Calx-beta:1984:2079,PF03160.14:Calx-beta:2250:2298,PF03160.14:Calx-beta:2353:2431,PF03160.14:Calx-beta:2449:2545,PF03160.14:Calx-beta:2588:2688,PF03160.14:Calx-beta:2712:2810,PF03160.14:Calx-beta:2857:2934,PF03160.14:Calx-beta:2973:3010,PF03160.14:Calx-beta:3347:3388,PF03160.14:Calx-beta:3404:3502,PF03160.14:Calx-beta:3555:3637,PF03160.14:Calx-beta:3698:3768,PF03160.14:Calx-beta:3784:3885,PF03160.14:Calx-beta:4020:4116,PF03160.14:Calx-beta:4152:4251,PF03160.14:Calx-beta:4457:4497,PF03160.14:Calx-beta:4769:4858,PF13385.6:Laminin_G_3:1102:1251,PF03736.17:EPTP:3158:3201 adhesion G-protein coupled receptor V1 isoform X7 6171 2770 28 5054 0 Homo sapiens XP_016865458.1 1 RefSeq INTSRNSIEIIIKKNDSPVRFLQSIYLVPEEDHILIIPVVRGKDNNGNLIGSDEYEVSISYAVTTGNSTAHAQQNLDFIDLQPNTTVVFPPFIHESHLKFQIVDDTIPEIAESFHIMLLKDTLQGDAVLISPSVVQVTIKPNDKPYGVLSFNSVLFERTVIIDEDRISRYEEITVVRNGGTHGNVSANWVLTRNSTDPSPVTADIRPSSGVLHFAQGQMLATIPLTVVDDDLPEEAEAYLLQILPHTIRGGAEVSEPAELLFYIQDSDDVYGLITFFPMENQKIESSPGERYLSLSFTRLGGTKGDVRLLYSVLYIPAGAVDPLQAKEGILNISRRNDLIFPEQKTQVTTKLPIRNDAFLQNGAHFLVQLETVELLNIIPLIPPISPRFGEICNISLLVTPAIANGEIGFLSNLPIILHEPEDFAAEVVYIPLHRDGTDGQATVYWSLKPSGFNSKAVTPDDIGPFNGSVLFLSGQSDTTINITIKGDDIPEMNETVTLSLDRVNVENQVLKSGYTSRDLIILENDDPGGVFEFSPASRGPYVIKEGESVELHIIRSRGSLVKQFLHYRVEPRDSNEFYGNTGVLEFKPGEREIVITLLARLDGIPELDEHYWVVLSSHGERESKLGSATIVNITILKNDDPHGIIEFVSDGLIVMINESKGDAIYSAVYDVVRNRGNFGDVSVSWVVSPDFTQDVFPVQGTVVFGDQEFSKNITIYSLPDEIPEEMEEFTVILLNGTGGAKVGNRTTATLRIRRNDDPIYFAEPRVVRVQEGETANFTVLRNGSVDVTCMVQYATKDGKATARERDFIPVEKGETLIFEVGSRQQSISIFVNEDGIPETDEPFYIILLNSTGDTVVYQYGVATVIIEANDDPNGIFSLEPIDKAVEEGKTNAFWILRHRGYFGSVSVSWQLFQNDSALQPGQEFYETSGTVNFMDGEEAKPIILHAFPDKIPEFNEFYFLKLVNISGGSPGPGGQLAETNLQVTVMVPFNDDPFGVFILDPECLEREVAEDVLSEDDMSYITNFTILRQQGVFGDVQLGWEILSSEFPAGLPPMIDFLLVGIFPTTVHLQQHMRRHHSGTDALYFTGLEGAFGTVNPKYHPSRNNTIANFTFSAWVMPNANTNGFIIAKDDGNGSIYYGVKIQTNESHVTLSLHYKTLGSNATYIAKTTVMKYLEESVWLHLLIILEDGIIEFYLDGNAMPRGIKSLKGEAITDGPGILRIGAGINGNDRFTGLMQDVRSYERKLTLEEIYELHAMPAKSDLHPISGYLEFRQGETNKSFIISARDDNDEEGEELFILKLVSVYGGARISEENTTARLTIQKSDNANGLFGFTGACIPEIAEEGSTISCVVERTRGALDYVHVFYTISQIETDGINYLVDDFANASGTITFLPWQRSEVLNIYVLDDDIPELNEYFRVTLVSAIPGDGKLGSTPTSGASIDPEKETTDITIKASDHPYGLLQFSTGLPPQPKDAMTLPASSVPHITVEEEDGEIRLLVIRAQGLLGRVTAEFRTVSLTAFSPEDYQNVAGTLEFQPGERYKYIFINITDNSIPELEKSFKVELLNLEGGVAELFRVDGSGSGDGDMEFFLPTIHKRASLGVASQILVTIAASDHAHGVFEFSPESLFVSGTEPEDGYSTVTLNVIRHHGTLSPVTLHWNIDSDPDGDLAFTSGNITFEIGQTSANITVEILPDEDPELDKAFSVSVLSVSSGSLGAHINATLTVLASDDPYGIFIFSEKNRPVKVEEATQNITLSIIRLKGLMGKVLVSYATLDDMEKPPYFPPNLARATQGRDYIPASGFALFGANQSEATIAISILDDDEPERSESVFIELLNSTLVAKVQSRSIPNSPRLGPKVETIAQLIIIANDDAFGTLQLSAPIVRVAENHVGPIINVTRTGGAFADVSVKFKAVPITAIAGEDYSIASSDVVLLEGETSKAVPIYVINDIYPELEESFLVQLMNETTGGARLGALTEAVIIIEASDDPYGLFGFQITKLIVEEPEFNSVKVNLPIIRNSGTLGNVTVQWVATINGQLATGDLRVVSGNVTFAPGETIQTLLLEVLADDVPEIEEVIQVQLTDASGGGTIGLDRIANIIIPANDDPYGTVAFAQMVYRVQEPLERSSCANITVRRSGGHFGRLLLFYSTSDIDVVALAMEEGQDLLSYYESPIQGVPDPLWRTWMNVSAVGEPLYTCATLCLKEQACSAFSFFSASEGPQCFWMTSWISPAVNNSDFWTYRKNMTRVASLFSGQAVAGSDYEPVTRQWAIMQEGDEFANLTVSILPDDFPEMDESFLISLLEVHLMNISASLKNQPTIGQPNISTVVIALNGDAFGVFVIYNISPNTSEDGLFVEVQEQPQTLVELMIHRTGGSLGQVAVEWRVVGGTATEGLDFIGAGEILTFAEGETKKTVILTILDDSEPEDDESIIVSLVYTEGGSRILPSSDTVRVNILANDNVAGIVSFQTASRSVIGHEGEILQFHVIRTFPGRGNVTVNWKIIGQNLELNFANFSGQLFFPEGSLNTTLFVHLLDDNIPEEKEVYQVILYDVRTQDSCLTFLGVPPAGIALLDAQGYAAVLTVEASDEPHGVLNFALSSRFVLLQEANITIQLFINREFGSLGAINVTYTTVPGMLSLKNQTVGNLAEPEVDFVPIIGFLILEEGETAAAINITILEDDVPELEEYFLVNLTYVGLTMAASTSFPPRLDSEGLTAQVIIDANDGARGVIEWQQSRFEVNETHGSLTLVAQRSREPLGHVSLFVYAQNLEAQVGLDYIFTPMILHFADGERYKNVNIMILDDDIPEGDEKFQLILTNPSPGLELGKNTIALIIVLANDDGPGVLSFNNSEHFFLREPTALYVQESVAVLYIVREPAQGLFGTVTVQFIVTEVNSSNESKDLTPSKGYIVLEEGVRFKALQISAILDTEPEMDEYFVCTLFNPTGGARLGVHVQTLITVLQNQAPLGLFSISAVENRATSIDIEEANRTVYLNVSRTNGIDLAVSVQWETVSETAFGMRGMDVVFSVFQSFLDESASGWCFFTLENLIYGIMLRKSSVTVYRWQGIFIPVEDLNIENPKTCEAFNIGFSPYFVITHEERNEEKPSLNSVFTFTSGFKLFLVQTIIILESSQVRYFTSDSQDYLIIASQRDDSELTQVFRWNGGSFVLHQKLPVRGVLTVALFNKGGSVFLAISQANARLNSLLFRWSGSGFINFQEVPVSGTTEVEALSSANDIYLIFAENVFLGDQNSIDIFIWEMGQSSFRYFQSVDFAAVNRIHSFTPASGIAHILLIGQDMSALYCWNSERNQFSFVLEVPSAYDVASVTVKSLNSSKNLIALVGAHSHIYELAYISSHSDFIPSSGELIFEPGEREATIAVNILDDTVPEKEESFKVQLKNPKGGAEIGINDSVTITILSNDDAYGIVAFAQNSLYKQVEEMEQDSLVTLNVERLKGTYGRITIAWEADGSISDIFPTSGVILFTEGQVLSTITLTILADNIPELSEVVIVTLTRITTEGVEDSYKGATIDQDRSKSVITTLPNDSPFGLVGWRAASVFIRVAEPKENTTTLQLQIARDKGLLGDIAIHLRAQPNFLLHVDNQATENEDYVLQETIIIMKENIKEAHAEVSILPDDLPELEEGFIVTITEVNLVNSDFSTGQPSVRRPGMEIAEIMIEENDDPRGIFMFHVTRGAGEVITAYEVPPPLNVLQVPVVRLAGSFGAVNVYWKASPDSAGLEDFKPSHGILEFADKQVTAMIEITIIDDAEFELTETFNISLISVAGGGRLGDDVVVTVVIPQNDSPFGVFGFEEKTVMIDESLSSDDPDSYVTLTVVRSPGGKGTVRLEWTIDEKAKHNLSPLNGTLHFDETESQKTIVLHTLQDTVLEEDRRFTIQLISIDEVEISPVKGSASIIIRGDKRASGEVGIAPSSRHILIGEPSAKYNGTAIISLVRGPGILGEVTVFWRIFPPSVGEFAETSGKLTMRDEQSAVIVVIQALNDDIPEEKSFYEFQLTAVSEGGVLSESSSTANITVVASDSPYGRFAFSHEQLRVSEAQRVNITIIRSSGDFGHVRLWYKTMSGTAEAGLDFVPAAGELLFEAGEMRKSLHVEILDDDYPEGPEEFSLTITKVELQGRGYDFTIQENGLQIDQPPEIGNISIVRIIIMKNDNAEGIIEFDPKYTAFEVEEDVGLIMIPVVRLHGTYGYVTADFISQSSSASPGGVDYILHGSTVTFQHGQNLSFINISIIDDNESEFEEPIEILLTGATGGAVLGRHLVSRIIIAKSDSPFGVIRFLNQSKISIANPNSTMILSLVLERTGGLLGEIQVNWETVGPNSQEALLPQNRDIADPVSGLFYFGEGEGGVRTIILTIYPHEEIEVEETFIIKLHLVKGEAKLDSRAKDVTLTIQEFGDPNGVVQFAPETLSKKTYSEPLALEGPLLITFFVRRVKGTFGEIMVYWELSSEFDITEDFLSTSGFFTIADGESEASFDVHLLPDEVPEIEEDYVIQLVSVEGGAELDLEKSITWFSVYANDDPHGVFALYSDRQSILIGQNLIRSIQINITRLAGTFGDVAVGLRISSDHKEQPIVTENAERQLVVKDGATYKVDVVPIKNQVFLSLGSNFTLQLVTVMLVGGRFYGMPTILQEAKSAVLPVSEKAANSQVGFESTAFQLMNITAGTSHVMISRRGTYGALSVAWTTGYAPGLEIPEFIVVGNMTPTLGSLSFSHGEQRKGVFLWTFPSPGWPEAFVLHLSGVQSSAPGGAQLRSGFIVAEIEPMGVFQFSTSSRNIIVSEDTQMIRLHVQRLFGFHSDLIKVSYQTTAGSAKPLEDFEPVQNGELFFQKFQTEVDFEITIINDQLSEIEEFFYINLTSVEIRGLQKFDVNWSPRLNLDFSVAVITILDNDDLAGMDISFPETTVAVAVDTTLIPVETESTTYLSTSKTTTILQPTNVVAIVTEATGVSAIPEKLVTLHGTPAVSEKPDVATVTANVSIHGTFSLGPSIVYIEEEMKNGTFNTAEVLIRRTGGFTGNVSITVKTFGERCAQMEPNALPFRGIYGISNLTWAVEE
XP_016866278.1 141 368 0.221170614035088 PF09380.10:FERM_C:54:138 E3 ubiquitin-protein ligase MYLIP isoform X1 432 85 28 228 0 Homo sapiens XP_016866278.1 1 RefSeq EELCAKELSSATLNSIVAKHKELEGTSQASAEYQVLQIVSAMENYGIEWHSVRDSEGQKLLIGVGPEGISICKDDFSPINRIAYPVVQMATQSGKNVYLTVTKESGNSIVLLFKMISTRAASGLYRAITETHAFYRCDTVTSAVMMQYSRDLKGHLASLFLNENINLGKKYVFDIKRTSKEVYDHARRALYNAGVVDLVSRNNQSPSHSPLKSSESSMNCSSCEGLSC
XP_016870232.1 1 462 0.502040692640693 PF06529.11:Vert_IL3-reg_TF:130:461,PF07716.15:bZIP_2:72:123 Nuclear factor interleukin-3-regulated protein; E4 promoter-binding protein 4; Interleukin-3 promoter transcriptional activator; Interleukin-3-binding protein 1; Transcriptional activator NF-IL3A 462 384 28 462 0 Homo sapiens (Human) SwissProt::Q16649 1 SwissProt MQLRKMQTVKKEQASLDASSNVDKMMVLNSALTEVSEDSTTGEELLLSEGSVGKNKSSACRRKREFIPDEKKDAMYWEKRRKNNEAAKRSREKRRLNDLVLENKLIALGEENATLKAELLSLKLKFGLISSTAYAQEIQKLSNSTAVYFQDYQTSKSNVSSFVDEHEPSMVSSSCISVIKHSPQSSLSDVSEVSSVEHTQESSVQGSCRSPENKFQIIKQEPMELESYTREPRDDRGSYTASIYQNYMGNSFSGYSHSPPLLQVNRSSSNSPRTSETDDGVVGKSSDGEDEQQVPKGPIHSPVELKHVHATVVKVPEVNSSALPHKLRIKAKAMQIKVEAFDNEFEATQKLSSPIDMTSKRHFELEKHSAPSMVHSSLTPFSVQVTNIQDWSLKSEHWHQKELSGKTQNSFKTGVVEMKDSGYKVSDPENLYLKQGIANLSAEVVSLKRLIATQPISASDSG
XP_016877827.1 247 890 0.733453105590062 PF15303.6:RNF111_N:1:46 E3 ubiquitin-protein ligase Arkadia; RING finger protein 111; hRNF111; RING-type E3 ubiquitin transferase Arkadia; EC 2.3.2.27 994 46 28 644 0 Homo sapiens (Human) SwissProt::Q6ZNA4 1 SwissProt YALLPSSSSSSENDLSSESSSSSSTEGEEDLFVSASENHQNNPAVPSGSIDEDVVVIEASSTPQVTANEEINVTSTDSEVEIVTVGESYRSRSTLGHSRSHWSQGSSSHASRPQEPRNRSRISTVIQPLRQNAAEVVDLTVDEDEPTVVPTTSARMESQATSASINNSNPSTSEQASDTASAVTSSQPSTVSETSATLTSNSTTGTSIGDDSRRTTSSAVTETGPPAMPRLPSCCPQHSPCGGSSQNHHALGHPHTSCFQQHGHHFQHHHHHHHTPHPAVPVSPSFSDPACPVERPPQVQAPCGANSSSGTSYHEQQALPVDLSNSGIRSHGSGSFHGASAFDPCCPVSSSRAAIFGHQAAAAAPSQPLSSIDGYGSSMVAQPQPQPPPQPSLSSCRHYMPPPYASLTRPLHHQASACPHSHGNPPPQTQPPPQVDYVIPHPVHAFHSQISSHATSHPVAPPPPTHLASTAAPIPQHLPPTHQPISHHIPATAPPAQRLHPHEVMQRMEVQRRRMMQHPTRAHERPPPHPHRMHPNYGHGHHIHVPQTMSSHPRQAPERSAWELGIEAGVTAATYTPGALHPHLAHYHAPPRLHHLQLGALPLMVPDMAGYPHIRYISSGLDGTSFRGPFRGNFEELIHLEERL
XP_016879514.1 384 1967 0.636719065656565 PF13771.6:zf-HC5HC2H:1442:1505,PF13832.6:zf-HC5HC2H_2:1436:1505 retinoic acid-induced protein 1 isoform X1 1967 70 28 1584 0 Homo sapiens XP_016879514.1 1 RefSeq AGITDHSHFMPLLNPSPTDATSSVDTQAGNCKPLQKDKLPENLLSDLSLQSLTALTSQVENISNTVQQLLLSKAAVPQKKGVKNLVSRTPEQHKSQHCSPEGSGYSAEPAGTPLSEPPSSTPQSTHAEPQEADYLSGSEDPLERSFLYCNQARGSPARVNSNSKAKPESVSTCSVTSPDDMSTKSDDSFQSLHGSLPLDSFSKFVAGERDCPRLLLSALAQEDLASEILGLQEAIGEKADKAWAEAPSLVKDSSKPPFSLENHSACLDSVAKSAWPRPGEPEALPDSLQLDKGGNAKDFSPGLFEDPSVAFATPDPKKTTGPLSFGTKPTLGVPAPDPTTAAFDCFPDTTAASSADSANPFAWPEENLGDACPRWGLHPGELTKGLEQGGKASDGISKGDTHEASACLGFQEEDPPGEKVASLPGDFKQEEVGGVKEEAGGLLQCPEVAKADRWLEDSRHCCSTADFGDLPLLPPTSRKEDLEAEEEYSSLCELLGSPEQRPGMQDPLSPKAPLICTKEEVEEVLDSKAGWGSPCHLSGESVILLGPTVGTESKVQSWFESSLSHMKPGEEGPDGERAPGDSTTSDASLAQKPNKPAVPEAPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRAPVLPKDLLLPESCTGPPQGQMEGAGAPGRGASEGLPRMCTRSLTALSEPRTPGPPGLTTTPAPPDKLGGKQRAAFKSGKRVGKPSPKAASSPSNPAALPVASDSSPMGSKTKETDSPSTPGKDQRSMILRSRTKTQEIFHSKRRRPSEGRLPNCRATKKLLDNSHLPATFKVSSSPQKEGRVSQRARVPKPGAGSKLSDRPLHALKRKSAFMAPVPTKKRNLVLRSRSSSSSNASGNGGDGKEERPEGSPTLFKRMSSPKKAKPTKGNGEPATKLPPPETPDACLKLASRAAFQGAMKTKVLPPRKGRGLKLEAIVQKITSPSLKKFACKAPGASPGNPLSPSLSDKDRGLKGAGGSPVGVEEGLVNVGTGQKLPTSGADPLCRNPTNRSLKGKLMNSKKLSSTDCFKTEAFTSPEALQPGGTALAPKKRSRKGRAGAHGLSKGPLEKRPYLGPALLLTPRDRASGTQGASEDNSGGGGKKPKMEELGLASQPPEGRPCQPQTRAQKQPGHTNYSSYSKRKRLTRGRAKNTTSSPCKGRAKRRRQQQVLPLDPAEPEIRLKYISSCKRLRSDSRTPAFSPFVRVEKRDAFTTICTVVNSPGDAPKPHRKPSSSASSSSSSSSFSLDAAGASLATLPGGSILQPRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYPEHCLPKKKPKLKEKVRPEGTCEEASLPLERTLKGPECAAAATAGKPPRPDGPADPAKQGPLRTSARGLSRRLQSCYCCDGREDGGEEAAPADKGRKHECSKEAPAEPGGEAQEHWVHEACAVWTGGVYLVAGKLFGLQEAMKVAVDMMCSSCQEAGATIGCCHKGCLHTYHYPCASDAGTSPPRNRRALEPIQAVQGDPPWAQLPKPRPHLTLQFPSSLAPAILLSFCLPRPLLGNARDMEMRHSPGTCLRSAQSSEGR
XP_444792.1 1 1107 0.255361427280939 PF04082.18:Fungal_trans:398:697,PF00172.18:Zn_clus:29:64 Transcription factor PDR1; Pleiotropic drug resistance protein 1 1107 336 28 1107 0 Candida glabrata (strain ATCC 2001 / CBS 138 / JCM 3761 / NBRC 0622 / NRRL Y-65) (Yeast) (Torulopsis glabrata) SwissProt::Q6FXU7 1 SwissProt MQTLETTSKSNPGEVKAQKPSTRRTKVGKACDSCRRRKIKCNGLKPCPSCTIYGCECTYTDAKSTKNLKSNDAGKSKPTGRVSKNKETTRVDKDIRKLEQQYVPINANIHVGPRFPSENILNGYPQCGAPQNNVVGNPLAVNTQCHRGLSETPMSSTFKESNLRDDRLLQSSDTDDMRNGDSEERDLKGSDSENVKSKDNKSDPLIIYKDDTHIESTVNKLTQAVNELKSLQNAPSSIKSSIDAIELQLRNILDNWKPEVDFEKAKINESATTKSLETNLLRNKYTNHVHLTRFRIWIDYKNANKNNHFMGECGFSLAESFFASNQPLVDELFGLYSQVEAFSLQGLGYCVHLYEPYMKTEEAIKLMKETLYIILRFIDICVHHINEESISIANPLETYLRKKHLMPMTPTPRSSYGSPQSASTKSLVSKIIERIPQPFIESVTNVSSLQLLDLRDDESKMFGTLLNMCKSIRRKFDSVMSDYDSIVTEKSEGEQNDGKVTVAEFTSLCEAEEMLLALCYNYYNLTLYSFFEFGTNIEYMEHLLLLLEEQLALDEYYGFEKVLNVAVANAKKMGFHRWEFYVGYEESTAEKRRLLWWKLYNYEKASTMKKGFFSVIDDATVNCLLPKIFRNFGYLDRVEFLENIQKPMDLSVFSDVPISVLCKYGELALTIVTSEFHEKFLYADRYTSIRNSAKPPTLKNQLIKEIVDGIAYTETSYEAIRKQTAKLWDIALGKVTKDKINKEDTAAASKFTLSYEYHRFRLINMADNLIARLMVKPKSDWLISVMKGHLNRLYEHWKVMNEIILSMDNDYSIATTFEYYAPSCLCLATQTFLIVRNMEMDDVKMMVAVYKRFLNLGMFLQSAKVCSLADSHTFRDFSRSFSFITIISRLMIIEFMQIKELTKVEFIEKFSEVCPDLADLPPMLLDPNSCLYFSLLQQIKKSGFTLSFKKILEDARMMDFNYDRNLDSEAIKKCNGEFSKSMPSCTNVSDTTTAVSDNSAKKKASMGSARVNSTDTLTASPLSGLRNQTQLDSKDSVPSLEAYTPIDSVSDVPTGEINVPFPPVYNQNGLDQQTTYNLGTLDEFVNKGDLNELYNSLWGDLFSDVYL
NP_001023891.2 198 721 0.464021374045801 PF14598.6:PAS_11:39:141,PF08447.12:PAS_3:50:132 Hypoxia-inducible factor 1 721 103 27 524 0 Caenorhabditis elegans NP_001023891.2 1 RefSeq STGGHVSFMQGITIPAGQGTTNANASAMTKYTESPMGAFTTRHTCDMRITFVSDKFNYILKSELKTLMGTSFYELVHPADMMIVSKSMKELFAKGHIRTPYYRLIAANDTLAWIQTEATTITHTTKGQKGQYVICVHYVLGIQGAEESLVVCTDSMPAGMQVDIKKEVDDTRDYIGRQPEIVECVDFTPLIEPEDPFDTVIEPVVGGEEPVKQADMGARKNSYDDVLQWLFRDQPSSPPPARYRSADRFRTTEPSNFGSALASPDFMDSSSRTSRPKTSYGRRAQSQGSRTTGSSSTSASATLPHSANYSPLAEGISQCGLNSPPSCSIKSGQVVYGDARSMGRSCDPSDSSRRFSALSPSDTLNVSSTRGINPVIGSNDVFSTMPFADSIAIAERIDSSPTLTSGEPILCDDLQWEEPDLSCLAPFVDTYDMMQMDEGLPPELQALYDLPDFTPAVPQAPAARPVHIDRSPPAKRMHQSGPSDLDFMYTQHYQPFQQDETYWQGQQQQNEQQPSSYSPFPMLS
NP_001077534.1 135 436 0.539329139072848 Transcription factor MYB124; Myb-related protein 124; AtMYB124; Protein FOUR LIPS 436 0 27 302 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94FL6 1 SwissProt SNSNTKRMLFLDGISTPRKSENETPIAKKLKRSHILDLTEISNYGRAEACVNQQIRSPFSVLARNATGIDSLEEQNQTSNVNESDGEGMFLKKDDPKVTALMQQAELLSSLAQKVNADNTEQSMENAWKVLQDFLNKGKENDLFRYGIPDIDFKIEEFKDLIEDLRSGYEDNQLSWRQPDLHDSPASSEYSSGSTIMVDQSGDKTQPFSADTQTEHKQVGEELLVPKNPDENMPISGEEKFSSPIQVTPLFRSLADGIPSPQFSESERSFLLKTLGIESSSPCPSANPSKPPPCKRVLLHSL
NP_001105878.1 1 243 0.56410987654321 PF02416.16:MttA_Hcf106:73:153 Sec-independent protein translocase protein TATB, chloroplastic; Protein HIGH CHLOROPHYLL FLUORESCENCE 106; Protein TWIN-ARGININE TRANSLOCATION B 243 81 27 220 1 Zea mays (Maize) SwissProt::O48950 1 SwissProt MTPTANLLLPAPPFVPISDVRRLQLPPRVRHQPRPCWKGVEWGSIQTRMVSSFVAVGSRTRRRNVICASLFGVGAPEALVIGVVALLVFGPKGLAEVARNLGKTLRAFQPTIRELQDVSREFRSTLEREIGIDEVSQSTNYRPTTMNNNQQPAADPNVKPEPAPYTSEELMKVTEEQIAASAAAAWNPQQPATSQQQEEAPTTPRSEDAPTSGGSDGPAAPARAVSDSDPNQVNKSQKAEGER
NP_001124463.1 464 999 0.590484888059701 Hypoxia up-regulated protein 1; 150 kDa oxygen-regulated protein; ORP-150; 170 kDa glucose-regulated protein; GRP-170 999 0 27 536 0 Homo sapiens (Human) SwissProt::Q9Y4L1 1 SwissProt HNKRVLFSRMGPYPQRKVITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGDSFKKYPDYESKGIKAHFNLDESGVLSLDRVESVFETLVEDSAEEESTLTKLGNTISSLFGGGTTPDAKENGTDTVQEEEESPAEGSKDEPGEQVELKEEAEAPVEDGSQPPPPEPKGDATPEGEKATEKENGDKSEAQKPSEKAEAGPEGVAPAPEGEKKQKPARKRRMVEEIGVELVVLDLPDLPEDKLAQSVQKLQDLTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSAASTWLEDEGVGATTVMLKEKLAELRKLCQGLFFRVEERKKWPERLSALDNLLNHSSMFLKGARLIPEMDQIFTEVEMTTLEKVINETWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDREVQYLLNKAKFTKPRPRPKDKNGTRAEPPLNASASDQGEKVIPPAGQTEDAEPISEPEKVETGSEPGDTEPLELGGPGAEPEQKEQSTGQKRPLKNDEL
NP_001156923.1 456 1305 0.463487764705882 C-Jun-amino-terminal kinase-interacting protein 3 isoform f 1305 0 27 850 0 Mus musculus NP_001156923.1 1 RefSeq KSEAVTARREPREEVEDVSSYLCTELDKIPMAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRSYPSVNIHYKSPTAAGFSQRRSHALCQISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPSTKLWCAAGVNLSGWKPHEEDSSNGPKPVPGRDPLTCDREGEGEPKSTHPSPEKKKAKETPEADATSSRVWILTSTLTTSKVVIIDANQPGTIVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDSGADGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGDVATTANGKVNPSQSTEEATEATEVPDPGPSESEATTVRPGPLTEHVFTDPAPTPSSSTQPASENGSESNGTIVQPQVEPSGELSTTTSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVNDRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLIAGNRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGTRPGGIIHVYGDDSSDKAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLDSPSEGPGPAAPAADAEGQKLKNALVLSGGEGYIDFRIGDGEDDETEECAGDVNQTKPSLSKAERSHIIVWQVSYTPE
NP_001167569.1 88 258 0.0361204678362574 PF01733.18:Nucleoside_tran:85:170 equilibrative nucleoside transporter 3 isoform b 258 86 27 56 5 Homo sapiens NP_001167569.1 0 RefSeq PATGEDPEGSDILNYFESYLAVASTVPSMLCLVANFLLVNRVAVHIRVLASLTVILAIFMVITALVKVDTSSWTRGFFAVTIVCMVILSGASTVFSSSIYGMTGSFPMRNSQALISGGAMGGTVSAVASLVDLAASSDVRNSALAFFLTATVFLVLCMGLYLLLSRLEYAR
NP_001177203.1 86 832 0.275121820615796 PF13229.6:Beta_helix:246:406,PF13229.6:Beta_helix:397:542,PF13229.6:Beta_helix:499:628,PF13229.6:Beta_helix:579:734,PF05048.13:NosD:314:440,PF05048.13:NosD:442:575,PF05048.13:NosD:575:741,PF12937.7:F-box-like:73:116,PF00646.33:F-box:73:113 F-box only protein 11; Protein arginine N-methyltransferase 9; Vitiligo-associated protein 1; VIT-1 927 540 27 747 0 Homo sapiens (Human) SwissProt::Q86XK2 1 SwissProt VAEESGPGAQNSPYQLRRKTLLPKRTACPTKNSMEGASTSTTENFGHRAKRARVSGKSQDLSAAPAEQYLQEKLPDEVVLKIFSYLLEQDLCRAACVCKRFSELANDPILWKRLYMEVFEYTRPMMHPEPGKFYQINPEEYEHPNPWKESFQQLYKGAHVKPGFAEHFYSNPARYKGRENMLYYDTIEDALGGVQEAHFDGLIFVHSGIYTDEWIYIESPITMIGAAPGKVADKVIIENTRDSTFVFMEGSEDAYVGYMTIRFNPDDKSAQHHNAHHCLEITVNCSPIIDHCIIRSTCTVGSAVCVSGQGACPTIKHCNISDCENVGLYITDHAQGIYEDNEISNNALAGIWVKNHGNPIIRRNHIHHGRDVGVFTFDHGMGYFESCNIHRNRIAGFEVKAYANPTVVRCEIHHGQTGGIYVHEKGRGQFIENKIYANNFAGVWITSNSDPTIRGNSIFNGNQGGVYIFGDGRGLIEGNDIYGNALAGIQIRTNSCPIVRHNKIHDGQHGGIYVHEKGQGVIEENEVYSNTLAGVWVTTGSTPVLRRNRIHSGKQVGVYFYDNGHGVLEDNDIYNHMYSGVQIRTGSNPKIRRNKIWGGQNGGILVYNSGLGCIEDNEIFDNAMAGVWIKTDSNPTLRRNKIHDGRDGGICIFNGGRGLLEENDIFRNAQAGVLISTNSHPILRKNRIFDGFAAGIEITNHATATLEGNQIFNNRFGGLFLASGVNVTMKDNKIMNNQDAIEKAVSR
NP_001229487.1 379 1077 0.865891559370529 nuclear receptor coactivator 6 isoform 2 1077 0 27 699 0 Mus musculus NP_001229487.1 1 RefSeq PPPYPFGSQQASQAHTNFPQMSNPGQFTAPQMKGLQGGPSRVPTPLQQPHLTNKSPASSPSSFQQGSPASSPTVNQTQQQMGPRPPQNNPLSQGFQQPVSSPGRNPMVQQGNVPPNFMVMQQQPPNQGPQSLHPGLGGMPKRLPPGFSAGQANPNFMQGQVPSTTAATPGNSGALQLQANQNVQHAGGQGAGPPQNQMQVSHGPPNMMQPSLMGIHGNINNQQAGSSGVPQVTLGNMQGQPQQGPPSQLMGMHQQIVPSQGQMAQQQGTLNPQNPMILSRAQLMPQGQMMVNAQNQNLGPSPQRMTPPKQMLPQQGPQMMAPHNQMMGPQGQVLLQQNPMIEQIMTNQMQGNKAQFNSQNQSNVMPGPAQIMRGPTPNMQGNMVQFTGQMSGQMLPQQGPVNNSPSQVMGIQGQVLRPPGPSPHMAQQHNDPVTTANNDVNLSQMMPDVSMQQASMVPPHVQSMQGNSASGSHFSGHGVSFNAPFGGAPNGSQMSCGQNPGFPVNKDVTLTSPLLVNLLQSDISAGHFGVNNKQNNTNANKPKKKKPPRKKKNCHQDLNTPDNRPTGLEEVDQQSLPGEQGINLDTTGPKLPDFSNRPPAPSQNLAPKETPATALQGSVARPELEANAAIASGQSCEPKEIVEKSKTLTSRRNSRTEEPTMASESVENGHRKRSSRPASASSSTKDITGAVQSKRRKSK
NP_001240794.1 1 345 0.720234202898551 transcription factor 12 isoform 5 463 0 27 345 0 Mus musculus NP_001240794.1 1 RefSeq MFASTFFMQDGTHSSSDLWSSSNGMSQPGFGGILGTSTSHMSQSSSYGSLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRGSTSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNPSTPVGSPSPLTGTSQWPRAGGQAPSSPSYENSLHSLQSRMEDRLDRLDDAIHVLRNHAVGPSTSLPTSHSDIHSLLGPSHNASIGNLNSNYGGSSLVTNSRSASMVGTHREDSVSLNGNHSVLSSTVAASNTELNHKTPENFRGGVQNQSGSVVPTEIKTENKEKDENLHEPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDE
NP_001243805.1 1 1070 0.530844859813083 PF12075.8:KN_motif:30:68 KN motif and ankyrin repeat domain-containing protein 1; Ankyrin repeat domain-containing protein 15; Kidney ankyrin repeat-containing protein 1352 39 27 1070 0 Homo sapiens (Human) SwissProt::Q14678 1 SwissProt MAHTTKVNGSASGKAGDILSGDQDKEQKDPYFVETPYGYQLDLDFLKYVDDIQKGNTIKRLNIQKRRKPSVPCPEPRTTSGQQGIWTSTESLSSSNSDDNKQCPNFLIARSQVTSTPISKPPPPLETSLPFLTIPENRQLPPPSPQLPKHNLHVTKTLMETRRRLEQERATMQMTPGEFRRPRLASFGGMGTTSSLPSFVGSGNHNPAKHQLQNGYQGNGDYGSYAPAAPTTSSMGSSIRHSPLSSGISTPVTNVSPMHLQHIREQMAIALKRLKELEEQVRTIPVLQVKISVLQEEKRQLVSQLKNQRAASQINVCGVRKRSYSAGNASQLEQLSRARRSGGELYIDYEEEEMETVEQSTQRIKEFRQLTADMQALEQKIQDSSCEASSELRENGECRSVAVGAEENMNDIVVYHRGSRSCKDAAVGTLVEMRNCGVSVTEAMLGVMTEADKEIELQQQTIESLKEKIYRLEVQLRETTHDREMTKLKQELQAAGSRKKVDKATMAQPLVFSKVVEAVVQTRDQMVGSHMDLVDTCVGTSVETNSVGISCQPECKNKVVGPELPMNWWIVKERVEMHDRCAGRSVEMCDKSVSVEVSVCETGSNTEESVNDLTLLKTNLNLKEVRSIGCGDCSVDVTVCSPKECASRGVNTEAVSQVEAAVMAVPRTADQDTSTDLEQVHQFTNTETATLIESCTNTCLSTLDKQTSTQTVETRTVAVGEGRVKDINSSTKTRSIGVGTLLSGHSGFDRPSAVKTKESGVGQININDNYLVGLKMRTIACGPPQLTVGLTASRRSVGVGDDPVGESLENPQPQAPLGMMTGLDHYIERIQKLLAEQQTLLAENYSELAEAFGEPHSQMGSLNSQLISTLSSINSVMKSASTEELRNPDFQKTSLGKITGNYLGYTCKCGGLQSGSPLSSQTSQPEQEVGTSEGKPISSLDAFPTQEGTLSPVNLTDDQIAAGLYACTNNESTLKSIMKKKDGNKDSNGAKKNLQFVGINGGYETTSSDDSSSDESSSSESDDECDVIEYPLEEEEEEEDEDTRGMAEGHHAVNIEGLKSARVEDEMQVQ
NP_001264671.1 1 267 0.132089513108614 PF00067.22:p450:74:252 cytochrome P450 26B1 isoform 2 437 179 27 247 1 Homo sapiens NP_001264671.1 1 RefSeq MLFEGLDLVSALATLAACLVSVTLLLAVSQQLWQLRWAATRDKSCKLPIPKGSMGFPLIGETGHWLLQVFSKIFSHEALESYLPKIQLVIQDTLRAWSSHPEAINVYQEAQKLTFRMAIRVLLGFSIPEEDLGHLFEVYQQFVDNVFSLPVDLPFSGYRRGIQARQILQKGLEKAIREKLQCTQGKDYLDALDLLIESSKEHGKEMTMQELKDGTLELIFAAYATTASASTSLIMQLLKHPTVLEKLRDELRAHGILHSGGCPCEGT
NP_001264712.1 1 74 0.659362162162162 ubiquitin-like protein ATG12 isoform 2 74 0 27 74 0 Homo sapiens NP_001264712.1 1 RefSeq MAEEPQSVLQLPTSIAAGGEGLTDVSPETTTPEPPSSAAVSPGTEEPAGDTKKKIYLCESVLCSFPRPRSWNSL
NP_001269681.1 1 641 0.583891731669266 pumilio homolog 2 isoform 2 1008 0 27 641 0 Homo sapiens NP_001269681.1 1 RefSeq MSQPIMVQRRSGQGFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGNFGTRDAETDGPEKGDQKGKASPFEEDQNRDLKQGDDDDSKINGRGLPNGMDADCKDFNRTPGSRQASPTEVVERLGPNTNPSEGLGPLPNPTANKPLVEEFSNPETQNLDAMEQVGLESLQFDYPGNQVPMDSSGATVGLFDYNSQQQLFQRTNALTVQQLTAAQQQQYALAAAQQPHIAGVFSAGLAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQAAAAANNTASQQAASQAQPGQQQVLRAGAGQRPLTPNQGQQGQQAESLAAAAAANPTLAFGQGLATGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSAAAQAAAAAAAGGTASSLTGSTNGLFRPIGTQPPQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFSHGPGQPGSTSLGFGSGNSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSSSLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSGRYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRY
NP_001269892.1 81 663 0.538423670668954 PF12346.8:HJURP_mid:106:220,PF12347.8:HJURP_C:244:305,PF12347.8:HJURP_C:389:447 Holliday junction recognition protein isoform c 663 236 27 583 0 Homo sapiens NP_001269892.1 1 RefSeq CAGNRAGRDVRVTPLPSLASPAVPAPGYCSRISRKSPGDPAKPASSPREWDPLHPSSTDMALVPRNDSLSLQETSSSSFLSSQPFEDDDICNVTISDLYAGMLHSMSRLLSTKPSSIISTKTFIMQNWNSRRRHRYKSRMNKTYCKGARRSQRSSKENFIPCSEPVKGTGALRDCKNVLDVSCRKTGLKLEKAFLEVNRPQIHKLDPSWKERKVTPSKYSSLIYFDSSATYNLDEENRFRTLKWLISPVKIVSRPTIRQGHGENRQREIEIRFDQLHREYCLSPRNQPRRMCLPDSWAMNMYRGGPASPGGLQGLETRRLSLPSSKAKAKSLSEAFENLGKRSLEAGRCLPKSDSSSSLPKTNPTHSATRPQQTSDLHVQGNSSGIFRKSVSPSKTLSVPDKEVPGHGRNRYDEIKEEFDKLHQKYCLKSPGQMTVPLCIGVSTDKASMEVRYQTEGFLGKLNPDPHFQGFQKLPSSPLGCRKSLLGSTAIEAPSSTCVARAITRDGTRDHQFPAKRPRLSEPQGSGRQGNSLGASDGVDNTVRPGDQGSSSQPNSEERGENTSYRMEEKSDFMLEKLETKSV
NP_003638.1 173 567 0.183827341772152 PF00609.19:DAGK_acc:197:352,PF00781.24:DAGK_cat:48:155 Diacylglycerol kinase epsilon; DAG kinase epsilon; Diglyceride kinase epsilon; DGK-epsilon; EC 2.7.1.107 567 264 27 395 0 Homo sapiens (Human) SwissProt::P52429 1 SwissProt KNEKCDFGEFKNLIIPPSYLTSINQMRKDKKTDYEVLASKLGKQWTPLIILANSRSGTNMGEGLLGEFRILLNPVQVFDVTKTPPIKALQLCTLLPYYSARVLVCGGDGTVGWVLDAVDDMKIKGQEKYIPQVAVLPLGTGNDLSNTLGWGTGYAGEIPVAQVLRNVMEADGIKLDRWKVQVTNKGYYNLRKPKEFTMNNYFSVGPDALMALNFHAHREKAPSLFSSRILNKAVYLFYGTKDCLVQECKDLNKKVELELDGERVALPSLEGIIVLNIGYWGGGCRLWEGMGDETYPLARHDDGLLEVVGVYGSFHCAQIQVKLANPFRIGQAHTVRLILKCSMMPMQVDGEPWAQGPCTVTITHKTHAMMLYFSGEQTDDDISSTSDQEDIKATE
NP_004629.3 1 2157 0.860397450162264 PF07001.11:BAT2_N:1:188 Protein PRRC2A; HLA-B-associated transcript 2; Large proline-rich protein BAT2; Proline-rich and coiled-coil-containing protein 2A; Protein G2 2157 188 27 2157 0 Homo sapiens (Human) SwissProt::P48634 1 SwissProt MSDRSGPTAKGKDGKKYSSLNLFDTYKGKSLEIQKPAVAPRHGLQSLGKVAIARRMPPPANLPSLKAENKGNDPNVSLVPKDGTGWASKQEQSDPKSSDASTAQPPESQPLPASQTPASNQPKRPPAAPENTPLVPSGVKSWAQASVTHGAHGDGGRASSLLSRFSREEFPTLQAAGDQDKAAKERESAEQSSGPGPSLRPQNSTTWRDGGGRGPDELEGPDSKLHHGHDPRGGLQPSGPPQFPPYRGMMPPFMYPPYLPFPPPYGPQGPYRYPTPDGPSRFPRVAGPRGSGPPMRLVEPVGRPSILKEDNLKEFDQLDQENDDGWAGAHEEVDYTEKLKFSDEEDGRDSDEEGAEGHRDSQSASGEERPPEADGKKGNSPNSEPPTPKTAWAETSRPPETEPGPPAPKPPLPPPHRGPAGNWGPPGDYPDRGGPPCKPPAPEDEDEAWRQRRKQSSSEISLAVERARRRREEEERRMQEERRAACAEKLKRLDEKFGAPDKRLKAEPAAPPAAPSTPAPPPAVPKELPAPPAPPPASAPTPETEPEEPAQAPPAQSTPTPGVAAAPTLVSGGGSTSSTSSGSFEASPVEPQLPSKEGPEPPEEVPPPTTPPVPKVEPKGDGIGPTRQPPSQGLGYPKYQKSLPPRFQRQQQEQLLKQQQQHQWQQHQQGSAPPTPVPPSPPQPVTLGAVPAPQAPPPPPKALYPGALGRPPPMPPMNFDPRWMMIPPYVDPRLLQGRPPLDFYPPGVHPSGLVPRERSDSGGSSSEPFDRHAPAMLRERGTPPVDPKLAWVGDVFTATPAEPRPLTSPLRQAADEDDKGMRSETPPVPPPPPYLASYPGFPENGAPGPPISRFPLEEPGPRPLPWPPGSDEVAKIQTPPPKKEPPKEETAQLTGPEAGRKPARGVGSGGQGPPPPRRESRTETRWGPRPGSSRRGIPPEEPGAPPRRAGPIKKPPPPTKVEELPPKPLEQGDETPKPPKPDPLKITKGKLGGPKETPPNGNLSPAPRLRRDYSYERVGPTSCRGRGRGEYFARGRGFRGTYGGRGRGARSREFRSYREFRGDDGRGGGTGGPNHPPAPRGRTASETRSEGSEYEEIPKRRRQRGSETGSETHESDLAPSDKEAPTPKEGTLTQVPLAPPPPGAPPSPAPARFTARGGRVFTPRGVPSRRGRGGGRPPPQVCPGWSPPAKSLAPKKPPTGPLPPSKEPLKEKLIPGPLSPVARGGSNGGSNVGMEDGERPRRRRHGRAQQQDKPPRFRRLKQERENAARGSEGKPSLTLPASAPGPEEALTTVTVAPAPRRAAAKSPDLSNQNSDQANEEWETASESSDFTSERRGDKEAPPPVLLTPKAVGTPGGGGGGAVPGISAMSRGDLSQRAKDLSKRSFSSQRPGMERQNRRPGPGGKAGSSGSSSGGGGGGPGGRTGPGRGDKRSWPSPKNRSRPPEERPPGLPLPPPPPSSSAVFRLDQVIHSNPAGIQQALAQLSSRQGSVTAPGGHPRHKPGLPQAPQGPSPRPPTRYEPQRVNSGLSSDPHFEEPGPMVRGVGGTPRDSAGVSPFPPKRRERPPRKPELLQEESLPPPHSSGFLGSKPEGPGPQAESRDTGTEALTPHIWNRLHTATSRKSYRPSSMEPWMEPLSPFEDVAGTEMSQSDSGVDLSGDSQVSSGPCSQRSSPDGGLKGAAEGPPKRPGGSSPLNAVPCEGPPGSEPPRRPPPAPHDGDRKELPREQPLPPGPIGTERSQRTDRGTEPGPIRPSHRPGPPVQFGTSDKDSDLRLVVGDSLKAEKELTASVTEAIPVSRDWELLPSAAASAEPQSKNLDSGHCVPEPSSSGQRLYPEVFYGSAGPSSSQISGGAMDSQLHPNSGGFRPGTPSLHPYRSQPLYLPPGPAPPSALLSGLALKGQFLDFSTMQATELGKLPAGGVLYPPPSFLYSPAFCPSPLPDTSLLQVRQDLPSPSDFYSTPLQPGGQSGFLPSGAPAQQMLLPMVDSQLPVVNFGSLPPAPPPAPPPLSLLPVGPALQPPSLAVRPPPAPATRVLPSPARPFPASLGRAELHPVELKPFQDYQKLSSNLGGPGSSRTPPTGRSFSGLNSRLKATPSTYSGVFRTQRVDLYQQASPPDALRWIPKPWERTGPPPREGPSRRAEEPGSRGDKEPGLPPPR
NP_005946.2 325 753 0.657179953379953 Metal regulatory transcription factor 1; MRE-binding transcription factor; Transcription factor MTF-1 753 0 27 429 0 Homo sapiens (Human) SwissProt::Q14872 1 SwissProt QHNGSEDTNHSLCLSDLSLLSTDSELRENSSTTQGQDLSTISPAIIFESMFQNSDDTAIQEDPQQTASLTESFNGDAESVSDVPPSTGNSASLSLPLVLQPGLSEPPQPLLPASAPSAPPPAPSLGPGSQQAAFGNPPALLQPPEVPVPHSTQFAANHQEFLPHPQAPQPIVPGLSVVAGASASAAAVASAVAAPAPPQSTTEPLPAMVQTLPLGANSVLTNNPTITITPTPNTAILQSSLVMGEQNLQWILNGATSSPQNQEQIQQASKVEKVFFTTAVPVASSPGSSVQQIGLSVPVIIIKQEEACQCQCACRDSAKERASSRRKGCSSPPPPEPSPQAPDGPSLQLPAQTFSSAPVPGSSSSTLPSSCEQSRQAETPSDPQTETLSAMDVSEFLSLQSLDTPSNLIPIEALLQGEEEMGLTSSFSK
NP_006527.1 1 943 0.266140615058324 PF08434.11:CLCA:33:292 Calcium-activated chloride channel regulator 2; Calcium-activated chloride channel family member 2; hCLCA2; Calcium-activated chloride channel protein 3; CaCC-3; hCaCC-3; EC 3.4.-.- 943 260 27 920 1 Homo sapiens (Human) SwissProt::Q9UQC9 1 SwissProt MTQRSIAGPICNLKFVTLLVALSSELPFLGAGVQLQDNGYNGLLIAINPQVPENQNLISNIKEMITEASFYLFNATKRRVFFRNIKILIPATWKANNNSKIKQESYEKANVIVTDWYGAHGDDPYTLQYRGCGKEGKYIHFTPNFLLNDNLTAGYGSRGRVFVHEWAHLRWGVFDEYNNDKPFYINGQNQIKVTRCSSDITGIFVCEKGPCPQENCIISKLFKEGCTFIYNSTQNATASIMFMQSLSSVVEFCNASTHNQEAPNLQNQMCSLRSAWDVITDSADFHHSFPMNGTELPPPPTFSLVQAGDKVVCLVLDVSSKMAEADRLLQLQQAAEFYLMQIVEIHTFVGIASFDSKGEIRAQLHQINSNDDRKLLVSYLPTTVSAKTDISICSGLKKGFEVVEKLNGKAYGSVMILVTSGDDKLLGNCLPTVLSSGSTIHSIALGSSAAPNLEELSRLTGGLKFFVPDISNSNSMIDAFSRISSGTGDIFQQHIQLESTGENVKPHHQLKNTVTVDNTVGNDTMFLVTWQASGPPEIILFDPDGRKYYTNNFITNLTFRTASLWIPGTAKPGHWTYTLNNTHHSLQALKVTVTSRASNSAVPPATVEAFVERDSLHFPHPVMIYANVKQGFYPILNATVTATVEPETGDPVTLRLLDDGAGADVIKNDGIYSRYFFSFAANGRYSLKVHVNHSPSISTPAHSIPGSHAMYVPGYTANGNIQMNAPRKSVGRNEEERKWGFSRVSSGGSFSVLGVPAGPHPDVFPPCKIIDLEAVKVEEELTLSWTAPGEDFDQGQATSYEIRMSKSLQNIQDDFNNAILVNTSKRNPQQAGIREIFTFSPQISTNGPEHQPNGETHESHRIYVAIRAMDRNSLQSAVSNIAQAPLFIPPNSDPVPARDYLILKGVLTAMGLIGIICLIIVVTHHTLSRKKRADKKENGTKLL
NP_010309.3 1 314 0.526681847133757 RNA polymerase I termination factor; NTS1 silencing protein 1 570 0 27 314 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12457 1 SwissProt MDSVSNLKSTNFQNNNDPKESVEEAVLRYVGVDLKNHIKKTKKKLKKQKKRKHGSKMSHEDEDTDMDWYLKTSGSKDLRKVDDIEPNSVAVAAVAAAYNSSMREKDKRSCHKKSSNSRSERKKHRKRKSSKERKAKIKMVLDPQLTTLDDGITTTAFLPDDLIAETAFDKYVDTEKAYLAKHPSKSLEVNEDDKENNFNNNSSTLVRIYTDLEGIPNDGSYIKRTPKIPEKDVKSDDLILAPEENNGDTALLRSDIVKASVIDGAITKSIGKKFTPSEENALDQFIEEYMKIRGLDRRQMCERIWSTDGVIRDG
NP_011952.1 1 580 0.442452931034482 PF02200.16:STE:58:167 Protein STE12 688 110 27 580 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P13574 1 SwissProt MKVQITNSRTEEILKVQANNENDEVSKATPGEVEESLRLIGDLKFFLATAPVNWQENQIIRRYYLNSGQGFVSCVFWNNLYYITGTDIVKCCLYRMQKFGREVVQKKKFEEGIFSDLRNLKCGIDATLEQPKSEFLSFLFRNMCLKTQKKQKVFFWFSVAHDKLFADALERDLKRESLNQPSTTKPVNEPALSFSYDSSSDKPLYDQLLQHLDSRRPSSTTKSDNSPPKLESENFKDNELVTVTNQPLLGVGLMDDDAPESPSQINDFIPQKLIIEPNTLELNGLTEETPHDLPKNTAKGRDEEDFPLDYFPVSVEYPTEENAFDPFPPQAFTPAAPSMPISYDNVNERDSMPVNSLLNRYPYQLSVAPTFPVPPSSSRQHFMTNRDFYSSNNNKEKLVSPSDPTSYMKYDEPVMDFDESRPNENCTNAKSHNSGQQTKQHQLYSNNFQQSYPNGMVPGYYPKMPYNPMGGDPLLDQAFYGADDFFFPPEGCDNNMLYPQTATSWNVLPPQAMQPAPTYVGRPYTPNYRSTPGSAMFPYMQSSNSMQWNTAVSPYSSRAPSTTAKNYPPSTFYSQNINQY
NP_013518.3 1 263 0.0220589353612167 PF06687.12:SUR7:8:239 Protein PUN1; Plasma membrane protein up-regulated during nitrogen stress 1 263 232 27 171 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06991 1 SwissProt MRNFFTLFFAAIFSLGALILAIVACAGSTKNYSPINKIYCAELDLSQMKVSTVLPSLSSATLSSLGLPSYINIGLWSYCTVDSSHNIQSCSSPHGIQNFNLSSLVYDNINNNEALELMDSVASVVLPEKLKSKMTYYNNLVKCMFITILIGIVLTFVNLVFNVLRWIIHIRPLTWFGAFFSFFAFAALLVSIGSCLGTYSYIKYILKHNYSDYGISMSIGRNYQGLMWGAVVGALLNFILWCSVRSRPTVIYANAPIEEKPLI
NP_013522.1 1 229 0.467254585152838 Cell division control protein 73; RNA polymerase-associated protein CDC73 393 0 27 229 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06697 1 SwissProt MANSLDRLREHLKNGDKLVLKNNEGQSTDDITKATMVETLSSDGSTQDSFPLNEETEIEIDGSLVQLRIIVHCWMNKDSSAADYLADCQNKQLTNVSFLQRTDLINWLSGNTESSQYLKAPGQKGETSDKVDIENKTLAGELSTVKSTTSASLENDSEVSDPVVVETMKHERILVDHNSALRGAKPINFGYLIKDAELKLVQSIKGSLRGSKLPPGHKGAHGRISKTNG
NP_014002.1 1 976 0.386357991803279 PF04425.12:Bul1_N:116:542,PF04426.12:Bul1_C:690:973 Ubiquitin ligase-binding protein BUL1; Respiration deficiency suppressor 1 976 711 27 976 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48524 1 SwissProt MAKDLNDSGFPPKRKPLLRPQRSDFTANSSTTMNVNANTRGRGRQKQEGGKGSSRSPSLHSPKSWIRSASATGILGLRRPELAHSHSHAPSTGTPAGGNRSPLRRSTANATPVETGRSLTDGDINNVVDVLPSFEMYNTLHRHIPQGNVDPDRHDFPPSYQEANNSTATGAAGSSADLSHQSLSTDALGATRSSSTSNLENLIPLRTEHHSIAAHQSTAVDEDSLDIPPILDDLNDTDNIFIDKLYTLPKMSTPIEITIKTTKHAPIPHVKPEEESILKEYTSGDLIHGFITIENKSQANLKFEMFYVTLESYISIIDKVKSKRTIKRFLRMVDLSASWSYSKIALGSGVDFIPADVDYDGSVFGLNNSRVLEPGVKYKKFFIFKLPLQLLDVTCKQEHFSHCLLPPSFGIDKYRNNCKYSGIKVNRVLGCGHLGTKGSPILTNDMSDDNLSINYTIDARIVGKDQKASKLYIMKEREYNLRVIPFGFDANVVGERTTMSQLNDITKLVQERLDALRKIFQRLEKKEPITNRDIHGADLSGTIDDSIESDSQEILQRKLDQLHIKNRNNYLVNYNDLKLGHDLDNGRSGNSGHNTDTSRAWGPFVESELKYKLKNKSNSSSFLNFSHFLNSSSSSMSSSSNAGKNNHDLTGNKERTGLILVKAKIPKQGLPYWAPSLLRKTNVFESKSKHDQENWVRLSELIPEDVKKPLEKLDLQLTCIESDNSLPHDPPEIQSITTELICITAKSDNSIPIKLNSELLMNKEKLTSIKALYDDFHSKICEYETKFNKNFLELNELYNMNRGDRRPKELKFTDFITSQLFNDIESICNLKVSVHNLSNIFKKQVSTLKQHSKHALSEDSISHTGNGSSSSPSSASLTPVTSSSKSSLFLPSGSSSTSLKFTDQIVHKWVRIAPLQYKRDINVNLEFNKDIKETLIPSFESCLCCRFYCVRVMIKFENHLGVAKIDIPISVRQVTK
NP_015069.1 1 488 0.399824180327869 PF12767.7:SAGA-Tad1:58:316 Transcriptional coactivator HFI1/ADA1 488 259 27 488 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12060 1 SwissProt MSAIQSPAPKPLQPTYPAASPASTNAYMKPGLIGSPAVSNHTEPNNGNNETAEPQGPNQRIDLGAMIEELTSLLGKESWTKYAQIISLFILGKLSRKELSNELELVFSPSAASLEKSNTNHHHSLVRLHNQLLLGIFANSLRENPLGRNGNESSWGFGNGSNNPNNKLKRINKHNSQIEVYKKIVMSLPLNDRNRLKMITKEAGKRGFIFCSVFQARLNNIPKIPIVTNPESLKRVKSNNLKTPLEWSQDIMNGFNVPLASESHSLPDTDSFYLRMVGIAREHGLVGTVDARCVELISLALDQYLKNIIEFTIDTVRYRRKKYSDYYDLNESGLYKSVSEMAADKRDAKIKQLDDDKNEDECADEAKSINNGNNSSKDDIGDISMSSITKAGEAVNEELHENRTISLTNEDIYDSLSIFPNLVEPSGSYYALTNLGLVNDDELVDMKSNIDDLPDFLNEKPTFTPLDERNVGTRHELNWLIKGILTED
NP_031796.1 107 299 0.483270984455958 PF03529.13:TF_Otx:58:143 Cone-rod homeobox protein 299 86 27 193 0 Mus musculus (Mouse) SwissProt::O54751 1 SwissProt PPGAQTKARPAKRKAGTSPRPSTDVCTDPLGISDSYSPSLPGPSGSPTTAVATVSIWSPASEAPLPEAQRAGLVASGPSLTSAPYAMTYAPASAFCSSPSAYASPSSYFSGLDPYLSPMVPQLGGPALSPLSGPSVGPSLAQSPTSLSGQSYSTYSPVDSLEFKDPTGTWKFTYNPMDPLDYKDQSAWKFQIL
NP_032614.2 1 243 0.584506172839506 PF00010.26:HLH:77:130 Mesoderm posterior protein 1 243 54 27 243 0 Mus musculus (Mouse) SwissProt::P97309 1 SwissProt MAQPLCEPRSESWILSPAGRQPPMPSDGNSVCSPAWSSDPWDGAQASSPAPPCARPARRAGTPGRRGTHGSRLGSGQRQSASEREKLRMRTLARALHELRRFLPPSVAPTGQNLTKIETLRLAIRYIGHLSAVLGLSEDNLRRQRHAVSPRGCPLCPDSDLAQSQSLGPRLSPAVCSGVSWGSPPAYPRPRVAAESWDPSFLYAETASQERQEMEPSPSSPLFSSDMLALLETWTPPQEWPPA
NP_055051.1 1 680 0.0849122058823529 PF01553.21:Acyltransferase:146:283 Dihydroxyacetone phosphate acyltransferase; DAP-AT; DHAP-AT; Acyl-CoA:dihydroxyacetonephosphateacyltransferase; Glycerone-phosphate O-acyltransferase; EC 2.3.1.42 680 138 27 680 0 Homo sapiens (Human) SwissProt::O15228 1 SwissProt MESSSSSNSYFSVGPTSPSAVVLLYSKELKKWDEFEDILEERRHVSDLKFAMKCYTPLVYKGITPCKPIDIKCSVLNSEEIHYVIKQLSKESLQSVDVLREEVSEILDEMSHKLRLGAIRFCAFTLSKVFKQIFSKVCVNEEGIQKLQRAIQEHPVVLLPSHRSYIDFLMLSFLLYNYDLPVPVIAAGMDFLGMKMVGELLRMSGAFFMRRTFGGNKLYWAVFSEYVKTMLRNGYAPVEFFLEGTRSRSAKTLTPKFGLLNIVMEPFFKREVFDTYLVPISISYDKILEETLYVYELLGVPKPKESTTGLLKARKILSENFGSIHVYFGDPVSLRSLAAGRMSRSSYNLVPRYIPQKQSEDMHAFVTEVAYKMELLQIENMVLSPWTLIVAVLLQNRPSMDFDALVEKTLWLKGLTQAFGGFLIWPDNKPAEEVVPASILLHSNIASLVKDQVILKVDSGDSEVVDGLMLQHITLLMCSAYRNQLLNIFVRPSLVAVALQMTPGFRKEDVYSCFRFLRDVFADEFIFLPGNTLKDFEEGCYLLCKSEAIQVTTKDILVTEKGNTVLEFLVGLFKPFVESYQIICKYLLSEEEDHFSEEQYLAAVRKFTSQLLDQGTSQCYDVLSSDVQKNALAACVRLGVVEKKKINNNCIFNVNEPATTKLEEMLGCKTPIGKPATAKL
NP_055565.3 1 846 0.544815248226951 PF03359.13:GKAP:425:599 Disks large-associated protein 5; DAP-5; Discs large homolog 7; Disks large-associated protein DLG7; Hepatoma up-regulated protein; HURP 846 175 27 846 0 Homo sapiens (Human) SwissProt::Q15398 1 SwissProt MSSSHFASRHRKDISTEMIRTKIAHRKSLSQKENRHKEYERNRHFGLKDVNIPTLEGRILVELDETSQGLVPEKTNVKPRAMKTILGDQRKQMLQKYKEEKQLQKLKEQREKAKRGIFKVGRYRPDMPCFLLSNQNAVKAEPKKAIPSSVRITRSKAKDQMEQTKIDNESDVRAIRPGPRQTSEKKVSDKEKKVVQPVMPTSLRMTRSATQAAKQVPRTVSSTTARKPVTRAANENEPEGKVPSKGRPAKNVETKPDKGISCKVDSEENTLNSQTNATSGMNPDGVLSKMENLPEINTAKIKGKNSFAPKDFMFQPLDGLKTYQVTPMTPRSANAFLTPSYTWTPLKTEVDESQATKEILAQKCKTYSTKTIQQDSNKLPCPLGPLTVWHEEHVLNKNEATTKNLNGLPIKEVPSLERNEGRIAQPHHGVPYFRNILQSETEKLTSHCFEWDRKLELDIPDDAKDLIRTAVGQTRLLMKERFKQFEGLVDDCEYKRGIKETTCTDLDGFWDMVSFQIEDVIHKFNNLIKLEESGWQVNNNMNHNMNKNVFRKKVVSGIASKPKQDDAGRIAARNRLAAIKNAMRERIRQEECAETAVSVIPKEVDKIVFDAGFFRVESPVKLFSGLSVSSEGPSQRLGTPKSVNKAVSQSRNEMGIPQQTTSPENAGPQNTKSEHVKKTLFLSIPESRSSIEDAQCPGLPDLIEENHVVNKTDLKVDCLSSERMSLPLLAGGVADDINTNKKEGISDVVEGMELNSSITSQDVLMSSPEKNTASQNSILEEGETKISQSELFDNKSLTTECHLLDSPGLNCSNPFTQLERRHQEHARHISFGGNLITFSPLQPGEF
NP_055698.2 205 1023 0.562232356532356 Protein FAM13A 1023 0 27 819 0 Homo sapiens (Human) SwissProt::O94988 1 SwissProt PGLEGMKEQDLCNKIMAKILENYNTLFEVEYTENDHLRCENLARLIIVKEVYYKNSLPILLTRGLERDMPKPPPKTKIPKSRSEGSIQAHRVLQPELSDGIPQLSLRLSYRKACLEDMNSAEGAISAKLVPSSQEDERPLSPFYLSAHVPQVSNVSATGELLERTIRSAVEQHLFDVNNSGGQSSEDSESGTLSASSATSARQRRRQSKEQDEVRHGRDKGLINKENTPSGFNHLDDCILNTQEVEKVHKNTFGCAGERSKPKRQKSSTKLSELHDNQDGLVNMESLNSTRSHERTGPDDFEWMSDERKGNEKDGGHTQHFESPTMKIQEHPSLSDTKQQRNQDAGDQEESFVSEVPQSDLTALCDEKNWEEPIPAFSSWQRENSDSDEAHLSPQAGRLIRQLLDEDSDPMLSPRFYAYGQSRQYLDDTEVPPSPPNSHSFMRRRSSSLGSYDDEQEDLTPAQLTRRIQSLKKKIRKFEDRFEEEKKYRPSHSDKAANPEVLKWTNDLAKFRRQLKESKLKISEEDLTPRMRQRSNTLPKSFGSQLEKEDEKKQELVDKAIKPSVEATLESIQRKLQEKRAESSRPEDIKDMTKDQIANEKVALQKALLYYESIHGRPVTKNERQVMKPLYDRYRLVKQILSRANTIPIIGSPSSKRRSPLLQPIIEGETASFFKEIKEEEEGSEDDSNVKPDFMVTLKTDFSARCFLDQFEDDADGFISPMDDKIPSKCSQDTGLSNLHAASIPELLEHLQEMREEKKRIRKKLRDFEDNFFRQNGRNVQKEDRTPMAEEYSEYKHIKAKLRLLEVLISKRDTDSKSM
NP_057455.1 94 341 0.145927419354839 PF00106.25:adh_short:37:138 3-keto-steroid reductase; 17-beta-hydroxysteroid dehydrogenase 7; 17-beta-HSD 7; Estradiol 17-beta-dehydrogenase 7; Short chain dehydrogenase/reductase family 37C member 1; EC 1.1.1.270; EC 1.1.1.62 341 102 27 248 0 Homo sapiens (Human) SwissProt::P56937 1 SwissProt MPNPQLNIKALFFGLFSRKVIHMFSTAEGLLTQGDKITADGLQEVFETNVFGHFILIRELEPLLCHSDNPSQLIWTSSRSARKSNFSLEDFQHSKGKEPYSSSKYATDLLSVALNRNFNQQGLYSNVACPGTALTNLTYGILPPFIWTLLMPAILLLRFFANAFTLTPYNGTEALVWLFHQKPESLNPLIKYLSATTGFGRNYIMTQKMDLDEDTAEKFYQKLLELEKHIRVTIQKTDNQARLSGSCL
NP_060872.1 1 108 0.0555472222222222 Interleukin-26; IL-26; Protein AK155 171 0 27 108 0 Homo sapiens (Human) SwissProt::Q9NPH9 1 SwissProt MLVNFILRCGLLLVTLSLAIAKHKQSSFTKSCYPRGTLSQAVDALYIKAAWLKATIPEDRIKNIRLLKKKTKKQFMKNCQFQEQLLSFFMEDVFGQLQLQGCKKIRFV
NP_080660.1 1 388 0.113285309278351 PF03982.13:DAGAT:92:388 Diacylglycerol O-acyltransferase 2; Acyl-CoA retinol O-fatty-acyltransferase; ARAT; Retinol O-fatty-acyltransferase; Diglyceride acyltransferase 2; EC 2.3.1.20; EC 2.3.1.76 388 297 27 365 1 Mus musculus (Mouse) SwissProt::Q9DCV3 1 SwissProt MKTLIAAYSGVLRGERRAEAARSENKNKGSALSREGSGRWGTGSSILSALQDIFSVTWLNRSKVEKQLQVISVLQWVLSFLVLGVACSVILMYTFCTDCWLIAVLYFTWLAFDWNTPKKGGRRSQWVRNWAVWRYFRDYFPIQLVKTHNLLTTRNYIFGYHPHGIMGLGAFCNFSTEATEVSKKFPGIRPYLATLAGNFRMPVLREYLMSGGICPVNRDTIDYLLSKNGSGNAIIIVVGGAAESLSSMPGKNAVTLKNRKGFVKLALRHGADLVPTYSFGENEVYKQVIFEEGSWGRWVQKKFQKYIGFAPCIFHGRGLFSSDTWGLVPYSKPITTVVGEPITVPKLEHPTQKDIDLYHAMYMEALVKLFDNHKTKFGLPETEVLEVN
NP_084247.1 1 165 0.357386666666667 PF05824.12:Pro-MCH:83:165 Pro-MCH 165 83 27 165 0 Mus musculus (Mouse) SwissProt::P56942 1 SwissProt MAKMTLSSYMLMLAFSLFSQGILLSASKSIRNLEDDIVFNTFRMGKAFQKEDTAERSVVAPSLEQYKNDESGFMNDDDNKNSKNTGSKQNLVTHGLPLSLAVKPYLALKGSVAFPAENGVQNAESTQEKREIGDEENSAKFPIGRRDFDMLRCMLGRVYRPCWQV
NP_113618.2 1 243 0.665479835390947 PF09744.9:Jnk-SapK_ap_N:28:170 Rab-interacting lysosomal protein 401 143 27 243 0 Homo sapiens (Human) SwissProt::Q96NA2 1 SwissProt MEPRRAAPGVPGWGSREAAGSASAAELVYHLAGALGTELQDLARRFGPEAAAGLVPLVVRALELLEQAAVGPAPDSLQVSAQPAEQELRRLREENERLRRELRAGPQEERALLRQLKEVTDRQRDELRAHNRDLRQRGQETEALQEQLQRLLLVNAELRHKLAAMQTQLRAAQDRERERQQPGEAATPQAKERARGQAGRPGHQHGQEPEWATAGAGAPGNPEDPAEAAQQLGRPSEAGQCRF
NP_149017.2 1 519 0.229941040462428 PF07719.17:TPR_2:171:201,PF13181.6:TPR_8:108:134,PF13181.6:TPR_8:171:201,PF13181.6:TPR_8:203:235,PF13181.6:TPR_8:271:302,PF13181.6:TPR_8:306:336,PF13181.6:TPR_8:339:368,PF13414.6:TPR_11:110:145,PF13414.6:TPR_11:175:216,PF14559.6:TPR_19:179:241,PF13432.6:TPR_16:344:398,PF13176.6:TPR_7:170:200 Bardet-Biedl syndrome 4 protein 519 233 27 519 0 Homo sapiens (Human) SwissProt::Q96RK4 1 SwissProt MAEERVATRTQFPVSTESQKPRQKKAPEFPILEKQNWLIHLHYIRKDYEACKAVIKEQLQETQGLCEYAIYVQALIFRLEGNIQESLELFQTCAVLSPQSADNLKQVARSLFLLGKHKAAIEVYNEAAKLNQKDWEISHNLGVCYIYLKQFNKAQDQLHNALNLNRHDLTYIMLGKIHLLEGDLDKAIEVYKKAVEFSPENTELLTTLGLLYLQLGIYQKAFEHLGNALTYDPTNYKAILAAGSMMQTHGDFDVALTKYRVVACAVPESPPLWNNIGMCFFGKKKYVAAISCLKRANYLAPFDWKILYNLGLVHLTMQQYASAFHFLSAAINFQPKMGELYMLLAVALTNLEDIENAKRAYAEAVHLDKCNPLVNLNYAVLLYNQGEKKNALAQYQEMEKKVSLLKDNSSLEFDSEMVEMAQKLGAALQVGEALVWTKPVKDPKSKHQTTSTSKPASFQQPLGSNQALGQAMSSAAAYRTLPSGAGGTSQFTKPPSLPLEPEPAVESSPTETSEQIREK
NP_171918.1 1 397 0.120970025188917 PF08392.12:FAE1_CUT1_RppA:97:388 3-ketoacyl-CoA synthase 2; KCS-2; Docosanoic acid synthase; Very long-chain fatty acid condensing enzyme 2; VLCFA condensing enzyme 2; EC 2.3.1.199 528 292 27 351 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5XEP9 1 SwissProt MNENHIQSDHMNNTIHVTNKKLPNFLLSVRLKYVKLGYHYLISNAVYILILPVGLLAATSSSFSLTDLTLLYNHLLKFHFLSSTLFAALLIFLTTLYFTTRPRRIFLLDFACYKPDSSLICTRETFMDRSQRVGIFTEDNLAFQQKILERSGLGQKTYFPEALLRVPPNPCMSEARKEAETVMFGAIDAVLEKTGVNPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNVLSYNLGGMGCSAGLISIDLAKQLLQVQPNSYALVVSTENITLNWYLGNDRSMLLSNCIFRMGGAAVLLSNRSSDRCRSKYQLIHTVRTHKGSDDNAFNCVYQREDNDDNKQIGVSLSKNLMAIAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFNVKKIKPY 2
NP_177259.1 85 195 0.529101801801802 MYB-like 2 195 0 27 111 0 Arabidopsis thaliana NP_177259.1 1 RefSeq VKMGIDPTNHRLHHHTNYISRRHLHSSHKEHETKIISDQSSSVSESCGVTILPIPSTNCSEDSTSTGRSHLPDLNIGLIPAVTSLPALCLQDSSESSTNGSTGQETLLLFR
NP_180456.1 1 148 0.145439864864865 PF02466.19:Tim17:32:136 Outer envelope pore protein 16-1, chloroplastic; Chloroplastic outer envelope pore protein of 16 kDa 1; AtOEP16-1; OEP16-1; Outer plastid envelope protein 16-L; AtOEP16-L; Leave outer plastid envelope protein 16; Protochlorophyllide-dependent translocon protein 16; Ptc16 148 105 27 148 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZV24 1 SwissProt MPSSTFSGTVSTPKLSVAVDMGNPFLNLTVDAFLKIGAVGVTKSLAEDTYKAIDKGSLSKSTLEHALKKLCKEGVYWGAAGGVYIGTEYGIERIRGSRDWKNAMLAGAATGAVLSAVGKKGKDTIVIDAILGGALATASQFVNNHYFY
NP_190522.1 166 469 0.396711842105263 NAC domain-containing protein 62; ANAC062; Protein NTM1-like 6 469 0 27 304 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SCK6 1 SwissProt ELVLGEEDSKSDEVEEPAVSSPTVEVTKSEVSEVIKTEDVKRHDIAESSLVISGDSHSDACDEATTAELVDFKWYPELESLDFTLFSPLHSQVQSELGSSYNTFQPGSSNFSGNNNNSFQIQTQYGTNEVDTYISDFLDSILKSPDEDPEKHKYVLQSGFDVVAPDQIAQVCQQGSAVDMSNDVSVTGIQIKSRQAQPSGYTNDYIAQGNGPRRLRLQSNFNGINTKNPELQAIKREAEDTVGESIKKRCGKLMRSKNVTGFVFKKITSVKCSYGGLFRAAVVAVVFLMSVCSLTVDFRASAVS
NP_191950.2 1 500 0.1501486 PF12710.7:HAD:26:204,PF01553.21:Acyltransferase:304:400 glycerol-3-phosphate 1-O-acyltransferase (EC 2.3.1.15) 500 276 27 454 2 Arabidopsis thaliana BRENDA::Q5XF03 1 BRENDA MSPEKKSQNFPPITECRDGEYDSIAADLDGTLLLSRSSFPYFMLVAVEAGSLLRGLILLLSLPFVIISYLFVSESLGIQILIFISFAGLKIRDIELVSRAVLPRFYAADVRKDSFEVFDKCKRKVVVTANPIVMVEAFVKDYLGGDKVLGTEIEVNPKTNRATGFVKKPGVLVGDLKRLAILKEFGNESPDLGLGDRTSDHDFMSLCKKGYMVHATKSATTIPKERLKNRIVFHDGRLAQRPTPLNAIITYLWLPFGFILSIIRVYFNLPLPERFVRYTYEMLGIHLTIRGHRPPPPSPGTLGNLYVLNHRTALDPIIVAIALGRKICCVTYSVSRLSLMLSPIPAVALTRDRATDAANMRKLLEKGDLVICPEGTTCREEYLLRFSALFAELSDRIVPVAMNCKQGMFNGTTVRGVKFWDPYFFFMNPRPSYEATFLDRLPEEMTVNGGGKTPIEVANYVQKVIGAVLGFECTELTRKDKYLLLGGNDGKVESINNTKK
NP_193898.3 1 967 0.443766907962771 PF00035.26:dsrm:754:790,PF00035.26:dsrm:857:921,PF03031.18:NIF:267:376 RNA polymerase II C-terminal domain phosphatase-like 1; FCP-like 1; Carboxyl-terminal phosphatase-like 1; AtCPL1; CTD phosphatase-like 1; Protein FIERY 2; Protein JASMONATE OVEREXPRESSING 1; Protein SHINY 4; EC 3.1.3.16 967 212 27 967 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5YDB6 1 SwissProt MYSNNRVEVFHGDGRLGELEIYPSRELNQQQDDVMKQRKKKQREVMELAKMGIRISHFSQSGERCPPLAILTTISSCGLCFKLEASPSPAQESLSLFYSSCLRDNKTAVMLLGGEELHLVAMYSENIKNDRPCFWAFSVAPGIYDSCLVMLNLRCLGIVFDLDETLVVANTMRSFEDKIDGFQRRINNEMDPQRLAVIVAEMKRYQDDKNLLKQYIESDQVVENGEVIKVQSEIVPALSDNHQPLVRPLIRLQEKNIILTRINPMIRDTSVLVRMRPSWEELRSYLTAKGRKRFEVYVCTMAERDYALEMWRLLDPEGNLINTNDLLARIVCVKSGFKKSLFNVFLDGTCHPKMALVIDDRLKVWDEKDQPRVHVVPAFAPYYSPQAEAAATPVLCVARNVACGVRGGFFRDFDDSLLPRIAEISYENDAEDIPSPPDVSHYLVSEDDTSGLNGNKDPLSFDGMADTEVERRLKEAISASSAVLPAANIDPRIAAPVQFPMASASSVSVPVPVQVVQQAIQPSAMAFPSIPFQQPQQPTSIAKHLVPSEPSLQSSPAREEGEVPESELDPDTRRRLLILQHGQDTRDPAPSEPSFPQRPPVQAPPSHVQSRNGWFPVEEEMDPAQIRRAVSKEYPLDSEMIHMEKHRPRHPSFFSKIDNSTQSDRMLHENRRPPKESLRRDEQLRSNNNLPDSHPFYGEDASWNQSSSRNSDLDFLPERSVSATETSADVLHGIAIKCGAKVEYKPSLVSSTDLRFSVEAWLSNQKIGEGIGKSRREALHKAAEASIQNLADGYMRANGDPGPSHRDATPFTNENISMGNANALNNQPFARDETALPVSSRPTDPRLEGSMRHTGSITALRELCASEGLEMAFQSQRQLPSDMVHRDELHAQVEIDGRVVGEGVGSTWDEARMQAAERALSSVRSMLGQPLHKRQGSPRSFGGMSNKRLKPDFQRSLQRMPSSGRYS
NP_197027.1 1 106 0.07695 PF02704.14:GASA:47:106 Gibberellin-regulated protein 4; GAST1 protein homolog 4 106 60 27 83 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46690 1 SwissProt MAKSYGAIFLLTLIVLFMLQTMVMASSGSNVKWSQKRYGPGSLKRTQCPSECDRRCKKTQYHKACITFCNKCCRKCLCVPPGYYGNKQVCSCYNNWKTQEGGPKCP
NP_197143.1 1 164 0.482662804878049 Biotin carboxyl carrier protein of acetyl-CoA carboxylase 1, chloroplastic; AtBCCP1; BCCP-1 280 0 27 164 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42533 1 SwissProt MASSSFSVTSPAAAASVYAVTQTSSHFPIQNRSRRVSFRLSAKPKLRFLSKPSRSSYPVVKAQSNKVSTGASSNAAKVDGPSSAEGKEKNSLKESSASSPELATEESISEFLTQVTTLVKLVDSRDIVELQLKQLDCELVIRKKEALPQPQAPASYVMMQQPNQ
NP_198542.1 82 287 0.540896116504854 Protein REVEILLE 2; MYB family transcription factor Circadian 1 287 0 27 206 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4K5X6 1 SwissProt TKVARDFGVSSESIEIPPPRPKRKPMHPYPRKLVIPDAKEMVYAELTGSKLIQDEDNRSPTSVLSAHGSDGLGSIGSNSPNSSSAELSSHTEESLSLEAETKQSLKLFGKTFVVGDYNSSMSCDDSEDGKKKLYSETQSLQCSSSTSENAETEVVVSEFKRSERSAFSQLKSSVTEMNNMRGFMPYKKRVKVEENIDNVKLSYPLW
NP_216697.1 1 427 0.0343051522248244 PF09594.10:GT87:80:324 Polyprenol-phosphate-mannose-dependent alpha-(1-2)-phosphatidylinositol mannoside mannosyltransferase; Alpha-D-mannose-alpha-(1-2)-mannosyltransferase; Alpha-mannosyltransferase; Alpha-ManT; PPM-dependent mannosyltransferase; Polyprenol-phosphate-mannose alpha-mannosyltransferase; PPM alpha-mannosyltransferase; EC 2.4.1.- 427 245 27 219 10 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMZ9 1 SwissProt MSAWRAPEVGSRLGRRVLWCLLWLLAGVALGYVAWRLFGHTPYRIDIDIYQMGARAWLDGRPLYGGGVLFHTPIGLNLPFTYPPLAAVLFSPFAWLQMPAASVAITVLTLVLLIASTAIVLTGLDAWPTSRLVPAPARLRRLWLAVLIVAPATIWLEPISSNFAFGQINVVLMTLVIVDCFPRRTPWPRGLMLGLGIALKLTPAVFLLYFLLRRDGRAALTALASFAVATLLGFVLAWRDSWEYWTHTLHHTDRIGAAALNTDQNIAGALARLTIGDDERFALWVAGSLLVLAATIWAMRRVLRAGEPTLAVICVALFGLVVSPVSWSHHWVWMLPAVLVIGLLGWRRRNVALAMLSLAGVVLMRWTPIDLLPQHRETTAVWWRQLAGMSYVWWALAVIVVAGLTVTARMTPQRSLTRGLTPAPTAS
NP_218308.1 1 140 0.172657142857143 PF00106.25:adh_short:10:138 Decaprenylphosphoryl-2-keto-beta-D-erythro-pentose reductase; Decaprenyl-phospho-2'-keto-D-arabinose reductase; Decaprenylphospho-beta-D-erythro-pentofuranosid-2-ulose 2-reductase; Decaprenylphosphoryl-beta-D-ribofuranose 2'-epimerase subunit DprE2; Decaprenyl-phosphoribose 2'-epimerase subunit 2; NAD-dependent decaprenylphosphoryl-D-2-keto-erythropentose reductase; EC 1.1.1.333 254 129 27 140 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WGS9 1 SwissProt MVLDAVGNPQTVLLLGGTSEIGLAICERYLHNSAARIVLACLPDDPRREDAAAAMKQAGARSVELIDFDALDTDSHPKMIEAAFSGGDVDVAIVAFGLLGDAEELWQNQRKAVQIAEINYTAAVSVGVLLAEKMRAQGFG
NP_252168.1 1 285 0.158630175438597 PF03033.20:Glyco_transf_28:4:135 rhamnosyltransferase (RhlB;PA3478) (EC 2.4.1.-) 426 132 27 285 0 Pseudomonas aeruginosa PAO1 CAZy::AAG06866.1 1 CAZy MHAILIAIGSAGDVFPFIGLARTLKLRGHRVSLCTIPVFRDAVEQHGIAFVPLSDELTYRRTMGDPRLWDPKTSFGVLWQAIAGMIEPVYEYVSAQRHDDIVVVGSLWALGARIAHEKYGIPYLSAQVSPSTLLSAHLPPVHPKFNVPEQMPLAMRKLLWRCIERFKLDRTCAPEINAVRRKVGLETPVKRIFTQWMHSPQGVVCLFPAWFAPPQQDWPQPLHMTGFPLFDGSIPGTPLDDELQRFLDQGSRPLVFTQGSTEHLQGDFYAMALRALERLGARGIF
NP_252921.1 1 263 0.225495817490494 Salicylate biosynthesis isochorismate synthase; Isochorismate mutase; EC 5.4.4.2 476 0 27 263 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q51508 1 SwissProt MSRLAPLSQCLHALRGTFERAIGQAQALDRPVLVAASFEIDPLDPLQVFGAWDDRQTPCLYWEQPELAFFAWGCALELQGHGEQRFARIEENWQLLCADAVVEGPLAPRLCGGFRFDPRGPREEHWQAFADASLMLAGITVLREGERYRVLCQHLAKPGEDALALAAYHCSALLRLRQPARRRPSGPTAGAQGDASAQERRQWEAKVSDAVSSVRQGRFGKVVLARTQARPLGDIEPWQVIEHLRLQHADAQLFACRRGNACF
NP_476444.2 1 1687 0.790286069946652 PF03832.13:WSK:592:620,PF03832.13:WSK:741:769,PF03832.13:WSK:784:809 A-kinase anchor protein 12; AKAP-12 1687 84 27 1687 0 Rattus norvegicus (Rat) SwissProt::Q5QD51 1 SwissProt MGAGSSTEQRSPEQPAGSDTPSELVLSGHGPAAEASGAAGDPADADPATKLPQKNGQLSTVNGVAEQGDVHVQEENQEGQEEEVVDEDVGQRESEDVREKDRVEEMAANSTAVEDITKDGQEETSEIIEQIPASESNVEEMVQPAESQANDVGFKKVFKFVGFKFTVKKDKNEKSDTVQLLTVKKDEGEGAEASVGAGDHQEPSVETAVGESASKESELKQSTEKQEGTLKQEQSSTEIPLQAESDQAAEEEAKDEGEEKQEKEPTKSPESPSSPVSSETTSSFKKFFTHGWAGWRKKTSFKKSKEDDLETAEKRKEQEAEKVDEEEKEKTEPASEEQEPAEDTDQARLSADYEKVELPLEDQVGDLEASSEEKCAPLATEVFDEKMEAHQEVVAEVHVSTVEKTEEEQGGGGEAEGGVVVEGTGESLPPEKLAEPQEVPQEAEPAEELMKSREMCVSGGDHTQLTDLSPEEKTLPKHPEGIVSEVEMLSSQERIKVQGSPLKKLFSSSGLKKLSGKKQKGKRGGGGDEEPGEYQHIHTESPESADEQKGESSASSPEEPEETTCLEKGPLEAPQDGEAEEGTTSDGEKKREGITPWASFKKMVTPKKRVRRPSESDKEEELEKVKSATLSSTDSTVSEMQDEVKTVGEEQKPEEPKRRVDTSVSWEALICVGSSKKRARKASSSDDEGGPRTLGGDSHRAEEASKDKEAGTDAVPASTQEQDQAQGSSSPEPAGSPSEGEGVSTWESFKRLVTPRKKSKSKLEEKAEDSSVEQLSTEIEPSREESWVSIKKFIPGRRKKRADGKQEQATVEDSGPVEINEDDPDVPAVVPLSEYDAVEREKMEAQGNAELPQLLGAVYVSEELSKTLVHTVSVAVIDGTRAVTSVEERSPSWISASVTEPLEHTAGEAMPPVEEVTEKDIIAEETPVLTQTLPEGKDAHDDMVTSEVDFTSEAVTATETSEALRTEEVTEASGAEETTDMVSAVSQLTDSPDTTEEATPVQEVEGGVLDTEEEERQTQAILQAVADKVKEESQVPATQTVQRTGSKALEKVEEVEEDSEVLASEKEKDVMPKGPVQEAGAEHLAQGSETGQATPESLEVPEVTADVDHVATCQVIKLQQLMEQAVAPESSETLTDSETNGSTPLADSDTADGTQQDETIDSQDSKATAAVRQSQVTEEEAATAQKEEPSTLPNNVPAQEEHGEEPGRDVLEPTQQELAAAAVPVLAKTEVGQEGEVDWLDGEKVKEEQEVFVHSGPNSQKAADVTYDSEVMGVAGCQEKESTEVQSLSLEEGEMETDVEKEKRETKPEQVSEEGEQETAAPEHEGTYGKPVLTLDMPSSERGKALGSLGGSPSLPDQDKAGCIEVQVQSLDTTVTQTAEAVEKVIETVVISETGESPECVGAHLLPAEKSSATGGHWTLQHAEDTVPLGPESQAESIPIIVTPAPESTLHPDLQGEISASQRERSEEEDKPDAGPDADGKESTAIEKVLKAEPEILELESKSNKIVLNVIQTAVDQFARTETAPETHAYDSQTQVPAMQADSQGAQQMLDKNESCQDETPSAAAQRGLASPDRSGGMGSASEMLAALAVESAGVKVSIEKLPPQPKDQKEHAADGPQLQSLAQAEASASGNLTKESPDTNGPKLTEEGDAPKVEVQEEEMSTKSVKENKAQAEEDLQEPKGDLAES
NP_524136.2 1 410 0.716459512195122 Cell death protein hid; Protein head involution defective; Protein wrinkled 410 0 27 387 1 Drosophila melanogaster (Fruit fly) SwissProt::Q24106 1 SwissProt MAVPFYLPEGGADDVASSSSGASGNSSPHNHPLPSSASSSVSSSGVSSASASSASSSSSASSDGASSAASQSPNTTTSSATQTPMQSPLPTDQVLYALYEWVRMYQSQQSAPQIFQYPPPSPSCNFTGGDVFFPHGHPNPNSNPHPRTPRTSVSFSSGEEYNFFRQQQPQPHPSYPAPSTPQPMPPQSAPPMHCSHSYPQQSAHMMPHHSAPFGMGGTYYAGYTPPPTPNTASAGTSSSSAAFGWHGHPHSPFTSTSTPLSAPVAPKMRLQRSQSDAARRKRLTSTGEDEREYQSDHEATWDEFGDRYDNFTAGRERLQEFNGRIPPRKKKSSNSHSSSSNNPVCHTDSQPGGTSQAESGAIHGHISQQRQVERERQKAKAEKKKPQSFTWPTVVTVFVLAMGCGFFAAR
NP_536328.1 190 507 0.813594968553459 SRY (sex determining region Y)-box 9 507 0 27 318 0 Rattus norvegicus NP_536328.1 1 RefSeq EEATEQTHISPNAIFKALQADSPHSSSGMSEVHSPGEHSGQSQGPPTPPTTPKTDVQAGKVDLKREGRPLAEGGRQPPIDFRDVDIGELSSDVISNIETFDVNEFDQYLPPNGHPGVPATHGQVSYTGSYGISSTAPTPATAGHVWMSKQQAPPPPPQQPPQAPQAPQAPPQQQAPPQPQQAPQQQQAHTLTTLSSEPGQSQRTHIKTEQLSPSHYSEQQQHSPQQISYSPFNLPHYNPSYPTITRSQYDYTDHQNSGSYYSHAAGQGSGLYSTFTYMNPAQRPMYTPIADTSGVPSIPQTHSPQHWEQPVYTQLTRP
NP_566078.1 1 388 0.353394587628866 PF14215.6:bHLH-MYC_N:48:239 Transcription factor ABA-INDUCIBLE bHLH-TYPE; AtAIB; Basic helix-loop-helix protein 17; AtbHLH17; bHLH 17; Transcription factor EN 35; bHLH transcription factor bHLH017 566 192 27 388 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZPY8 1 SwissProt MNMSDLGWDDEDKSVVSAVLGHLASDFLRANSNSNQNLFLVMGTDDTLNKKLSSLVDWPNSENFSWNYAIFWQQTMSRSGQQVLGWGDGCCREPNEEEESKVVRSYNFNNMGAEEETWQDMRKRVLQKLHRLFGGSDEDNYALSLEKVTATEIFFLASMYFFFNHGEGGPGRCYSSGKHVWLSDAVNSESDYCFRSFMAKSAGIRTIVMVPTDAGVLELGSVWSLPENIGLVKSVQALFMRRVTQPVMVTSNTNMTGGIHKLFGQDLSGAHAYPKKLEVRRNLDERFTPQSWEGYNNNKGPTFGYTPQRDDVKVLENVNMVVDNNNYKTQIEFAGSSVAASSNPSTNTQQEKSESCTEKRPVSLLAGAGIVSVVDEKRPRKRGRKPAN
NP_567891.1 1 157 0.450117834394905 Auxin-responsive protein IAA29; Indoleacetic acid-induced protein 29 251 0 27 157 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93WC4 1 SwissProt MELDLGLSLSPHKSSKLGFNFDLNKHCAIEGAASCLGTEKLRFEATFGLGNVEENCYMPKQRLFALNGQPNEEDEDPLESESSIVYDDEEENSEVVGWPPVKTCMIKYGSYHHRHIRNHHHCPYHHRGRRITAMNNNISNPTTATVGSSSSSSISSR
NP_571435.1 1 293 0.381708532423208 PF00688.18:TGFb_propeptide:42:276 bone morphogenetic protein 2 precursor 411 235 27 270 1 Danio rerio NP_571435.1 1 RefSeq MVAVVRALTVLLLGQVLLGGAVGLIPEIDRRKYSDSGRHTPERTDTNFLNEFELRLLNMFGLKRKPTPSKSAVVPQYMLDLYYMHSENDDPNIRRPRSTMGKHVERAASRANTIRSFHHEEAFEALSSLKGKTTQQFFFNLTSIPGEELISAAELRIFRDQVLGDASTSGFHRINIYEVFRPALAPSKEPLTRLLDTRLVQDSHTRWESFDVGSAVARWARESQHNHGLLVEVLHPKESEVSEEAESNRRKHVRVSRSLHADEDSWAQARPLLVTYSHDGQGTAVLHSNREKR
NP_592974.1 1 641 0.697954602184087 DYRK-family kinase pom1; EC 2.7.12.1 1087 0 27 641 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q09690 1 SwissProt MGYLQSQKAVSLGDENTDALFKLHTSNRKSANMFGIKSELLNPSELSAVGSYSNDICPNRQSSSSTAADTSPSTNASNTNISFPEQEHKDELFMNVEPKGVGSSMDNHAITIHHSTGNGLLRSSFDHDYRQKNSPRNSIHRLSNISIGNNPIDFESSQQNNPSSLNTSSHHRTSSISNSKSFGTSLSYYNRSSKPSDWNQQNNGGHLSGVISITQDVSSVPLQSSVFSSGNHAYHASMAPKRSGSWRHTNFHSTSHPRAASIGNKSGIPPVPTIPPNIGHSTDHQHPKANISGSLTKSSSESKNLSTIQSPLKTSNSFFKELSPHSQITLSNVKNNHSHVGSQTKSHSFATPSVFDNNKPVSSDNHNNTTTSSQVHPDSRNPDPKAAPKAVSQKTNVDGHRNHEAKHGNTVQNESKSQKSSNKEGRSSRGGFFSRLSFSRSSSRMKKGSKAKHEDAPDVPAIPHAYIADSSTKSSYRNGKKTPTRTKSRMQQFINWFKPSKERSSNGNSDSASPPPVPRLSITRSQVSREPEKPEEIPSVPPLPSNFKDKGHVPQQRSVSYTPKRSSDTSESLQPSLSFASSNVLSEPFDRKVADLAMKAINSKRINKLLDDAKVMQSLLDRACIITPVRNTEVQLINTAP
NP_705960.1 1 526 0.300120342205323 PF06638.11:Strabismus:25:526 Vang-like protein 2; Protein strabismus; Protein trilobite; Van Gogh-like protein 2 526 502 27 437 4 Danio rerio (Zebrafish) (Brachydanio rerio) SwissProt::Q8UVJ6 1 SwissProt MDNESQYSGYSYKSSHSRSSRKHRDRRDRHRSKSRDSSSRGDKSVTIQAPGEPLLDAESTRGDDRDDNWGETTTVVTGTSEHSVSNEDLTRASKELEDSSPLECRRFAGPIVSGVLGLFALLTPLAFLLLPQLLWRDSLEPCGTPCEGLYVSLAFKLLVLLISSWALFLRPSRSTLPRFFVFRCLLMALVFLFVASYWLFYGVRVLEPRERDYRGIVGYAVSLVDALLFIQYLALVLLEVRHLRPAFCLKVVRTTDGASRFYNVGHLSIQRAAVWVLDHYYTDFPVYNPALLNLPKSILSKKMSGFKVYSLGEENSTNNSTGQSRAMIAAAARRRDNSHNEYYYEEAEMDRRVRKRKARLVVAVEEAFTHIKRLQDDEAAASPKHPREVMDPREAAQAIFAPMARAMQKYLRTTRQQPYHSMESIISHLQFCITHNMTPKAFLERYLTPGPTMQYQRENGRGRQWTLVSEEPVTAALRQGLVFSLRRLDFALVVTVTPLPFLNLGEEFIDPKSHKFVMRLQSETSV
NP_722907.1 1 519 0.492010597302505 PF16685.5:zf-RING_10:39:110 E3 ubiquitin-protein ligase msl-2; E3 ubiquitin-protein transferase msl-2; Protein male-specific lethal-2; EC 2.3.2.- 773 72 27 519 0 Drosophila melanogaster (Fruit fly) SwissProt::P50534 1 SwissProt MAQTAYLKVTRIAMRSASNLSKRRVEELNSGLGELRQLLSCVVCCQLLVDPYSPKGKRCQHNVCRLCLRGKKHLFPSCTQCEGCSDFKTYEENRMMAAQLLCYKTLCVHLLHSALFGELAGMRPQVARELVPRIKLPPKTTQEFIREGSNISDTFDIFLPQPDLPFLKDMPTSLPAETPPTSAVTTPELPYDHHLNISDIEAEAAATAEQGHFSPLPLLPTGSRMGMLSHAGQIVIATESSESGFMDQAWTDQVDLSGTVSVSKYTNSGNNFAVSYVMPTSATTKFDPQELQIGQVVQMADSTQLAVLAAVEETVETSTQLTVLSTTVEETVETSTQLEVLTSAEEPNEISDQLANLQVEESDEALVEETVEEAEGTSIPSEVVAEHMEEDQHLDVHTSQSPTQTEMEEAVEEHVATKTQLGHVQTELQDAESLQKDFEDAKAAAEEAKEKEKDLHAISAELQKEDSDEPTLKRKRTRTLKASQAAKIEPVPSEVKTKVQSGKGALRRIRGKDKEEKVK
NP_766160.2 1 827 0.267957557436517 PF12595.8:Rhomboid_SP:98:302,PF01694.22:Rhomboid:622:760 Inactive rhomboid protein 2; iRhom2; Rhomboid family member 2; Rhomboid veinlet-like protein 6; Rhomboid-related protein 827 344 27 672 7 Mus musculus (Mouse) SwissProt::Q80WQ6 1 SwissProt MASADKNGSNLPSVSGSRLQSRKPPNLSITIPPPESQAPGEQDSMLPERRKNPAYLKSVSLQEPRGRWQEGAEKRPGFRRQASLSQSIRKSTAQWFGVSGDWEGKRQNWHRRSLHHCSVHYGRLKASCQRELELPSQEVPSFQGTESPKPCKMPKIVDPLARGRAFRHPDEVDRPHAAHPPLTPGVLSLTSFTSVRSGYSHLPRRKRISVAHMSFQAAAALLKGRSVLDATGQRCRHVKRSFAYPSFLEEDAVDGADTFDSSFFSKEEMSSMPDDVFESPPLSASYFRGVPHSASPVSPDGVHIPLKEYSGGRALGPGTQRGKRIASKVKHFAFDRKKRHYGLGVVGNWLNRSYRRSISSTVQRQLESFDSHRPYFTYWLTFVHIIITLLVICTYGIAPVGFAQHVTTQLVLKNRGVYESVKYIQQENFWIGPSSIDLIHLGAKFSPCIRKDQQIEQLVRRERDIERTSGCCVQNDRSGCIQTLKKDCSETLATFVKWQNDTGPSDKSDLSQKQPSAVVCHQDPRTCEEPASSGAHIWPDDITKWPICTEQAQSNHTGLLHIDCKIKGRPCCIGTKGSCEITTREYCEFMHGYFHEDATLCSQVHCLDKVCGLLPFLNPEVPDQFYRIWLSLFLHAGIVHCLVSVVFQMTILRDLEKLAGWHRISIIFILSGITGNLASAIFLPYRAEVGPAGSQFGLLACLFVELFQSWQLLERPWKAFFNLSAIVLFLFICGLLPWIDNIAHIFGFLSGMLLAFAFLPYITFGTSDKYRKRALILVSLLVFAGLFASLVLWLYIYPINWPWIEYLTCFPFTSRFCEKYELDQVLH
NP_786883.1 1 1132 0.639736307420494 PF12881.7:NUT:14:529,PF12881.7:NUT:907:1129 NUT family member 1 isoform 3 1132 739 27 1132 0 Homo sapiens NP_786883.1 1 RefSeq MASDGASALPGPDMSMKPSAALSPSPALPFLPPTSDPPDHPPREPPPQPIMPSVFSPDNPLMLSAFPSSLLVTGDGGPCLSGAGAGKVIVKVKTEGGSAEPSQTQNFILTQTALNSTAPGTPCGGLEGPAPPFVTASNVKTILPSKAVGVSQEGPPGLPPQPPPPVAQLVPIVPLEKAWPGPHGTTGEGGPVATLSKPSLGDRSKISKDVYENFRQWQRYKALARRHLSQSPDTEALSCFLIPVLRSLARLKPTMTLEEGLPLAVQEWEHTSNFDRMIFYEMAERFMEFEAEEMQIQNTQLMNGSQGLSPATPLKLDPLGPLASEVCQQPVYIPKKAASKTRAPRRRQRKAQRPPAPEAPKEIPPEAVKEYVDIMEWLVGTHLATGESDGKQEEEGQQQEEEGMYPDPGLLSYINELCSQKVFVSKVEAVIHPQFLADLLSPEKQRDPLALIEELEQEEGLTLAQLVQKRLMALEEEEDAEAPPSFSGAQLDSSPSGSVEDEDGDGRLRPSPGLQGAGGAACLGKVSSSGKRAREVHGGQEQALDSPRGMHRDGNTLPSPSSWDLQPELAAPQGTPGPLGVERRGSGKVINQVSLHQDGHLGGAGPPGHCLVADRTSEALPLCWQGGFQPESTPSLDAGLAELAPLQGQGLEKQVLGLQKGQQTGGRGVLPQGKEPLAVPWEGSSGAMWGDDRGTPMAQSYDQNPSPRAAGERDDVCLSPGVWLSSEMDAVGLELPVQIEEVIESFQVEKCVTEYQEGCQGLGSRGNISLGPGETLVPGDTESSVIPCGGTVAAAALEKRNYCSLPGPLRANSPPLRSKENQEQSCETVGHPSDLWAEGCFPLLESGDSTLGSSKETLPPTCQGNLLIMGTEDASSLPEASQEAGSRGNSFSPLLETIEPVNILDVKDDCGLQLRVSEDTCPLNVHSYDPQGEGRVDPDLSKPKNLAPLQESQESYTTGTPKATSSHQGLGSTLPRRGTRNAIVPRETSVSKTHRSADRAKGKEKKKKEAEEEDEELSNFAYLLASKLSLSPREHPLSPHHASGGQGSQRASHLLPAGAKGPSKLPYPVAKSGKRALAGGPAPTEKTPHSGAQLGVPREKPLALGVVRPSQPRKRRCDSFVTGRRKKRRRSQ
NP_849979.1 1 130 0.111099230769231 PF03330.18:DPBB_1:54:127 EG45-like domain containing protein 2; Plant natriuretic peptide A; AtEXPR3; AtPNP-A; Ath-ExpGamma-1.2 130 74 27 130 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZV52 1 SwissProt MIKMAVKFVVVMIVFAQILAPIAEAAQGKAVYYDPPYTRSACYGTQRETLVVGVKNNLWQNGRACGRRYRVRCIGATYNFDRACTGRTVDVKVVDFCREPCNGDLNLSRDAFRVIANTDAGNIRVVYTPI
NP_850250.1 1 644 0.193717546583851 PF03407.16:Nucleotid_trans:159:383 Arabinosyltransferase XEG113; Protein LATERAL ROOT DEVELOPMENT 5; Xyloglucanase 113; EC 2.4.2.- 644 225 27 621 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VXZ5 1 SwissProt MVEGWRNGFRDATNSKPLFVTIYATVIIGVLVSSFYVFSAIYSPTNGSSSFLSFPPLSTSGRIHSLPQENATLELPVAPPPPPQALPPPVLEEAQGNSLGKIWVSPPRDKKMPPLETFKLTKELFGERVKDNVIIVTFGNYAFMDFILTWVKHLTDLDLSNILVGAMDTKLLEALYWKGVPVFDMGSHMSTVDVGWGSPTFHKMGREKVILIDSVLPFGYELLMCDTDMVWLKNPMPYLARFPDADVLTSSDQVVPTVIDDSLDIWQQVGAAYNIGIFHWRPTESAKKLAKEWKEILLADDKVWDQNGFNEIVRRQLGPSVEGDSGLFYAYDGNLKVGILPASIFCSGHTYFVQAMYQQLRLEPYAVHTTFQYAGTEGKRHRLREGMVFYDPPEYYDSPGGFIAFKPSIPKSLLLDGKHTIESHFILVNHQMKQIRSALAIASLLNRTLVMPPIWCRLDRLWFGHPGTLQGSMTRQPFICPLDHVFEVNIMLKELPEEEFGPGIGIREYSFLDNPLLPKQVKESWLDVQLCQEGKEGCEASNNTSPSRVLKFPKRSNEDTFKAIFSSFDDVKVIKFSSIEDAFIGFSDKEREERFRRRVKRYVGIWCCEENKTPGHIYYDMYWDEKPGWKPVPPQTPEEDHPPL
NP_892004.1 1 601 0.137447088186356 PF07690.16:MFS_1:99:477 Vesicular glutamate transporter 3; VGluT3; Solute carrier family 17 member 8 601 379 27 371 10 Mus musculus (Mouse) SwissProt::Q8BFU8 1 SwissProt MPFKAFDTFKEKILKPGKEGVKNAVGDSLGILQRKIDGTNEEEDAIELNEEGRPVQTSRAHRPVCDCSCCGIPKRYICDCSCCGIPKRYIIAVMSGLGFCISFGIRCNLGVAIVEMVNNSTVYVDGKPEIQTAQFNWDPETVGLIHGSFFWGYIVTQIPGGFISNKFAASRVFGAAIFLTSTLNMFIPSAARVHYGCVMGVRILQGLVEGVTYPACHGMWSKWAPPLERSRLATTSFCGSYAGAVVAMPLAGVLVQYIGWASVFYIYGMFGIIWYMFWLLQAYECPAAHPTISNAERTYIETSIGEGANLASLSKFNTPWRRFFTSLPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFAISKVGLLSAVPHMVMTIVVPIGGQLADYLRSRKILTTTAVRKIMNCGGFGMEATLLLVVGFSHTKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPLIVGAMTKHKTREEWQNVFLIAALVHYSGVIFYGVFASGEKQDWADPENLSEDKCGIIDQDELAEETELNHETFVSPRKKMSYGATTQNCEVQKTEWRQQRESAFDGEEPLSYQAEGDFSETS
O14594 188 1003 0.683697181372548 PF00193.17:Xlink:2:67,PF00193.17:Xlink:75:169 Neurocan core protein; Chondroitin sulfate proteoglycan 3 1321 161 27 816 0 Homo sapiens (Human) SwissProt::O14594 1 SwissProt IIAAPRHLQAAFEDGFDNCDAGWLSDRTVRYPITQSRPGCYGDRSSLPGVRSYGRRNPQELYDVYCFARELGGEVFYVGPARRLTLAGARAQCRRQGAALASVGQLHLAWHEGLDQCDPGWLADGSVRYPIQTPRRRCGGPAPGVRTVYRFANRTGFPSPAERFDAYCFRAHHPTSQHGDLETPSSGDEGEILSAEGPPVRELEPTLEEEEVVTPDFQEPLVSSGEEETLILEEKQESQQTLSPTPGDPMLASWPTGEVWLSTVAPSPSDMGAGTAASSHTEVAPTDPMPRRRGRFKGLNGRYFQQQEPEPGLQGGMEASAQPPTSEAAVNQMEPPLAMAVTEMLGSGQSRSPWADLTNEVDMPGAGSAGGKSSPEPWLWPPTMVPPSISGHSRAPVLELEKAEGPSARPATPDLFWSPLEATVSAPSPAPWEAFPVATSPDLPMMAMLRGPKEWMLPHPTPISTEANRVEAHGEATATAPPSPAAETKVYSLPLSLTPTGQGGEAMPTTPESPRADFRETGETSPAQVNKAEHSSSSPWPSVNRNVAVGFVPTETATEPTGLRGIPGSESGVFDTAESPTSGLQATVDEVQDPWPSVYSKGLDASSPSAPLGSPGVFLVPKVTPNLEPWVATDEGPTVNPMDSTVTPAPSDASGIWEPGSQVFEEAESTTLSPQVALDTSIVTPLTTLEQGDKVGVPAMSTLGSSSSQPHPEPEDQVETQGTSGASVPPHQSSPLGKPAVPPGTPTAASVGESASVSSGEPTVPWDPSSTLLPVTLGIEDFELEVLAGSPGVESFWEEVASGEEPALPGTPMNAG
O43572 1 620 0.373337419354838 PF00615.19:RGS:291:367,PF00615.19:RGS:382:503 A-kinase anchor protein 10, mitochondrial; AKAP-10; Dual specificity A kinase-anchoring protein 2; D-AKAP-2; Protein kinase A-anchoring protein 10; PRKA10 662 199 27 620 0 Homo sapiens (Human) SwissProt::O43572 1 SwissProt MRGAGPSPRQSPRTLRPDPGPAMSFFRRKVKGKEQEKTSDVKSIKASISVHSPQKSTKNHALLEAAGPSHVAINAISANMDSFSSSRTATLKKQPSHMEAAHFGDLGRSCLDYQTQETKSSLSKTLEQVLHDTIVLPYFIQFMELRRMEHLVKFWLEAESFHSTTWSRIRAHSLNTVKQSSLAEPVSPSKKHETTASFLTDSLDKRLEDSGSAQLFMTHSEGIDLNNRTNSTQNHLLLSQECDSAHSLRLEMARAGTHQVSMETQESSSTLTVASRNSPASPLKELSGKLMKSIEQDAVNTFTKYISPDAAKPIPITEAMRNDIIARICGEDGQVDPNCFVLAQSIVFSAMEQEHFSEFLRSHHFCKYQIEVLTSGTVYLADILFCESALFYFSEYMEKEDAVNILQFWLAADNFQSQLAAKKGQYDGQEAQNDAMILYDKYFSLQATHPLGFDDVVRLEIESNICREGGPLPNCFTTPLRQAWTTMEKVFLPGFLSSNLYYKYLNDLIHSVRGDEFLGGNVSLTAPGSVGPPDESHPGSSDSSASQSSVKKASIKILKNFDEAIIVDAASLDPESLYQRTYAGKMTFGRVSDLGQFIRESEPEPDVRKSKGSMFSQAMK
O48947 525 1084 0.110673035714286 PF03552.14:Cellulose_synt:1:547,PF13632.6:Glyco_trans_2_3:224:378 Cellulose synthase A catalytic subunit 2 [UDP-forming]; AtCesA2; Ath-A; EC 2.4.1.12 1084 547 27 425 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48947 1 SwissProt YVSREKRPGFDHHKKAGAMNSLIRVSAVLSNAPYLLNVDCDHYINNSKAIRESMCFMMDPQSGKKVCYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKKKKPPGKTCNCWPKWCCLCCGLRKKSKTKAKDKKTNTKETSKQIHALENVDEGVIVPVSNVEKRSEATQLKLEKKFGQSPVFVASAVLQNGGVPRNASPACLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSLPLIVYCSLPAVCLLTGKFIVPEISNYAGILFMLMFISIAVTGILEMQWGGVGIDDWWRNEQFWVIGGASSHLFALFQGLLKVLAGVNTNFTVTSKAADDGAFSELYIFKWTTLLIPPTTLLIINIIGVIVGVSDAISNGYDSWGPLFGRLFFALWVIVHLYPFLKGMLGKQDKMPTIIVVWSILLASILTLLWVRVNPFVAKGGPVLEICGLNCGN
O53720 138 1011 0.168672768878719 PF00931.22:NB-ARC:78:258 adenylate cyclase (EC 4.6.1.1) 1085 181 27 874 0 Mycobacterium tuberculosis BRENDA::O53720 1 BRENDA IDRLPDKAWLVDLGTHALRDLSRPERVMQLCHPELRIDFPPLRVANDDVAHGLPVHLTRFVGRGAQITEVHRLVTDNRLVTLTGAGGVGKTRLAAQLAAQIAGEFGRAWFVDLAPITDPDLVPVTVAGALGLHDQPGRSTTDTVLRFLGGRPALVVLDNCEHLLDATAALVLALVKACRGVRLLATCREPLRVEGEVSYRVPSLSLSDEAVEMFCYRAQRVRPDFRLTDDNSAAVTEICKRLDGLPLAIELAAARLRSMTLDEIIDGLRDRFALLTGGARTAAHRQQTLWASVDWSYTLLTEPERTLFRRLAVFVGCFFVDDAQAVACSGDVQRYQVLDEITLLVDKSLVMADDNSGRTCYRLCETMRHYALEKLSEAGEVDAVFARHRDYYTALAARVDNPGPSDYSHCLDQAETEIDNLRAAFVWNRENSDTEGALALASSLLRVWMTRGRIQEGRAWFDSILADENARHLEVAAAVRARALADKALLDIFVDAAAGMEQAQQALVIAREVDEPALLSRALTACGLIAVAVARADAAASYFAEAIDLARAVDDRWRLAQILTFQAVDAVVAGDPVAARPAAQEARELAAAIGDHSNALWCRWCLGYAQLMRGELAAAAAQFGEVVDEAEASQEVLHKANSLQGLAFALAYQGELSAARAAADAALEAAELGEYFAGMGYSALTTAALAAGDVQTAQHASEAAWRNLSLALPLSAAVQRAFNAQAALAGGDLSAARRWCDDAVQSMTGHHLAMALATRARIAVAEGKREEAERDAHKALACAAESGAHLDLPDVLECLAGLASDAGTHHAAARLFGAAEAIRQQIGSVRFAIYRSDYVQSVTALRDAMGEKDFDAAWAEGAALSIKETIAYAQ
O95295 1 136 0.388379411764706 PF14712.6:Snapin_Pallidin:24:109 SNARE-associated protein Snapin; Biogenesis of lysosome-related organelles complex 1 subunit 7; BLOC-1 subunit 7; Synaptosomal-associated protein 25-binding protein; SNAP-associated protein 136 86 27 136 0 Homo sapiens (Human) SwissProt::O95295 1 SwissProt MAGAGSAAVSGAGTPVAGPTGRDLFAEGLLEFLRPAVQQLDSHVHAVRESQVELREQIDNLATELCRINEDQKVALDLDPYVKKLLNARRRVVLVNNILQNAQERLRRLNHSVAKETARRRAMLDSGIYPPGSPGK
P06725 1 561 0.377172905525847 PF05784.11:Herpes_UL82_83:15:356 65 kDa phosphoprotein; pp65; 65 kDa matrix phosphoprotein; Phosphoprotein UL83; Tegument protein UL83 561 342 27 561 0 Human cytomegalovirus (strain AD169) (HHV-5) (Human herpesvirus 5) SwissProt::P06725 1 SwissProt MESRGRRCPEMISVLGPISGHVLKAVFSRGDTPVLPHETRLLQTGIHVRVSQPSLILVSQYTPDSTPCHRGDNQLQVQHTYFTGSEVENVSVNVHNPTGRSICPSQEPMSIYVYALPLKMLNIPSINVHHYPSAAERKHRHLPVADAVIHASGKQMWQARLTVSGLAWTRQQNQWKEPDVYYTSAFVFPTKDVALRHVVCAHELVCSMENTRATKMQVIGDQYVKVYLESFCEDVPSGKLFMHVTLGSDVEEDLTMTRNPQPFMRPHERNGFTVLCPKNMIIKPGKISHIMLDVAFTSHEHFGLLCPKSIPGLSISGNLLMNGQQIFLEVQAIRETVELRQYDPVAALFFFDIDLLLQRGPQYSEHPTFTSQYRIQGKLEYRHTWDRHDEGAAQGDDDVWTSGSDSDEELVTTERKTPRVTGGGAMAGASTSAGRKRKSASSATACTSGVMTRGRLKAESTVAPEEDTDEDSDNEIHNPAVFTWPPWQAGILARNLVPMVATVQGQNLKYQEFFWDANDIYRIFAELEGVWQPAAQPKRRRHRQDALPGPCIASTPKKHRG
P06777 1 1100 0.292166909090909 PF02732.15:ERCC4:824:966 DNA repair protein RAD1 1100 143 27 1100 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06777 1 SwissProt MSQLFYQGDSDDELQEELTRQTTQASQSSKIKNEDEPDDSNHLNEVENEDSKVLDDDAVLYPLIPNEPDDIETSKPNINDIRPVDIQLTLPLPFQQKVVENSLITEDALIIMGKGLGLLDIVANLLHVLATPTSINGQLKRALVLVLNAKPIDNVRIKEALEELSWFSNTGKDDDDTAVESDDELFERPFNVVTADSLSIEKRRKLYISGGILSITSRILIVDLLSGIVHPNRVTGMLVLNADSLRHNSNESFILEIYRSKNTWGFIKAFSEAPETFVMEFSPLRTKMKELRLKNVLLWPRFRVEVSSCLNATNKTSHNKVIEVKVSLTNSMSQIQFGLMECLKKCIAELSRKNPELALDWWNMENVLDINFIRSIDSVMVPNWHRISYESKQLVKDIRFLRHLLKMLVTSDAVDFFGEIQLSLDANKPSVSRKYSESPWLLVDEAQLVISYAKKRIFYKNEYTLEENPKWEQLIHILHDISHERMTNHLQGPTLVACSDNLTCLELAKVLNASNKKRGVRQVLLNKLKWYRKQREETKKLVKEVQSQDTFPENATLNVSSTFSKEQVTTKRRRTRGASQVAAVEKLRNAGTNVDMEVVFEDHKLSEEIKKGSGDDLDDGQEENAANDSKIFEIQEQENEILIDDGDAEFDNGELEYVGDLPQHITTHFNKDLWAEHCNEYEYVDRQDEILISTFKSLNDNCSLQEMMPSYIIMFEPDISFIRQIEVYKAIVKDLQPKVYFMYYGESIEEQSHLTAIKREKDAFTKLIRENANLSHHFETNEDLSHYKNLAERKLKLSKLRKSNTRNAGGQQGFHNLTQDVVIVDTREFNASLPGLLYRYGIRVIPCMLTVGDYVITPDICLERKSISDLIGSLQNNRLANQCKKMLKYYAYPTLLIEFDEGQSFSLEPFSERRNYKNKDISTVHPISSKLSQDEIQLKLAKLVLRFPTLKIIWSSSPLQTVNIILELKLGREQPDPSNAVILGTNKVRSDFNSTAKGLKDGDNESKFKRLLNVPGVSKIDYFNLRKKIKSFNKLQKLSWNEINELINDEDLTDRIYYFLRTEKEEQEQESTDENLESPGKTTDDNALHDHHNDVPEAPV
P12684 1 662 0.105735347432024 PF13323.6:HPIH:10:159,PF12349.8:Sterol-sensing:213:360 3-hydroxy-3-methylglutaryl-coenzyme A reductase 2; HMG-CoA reductase 2; EC 1.1.1.34 1045 298 27 511 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P12684 1 SwissProt MSLPLKTIVHLVKPFACTARFSARYPIHVIVVAVLLSAAAYLSVTQSYLNEWKLDSNQYSTYLSIKPDELFEKCTHYYRSPVSDTWKLLSSKEAADIYTPFHYYLSTISFQSKDNSTTLPSLDDVIYSVDHTRYLLSEEPKIPTELVSENGTKWRLRNNSNFILDLHNIYRNMVKQFSNKTSEFDQFDLFIILAAYLTLFYTLCCLFNDMRKIGSKFWLSFSALSNSACALYLSLYTTHSLLKKPASLLSLVIGLPFIVVIIGFKHKVRLAAFSLQKFHRISIDKKITVSNIIYEAMFQEGAYLIRDYLFYISSFIGCAIYARHLPGLVNFCILSTFMLVFDLLLSATFYSAILSMKLEINIIHRSTVIRQTLEEDGVVPTTADIIYKDETASEPHFLRSNVAIILGKASVIGLLLLINLYVFTDKLNATILNTVYFDSTIYSLPNFINYKDIGNLSNQVIISVLPKQYYTPLKKYHQIEDSVLLIIDSVSNAIRDQFISKLLFFAFAVSISINVYLLNAAKIHTGYMNFQPQSNKIDDLVVQQKSATIEFSETRSMPASSGLETPVTAKDIIISEEIQNNECVYALSSQDEPIRPLSNLVELMEKEQLKNMNNTEVSNLVVNGKLPLYSLEKKLEDTTRAVLVRRKALSTLAESPILVSEK
P25376 1 633 0.153807898894155 PF00324.21:AA_permease:124:589,PF13520.6:AA_permease_2:122:548 General amino acid permease AGP1; Asparagine/glutamine permease 633 468 27 370 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25376 1 SwissProt MSSSKSLYELKDLKNSSTEIHATGQDNEIEYFETGSNDRPSSQPHLGYEQHNTSAVRRFFDSFKRADQGPQDEVEATQMNDLTSAISPSSRQAQELEKNESSDNIGANTGHKSDSLKKTIQPRHVLMIALGTGIGTGLLVGNGTALVHAGPAGLLIGYAIMGSILYCIIQACGEMALVYSNLTGGYNAYPSFLVDDGFGFAVAWVYCLQWLCVCPLELVTASMTIKYWTTSVNPDVFVIIFYVLVITINIFGARGYAEAEFFFNCCKILMMTGFFILGIIIDVGGAGNDGFIGGKYWHDPGAFNGKHAIDRFKGVAATLVTAAFAFGGSEFIAITTAEQSNPRKAIPGAAKQMIYRILFLFLATIILLGFLVPYNSDQLLGSTGGGTKASPYVIAVASHGVRVVPHFINAVILLSVLSMANSSFYSSARLFLTLSEQGYAPKVFSYIDRAGRPLIAMGVSALFAVIAFCAASPKEEQVFTWLLAISGLSQLFTWTAICLSHLRFRRAMKVQGRSLGELGFKSQTGVWGSAYACIMMILILIAQFWVAIAPIGEGKLDAQAFFENYLAMPILIALYVGYKVWHKDWKLFIRADKIDLDSHRQIFDEELIKQEDEEYRERLRNGPYWKRVVAFWC
P25628 1 610 0.150284754098361 PF03062.19:MBOAT:202:609 Sterol O-acyltransferase 1 610 408 27 403 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P25628 1 SwissProt/TReMBL MTETKDLLQDEEFLKIRRLNSAEANKRHSVTYDNVILPQESMEVSPRSSTTSLVEPVESTEGVESTEAERVAGKQEQEEEYPVDAHMQKYLSHLKSKSRSRFHRKDASKYVSFFGDVSFDPRPTLLDSAINVPFQTTFKGPVLEKQLKNLQLTKTKTKATVKTTVKTTEKTDKADAPPGEKLESNFSGIYVFAWMFLGWIAIRCCTDYYASYGSAWNKLEIVQYMTTDLFTIAMLDLAMFLCTFFVVFVHWLVKKRIINWKWTGFVAVSIFELAFIPVTFPIYVYYFDFNWVTRIFLFLHSVVFVMKSHSFAFYNGYLWDIKQELEYSSKQLQKYKESLSPETREILQKSCDFCLFELNYQTKDNDFPNNISCSNFFMFCLFPVLVYQINYPRTSRIRWRYVLEKVCAIIGTIFLMMVTAQFFMHPVAMRCIQFHNTPTFGGWIPATQEWFHLLFDMIPGFTVLYMLTFYMIWDALLNCVAELTRFADRYFYGDWWNCVSFEEFSRIWNVPVHKFLLRHVYHSSMGALHLSKSQATLFTFFLSAVFHEMAMFAIFRRVRGYLFMFQLSQFVWTALSNTKFLRARPQLSNVVFSFGVCSGPSIIMTLYLTL
P38260 1 290 0.217967586206897 PF08609.10:Fes1:1:82 Hsp70 nucleotide exchange factor FES1; Factor exchange for SSA1 protein 1 290 82 27 290 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38260 1 SwissProt MEKLLQWSIANSQGDKEAMARAGQPDPKLLQQLFGGGGPDDPTLMKESMAVIMNPEVDLETKLVAFDNFEMLIENLDNANNIENLKLWEPLLDVLVQTKDEELRAAALSIIGTAVQNNLDSQNNFMKYDNGLRSLIEIASDKTKPLDVRTKAFYALSNLIRNHKDISEKFFKLNGLDCIAPVLSDNTAKPKLKMRAIALLTAYLSSVKIDENIISVLRKDGVIESTIECLSDESNLNIIDRVLSFLSHLISSGIKFNEQELHKLNEGYKHIEPLKDRLNEDDYLAVKYVL
P42703 530 1092 0.356829662522202 Leukemia inhibitory factor receptor 1092 0 27 540 1 Mus musculus P42703 1 SwissProt/TReMBL PSKGPDTWREWSSDGKNLIVYWKPLPINEANGKILSYNVSCSLNEETQSVLEIFDPQHRAEIQLSKNDYIISVVARNSAGSSPPSKIASMEIPNDDITVEQAVGLGNRIFLTWRHDPNMTCDYVIKWCNSSRSEPCLLDWRKVPSNSTETVIESDQFQPGVRYNFYLYGCTNQGYQLLRSIIGYVEELAPIVAPNFTVEDTSADSILVKWDDIPVEELRGFLRGYLFYFQKGERDTPKTRSLEPHHSDIKLKNITDISQKTLRIADLQGKTSYHLVLRAYTHGGLGPEKSMFVVTKENSVGLIIAILIPVAVAVIVGVVTSILCYRKREWIKETFYPDIPNPENCKALQFQKSVCEGSNALKTLEMNPCTPNNVEVLESRSIVPKIEDTEIISPVAERPGERSEVDPENHVVVSYCPPIIEEEITNPAADEVGGASQVVYIDVQSMYQPQAKAEEEQDVDPVVVAGYKPQMRLPISPAVEDTAAEDEEGKTAGYRPQANVNTWNLVSPDSPRSTDSNNEVVSFGSPCSINSRQFLIPPKDEDSPKSNGGGWSFTNFFQNKPND
Q01740 218 532 0.0987050793650792 PF00743.19:FMO-like:1:314 Dimethylaniline monooxygenase [N-oxide-forming] 1; Dimethylaniline oxidase 1; Fetal hepatic flavin-containing monooxygenase 1; FMO 1; EC 1.14.13.8 532 314 27 315 0 Homo sapiens (Human) SwissProt::Q01740 1 SwissProt GWVISRIFDSGYPWDMVFMTRFQNMLRNSLPTPIVTWLMERKINNWLNHANYGLIPEDRTQLKEFVLNDELPGRIITGKVFIRPSIKEVKENSVIFNNTSKEEPIDIIVFATGYTFAFPFLDESVVKVEDGQASLYKYIFPAHLQKPTLAIIGLIKPLGSMIPTGETQARWAVRVLKGVNKLPPPSVMIEEINARKENKPSWFGLCYCKALQSDYITYIDELLTYINAKPNLFSMLLTDPHLALTVFFGPCSPYQFRLTGPGKWEGARNAIMTQWDRTFKVIKARVVQESPSPFESFLKVFSFLALLVAIFLIFL
Q04272 1 168 0.349333333333333 PF03357.21:Snf7:16:168 Vacuolar protein sorting-associated protein 20; Amino acid sensor-independent protein 10; Charged multivesicular body protein 6; ESCRT-III complex subunit VPS20; Vacuolar protein-targeting protein 20 221 153 27 168 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04272 1 SwissProt MGQKSSKVHITKTDRAILEVKRSKDEIHKFTRRTDNLILVEKSQLKDLIRKNPENYKSNMKVRFLLKRIHYQEHLLQQASDQLINLENMVSTLEFKMVEKQFINGLKNGNEILKKLNKEFSNVDELMDDVQDQIAYQNEINETLSRSLVGTSNYEDDLDKELDALESE
Q06251 1 628 0.319829458598726 PF04468.12:PSP1:472:565 Uncharacterized protein YLR177W 628 94 27 628 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06251 1 SwissProt MELPSINSTTSISDNQELRNYYDKLLFKNNSGKSLADLPGKMADFNDNSAAAHPRSRVDFINGYIGFREDKQSLLGQKNTKRASFSAFADEGRKQSEMSINGKSPNLSLFSFEFNGTPTQDRKPYKQDYLNVMNTSPNNILSPLNNSSQKYYPQKQQQQQQQQQQQQQQSIFDPGRRSSYISDALIHGNAATQQPQYSQPVYINNNPSLQVPYTAPSEYTQQQQYSSPFNARRNTQPVLNLHPAAAPTNDAGLAVVDGKNLTSSKELHDLYLDCGSNYFASDKVYKFIDSIKGTLRGDNVSASSSRIIEFLDFLKNCNLNYNPQSDAFISTAVSNASSTGAAKSKNSTSMHLHYKPLVLVSLKNGKLELLSKPQTATLILKRGDLVIIDGDRGKDLVLVVEPVVDINLALFINFLKKKIHFDSLITNSQQHFPNDQFIKTLVDTTNGKPVAHELNPKLYDIIELTQLIIPSKQVLRFATPWESSTNLHNKFQDELKALHIAQLKLRSLNNNNSGGGLNIKILNAEFQFDRKKLTFYYICQERNDFRDLIKELFKFYKTRIWLCAIPNNLSIDSKFYDSNKFEWEMYQDMMSHYSMDNTGIVVAPELNRLKLDDFQIGVYMELVKVLFG
Q06496 1 637 0.116750235478807 PF02690.15:Na_Pi_cotrans:113:216,PF02690.15:Na_Pi_cotrans:366:486 Sodium-dependent phosphate transport protein 2A; Sodium-phosphate transport protein 2A; Na(+)-dependent phosphate cotransporter 2A; Sodium/phosphate cotransporter 2A; Na(+)/Pi cotransporter 2A; NaPi-2a; Solute carrier family 34 member 1 637 225 27 394 11 Rattus norvegicus (Rat) SwissProt::Q06496 1 SwissProt MMSYSERLGGPAVSPLPVRGRHMVHGAAFAYVPSPQVLHRIPGTTTYAISSLSPVALTEHSCPYGEVLECHDPLPAKLAQEEEQKPEPRLSQKLAQVGTKLLKVPLMLGFLYLFVCSLDVLSSAFQLAGGKVAGDIFKDNAILSNPVAGLVVGILVTVLVQSSSTSTSIIVSMVSSGLLEVSSAIPIIMGSNIGTSVTNTIVALMQAGDRTDFRRAFAGATVHDCFNWLSVLVLLPLEAATGYLHHVTGLVVASFNIRGGRDAPDLLKVITEPFTKLIIQLDKSVITSIAVGDESLRNHSLIRIWCQPETKEASTSMSRVEAIGSLANTTMEKCNHIFVDTGLPDLAVGLILLAGSLVVLCTCLILLVKMLNSLLKGQVANVIQKVINTDFPAPFTWVTGYFAMVVGASMTFVVQSSSVFTSAITPLIGLGVISIERAYPLTLGSNIGTTTTAILAALASPREKLSSSFQIALCHFFFNISGILLWYPLPCTRLPIRMAKALGKRTAKYRWFAVLYLLVCFLLLPSLVFGISMAGWQAMVGVGTPFGALLAFVVLVNVLQSRSPGHLPKWLQTWDFLPRWMHSLQPLDGLITRATLCYARPEPRSPQLPPRVFLEELPPATPSPRLALPAHHNATRL
Q08144 1 238 0.308910924369748 t-SNARE affecting a late Golgi compartment protein 2; Syntaxin TLG2 397 0 27 238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08144 1 SwissProt MFRDRTNLFLSYRRTFPHNITFSSGKAPLGDDQDIEMGTYPMMNMSHDISARLTDERKNKHENHSDALPPIFIDIAQDVDDYLLEVRRLSEQLAKVYRKNSLPGFEDKSHDEALIEDLSFKVIQMLQKCYAVMKRLKTIYNSQFVDGKQLSREELIILDNLQKIYAEKIQTESNKFRVLQNNYLKFLNKDDLKPIRNKASAENTLLLDDEEEEAAREKREGLDIEDYSKRTLQRQQQL
Q8N163 1 923 0.465567388949079 PF14443.6:DBC1:340:451,PF14444.6:S1-like:55:112 Cell cycle and apoptosis regulator protein 2; Cell division cycle and apoptosis regulator protein 2; DBIRD complex subunit KIAA1967; Deleted in breast cancer gene 1 protein; DBC-1; DBC.1; NET35; p30 DBC 923 170 27 923 0 Homo sapiens (Human) SwissProt::Q8N163 1 SwissProt MSQFKRQRINPLPGGRNFSGTASTSLLGPPPGLLTPPVATELSQNARHLQGGEKQRVFTGIVTSLHDYFGVVDEEVFFQLSVVKGRLPQLGEKVLVKAAYNPGQAVPWNAVKVQTLSNQPLLKSPAPPLLHVAALGQKQGILGAQPQLIFQPHRIPPLFPQKPLSLFQTSHTLHLSHLNRFPARGPHGRLDQGRSDDYDSKKRKQRAGGEPWGAKKPRHDLPPYRVHLTPYTVDSPICDFLELQRRYRSLLVPSDFLSVHLSWLSAFPLSQPFSLHHPSRIQVSSEKEAAPDAGAEPITADSDPAYSSKVLLLSSPGLEELYRCCMLFVDDMAEPRETPEHPLKQIKFLLGRKEEEAVLVGGEWSPSLDGLDPQADPQVLVRTAIRCAQAQTGIDLSGCTKWWRFAEFQYLQPGPPRRLQTVVVYLPDVWTIMPTLEEWEALCQQKAAEAAPPTQEAQGETEPTEQAPDALEQAADTSRRNAETPEATTQQETDTDLPEAPPPPLEPAVIARPGCVNLSLHGIVEDRRPKERISFEVMVLAELFLEMLQRDFGYRVYKMLLSLPEKVVSPPEPEKEEAAKEEATKEEEAIKEEVVKEPKDEAQNEGPATESEAPLKEDGLLPKPLSSGGEEEEKPRGEASEDLCEMALDPELLLLRDDGEEEFAGAKLEDSEVRSVASNQSEMEFSSLQDMPKELDPSAVLPLDCLLAFVFFDANWCGYLHRRDLERILLTLGIRLSAEQAKQLVSRVVTQNICQYRSLQYSRQEGLDGGLPEEVLFGNLDLLPPPGKSTKPGAAPTEHKALVSHNGSLINVGSLLQRAEQQDSGRLYLENKIHTLELKLEESHNRFSATEVTNKTLAAEMQELRVRLAEAEETARTAERQKSQLQRLLQELRRRLTPLQLEIQRVVEKADSWVEKEEPAPSN
Q92834 466 1020 0.721218738738737 X-linked retinitis pigmentosa GTPase regulator 1020 0 27 555 0 Homo sapiens (Human) SwissProt::Q92834 1 SwissProt MQPEEPDYLLDEMTKEAEIDNSSTVESLGETTDILNMTHIMSLNSNEKSLKLSPVQKQKKQQTIGELTQDTALTENDDSDEYEEMSEMKEGKACKQHVSQGIFMTQPATTIEAFSDEEVGNDTGQVGPQADTDGEGLQKEVYRHENNNGVDQLDAKEIEKESDGGHSQKESEAEEIDSEKETKLAEIAGMKDLREREKSTKKMSPFFGNLPDRGMNTESEENKDFVKKRESCKQDVIFDSERESVEKPDSYMEGASESQQGIADGFQQPEAIEFSSGEKEDDEVETDQNIRYGRKLIEQGNEKETKPIISKSMAKYDFKCDRLSEIPEEKEGAEDSKGNGIEEQEVEANEENVKVHGGRKEKTEILSDDLTDKAEDHEFSKTEELKLEDVDEEINAENVESKKKTVGDDESVPTGYHSKTEGAERTNDDSSAETIEKKEKANLEERAICEYNENPKGYMLDDADSSSLEILENSETTPSKDMKKTKKIFLFKRVPSINQKIVKNNNEPLPEIKSIGDQIILKSDNKDADQNHMSQNHQNIPPTNTERRSKSCTIL
SwissProt::P19224 1 282 0.0508017730496454 PF00201.18:UDPGT:27:281 UDP-glucuronosyltransferase 1-6; UDPGT 1-6; UGT1*6; UGT1-06; UGT1.6; Phenol-metabolizing UDP-glucuronosyltransferase; UDP-glucuronosyltransferase 1-F; UGT-1F; UGT1F; UDP-glucuronosyltransferase 1A6; EC 2.4.1.17 532 255 27 282 0 Homo sapiens (Human) SwissProt::P19224 1 SwissProt MACLLRSFQRISAGVFFLALWGMVVGDKLLVVPQDGSHWLSMKDIVEVLSDRGHEIVVVVPEVNLLLKESKYYTRKIYPVPYDQEELKNRYQSFGNNHFAERSFLTAPQTEYRNNMIVIGLYFINCQSLLQDRDTLNFFKESKFDALFTDPALPCGVILAEYLGLPSVYLFRGFPCSLEHTFSRSPDPVSYIPRCYTKFSDHMTFSQRVANFLVNLLEPYLFYCLFSKYEELASAVLKRDVDIITLYQKVSVWLLRYDFVLEYPRPVMPNMVFIGGINCKKR
VIMSS10078331 1 625 0.0907913599999999 PF12076.8:Wax2_C:451:619,PF04116.13:FA_hydroxylase:138:272 Very-long-chain aldehyde decarbonylase CER1; Protein ECERIFERUM 1; EC 4.1.99.5 625 304 27 527 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HVY0 1 SwissProt MATKPGVLTDWPWTPLGSFKYIVIAPWAVHSTYRFVTDDPEKRDLGYFLVFPFLLFRILHNQVWISLSRYYTSSGKRRIVDKGIDFNQVDRETNWDDQILFNGVLFYIGINLLPEAKQLPWWRTDGVLMAALIHTGPVEFLYYWLHKALHHHFLYSRYHSHHHSSIVTEPITSVIHPFAEHIAYFILFAIPLLTTLLTKTASIISFAGYIIYIDFMNNMGHCNFELIPKRLFHLFPPLKFLCYTPSYHSLHHTQFRTNYSLFMPLYDYIYGTMDESTDTLYEKTLERGDDIVDVVHLTHLTTPESIYHLRIGLASFASYPFAYRWFMRLLWPFTSLSMIFTLFYARLFVAERNSFNKLNLQSWVIPRYNLQYLLKWRKEAINNMIEKAILEADKKGVKVLSLGLMNQGEELNRNGEVYIHNHPDMKVRLVDGSRLAAAVVINSVPKATTSVVMTGNLTKVAYTIASALCQRGVQVSTLRLDEYEKIRSCVPQECRDHLVYLTSEALSSNKVWLVGEGTTREEQEKATKGTLFIPFSQFPLKQLRRDCIYHTTPALIVPKSLVNVHSCENWLPRKAMSATRVAGILHALEGWEMHECGTSLLLSDLDQVWEACLSHGFQPLLLPHH
VIMSS10080195 118 352 0.444012765957447 Transcription factor MYB51; Myb-related protein 51; AtMYB51; Protein HIGH INDOLIC GLUCOSINOLATE 1; Transcription factor HIG1 352 0 27 235 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49782 1 SwissProt IKKGIDPVTHKGITSGTDKSENLPEKQNVNLTTSDHDLDNDKAKKNNKNFGLSSASFLNKVANRFGKRINQSVLSEIIGSGGPLASTSHTTNTTTTSVSVDSESVKSTSSSFAPTSNLLCHGTVATTPVSSNFDVDGNVNLTCSSSTFSDSSVNNPLMYCDNFVGNNNVDDEDTIGFSTFLNDEDFMMLEESCVENTAFMKELTRFLHEDENDVVDVTPVYERQDLFDEIDNYFG
VIMSS10083143 1 392 0.610916071428571 PF00170.21:bZIP_1:313:369,PF07716.15:bZIP_2:312:359 ABSCISIC ACID-INSENSITIVE 5-like protein 4; Abscisic acid responsive elements-binding factor 1; ABRE-binding factor 1; bZIP transcription factor 35; AtbZIP35 392 58 27 392 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M7Q5 1 SwissProt MGTHIDINNLGGDTSRGNESKPLARQSSLYSLTFDELQSTLGEPGKDFGSMNMDELLKNIWTAEDTQAFMTTTSSVAAPGPSGFVPGGNGLQRQGSLTLPRTLSQKTVDEVWKYLNSKEGSNGNTGTDALERQQTLGEMTLEDFLLRAGVVKEDNTQQNENSSSGFYANNGAAGLEFGFGQPNQNSISFNGNNSSMIMNQAPGLGLKVGGTMQQQQQPHQQQLQQPHQRLPPTIFPKQANVTFAAPVNMVNRGLFETSADGPANSNMGGAGGTVTATSPGTSSAENNTWSSPVPYVFGRGRRSNTGLEKVVERRQKRMIKNRESAARSRARKQAYTLELEAEIESLKLVNQDLQKKQAEIMKTHNSELKEFSKQPPLLAKRQCLRRTLTGPW
VIMSS10086529 1 198 0.153130303030303 PF14226.6:DIOX_N:50:150 Gibberellin 3-beta-dioxygenase 2; GA 3-oxidase 2; AtGA3ox2; Gibberellin 3 beta-hydroxylase 2; EC 1.14.11.15 347 101 27 198 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZT84 1 SwissProt MSSTLSDVFRSHPIHIPLSNPPDFKSLPDSYTWTPKDDLLFSASASDETLPLIDLSDIHVATLVGHACTTWGAFQITNHGVPSRLLDDIEFLTGSLFRLPVQRKLKAARSENGVSGYGVARIASFFNKKMWSEGFTVIGSPLHDFRKLWPSHHLKYCEIIEEYEEHMQKLAAKLMWFALGSLGVEEKDIQWAGPNSDF
VIMSS10090716 1 227 0.148564757709251 PF03168.13:LEA_2:96:199 NDR1/HIN1-like protein 10; AtNHL10; Protein YELLOW-LEAF-SPECIFIC GENE 9 227 104 27 204 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJ52 1 SwissProt MAAEQPLNGAFYGPSVPPPAPKGYYRRGHGRGCGCCLLSLFVKVIISLIVILGVAALIFWLIVRPRAIKFHVTDASLTRFDHTSPDNILRYNLALTVPVRNPNKRIGLYYDRIEAHAYYEGKRFSTITLTPFYQGHKNTTVLTPTFQGQNLVIFNAGQSRTLNAERISGVYNIEIKFRLRVRFKLGDLKFRRIKPKVDCDDLRLPLSTSNGTTTTSTVFPIKCDFDF
VIMSS10093067 102 298 0.49961269035533 Protein REVEILLE 8; MYB-like transcription factor; Protein LHY-CCA1-like 5 298 0 27 197 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWU3 1 SwissProt PPRPKRKAAHPYPQKASKNAQMPLQVSTSFTTTRNGDMPGYASWDDASMLLNRVISPQHELATLRGAEADIGSKGLLNVSSPSTSGMGSSSRTVSGSEIVRKAKQPPVLHGVPDFAEVYNFIGSVFDPETRGHVEKLKEMDPINFETVLLLMRNLTVNLSNPDLESTRKVLLSYDNVTTELPSVVSLVKNSTSDKSA
VIMSS10093635 1 392 0.129083418367347 PF00657.22:Lipase_GDSL:38:340 GDSL esterase/lipase ESM1; Extracellular lipase ESM1; Protein EPITHIOSPECIFIER MODIFIER 1; AtESM1; EC 3.1.1.- 392 303 27 369 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJG3 1 SwissProt MADNLNLVSVLGVLLVLTIFHNPIIVYAGEGVPNVALFTFGDSYYDAGNKVFLSQRKDLPQTYWPYGKSRDYPNGKFSDGHIVPDFIADFISIPNGVLPPVLKPGVDISRGVSFAVADASILGAPVESMTLNQQVVKFKNMKSNWNDSYIEKSLFMIYIGTEDYLNFTKANPNADASAQQAFVTNVINRLKNDIKLLYSLGASKFVVQLLAPLGCLPIVRQEYKTGNECYELLNDLAKQHNGKIGPMLNEFAKISTSPYGFQFTVFDFYNAVLRRIATGRSLNYRFFVTNTSCCGVGTHNAYGCGKGNVHSKLCEYQRSYFFFDGRHNTEKAQEEMAHLLYGADPDVVQPMTVRELIVYPTGETMREYWEPNNLAIRRRPSRDFYLGLAAYY 4
VIMSS10096642 1 245 0.638991428571429 PF17800.1:NPL:3:92 Histone deacetylase HDT1; HD-tuins protein 1; Histone deacetylase 2a 245 90 27 245 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FVE6 1 SwissProt MEFWGIEVKSGKPVTVTPEEGILIHVSQASLGECKNKKGEFVPLHVKVGNQNLVLGTLSTENIPQLFCDLVFDKEFELSHTWGKGSVYFVGYKTPNIEPQGYSEEEEEEEEEVPAGNAAKAVAKPKAKPAEVKPAVDDEEDESDSDGMDEDDSDGEDSEEEEPTPKKPASSKKRANETTPKAPVSAKKAKVAVTPQKTDEKKKGGKAANQSPKSASQVSCGSCKKTFNSGNALESHNKAKHAAAK
VIMSS10097615 183 494 0.192207692307692 Vacuolar-sorting receptor 1; AtVSR1; BP80-like protein b; AtBP80b; Epidermal growth factor receptor-like protein 1; AtELP; AtELP1; Spot 3 protein 623 0 27 312 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93026 1 SwissProt DERVEYELWTNSNDECGKKCDTQIEFLKNFKGAAQILEKGGHTQFTPHYITWYCPEAFTLSKQCKSQCINHGRYCAPDPEQDFTKGYDGKDVVVQNLRQACVYRVMNDTGKPWVWWDYVTDFAIRCPMKEKKYTKECADGIIKSLGIDLKKVDKCIGDPEADVENPVLKAEQESQIGKGSRGDVTILPTLVVNNRQYRGKLEKGAVLKAMCSGFQESTEPAICLTEDLETNECLENNGGCWQDKAANITACRDTFRGRLCECPTVQGVKFVGDGYTHCKASGALHCGINNGGCWRESRGGFTYSACVDDHSK
VIMSS10099095 31 597 0.465107936507937 Histone-lysine N-methyltransferase EZA1; CURLY LEAF-like 1; Protein SET DOMAIN GROUP 10; Protein SWINGER; EC 2.1.1.43 856 0 27 567 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZSM8 1 SwissProt GLENRLSELKRKIQGERVRSIKEKFEANRKKVDAHVSPFSSAASSRATAEDNGNSNMLSSRMRMPLCKLNGFSHGVGDRDYVPTKDVISASVKLPIAERIPPYTTWIFLDRNQRMAEDQSVVGRRQIYYEQHGGETLICSDSEEEPEPEEEKREFSEGEDSIIWLIGQEYGMGEEVQDALCQLLSVDASDILERYNELKLKDKQNTEEFSNSGFKLGISLEKGLGAALDSFDNLFCRRCLVFDCRLHGCSQPLISASEKQPYWSDYEGDRKPCSKHCYLQLKAVREVPETCSNFASKAEEKASEEECSKAVSSDVPHAAASGVSLQVEKTDIGIKNVDSSSGVEQEHGIRGKREVPILKDSNDLPNLSNKKQKTAASDTKMSFVNSVPSLDQALDSTKGDQGGTTDNKVNRDSEADAKEVGEPIPDNSVHDGGSSICQPHHGSGNGAIIIAEMSETSRPSTEWNPIEKDLYLKGVEIFGRNSCLIARNLLSGLKTCLDVSNYMRENEVSVFRRSSTPNLLLDDGRTDPGNDNDEVPPRTRLFRRKGKTRKLKYSTKSAGHPSVWKRI
VIMSS10104561 1 231 0.123234632034632 PF03168.13:LEA_2:103:206 NDR1/HIN1-like protein 3 231 104 27 208 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FNH6 1 SwissProt MADLNGAYYGPSIPPPKKVSHSHGRRGGGCGCLGDCLGCCGCCILSVIFNILITIAVLLGIAALIIWLIFRPNAIKFHVTDAKLTEFTLDPTNNLRYNLDLNFTIRNPNRRIGVYYDEIEVRGYYGDQRFGMSNNISKFYQGHKNTTVVGTKLVGQQLVLLDGGERKDLNEDVNSQIYRIDAKLRLKIRFKFGLIKSWRFKPKIKCDLKVPLTSNSTSGFVFQPTKCDVDF
VIMSS10108316 1 178 0.130683707865168 PF01145.25:Band_7:34:177 Prohibitin-3, mitochondrial; Atphb3; Protein ENHANCED ETHYLENE RESPONSE 3 277 144 27 178 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04331 1 SwissProt MGSQQAAVSFLSNLAKAAFGLGTAATVLNTSLFTVDGGERAVIFDRFRGVMDQTVGEGTHFLIPILQRPHIFDIRTKPHTFSSISGTKDLQMVNLTLRVLSRPEVSRLPYIFQTLGLEYDEKVLPSIGNEVLKAVVAQFNADQLLTERPHVSALVRESLITRAKDFNIVLDDVAITHL
VIMSS10109702 1 619 0.765778352180938 PF07918.11:CAP160:388:412 Low-temperature-induced 65 kDa protein; Desiccation-responsive protein 29B 619 25 27 619 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q04980 1 SwissProt MESQLTRPYGHEQAEEPIRIHHPEEEEHHEKGASKVLKKVKEKAKKIKNSLTKHGNGHDHDVEDDDDEYDEQDPEVHGAPVYESSAVRGGVTGKPKSLSHAGETNVPASEEIVPPGTKVFPVVSSDHTKPIEPVSLQDTSYGHEALADPVRTTETSDWEAKREAPTHYPLGVSEFSDRGESREAHQEPLNTPVSLLSATEDVTRTFAPGGEDDYLGGQRKVNVETPKRLEEDPAAPGGGSDYLSGVSNYQSKVTDPTHKGGEAGVPEIAESLGRMKVTDESPDQKSRQGREEDFPTRSHEFDLKKESDINKNSPARFGGESKAGMEEDFPTRGDVKVESGLGRDLPTGTHDQFSPELSRPKERDDSEETKDESTHETKPSTYTEQLASATSAITNKAIAAKNVVASKLGYTGENGGGQSESPVKDETPRSVTAYGQKVAGTVAEKLTPVYEKVKETGSTVMTKLPLSGGGSGVKETQQGEEKGVTAKNYISEKLKPGEEDKALSEMIAEKLHFGGGGEKKTTATKEVEVTVEKIPSDQIAEGKGHGEAVAEEGKGGEGMVGKVKGAVTSWLGGKPKSPRSVEESPQSLGTTVGTMGFSDSGGSELGGSGGGKGVQDSGN
VIMSS10110236 1 398 0.577422110552763 PF00320.27:GATA:232:266 GATA transcription factor 21; Protein GATA, NITRATE-INDUCIBLE, CARBON-METABOLISM INVOLVED; AtGNC 398 35 27 398 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5HZ36 1 SwissProt MDSNFHYSIDLNEDQNHHEQPFFYPLGSSSSLHHHHHHHHHQVPSNSSSSSSSISSLSSYLPFLINSQEDQHVAYNNTYHADHLHLSQPLKAKMFVANGGSSACDHMVPKKETRLKLTIRKKDHEDQPHPLHQNPTKPDSDSDKWLMSPKMRLIKKTITNNKQLIDQTNNNNHKESDHYPLNHKTNFDEDHHEDLNFKNVLTRKTTAATTENRYNTINENGYSNNNGVIRVCSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAAMAAAAAAGDQEVAVAPRVQQLPLKKKLQNKKKRSNGGEKYNHSPPMVAKAKKCKIKEEEEKEMEAETVAGDSEISKSTTSSNSSISSNKFCFDDLTIMLSKSSAYQQVFPQDEKEAAVLLMALSYGMVHG
VIMSS10111135 1 564 0.295919326241135 Protein CPR-5; Protein constitutive expression of pathogenesis-related genes 5; Protein constitutive expression of PR genes 5; Protein hypersenescence-1 564 0 27 475 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LV85 1 SwissProt MEALLLPPSPEPQNQITNPANSKPNHQSGDVHKDETMMMKKKKDTNPSNLEKRKLKGKKKEIMDNDEASSSYCSTSSTSNSNSTKRVTRVVHRLRNPMRLGMARRSVGERQAEKLAKPLGFSLAAFANMVIARKNAAGQNVYVDDLVEIFATLVEESLANVYGNKLGSFATNFEQTFSSTLKILKLTNECANPHQSNNNDGGSCNLDRSTIDGCSDTELFERETSSATSAYEVMQGSATATSLMNELALFEETLQLSCVPPRSSAMALTTDERFLKEQTRANDLKTVEIGLQIRELRCKETALGLKFESNNLGKAALELDVSKAAFRAEKFKTELEDTRKEEMVTRIMDWLLVSVFSMLASMVLGVYNFSIKRIEDATSVCDQSEEKSSSWWVPKQVSSINSGFNTFICRVRVWVQIFFGVLMIIVFTYFLNKRSSGTKQTMPISFIVLFLGIFCGVSGKLCVDTLGGDGKLWLIVWEVFCLLQFVANVFTLALYGLMFGPINVTQETRSNRCNSMFPYWARRSVVYVVILFVLPVINGLLPFATFGEWRDFAMYHLHGGSDYA
VIMSS109103 163 391 0.541180349344978 PF12484.8:PE_PPE_C:144:224 PPE family protein PPE18 391 81 27 229 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::L7N675 1 SwissProt TATLLPFEEAPEMTSAGGLLEQAAAVEEASDTAAANQLMNNVPQALQQLAQPTQGTTPSSKLGGLWKTVSPHRSPISNMVSMANNHMSMTNSGVSMTNTLSSMLKGFAPAAAAQAVQTAAQNGVRAMSSLGSSLGSSGLGGGVAANLGRAASVGSLSVPQAWAAANQAVTPAARALPLTSLTSAAERGPGQMLGGLPVGQMGARAGGGLSGVLRVPPRPYVMPHSPAAG
VIMSS109929 1 179 0.148863687150838 PF00398.20:RrnaAD:21:172,PF13649.6:Methyltransf_25:36:97,PF08241.12:Methyltransf_11:38:95 Probable 23S rRNA methyltransferase Erm(37) 179 152 27 179 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) Q10838 1 SwissProt/TReMBL MSALGRSRRAWGWHRLHDEWAARVVSAAAVRPGELVFDIGAGEGALTAHLVRAGARVVAVELHPRRVGVLRERFPGITVVHADAASIRLPGRPFRVVANPPYGISSRLLRTLLAPNSGLVAADLVLQRALVCKFASRNARRFTLTVGLMLPRRAFLPPPHVDSAVLVVRRRKCGDWQGR
VIMSS1243104 1 290 0.0264689655172414 PF01040.18:UbiA:28:271 4-hydroxybenzoate octaprenyltransferase (EC 2.5.1.39) 290 244 27 138 7 Escherichia coli K-12 substr. MG1655 ecocyc::4OHBENZOATE-OCTAPRENYLTRANSFER-MONOMER 0 ecocyc MEWSLTQNKLLAFHRLMRTDKPIGALLLLWPTLWALWVATPGVPQLWILAVFVAGVWLMRAAGCVVNDYADRKFDGHVKRTANRPLPSGAVTEKEARALFVVLVLISFLLVLTLNTMTILLSIAALALAWVYPFMKRYTHLPQVVLGAAFGWSIPMAFAAVSESVPLSCWLMFLANILWAVAYDTQYAMVDRDDDVKIGIKSTAILFGQYDKLIIGILQIGVLALMAIIGELNGLGWGYYWSILVAGALFVYQQKLIANREREACFKAFMNNNYVGLVLFLGLAMSYWHF
VIMSS15348 1 236 0.236027118644068 PF02613.15:Nitrate_red_del:49:155 nitrate reductase 1 molybdenum cofactor assembly chaperone 236 107 27 236 0 Escherichia coli K-12 substr. MG1655 ecocyc::NARJ-MONOMER 1 ecocyc MIELVIVSRLLEYPDAALWQHQQEMFEAIAASKNLPKEDAHALGIFLRDLTTMDPLDAQAQYSELFDRGRATSLLLFEHVHGESRDRGQAMVDLLAQYEQHGLQLNSRELPDHLPLYLEYLAQLPQSEAVEGLKDIAPILALLSARLQQRESRYAVLFDLLLKLANTAIDSDKVAEKIADEARDDTPQALDAVWEEEQVKFFADKGCGDSAITAHQRRFAGAVAPQYLNITTGGQH
VIMSS1956299 1 242 0.283657851239669 PF13618.6:Gluconate_2-dh3:62:215 hypothetical protein (NCBI) 242 154 27 219 1 Campylobacter jejuni subsp. jejuni 81-176 VIMSS1956299 1 MicrobesOnline MQDNIIDRRSFFKLGLLGGSVVAASTIGGGAVLKAAELTHSHQASQGKSNKIRGRMFFQTQTEFDTLSAACERIYPKDEQGEGAIGLGVPYFIDNQLASAYGYNDREYMQGPFMEGKAEQGYQTPMQRKDIFLEGVHALEENAQKRYKKSFSLLKGGDQDKILSDFEKGKIQTTGFKSSYFFTLLRDMTVAGVLADPIYGGNDNKNGWRMMQYPGAQMSYVDKIASDEFFNIEPMGLADMES
VIMSS2100344 183 681 0.252934869739479 PF05139.14:Erythro_esteras:112:474 Uncharacterized protein MT2089 681 363 27 499 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WLM0 1 SwissProt EFAGLVDDVVCATMPTPFLAVGESFWDFRQVTDEEVRRLLATPTAGPSLRRPAASTAADVLRRVAIDAPGGVPTHEVLAELVGDARIVLIGESSHGTHEFYQARAAMTQWLIEEKGFGAVAAEADWPDAYRVNRYVRGLGEDTNADEALSGFERFPAWMWRNTVVRDFVEWLRTRNQRYESGALRQAGFYGLDLYSLHRSIQEVISYLDKVDPRAAARARARYACFDHACADDGQAYGFAAAFGAGPSCEREAVEQLVDVQRNALAYARQDGLLAEDELFYAQQNAQTVRDAEVYYRAMFSGRVTSWNLRDQHMAQTLGSLLTHLDRHLDAPPARIVVWAHNSHVGDARATEVWADGQLTLGQIVRERYGDESRSIGFSTYTGTVTAASEWGGIAQRKAVRPALHGSVEELFHQTADSFLVSARLSRDAEAPLDVVRLGRAIGVVYLPATERQSHYLHVRPADQFDAMIHIDQTRALEPLEVTSRWIAGENPETYPTGL
VIMSS260453 1 233 0.0156158798283262 PF04172.16:LrgB:17:230 Antiholin-like protein LrgB 233 214 27 80 7 Staphylococcus aureus (strain NCTC 8325) SwissProt::P60643 0 SwissProt MINHLALNTPYFGILLSVIPFFLATILFEKTNRFFLFAPLFVSMVFGVAFLYLTGIPYKTYKIGGDIIYFFLEPATICFAIPLYKKREVLVKHWHRIIGGIGIGTVVALLIILTFAKLAQFANDVILSMLPQAATTAIALPVSAGIGGIKELTSLAVILNGVIIYALGNKFLKLFRITNPIARGLALGTSGHTLGVAPAKELGPVEESMASIALVLVGVVVVAVVPVFVAIFF
VIMSS31851 1 81 0.373198765432099 PF12900.7:Pyridox_ox_2:20:73 Uncharacterized protein MT0087 152 54 27 81 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WMA4 1 SwissProt MSPGSRRASPQSAREVVELDRDEAMRLLASVDHGRVVFTRAALPAIRPVNHLVVDGRVIGRTRLTAKVSVAVRSSADAGVV
VIMSS33509 257 598 0.117583918128655 PF02665.14:Nitrate_red_gam:163:341,PF02613.15:Nitrate_red_del:36:150 Nitrate reductase-like protein NarX 652 294 27 253 4 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WJQ0 1 SwissProt VVWQCASVLLTYPNSRQLGTAEELLAHIDGPAADLLGRTVSELRRADPLTAATRYVDTFDLRGRATLYLTYWTAGDTRNRGREMLAFAQTYRSTDVAPPRGETPDFLPVVLEFAATVDPEAGRRLLSGYRVPIAALCNALTEAALPYAHTVAAVCRTGDMMGELFWTVVPYVTMTIVAVGSWWRYRYDKFGWTTRSSQLYESRLLRIASPMFHFGILVVIVGHGIGLVIPQSWTQAAGLSEGAYHVQAVVLGSIAGITTLAGVTLLIYRRRTRGPVFMATTVNDKVMYLVLVAAIVAGLGATALGSGVVGEAYNYRETVSVWFRSVWVLQPRGDLMAEAPLY
VIMSS34731 1 232 0.1780125 PGL/p-HBAD biosynthesis glycosyltransferase Rv2958c; EC 2.4.1.- 428 0 27 232 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WFR1 1 SwissProt MEETSVAGDPGPDAGTSTAPNAAPEPVARRQRILFVGEAATLAHVVRPFVLARSLDPSRYEVHFACDPRFNKLLGPLPFPHHPIHTVPSEEVLLKIAQGRLFYNTRTLRKYIAADRKILNEIAPDVVVGDNRLSLSVSARLAGIPYIAIANAYWSPQARRRFPLPDVPWTRFFGVRPVSILYRLYRPLIFALYCLPLNWLRRKHGLSSLGWDLCRIFTDGDYTLYADVPELV
VIMSS35580 1 302 0.0403490066225166 PF01040.18:UbiA:48:266 Decaprenyl-phosphate phosphoribosyltransferase; 5-phospho-alpha-D-ribose-1-diphosphate:decaprenyl-phosphate 5-phosphoribosyltransferase; DPPR synthase; EC 2.4.2.45 302 219 27 154 7 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WFR5 1 SwissProt MSEDVVTQPPANLVAGVVKAIRPRQWVKNVLVLAAPLAALGGGVRYDYVEVLSKVSMAFVVFSLAASAVYLVNDVRDVEADREHPTKRFRPIAAGVVPEWLAYTVAVVLGVTSLAGAWMLTPNLALVMVVYLAMQLAYCFGLKHQAVVEICVVSSAYLIRAIAGGVATKIPLSKWFLLIMAFGSLFMVAGKRYAELHLAERTGAAIRKSLESYTSTYLRFVWTLSATAVVLCYGLWAFERDGYSGSWFAVSMIPFTIAILRYAVDVDGGLAGEPEDIALRDRVLQLLALAWIATVGAAVAFG
VIMSS35636 1 116 0.27 PF02467.16:Whib:36:82 Probable transcriptional regulator WhiB6 116 47 27 116 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WF36 1 SwissProt MRYAFAAEATTCNAFWRNVDMTVTALYEVPLGVCTQDPDRWTTTPDDEAKTLCRACPRRWLCARDAVESAGAEGLWAGVVIPESGRARAFALGQLRSLAERNGYPVRDHRVSAQSA
VIMSS35652 1 280 0.643841785714286 ESX-1 secretion-associated protein EspJ; TB27.4 280 0 27 280 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJC3 1 SwissProt MAEPLAVDPTGLSAAAAKLAGLVFPQPPAPIAVSGTDSVVAAINETMPSIESLVSDGLPGVKAALTRTASNMNAAADVYAKTDQSLGTSLSQYAFGSSGEGLAGVASVGGQPSQATQLLSTPVSQVTTQLGETAAELAPRVVATVPQLVQLAPHAVQMSQNASPIAQTISQTAQQAAQSAQGGSGPMPAQLASAEKPATEQAEPVHEVTNDDQGDQGDVQPAEVVAAARDEGAGASPGQQPGGGVPAQAMDTGAGARPAASPLAAPVDPSTPAPSTTTTL
VIMSS3689872 1 200 0.2695705 PF13439.6:Glyco_transf_4:17:187,PF13579.6:Glyco_trans_4_4:19:177,PF13477.6:Glyco_trans_4_2:24:127 GDP-mannose-dependent alpha-mannosyltransferase; Guanosine diphosphomannose-dependent alpha-mannosyltransferase; EC 2.4.1.- 378 171 27 200 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMY5 1 SwissProt MCGVRVAIVAESFLPQVNGVSNSVVKVLEHLRRTGHEALVIAPDTPPGEDRAERLHDGVRVHRVPSRMFPKVTTLPLGVPTFRMLRALRGFDPDVVHLASPALLGYGGLHAARRLGVPTVAVYQTDVPGFASSYGIPMTARAAWAWFRHLHRLADRTLAPSTATMESLIAQGIPRVHRWARGVDVQRFAPSARNEVLRRR
VIMSS44289 1 176 0.249396590909091 PF01475.19:FUR:31:148 oxidative stress regulator BosR 176 118 27 176 0 Borreliella burgdorferi B31 NP_212781.1 1 RefSeq MNDNIIDVHSALEKVGITNDPVLLKNLTSELGMKASHSRNRIILYIASNPKEYFTAKEVYNKLIKEIPSLSKATVYNTLNILKERNILKDIKTTDQKETKFYLSLASTIAHFKCNKCNQVHPIQLDDIKDILKDKLGENWETKSIEIIYSGHCNNCYKKDTHNNNNVPDENKEITL
VIMSS542157 206 810 0.101856694214876 PF04602.12:Arabinose_trans:1:462,PF14896.6:Arabino_trans_C:497:601 Probable arabinosyltransferase A; EC 2.4.2.- 1094 567 27 344 12 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNL9 1 SwissProt VMLLGVLAVLVAMVGLAALDRLSRGRTLRDWLTRYRPRVRVGFASRLADAAVIATLLLWHVIGATSSDDGYLLTVARVAPKAGYVANYYRYFGTTEAPFDWYTSVLAQLAAVSTAGVWMRLPATLAGIACWLIVSRFVLRRLGPGPGGLASNRVAVFTAGAVFLSAWLPFNNGLRPEPLIALGVLVTWVLVERSIALGRLAPAAVAIIVATLTATLAPQGLIALAPLLTGARAIAQRIRRRRATDGLLAPLAVLAAALSLITVVVFRDQTLATVAESARIKYKVGPTIAWYQDFLRYYFLTVESNVEGSMSRRFAVLVLLFCLFGVLFVLLRRGRVAGLASGPAWRLIGTTAVGLLLLTFTPTKWAVQFGAFAGLAGVLGAVTAFTFARIGLHSRRNLTLYVTALLFVLAWATSGINGWFYVGNYGVPWYDIQPVIASHPVTSMFLTLSILTGLLAAWYHFRMDYAGHTEVKDNRRNRILASTPLLVVAVIMVAGEVGSMAKAAVFRYPLYTTAKANLTALSTGLSSCAMADDVLAEPDPNAGMLQPVPGQAFGPDGPLGGISPVGFKPEGVGEDLKSDPVVSKPGLVNSDASPNKPNAAITDSA
VIMSS57631 1 301 0.220583056478405 two-component sensor PhoQ 448 0 27 255 2 Pseudomonas aeruginosa PAO1 NP_249871.1 1 RefSeq MIRSLRIRLMLGAAALAVLFMLALLPALQRAFGIALENTIEQRLAADVATLVSAARVEKGRLVMPEHLPVEEFNLPEAKVLGYIYDQNGDLLWRSTSAADESINYTPRYDGRGNEFHTTRDAKGEEFFVFDVEIDLLRGKQAAYSIVTMQSVSEFESLLKGFREQLYLWLGGALLVLLGLLWLGLTWGFRAMRGLSSELDQIESGERESLSEEHPRELLRLTHSLNRLLRSEHKQRERYRHSLGDLAHSLKTPLAVLQGVGDQLAEEPGNREQVRVLQGQIERMSQQIGYQLQRASLRKSG
VIMSS60170 76 213 0.139786956521739 PF14246.6:TetR_C_7:15:124 transcriptional regulator 213 110 27 138 0 Pseudomonas aeruginosa PAO1 NP_252410.1 1 RefSeq DSADQPRPAATLSATLEHFGRRFLTSLLDPRCQSLYRLVVAESPRFPAIGKSFYEQGPQQSYLLLSERLAAVAPHMDEETLYAVACQFLEMLKADLFLKALSVADFQPTMALLETRLKLSVDIIACYLEHLSQSPAQG
VIMSS6582529 1 210 0.463674285714286 PF13878.6:zf-C2H2_3:22:58 N-acetyltransferase ECO1; Chromosome transmission fidelity protein 7; Establishment of cohesion protein 1; EC 2.3.1.- 281 37 27 210 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43605 1 SwissProt MKARKSQRKAGSKPNLIQSKLQVNNGSKSNKIVKCDKCEMSYSSTSIEDRAIHEKYHTLQLHGRKWSPNWGSIVYTERNHSRTVHLSRSTGTITPLNSSPLKKSSPSITHQEEKIVYVRPDKSNGEVRAMTEIMTLVNNELNAPHDENVIWNSTTEEKGKAFVYIRNDRAVGIIIIENLYGGNGKTSSRGRWMVYDSRRLVQNVYPDFKI
VIMSS6584570 1 534 0.587334644194757 Structure-specific endonuclease subunit SLX4; Synthetic lethal of unknown function protein 4 748 0 27 534 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12098 1 SwissProt MELQRAQRNLKFLQNEDYVNVTDQTNLNGESQNAYSLGMETQVPEMQFSLSSDDDSIGTQVKSVTAQKSPMTQETTKNDTERNKDVDKSCNPVSTSHPDLGGSNIEENIFINTQIQSRLDDAEEETNLKLKLEKFKYSFKSSNADDTHSNANVTAKRRPAIRKANSKLKTKPKTKRDPKIIKNITDFNINNYERSRTASLLKQLSGKHKKVLDIIKTQNEGNSDKPPRARNNKGEKATFDTYSEQEWKDIMKLLLQKFPQSEETDLNEVQKFLYGSEKSSNSLDNQESSQQRLWTASQLPPELPDEAIQPEQEERIRDTQSAVNFLSLSQVMDDKSEIMKDEESIIISRGDSTSSQEYGNGLEPQQPVGNVVGEDIELAVGTRINAFSLTDYKACKPMSVEVSRRCENSTDNDYDNISIVSDTTDETSTLFPLDQYRYVFIENDERPPLATDTIGSTQFFTPNTSPLDGIIDLTQESFKAVRSLISPLKVENNKTGVTSQASNQVQVPATRTPTIIPQKNLTTTLKTEEEKNNI
VIMSS6585115 301 669 0.618375609756097 Cytokinesis protein 2; Homolog of CDC15 protein 1 669 0 27 369 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05080 1 SwissProt KTESYMDNIRKLSSQLKETENKRKLASIDKYEKPLPSPEVTMATQFRNSTPVIRNETKVVANPTLSLRSSPVQLQSNVDDSVLRQKPDKPRPIVGEEQLKPDEDSKNPDEKGLMVHKRNQSLSSPSESSSSNPTDFSHIKKRQSMESMTTSVSSMANSIDDSQRFAKSWNSSNRKRKSMSHLQVPSSASSRSDDGGRTPNSAHNLNEDDYNTRRDTSTSTILFKPPVAVRGTSRGHTHRQSMIMQDSSNPIEDALYEMERIQSSSKPGTKTGNIMDERGVVRDRGITVTLPIVTSEGFPVIEYAKAMYPLIGNEAPGLANFHKGDYLLITEIVNKDWYKGEVYDNDRIDRNHRIGLIPYNFIQLLHQGL
VIMSS712 1 323 0.507374303405572 hypothetical protein 323 0 27 323 0 Chlamydia trachomatis D/UW-3/CX NP_220213.1 1 RefSeq MSIRPTNGSGNGYSSINPSNDNQDGLVQSTSGPNYEGHTVSSRGGFQGICARIANLFRNCFSRNRGTTTTPSRPVITQANIHHPTISGQGAQPIVSTGDKKLDSAIIQADLRAQNKQTLATHIQSKLGSMEGQSPQDYKAGAYSALRLMLFTPGETTVSSERERQACVTGRDLWEQAAGDLATNGNTDGLMLMANLSVGGKHVPAGHLREYMDTVKGTFTDENKATDPTVDAILDLAAKIDATEFSSPGSGQVILNYIGNCGQVVLENEEMNQLILGDQNGQDPQHVQDNSKELQKLLENARKTDPELYFQTLTVITSSVFLD
VIMSS94159 1 207 0.168921256038647 PF00196.19:GerE:137:191 DNA-binding transcriptional activator RcsA 207 55 27 207 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD02233 1 ecocyc MSTIIMDLCSYTRLGLTGYLLSRGVKKREINDIETVDDLAIACDSQRPSVVFINEDCFIHDASNSQRIKLIINQHPNTLFIVFMAIANVHFDEYLLVRKNLLISSKSIKPESLDDILGDILKKETTITSFLNMPTLSLSRTESSMLRMWMAGQGTIQISDQMNIKAKTVSSHKGNIKRKIKTHNKQVIYHVVRLTDNVTNGIFVNMR
WP_003409199.1 1 143 0.257097902097902 PF13827.6:DUF4189:58:142 Uncharacterized protein MT1861 143 85 27 120 1 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WLS0 1 SwissProt MITNLRRRTAMAAAGLGAALGLGILLVPTVDAHLANGSMSEVMMSEIAGLPIPPIIHYGAIAYAPSGASGKAWHQRTPARAEQVALEKCGDKTCKVVSRFTRCGAVAYNGSKYQGGTGLTRRAAEDDAVNRLEGGRIVNWACN
WP_003899710.1 381 931 0.21296333938294 PF03176.15:MMPL:297:550 Sulfolipid-1 exporter MmpL8 1089 254 27 482 3 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJU5 1 SwissProt RDLTRRFWRSSGVHIVRRPKTHLLASALVLVILAGCAGLARYNYDDRKTLPASVESSIGYAALDKHFPSNLIIPEYLFIQSSTDLRTPKALADLEQMVQRVSQVPGVAMVRGITRPAGRSLEQARTSWQAGEVGSKLDEGSKQIAVHTGDIDKLAGGANLMASKLGDVRAQVNRAISTVGGLIDALAYLQDLLGGNRVLGELEGAEKLIGSMRALGDTIDADASFVANNTEWASPVLGALDSSPMCTADPACASARTELQRLVTARDDGTLAKISELARQLQATRAVQTLAATVSGLRGALATVIRAMGSLGMSSPGGVRSKINLVNKGVNDLADGSRQLAEGVQLLVDQVKKMGFGLGEASAFLLAMKDTATTPAMAGFYIPPELLSYATGESVKAETMPSEYRDLLGGLNVDQLKKVAAAFISPDGHSIRYLIQTDLNPFSTAAMDQIDAITAAARGAQPNTALADAKVSVVGLPVVLKDTRDYSDHDLRLIIAMTVCIVLLILIVLLRAIVAPLYLIGSVIVSYLAALGIGVIVFQFLLGQEMHWSIP
XP_001347895.1 1 162 0.493452469135802 PF06589.11:CRA:2:108 exported protein 1 162 107 27 139 1 Plasmodium falciparum 3D7 XP_001347895.1 1 RefSeq MKILSVFFLALFFIIFNKESLAEKTNKGTGSGVSSKKKNKKGSGEPLIDVHDLISDMIKKEEELVEVNKRKSKYKLATSVLAGLLGVVSTVLLGGVGLVLYNTEKGRHPFKIGSSDPADNANPDADSESNGEPNAGPQVTAQDVTPEQPQGDDNNLVSGTEH
XP_001348275.1 1 782 0.276138363171355 PF07218.11:RAP1:1:782 rhoptry-associated protein 1 782 782 27 782 0 Plasmodium falciparum 3D7 XP_001348275.1 1 RefSeq MSFYLGSLVIIFHVLFRNVADGINVNGDNNYGKTIINNDFNFDDYNYWTPINKKEFLNSYEDKFSSESFLENKSSVDDGNINLTDTSTSNKSSKKGHGRSRVRSASAAAILEEDDSKDDMEFKASPSVVKTSTPSGTQTSGLKSSSPSSTKSSSPSNVKSASPHGESNSSEESTTKSSKRSASVAGIVGADEEAPPAPKNTLTPLEELYPTNVNLFNYKYSLNNMEENINILKNEGDLVAQKEEFEYDENMEKAKQDKKKALEKIGKESDEEPFMFSENKFLENQVKERNVAGSFSRFFSKLNPFKKDEVIEKTEVSKKTFSGIGFNLTEKEAKVLGVGVTYQEYPETMLYNCPNNSNLFDTIESLQGRVIDIKKRESMISTTFEQQKECLKNMGVLDLELNDTQCKFGTCIGSFGEHHLRLYEFENDLLKFHPNIDYLTLADGYKLQKNDIYELSHVNFCLLNPKTLEEFLKKKEIKDLMGGDDLIKYKENFDNFMSISITCHIESLIYDDIEASQDIAAVLKIAKSKLHVITSGLSYKARKLVYKIYSEIQKNPDELYEKLTWIYDNIYMIKRYYTAYALEGVCSYLEHDKSQMYTELHIYNKIVDSVRYYSSCFKNVIVYNAIISGIHEKIKHFLKLVPRHNFLLDYHFNSIFEKEIKPAKKYSTSHIYFDPTVASYAYYNLDRRTMVTIINDYFEAKKKELTVIVSRMKTDMLSLQNEESKIPNDKSANSKLATRLMKKFKAEIRDFFKEMRIQYAKLINIRYRSHLKKNYFAFKRLD
XP_001350181.1 1 290 0.117101724137931 PF07422.13:s48_45:47:160 6-cysteine protein 448 114 27 290 0 Plasmodium falciparum 3D7 XP_001350181.1 1 RefSeq MMLYISAKKAQVAFILYIVLVLRIISGNNDFCKPSSLNSEISGFIGYKCNFSNEGVHNLKPDMRERRSIFCTIHSYFIYDKIRLIIPKKSSSPEFKILPEKCFQKVYTDYENRVETDISELGLIEYEIEENDTNPNYNERTITISPFSPKDIEFFCFCDNTEKVISSIEGRSAMVHVRVLKYPHNILFTNLTNDLFTYLPKTYNESNFVSNVLEVELNDGELFVLACELINKKCFQEGKEKALYKSNKIIYHKNLTIFKAPFYVTSKDVNTECTCKFKNNNYKIVLKPKY
XP_006231040.1 1 507 0.13463392504931 PF00083.24:Sugar_tr:138:457,PF07690.16:MFS_1:135:451 solute carrier family 22 member 6 isoform X1 507 323 27 351 7 Rattus norvegicus XP_006231040.1 1 RefSeq MAFNDLLKQVGGVGRFQLIQVTMVVAPLLLMASHNTLQNFTAAIPPHHCRPPANANLSKDGGLEAWLPLDKQGQPESCLRFTSPQWGPPFYNGTEANGTRVTEPCIDGWVYDNSTFPSTIVTEWNLVCSHRAFRQLAQSLYMVGVLLGAMVFGYLADRLGRRKVLILNYLQTAVSGTCAAYAPNYTVYCVFRLLSGMSLASIAINCMTLNVEWMPIHTRAYVGTLIGYVYSLGQFLLAGIAYAVPHWRHLQLVVSVPFFIAFIYSWFFIESARWYSSSGRLDLTLRALQRVARINGKQEEGAKLSIEVLRTSLQKELTLSKGQASAMELLRCPTLRHLFLCLSMLWFATSFAYYGLVMDLQGFGVSMYLIQVIFGAVDLPAKFVCFLVINSMGRRPAQMASLLLAGICILVNGIIPKSHTIIRTSLAVLGKGCLASSFNCIFLYTGELYPTVIRAVTALLPETLGQPLPDTVQDLKSRSRGKQNQQQQEQQKQMMPLQASTQEKNGL
XP_006505680.1 394 874 0.302820997920998 PF00041.21:fn3:45:128,PF00041.21:fn3:143:230 Interleukin-12 receptor subunit beta-2; IL-12 receptor subunit beta-2; IL-12R subunit beta-2; IL-12R-beta-2; IL-12RB2 874 172 27 458 1 Mus musculus (Mouse) SwissProt::P97378 1 SwissProt RHTSWTRVIPRTGAWTASVSAANSKGASAPTHINIVDLCGTGLLAPHQVSAKSENMDNILVTWQPPKKADSAVREYIVEWRALQPGSITKFPPHWLRIPPDNMSALISENIKPYICYEIRVHALSESQGGCSSIRGDSKHKAPVSGPHITAITEKKERLFISWTHIPFPEQRGCILHYRIYWKERDSTAQPELCEIQYRRSQNSHPISSLQPRVTYVLWMTAVTAAGESPQGNEREFCPQGKANWKAFVISSICIAIITVGTFSIRYFRQKAFTLLSTLKPQWYSRTIPDPANSTWVKKYPILEEKIQLPTDNLLMAWPTPEEPEPLIIHEVLYHMIPVVRQPYYFKRGQGFQGYSTSKQDAMYIANPQATGTLTAETRQLVNLYKVLESRDPDSKLANLTSPLTVTPVNYLPSHEGYLPSNIEDLSPHEADPTDSFDLEHQHISLSIFASSSLRPLIFGGERLTLDRLKMGYDSLMSNEA
XP_006507501.1 1 612 0.294121732026144 cGMP-inhibited 3',5'-cyclic phosphodiesterase B isoform X1 1082 0 27 505 5 Mus musculus XP_006507501.1 1 RefSeq MRKDERERDAPAMRSPPPPPASAASPPESLRNGYVKSCVSPLRQDPPRSFFFHLCRFCNVEPPAASLRAGARLSLGVLAAFVLAALLGARPERWAAAAAGLRTLLSACSLSLSPLFSIACAFFFLTCFLTRAQRGPGRGAGSWWLLALPACCYLGDFAAWQWWSWLRGEPAAAGRLCLVLSCVGLLTLAPRVRLRHGVLVLLFAGLVWWVSFSGLGALPPALRPLLSCLVGGAGCLLALGLDHFFHVRGASPPPRSASTAEEKVPVIRPRRRSSCVSLGESAAGYYGSGKMFRRPSLPCISREQMILWDWDLKQWCKPHYQNSGGGNGVDLSVLNEARNMVSDLLIDPSLPPQVISSLRSISSLMGAFSGSCRPKINSFTPFPGFYPCSEVEDPVEKGDRKLHKGLSGRTSFPTPQLRRSSGASSLLTNEHCSSHGCHLNGPFSSNLFTIPKQRSSSVSLTHHAGLRRAGALPSHSLLNSSSHVPVSAGSLTNRSPIGFPDTTDFLTKPNIILHRSLGSVSSAADFHQYLRNSDSNLCSSCGHQILKYVSTCEPDGTDHPSEKSGEEDSSVFSKEPLNIVETQEEETMKKACRELFLEGDSHLMEEAQQPNI
XP_006511993.1 1 322 0.627141304347827 PF06617.13:M-inducer_phosp:86:316 M-phase inducer phosphatase 1 isoform X2 521 231 27 322 0 Mus musculus XP_006511993.1 1 RefSeq MELGPEPPHRRRLFFACSPTPAPQPTGKMLFGASAAGGLSPVTNLTVTMDQLEGLGSDCEKMEVRNNSSLQRMGSSESTDSGFCLDSPGPLDSKENLEISLTRINSLPKLLGCSPALKRSHSDSLDHDTFHLIDQDENKENEAFEFKKPIRPASRHIYEESKDPFTHRQNSAPARMLSSNESESGNFSPLFIPQSPVKATLSDEDDGFIDLLDGENMKNDEETPSCMASLWTAPLVMRRPANLVGPVSDPKADRCGLFDSPSPCGSSTRAVLKRADRSHEEPPRGTKRRKSVPSPVKAKADVPEPAQLPSQSLSLMSSPKGT
XP_006518873.1 1 486 0.327879835390947 PF00515.28:TPR_1:238:264,PF13424.6:TPR_12:236:303,PF13176.6:TPR_7:237:264,PF13181.6:TPR_8:236:261,PF13181.6:TPR_8:273:304 intraflagellar transport protein 88 homolog isoform X1 788 69 27 486 0 Mus musculus XP_006518873.1 1 RefSeq MMENVHLAPETDEDDLYSGFNDYNPAYDTEELENDTGFQQAVRTSHGRRPPVTAKIPSTAVSRPIATGYGSKTSLTSSMGRPMTGTIQDGVARPMTAVRAAGFSKAALRGSAFDPLGQSRGPAPPLEAKNEDSPEEKIRQLEKKVNELVEESCIANSCGDLKLALEKAKDAGRKERVLVRQREQVTSPENINLDLTYSVLFNLASQYSANEMYAEALNTYQVIVKNKMFSNAGRLKVNMGNIYLKQRNYSKAIKFYRMALDQIPSVHKEMRIKIMQNIGITFIKTGQYSDAINSFEHIMSMAPSLKAGFNLILSCFAIGDREKMKKAFQKLIAVPLEIDEDDKYISPSDDPHTNLLIEAIKNDHLRQMERERKAMAEKYIMTAAKLIAPVIEASFAVGYNWCVEVVKASQYVELANDLEINKAITYLRQKDFNQAVDTLKMFEKKDSRVKSAAATNLSFLYYLENEFAQASSYADLAVNSDRYNPS
XP_011534878.1 784 6905 0.340970173146032 PF00435.21:Spectrin:5241:5350,PF00435.21:Spectrin:5354:5452,PF00435.21:Spectrin:5805:5910 nesprin-2 isoform X1 6936 315 27 6104 1 Homo sapiens XP_011534878.1 1 RefSeq MARSEDMLQMDIQNISSQESFQHVLTTGLQAKIQEAKEKVQINVVKLIAALKNLTDVSPDLDIRLKMEESQKELESYMMRAQQLLGQRESPGELISKHKEALIISNTKSLAKYLKAVEELKNNVTEDIKMSLEEKSRDVCAKWESLHHELSLYVQQLKIDIEKGKLSDNILKLEKQINKEKKLIRRGRTKGLIKEHEACFSEEGCLYQLNHHMEVLRELCEELPSQKSQQEVKRLLKDYEQKIERLLKCASEIHMTLQPTAGGTSKNEGTITTSENRGGDPHSEAPFAKSDNQPSTEKAMEPTMKFSLASVLRPLQEESIMEKDYSASINSLLERYDTYRDILEHHLQNNKFRITSDFSSEEDRSSSCLQAKLTDLQVIKNETDARWKEFEIISLKLENHVNDIKKPFVIKERDTLKERERELQMTLNTRMESLETALRLVLPVEKASLLLCGSDLPLHKMAIQGFHLIDADRIYQHLRNIQDSIAKQIEICNRLEEPGNFVLKELHPFDLHAMQNIILKYKTQFEGMNHRVQRSEDTLKALEDFLASLRTAKLSAEPVTDLSASDTQVAQENTLTVKNKEGEIHLMKDKAKHLDKCLKMLDMSFKDAERGDDTSCENLLDAFSIKLSETHGYGVQEEFTEENKLLEACIFKNNELLKNIQDVQSQISKIGLKDPTVPAVKHRKKSLIRLDKVLDEYEEEKRHLQEMANSLPHFKDGREKTVNQQCQNTVVLWENTKALVTECLEQCGRVLELLKQYQNFKSILTTLIQKEESVISLQASYMGKENLKKRIAEIEIVKEEFNEHLEVVDKINQVCKNLQFYLNKMKTFEEPPFEKEANIIVDRWLDINEKTEDYYENLGRALALWDKLFNLKNVIDEWTEKALQKMELHQLTEEDRERLKEELQVHEQKTSEFSRRVAEIQFLLQSSEIPLELQVMESSILNKMEHVQKCLTGESNCHALSGSTAELREDLDQAKTQIGMTESLLKALSPSDSLEIFTKLEEIQQQILQQKHSMILLENQIGCLTPELSELKKQYESVSDLFNTKKSVLQDHFSKLLNDQCKNFNDWFSNIKVNLKECFESSETKKSVEQKLQKLSDFLTLEGRNSKIKQVDSVLKHVKKHLPKAHVKELISWLVGQEFELEKMESICQARAKELEDSLQQLLRLQDDHRNLRKWLTNQEEKWKGMEEPGEKTELFCQALARKREQFESVAQLNNSLKEYGFTEEEEIIMEATCLMDRYQTLLRQLSEIEEEDKLLPTEDQSFNDLAHDVIHWIKEIKESLMVLNSSEGKMPLEERIQKIKEIILLKPEGDARIETIMKQAESSEAPLVQKTLTDISNQWDNTLHLASTYLSHQEKLLLEGEKYLQSKEDLRLMLIELKKKQEAGFALQHGLQEKKAQLKIYKKFLKKAQDLTSLLKELKSQGNYLLECTKNPSFSEEPWLEIKHLHESLLQQLQDSVQNLDGHVREHDSYQVCVTDLNTTLDNFSKEFVSFSDKPVDQIAVEEKLQKLQELENRLSLQDGTLKKILALAKSVKQNTSSVGQKIIKDDIKSLQCKQKDLENRLASAKQEMECCLNSILKSKRSTEKKGKFTLPGREKQATSDVQESTQESAAVEKLEEDWEINKDSAVEMAMSKQLSLNAQESMKNTEDERKVNELQNQPLELDTMLRNEQLEEIEKLYTQLEAKKAAIKPLEQTECLNKTETGALVLHNIGYSAQHLDNLLQALITLKKNKESQYCVLRDFQEYLAAVESSMKALLTDKESLKVGPLDSVTYLDKIKKFIASIEKEKDSLGNLKIKWENLSNHVTDMDKKLLESQIKQLEHGWEQVEQQIQKKYSQQVVEYDEFTTLMNKVQDTEISLQQQQQHLQLRLKSPEERAGNQSMIALTTDLQATKHGFSVLKGQAELQMKRIWGEKEKKNLEDGINNLKKQWETLEPLHLEAENQIKKCDIRNKMKETILWAKNLLGELNPSIPLLPDDILSQIRKCKVTHDGILARQQSVESLAEEVKDKVPSLTTYEGSDLNNTLEDLRNQYQMLVLKSTQRSQQLEFKLEERSNFFAIIRKFQLMVQESETLIIPRVETAATEAELKHHHVTLEASQKELQEIDSGISTHLQELTNIYEELNVFERLFLEDQLKNLKIRTNRIQRFIQNTCNEVEHKIKFCRQFHEKTSALQEEADSIQRNELLLNQEVNKGVKEEIYNLKDRLTAIKCCILQVLKLKKVFDYIGLNWDFSQLDQLQTQVFEKEKELEEKIKQLDTFEEEHGKYQALLSKMRAIDLQIKKMTEVVLKAPDSSPESRRLNAQILSQRIEKAKCLCDEIIKKLNENKTFDDSFKEKEILQIKLNAEENDKLYKVLQNMVLELSPKELDEKNCQDKLETSLHVLNQIKSQLQQPLLINLEIKHIQNEKDNCEAFQEQVWAEMCSIKAVTAIEKQREENSSEASDVETKLREFEDLQMQLNTSIDLRTNVLNDAYENLTRYKEAVTRAVESITSLEAIIIPYRVDVGNPEESLEMPLRKQEELESTVAHIQDLTEKLGMISSPEAKLQLQYTLQELVSKNSAMKEAFKAQETEAERYLENYKCYRKMEEDIYTNLSKMETVLGQSMSSLPLSYREALERLEQSKALVSNLISTKEELMKLRQILRLLRLRCTENDGICLLKIVSALWEKWLSLLEAAKEWEMWCEELKQEWKFVSEEIEREAIILDNLQEELPEISKTKEAATTEELSELLDCLCQYGENVEKQQLLLTLLLQRIRSIQNVPESSGAVETVPAFQEITSMKERCNKLLQKVQKNKELVQTEIQERHSFTKEIIALKNFFQQTTTSFQNMAFQDHPEKSEQFEELQSILKKGKLTFENIMEKLRIKYSEMYTIVPAEIESQVEECRKALEDIDEKISNEVLKSSPSYAMRRKIEEINNGLHNVEKMLQQKSKNIEKAQEIQKKMWDELDLWHSKLNELDSEVQDIVEQDPGQAQEWMDNLMIPFQQYQQVSQRAECRTSQLNKATVKMEEYSDLLKSTEAWIENTSHLLANPADYDSLRTLSHHASTVQMALEDSEQKHNLLHSIFMDLEDLSIIFETDELTQSIQELSNQVTALQQKIMESLPQIQRMADDVVAIESEVKSMEKRVSKIKTILLSKEIFDFSPEEHLKHGEVILENIRPMKKTIAEIVSYQVELRLPQTGMKPLPVFQRTNQLLQDIKLLENVTQEQNELLKVVIKQTNEWDEEIENLKQILNNYSAQFSLEHMSPDQADKLPQLQGEIERMEKQILSLNQRKEDLLVDLKATVLNLHQHLKQEQEGVERDRLPAVTSEEGGVAERDASERKLNRRGSMSYLAAVEEEVEESSVKSDNGDEKAEPSPQSWSSLWKHDKDMEEDRASSSSGTIVQEAYGKISTSDNSMAQILTPDSLNTEQGPECSLRPNQTEEGTTPPIEADTLDSSDAQGGLEPRVEKTRPEPTEVLHACKTQVAELELWLQQANVAVEPETLNADMQQVLEQQLVGCQAMLTEIEHKVAFLLETCKDQGLGDNGATQHEAEALSLKLKTVKCNLEKVQMMLQEKHSEDQHPTILKKSSEPEHQEALQPVNLSELESIVTERPQFSRQKDFQQQQVLELKPMEQKDFIKFIEFNAKKMWPQYCQHDNDTTQESSASNQASSPENDVPDSILSPQGQNGDKWQYLHHELSSKIKLPLPQLVEPQVSTNMGILPSVTMYNFRYPTTEELKTYTTQLEDLRQEASNLQTQENMTEEAYINLDKKLFELFLTLSQCLSSVEEMLEMPRLYREDGSGQQVHYETLALELKKLYLALSDKKGDLLKAMTWPGENTNLLLECFDNLQVCLEHTQAAAVCRSKSLKAGLDYNRSYQNEIKRLYHQLIKSKTSLQQSLNEISGQSVAEQLQKADAYTVELENAESRVAKLRDEGERLHLPYALLQEVYKLEDVLDSMWGMLRARYTELSSPFVTESQQDALLQGMVELVKIGKEKLAHGHLKQTKSKVALQAQIENHKVFFQKLVADMLLIQAYSAKILPSLLQNRETFWAEQVTEVKILEEKSRQCGMKLQSLLQKWEEFDENYASLEKDLEILISTLPSVSLVEETEERLVERISFYQQIKRNIGGKHARLYQTLNEGKQLVASVSCPELEGQIAKLEEQWLSLNKKIDHELHRLQALLKHLLSYNRDSDQLTKWLESSQHTLNYWKEQSLNVSQDLDTIRSNINNFFEFSKEVDEKSSLKTAVISIGNQLLHLKETDTATLRASLAQFEQKWTMLITQLPDIQEKLHQLQMEKLPSRKAITEMISWMNNVEHQTSDEDSVHSPSSASQVKHLLQKHKEFRMEMDYKQWIVDFVNQSLLQLSTCDVESKRYERTEFAEHLGEMNRQWHRVHGMLNRKIQHLEQLLESITESENKIQILNNWLEAQEERLKTLQKPESVISVQKLLLDCQDIENQLAIKSKALDELKQSYLTLESGAVPLLEDTASRIDELFQKRSSVLTQVNQLKTSMQSVLQEWKIYDQLYDEVNMMTIRFWYCMEHSKPVVLSLETLRCQVENLQSLQDEAESSEGSWEKLQEVIGKLKGLCPSVAEIIEEKCQNTHKRWTQVNQAIADQLQKAQSLLQLWKAYSNAHGEAAARLKQQEAKFQQLANISMSGNNLAEILPPALQDIKELQHDVQKTKEAFLQNSSVLDRLPQPAESSTHMLLPGPLHSLQRAAYLEKMLLVKANEFEFVLSQFKDFGVRLESLKGLIMHEEENLDRLHQQEKENPDSFLNHVLALTAQSPDIEHLNEVSLKLPLSDVAVKTLQNMNRQWIRATATALERCSELQGIGLNEKFLYCCEKWIQLLEKIEEALKVDVANSLPELLEQQKTYKMLEAEVSINQTIADSYVTQSLQLLDTTEIENRPEFITEFSKLTDRWQNAVQGVRQRKGDVDGLVRQWQDFTTSVENLFRFLTDTSHLLSAVKGQERFSLYQTRSLIHELKNKEIHFQRRRTTCALTLEAGEKLLLTTDLKTKESVGRRISQLQDSWKDMEPQLAEMIKQFQSTVETWDQCEKKIKELKSRLQVLKAQSEDPLPELHEDLHNEKELIKELEQSLASWTQNLKELQTMKADLTRHVLVEDVMVLKEQIEHLHRQWEDLCLRVAIRKQEIEDRLNTWVVFNEKNKELCAWLVQMENKVLQTADISIEEMIEKLQKDCMEEINLFSENKLQLKQMGDQLIKASNKSRAAEIDDKLNKINDRWQHLFDVIGSRVKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDDQEIQKRLAEQQDLQRDIEQHSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIEETWRLWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTSAKEELKRFEAFQRQIHERLTQLELINKQYRRLARENRTDTASRLKQMVHEGNQRWDNLQRRVTAVLRRLRHFTNQREEFEGTRESILVWLTEMDLQLTNVEHFSESDADDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQKSEPLDAVLIEDELEELHRYCQEVFGRVSRFHRRLTSCTPGLEDEKEASENETDMEDPREIQTDSWRKRGESEEPSSPQSLCHLVAPGHERSGCETPVSVDSIPLEWDHTGDVGGSSSHEEDEEGPYYSALSDVEIPENPEAYLKMTTKTLKASSGKSISDGHSWHVPDSPSCPEHHYKQMEGDRNVPPVPPASSTPYKPPYGKLLLPPGTDGGKEGPRVLNGNPQQEDGGLAGITEQQSGTACNWQSSREAEYGAFDRWEMIQAQELHNKLKIKQNLQQLNSDISAITTWLKKTEAELEMLKMAKPPSDIQEIELRVKRLQEILKAFDTYKALVVSVNVSSKEFLQTESPESTELQSRLRQLSLLWEAAQGAVDSWRGGLRQSLMQCQGSKTRPRSDVLFFKDFHQLSQNLLLWLASAKNRRQKAHVTDPKADPRALLECRRELMQLEKELVERQPQVDMLQEISNSLLIKGHGEDCIEAEEKVHVIEKKLKQLREQVSQDLMALQGTQNPASPLPSFDEVDSGDQPPATSVPAPRAKQFRAVRTTEGEEETESRVPGSTRPQRSFLSRVVRAALPLQLLLLLLLLLACLLP
XP_016862289.1 1 360 0.101648055555556 PF01694.22:Rhomboid:209:276 presenilins-associated rhomboid-like protein, mitochondrial isoform X2 360 68 27 232 6 Homo sapiens XP_016862289.1 1 RefSeq MAWRGWAQRGWGCGQAWGASVGGRSCEELTAVLTPPQLLGRRFNFFIQQKCGFRKAPRKVEPRRSDPGTSGEAYKRSALIPPVEETVFYPSPYPIRSLIKPLFFTVGFTGCAFGSAAIWQYESLKSRVQSYFDGIKADWLDSIRPQKEGDFRKEINKWWNNLSDGQRTVTGIIAANVLVFCLWRVPSLQRTMIRYFTSNPASKVLCSPMLLSTFSHFSLFHMAANMYVLWSFSSSIVNILGQEQFMAVYLSAGVISNFVSYVGKVATGRYGPSLGAALKAIIAMDTAGMILGWKFFDHAAHLGGALFGMISLYTWRLACCLLGHGLACSADLLPLLGSRHVTLLQLQAKTGNVLGDESVF
XP_017457396.1 454 2607 0.378752831940577 PF00435.21:Spectrin:2:96,PF00435.21:Spectrin:267:367,PF00435.21:Spectrin:483:585,PF00435.21:Spectrin:588:693,PF00435.21:Spectrin:702:803,PF00435.21:Spectrin:1112:1215,PF00435.21:Spectrin:1219:1316,PF00435.21:Spectrin:1417:1519,PF00435.21:Spectrin:1540:1637,PF00435.21:Spectrin:1645:1748,PF00435.21:Spectrin:1754:1855 dystrophin isoform X1 3699 1116 27 2154 0 Rattus norvegicus XP_017457396.1 1 RefSeq DDWLTKTEERTKKMEEEPLGPDLEDLKCQVQQHKVLQEDLEQEQVRVNSLTHMVVVVDESSGDHATAALEEQLKVLGDRWANICKWTEDRWILLQDILLKWQRFTEEQCLFSKWLSEKEDAMKNIQTSGFEDQNEMVSSLQNISALKIDLEKKKQSMEKLSSLNQDLLSALKNKSVTQKMEMWMENFAQRWDNLTQKLEKSSAQISQAVTTTQPSLTQTTVMETVTMVTTREQIMVKHAQEELPPPPPQKKRQITVDSEIRKRLDVDITELHSWITRSEAVLQSSEFAVYRKEGNISDLKEKVNAIAREKAEKFRKLQDASRSAQALVEQMVNEGVNAESIRQASEQLNSRWTEFCQLLSERVNWLEYQNNIITFYNQLQQLEQMTTTAENLLKTQPTTLSEPTAIKSQLKICKDEVNRLSALQPQIERLKIQSLTLKEKGQGPMFLDADFVAFTNHFNYVFDGVRAREKELQTIFDTLPPMRYQETMSSIRTWIQQSENKLSIPHLSVTEYEIMEERLGKLQALQSSLKEQQNGFNYLNATVKEIAKKAPSEISQKYQSEFEEVEGRWKKLSTQLVEHCQKLEEHMNKLRKFQNHKKTLQKWMAEVDVFLKEEWPALGDAEILKKQLKQCRLLVGDIQTIQPSLNSVNEGGQKIKSEAEFEFASRLEKELKELNTQWDHICRQVYTRKEALKAGLDKTVSLQKDLSEMHEWMTQAEEEYLERDFEYKTPDELQTAVEEMKRAKEEALQKEAKVKLLTETVNSVISQAPPAAQEALKKELETLTTNYQWLCTRLNGKCKTLEEVWACWHELLSYLEKANKWLNEVELKLKATENVPAGAEEITEVLESLENLMHHSEENPNQIRLLAQTLTDGGVMDELINEELETFNSRWRELHEEAVRKQKLLEQSIQSAQEIEKSLHLIQESLEFIDKQLAAYIADKVDAAQMPQEAQKIQSDLTSHEISLEEMKKHNQGKDANQRVLSQIDVAQKKLQDVSIKFRLFQKPANFEQRLEESKMILDEVKMHLPALETKSVEQEVVQSQLSHCVNLYKSLSEVKSEVEMVIKTGRQIVQKKQTENPKELDERVTALKLHYNELGAKVTERKQQLEKCLKLSRKMRKEMNVLTEWLAATDTELTKRSAVEGMPSNLDSEVAWGKATQKEIEKQKAHLKSVTELGDSLKTVLGKKETLVEDKLTLLNSNWIAVTSRVEEWLNLLLEYQKHMESFDQNVEHITKWIIHTDELLDESEKRKPQQKEDILKRLKAEMNDIRPKVDATRDQAAKLMANRGDYCRKIVEPQISELNRRFAAISHRIKTGKASIPLKELEQFNSDIQKLLEPLEAEIQQGVNLKEEDFNKDMSEDNEGTVNELLQRGDNLQQRITDERKREEIKLKQQLLQTKHNALKDLRSQRRKKALEISHQWYQYKSQADDLLKCLDEIEKKLASLPEPRDERKIKEIDRELQKKKEELNAVRRQAESLSENGAAMAVEPTQIQLSKRWREIESNFAQFRRLNFAQIHTLHEETMVVTTEDMPLDVSYVPSTYLTEISHILQALSEVEQLLNAPELNAKDFEDLFKQEESLKNIKENLQQISGRIDVIHKKKTAALQSATPMERVKLQEAVSQMDFHWEKLNRMYKERQGRFDRSVEKWRHFHYDMKVFNQWLNDVEQFFKKTQNPENWEHAKYKWYLKELQDGIGQRQAVVRTLNATGEEIIQQSSKTDANILQEKLGSLSLRWHEVCKELAERRKRVEEQKNVFSEFQRDLNEFVSWLEEADNIATTPPGDEEQLKEKLEQVKLLTEELPLRQGILKQLNETGGAVLVSAPIRPEEQDKLEKKLKQTNLQWIKVSRALPEKQGELEVHIKDFRQFEEQLDHLLLWLSPIRNQLEIYNQPSQPGPFDLKETEVTVQAKQPDVERLLSKGQHLYKEKPSTQPVKRKLEDLRSEWEAVNHLLWELRTKQPDRAPGLSTTGASASQTVTVVTQPVDTKETVISKLEMPSSLLLEVPALADFNRAWTELTDWLSLLDRVIKSQRVMVGDLEDINEMIIKQKLVESMMNSHLQGATLQDLEQRRPQLEELITAAQNLKNKTSNQEARTIITDRIERIQIQWDEVQEQLQNRRQQLNEMLKDSTQWLEAKEEAEQVIGQARGKLDSWKEGPH
XP_722703.1 1 321 0.0706146417445483 PF04116.13:FA_hydroxylase:153:295 potential C-4 sterol methyl oxidase 321 143 27 298 1 Candida albicans CharProtDB::CH_123132 1 CharProtDB MEVLHQLTTNHTSSLSNLINNHPTSFSSILKEVDITNHSLTYIEKLWASYYIYMNNDILATGLLFFITHELMYFGRCLPWFIIDKTPWFNRYKIQPTKIPTNQEQWECFKTVLKQHFLVEALPIWLFHPVCAKLGITYDVPFPNWKIQAIQIAIFFICEDFWHFVFHSLFHQGWFYKNIHKVHHKYAAPFGLAAEYAHPVEVMALGVGTVGFPILYAYLATVYTNMPPLHLFTLTTWIVLRLFQAVDSHSGYDFPWSLNKFFPLWAGAAHHDEHHHYFIGNYASSFTLWDWLFQTECGTYARKRRERNSRASAESKHKKTL
NP_001127836.1 1 882 0.691002834467119 microtubule-associated protein 4 isoform 4 1135 0 26 882 0 Homo sapiens NP_001127836.1 1 RefSeq MADLSLADALTEPSPDIEGEIKRDFIATLEAEAFDDVVGETVGKTDYIPLLDVDEKTGNSESKKKPCSETSQIEDTPSSKPTLLANGGHGVEGSDTTGSPTEFLEEKMAYQEYPNSQNWPEDTNFCFQPEQVVDPIQTDPFKMYHDDDLADLVFPSSATADTSIFAGQNDPLKDSYGMSPCNTAVVPQGWSVEALNSPHSESFVSPEAVAEPPQPTAVPLELAKEIEMASEERPPAQALEIMMGLKTTDMAPSKETEMALAKDMALATKTEVALAKDMESPTKLDVTLAKDMQPSMESDMALVKDMELPTEKEVALVKDVRWPTETDVSSAKNVVLPTETEVAPAKDVTLLKETERASPIKMDLAPSKDMGPPKENKKETERASPIKMDLAPSKDMGPPKENKIVPAKDLVLLSEIEVAQANDIISSTEISSAEKVALSSETEVALARDMTLPPETNVILTKDKALPLEAEVAPVKDMAQLPETEIAPAKDVAPSTVKEVGLLKDMSPLSETEMALGKDVTPPPETEVVLIKNVCLPPEMEVALTEDQVPALKTEAPLAKDGVLTLANNVTPAKDVPPLSETEATPVPIKDMEIAQTQKGISEDSHLESLQDVGQSAAPTFMISPETVTGTGKKCSLPAEEDSVLEKLGERKPCNSQPSELSSETSGIARPEEGRPVVSGTGNDITTPPNKELPPSPEKKTKPLATTQPAKTSTSKAKTQPTSLPKQPAPTTIGGLNKKPMSLASGLVPAAPPKRPAVASARPSILPSKDVKPKPIADAKAPEKRASPSKPASAPASRSGSKSTQTVAKTTTAAAVASTGPSSRSPSTLLPKKPTAIKTEGKPAEVKKMTAKSVPADLSRPKSTSTSSMKKTTTLSGTAPAA
NP_001157214.1 1 624 0.20785657051282 PF05729.12:NACHT:161:320 NLR family member X1 975 160 26 624 0 Mus musculus NP_001157214.1 1 RefSeq MRWGCHLPRTSWGSGLGRTPQLPDEHISFLIQWSWPFKGVHPLRPPRAFIRYHGNSADSAPPPGRHGQLFRSISATEAIQRHRRNLTEWFSRLPREERQFGPTFALDTVHVDPVIRESTPDELLRPSTELATGHQQTQAGLPPLALSQLFDPDSCGRRVQTVVLYGTVGTGKSTLVRKMVLDWCYGRLPAFELLIPFSCEDLSSLGSTPASLCQLVTQRYTPLKEVLPLMTAAGSRLLFVLHGLERLNLDFRLAGTGLCSDPEEPGPPAAIIVNLLRKYMLPEASILVTTRPSTISRIPSKYVGRYGEICGFSDTNLQKLYFQLRLNQPDCGYGAGGASVSVTPAQRDNLIQMLSRNLEGHHQIAAACFLPSYCWLVCATLHFLHAPTPAGQTLTSIYTSFLRLNFSGETLDSTHTSNLSLMSYAARTMGKLAYEGVSSRKTYFSEEDVRGCLEAGIKTEEEFQLLQIFRRDALRFFLAPCVEPGHLGTFVFTVPAMQEYLAALYIVLGLRKTALQRVGKEVVEFVGRVGEDVSLVLGIVAKLLPLRILPLLFNLLKVVPRVFGRMVSKSREAVAQAMVLEMFREEDYYNDDVLDQMGASILGVEGPRRHPDEPSEDEVFELFP
NP_001157546.1 100 252 0.52693660130719 homeobox protein TGIF1 isoform c 252 0 26 153 0 Mus musculus NP_001157546.1 1 RefSeq ASSIEAAMGIKNFMPTLEESPFHSCVVGPNPTLGRPVSPKPPSPGSILARPSVICHTTVTALKDGPFSLCQPIGVGQSTDVPQIAPSNFTDTSLVYPEDTCKSGPSPNPQSGLFNTPPPTPPDLNQDFSGFQLLVDVALKRAAEMELQAKLTA
NP_001244025.1 226 457 0.571606034482759 paired box protein Pax-1 isoform 2 457 0 26 232 0 Homo sapiens NP_001244025.1 1 RefSeq GSLAQPGPYEASKQPPSQPTLPYNHIYQYPYPSPVSPTGAKMGSHPGVPGTAGHVSIPRSWPSAHSVSNILGIRTFMEQTGALAGSEGTAYSPKMEDWAGVNRTAFPATPAVNGLEKPALEADIKYTQSASTLSAVGGFLPACAYPASNQHGVYSAPGGGYLAPGPPWPPAQGPPLAPPGAGVAVHGGELAAAMTFKHPSREVADRKPPSSGSKAPDALSSLHGLPIPASTS
NP_001260292.1 189 504 0.521561708860759 Transcription factor glial cells missing; Protein glide 504 0 26 316 0 Drosophila melanogaster (Fruit fly) SwissProt::Q27403 1 SwissProt AGGRRVRSLAVMLARESALSDKLSSLRPTKRQAKTQSIQESKRRRMGASDVLETKQELVVPPTTYLPTSTPTHSTNFNQSQGSYVPAGQGSVISQWNREIHYETEDPCYANGMYSYDMLHSPLSAHSSTGSYYQENKPQQLQHSQYQQQLSPQQHVPVSYDPSQPISSSLQCGMPSYEICDDTSSLTSSSGYCSEDYGYYNGYLPNSLDVSNGSQSQNLSQDASFYTTSSEIFSVFESTLNGGGTSGVDLIYDEATAYQQHQQQGTFPHLTNYQQEPQDQMQSADYYYSNTGVDNSWNIQMDATYHPVNSTDPIYC 1
NP_001288000.1 221 608 0.705441752577319 protein Dok-7 isoform 4 608 0 26 388 0 Homo sapiens NP_001288000.1 1 RefSeq PPGPSTVEERVAQEALETLQLEKRLSLLSHAGRPGSGGDDRSLSSSSSEASHLDVSASSRLTAWPEQSSSSASTSQEGPRPAAAQAAGEAMVGASRPPPKPLRPRQLQEVGRQSSSDSGIATGSHSSYSSSLSSYAGSSLDVWRATDELGSLLSLPAAGAPEPSLCTCLPGTVEYQVPTSLRAHYDTPRSLCLAPRDHSPPSQGSPGNSAARDSGGQTSAGCPSGWLGTRRRGLVMEAPQGSEATLPGPAPGEPWEAGGPHAGPPPAFFSACPVCGGLKGAAASAPGPATAHSGSPGPVAVDSPGPERPRGESPTYVNIPVSPSSRKQLHYMGLELQEASEGVRGAGASLYAQIDIMATETAHRVGVRHARAREEQLSELEQRKAAPQ
NP_001294865.1 1 406 0.0175142857142857 PF01490.18:Aa_trans:5:393 sodium-coupled neutral amino acid transporter 2 isoform 2 precursor 406 389 26 207 9 Homo sapiens NP_001294865.1 1 RefSeq MKQNLILLTFVSIFSLYSVHLLLKTANEGGSLLYEQLGYKAFGLVGKLAASGSITMQNIGAMSSYLFIVKYELPLVIQALTNIEDKTGLWYLNGNYLVLLVSLVVILPLSLFRNLGYLGYTSGLSLLCMVFFLIVVICKKFQVPCPVEAALIINETINTTLTQPTALVPALSHNVTENDSCRPHYFIFNSQTVYAVPILIFSFVCHPAVLPIYEELKDRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYEHVESELLHTYSSILGTDILLLIVRLAVLMAVTLTVPVVIFPIRSSVTHLLCASKDFSWWRHSLITVSILAFTNLLVIFVPTIRDIFGFIGASAASMLIFILPSAFYIKLVKKEPMKSVQKIGALFFLLSGVLVMTGSMALIVLDWVHNAPGGGH
NP_001298126.1 53 109 0.297984210526316 relaxin-3 precursor 109 0 26 57 0 Homo sapiens NP_001298126.1 1 RefSeq RRSDILAHEAMEKNIEAPRSKFTCSRLEKLDSCSVSPAPKCTRSSINKERYLPGCRC
NP_001309080.1 201 588 0.719402319587628 transcription factor 12 isoform a 706 0 26 388 0 Homo sapiens NP_001309080.1 1 RefSeq SDDFNRESPSYPSPKPPTSMFASTFFMQDGTHNSSDLWSSSNGMSQPGFGGILGTSTSHMSQSSSYGNLHSHDRLSYPPHSVSPTDINTSLPPMSSFHRGSTSSSPYVAASHTPPINGSDSILGTRGNAAGSSQTGDALGKALASIYSPDHTSSSFPSNPSTPVGSPSPLTGTSQWPRPGGQAPSSPSYENSLHSLKNRVEQQLHEHLQDAMSFLKDVCEQSRMEDRLDRLDDAIHVLRNHAVGPSTSLPAGHSDIHSLLGPSHNAPIGSLNSNYGGSSLVASSRSASMVGTHREDSVSLNGNHSVLSSTVTTSSTDLNHKTQENYRGGLQSQSGTVVTTEIKTENKEKDENLHEPPSSDDMKSDDESSQKDIKVSSRGRTSSTNEDE
NP_001331791.1 177 495 0.753168338557993 PF06203.14:CCT:266:308 Two-component response regulator-like APRR3; Pseudo-response regulator 3 495 43 26 319 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVG4 1 SwissProt NLWQHVWRRCHSSSGSGSESGIHDKKSVKPESTQGSENDASISDEHRNESGSSGGLSNQDGGSDNGSGTQSSWTKRASDTKSTSPSNQFPDAPNKKGTYENGCAHVNRLKEAEDQKEQIGTGSQTGMSMSKKAEEPGDLEKNAKYSVQALERNNDDTLNRSSGNSQVESKAPSSNREDLQSLEQTLKKTREDRDYKVGDRSVLRHSNLSAFSKYNNGATSAKKAPEENVESCSPHDSPIAKLLGSSSSSDNPLKQQSSGSDRWAQREAALMKFRLKRKERCFEKKVRYHSRKKLAEQRPHVKGQFIRKRDDHKSGSEDN
NP_001917.1 1 68 0.409579411764706 PF00879.18:Defensin_propep:1:58 Defensin-6; Defensin, alpha 6 100 58 26 68 0 Homo sapiens (Human) SwissProt::Q01524 1 SwissProt MRTLTILTAVLLVALQAKAEPLQAEDDPLQAKAYEADAQEQRGANDQDFAVSFAEDASSSLRALGSTR
NP_009855.1 1 574 0.0946174216027875 PF01384.20:PHO4:24:554 Phosphate permease PHO89; Na(+)/Pi cotransporter PHO89 574 531 26 347 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38361 1 SwissProt MALHQFDYIFAIAMLFAFLDAFNIGANDVANSFASSISSRSLKYWQAMVLAGLCEFLGAVLAGARVSGTIKNNIIDSSIFTNDPAVLMLTMTSALIGSSCWLTFATAIGMPVSTTHSIVGGTIGAGIAAGGANGVVWGWSGVSQIIASWFIAPILAGAIAAIVFSISRFSVLEVKSLERSIKNALLLVGVLVFATFSILTMLIVWKGSPNLHLDDLSETETAVSIVLTGAIASIVYFIFFYPFYRRKVLDQDWTLKLIDIFRGPSFYFKSTDDIPPMPEGHQLTIDYYEGRRNLGTTVSVEDEENKAASNSNDSVKNKEDIQEVDLVRTETEPETKLSTKQYWWSLLKQGPKKWPLLFWLVISHGWTQDVIHAQVNDRDMLSGDLKGMYERSKFYDNRVEYIYSVLQAITAATMSFAHGANDVANATGPLSAVYVIWKTNTIGAKSEVPVWVLAYGGVALVIGCWTYGYNIIKNLGNKMILQSPSRGFSIELAVAITTVMATQLGIPTSTTQIAVGGIVAVGLCNKDLKSVNWRMVAWCYSGWFLTLPIAGLIAGIINGIILNAPRFGVEYQMT
NP_011700.1 195 1950 0.198934111617312 E3 ubiquitin-protein ligase UBR1; N-end-recognizing protein; N-recognin-1; RING-type E3 ubiquitin transferase UBR1; EC 2.3.2.27 1950 0 26 1756 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P19812 1 SwissProt ENDISEDPATNADIKEEDVWNDSVNIALVELVLAEVFDYFIDVFNQNIEPLPTIQKDITIKLREMTQQGKMYERAQFLNDLKYENDYMFDGTTTAKTSPSNSPEASPSLAKIDPENYTVIIYNDEYHNYSQATTALRQGVPDNVHIDLLTSRIDGEGRAMLKCSQDLSSVLGGFFAVQTNGLSATLTSWSEYLHQETCKYIILWITHCLNIPNSSFQTTFRNMMGKTLCSEYLNATECRDMTPVVEKYFSNKFDKNDPYRYIDLSILADGNQIPLGHHKILPESSTHSLSPLINDVETPTSRTYSNTRLQHILYFDNRYWKRLRKDIQNVIIPTLASSNLYKPIFCQQVVEIFNHITRSVAYMDREPQLTAIRECVVQLFTCPTNAKNIFENQSFLDIVWSIIDIFKEFCKVEGGVLIWQRVQKSNLTKSYSISFKQGLYTVETLLSKVHDPNIPLRPKEIISLLTLCKLFNGAWKIKRKEGEHVLHEDQNFISYLEYTTSIYSIIQTAEKVSEKSKDSIDSKLFLNAIRIISSFLGNRSLTYKLIYDSHEVIKFSVSHERVAFMNPLQTMLSFLIEKVSLKDAYEALEDCSDFLKISDFSLRSVVLCSQIDVGFWVRNGMSVLHQASYYKNNPELGSYSRDIHLNQLAILWERDDIPRIIYNILDRWELLDWFTGEVDYQHTVYEDKISFIIQQFIAFIYQILTERQYFKTFSSLKDRRMDQIKNSIIYNLYMKPLSYSKLLRSVPDYLTEDTTEFDEALEEVSVFVEPKGLADNGVFKLKASLYAKVDPLKLLNLENEFESSATIIKSHLAKDKDEIAKVVLIPQVSIKQLDKDALNLGAFTRNTVFAKVVYKLLQVCLDMEDSTFLNELLHLVHGIFRDDELINGKDSIPEAYLSKPICNLLLSIANAKSDVFSESIVRKADYLLEKMIMKKPNELFESLIASFGNQYVNDYKDKKLRQGVNLQETEKERKRRLAKKHQARLLAKFNNQQTKFMKEHESEFDEQDNDVDMVGEKVYESEDFTCALCQDSSSTDFFVIPAYHDHSPIFRPGNIFNPNEFMPMWDGFYNDDEKQAYIDDDVLEALKENGSCGSRKVFVSCNHHIHHNCFKRYVQKKRFSSNAFICPLCQTFSNCTLPLCQTSKANTGLSLDMFLESELSLDTLSRLFKPFTEENYRTINSIFSLMISQCQGFDKAVRKRANFSHKDVSLILSVHWANTISMLEIASRLEKPYSISFFRSREQKYKTLKNILVCIMLFTFVIGKPSMEFEPYPQQPDTVWNQNQLFQYIVRSALFSPVSLRQTVTEALTTFSRQFLRDFLQGLSDAEQVTKLYAKASKIGDVLKVSEQMLFALRTISDVRMEGLDSESIIYDLAYTFLLKSLLPTIRRCLVFIKVLHELVKDSENETLVINGHEVEEELEFEDTAEFVNKALKMITEKESLVDLLTTQESIVSHPYLENIPYEYCGIIKLIDLSKYLNTYVTQSKEIKLREERSQHMKNADNRLDFKICLTCGVKVHLRADRHEMTKHLNKNCFKPFGAFLMPNSSEVCLHLTQPPSNIFISAPYLNSHGEVGRNAMRRGDLTTLNLKRYEHLNRLWINNEIPGYISRVMGDEFRVTILSNGFLFAFNREPRPRRIPPTDEDDEDMEEGEDGFFTEGNDEMDVDDETGQAANLFGVGAEGIAGGGVRDFFQFFENFRNTLQPQGNGDDDAPQNPPPILQFLGPQFDGATIIRNTNPRNLDEDDSDDNDDSDEREIW
NP_013143.1 1 161 0.271073291925466 Cell wall protein YLR042C 161 0 26 161 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07990 1 SwissProt MKISQFGSLAFAPIVLLQLFIVQAQLLTDSNAQDLNTALGQKVQYTFLDTGNSNDQLLHLPSTTSSSIITGSLAAANFTGSSSSSSIPKVTSSVITSINYQSSNSTVVTQFTPLPSSSRNETKSSQTTNTISSSTSTGGVGSVKPCLYFVLMLETIAYLFS
NP_015332.1 1 680 0.395097352941176 PF04825.13:Rad21_Rec8_N:16:114 Meiotic recombination protein REC8; Cohesin REC8; Sporulation protein 69 680 99 26 680 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12188 1 SwissProt MAPLSLNFKDDKKYKGLTTVWLLSALGNSIVKESNNYYSNKSNSTGNISSSTVKKKDIVNISIPKTCDEIQNFENDFSLRYISNLLYGVTICYNKKTEYVLNDLNHLLVQLQKNDVYAFKAKNKSTRINGLNSNNSIIGNKNNNYTWEECVFFDDDPLYDITKVPALEFLNTTLQDNVSFIEEAKSIRRQDYINELSNSNRFELHGDMTNSDAQSNLGSNVRNSFPLDEIPVDVDFNLDLDDIVSHQGTPLGSHSSSQKDGNDFKFNYQGDELVLNFENDNENNSNGGEDTSVENEGPVANLKDYELGLEAQASEEENDLQQKLNTRMQRGHRADVGGQFSKVQFDAKTSYPNEVLKFNHGNYSHLMEKNRIRKLTGQNFLTSNISSLVRSCGEEEFFSTNWLSIFNDFSNIKTSEWDLYPQGFSSVERGRKRAHSLVSTQSSSSTRSHEYGRKSFRNNKNDNYSSDMENDNLLLNLEQINEDLEDGHYIEENSQGNILDFNLNLPPSSFGRSHTRNSTRSSGFNEDIVGALRRRVGPSEQNFAEEDDSSNSCFSDGSQQNLQQDKTNFQDVILDYQTKKFYDYIKERSIVVGRTTRSNPPFKRKMLLVDIIPSRMGEAQTGANFDDVERGVSRQIAASAFLSLLNLATKGMVKLNEYPVADAVTKDLKLRREDEIIVYA
NP_031948.4 168 429 0.715042748091603 ETS domain-containing protein Elk-1 429 0 26 262 0 Mus musculus NP_031948.4 1 RefSeq PQPQPPIPPRPASVLPNTTPAGVPAPASGSRSTSPNPLEACLEAEEAGLPLQVILTPPEAPNQKSEELSLDPSFGHPQPPEVKVEGPKEELEAARAGGFSSEAVKAEPEVSASEGLLARLPAILTENTAQVCGLSTSTTEITQPQKGRKPRDLELPLSPSLLGGQGPERTPGSGTSSGLQAPGPALTPSLLPTHTLTPVLLTPSSLPPSIHFWSTLSPIAPRSPAKLSFQFPSSGSAQVHIPSISVDGLSTPVVLSPGPQKP
NP_034264.1 1 217 0.728283870967741 homeobox protein engrailed-2 324 0 26 217 0 Mus musculus NP_034264.1 1 RefSeq MEEKDSKPSETAAEAQRQPEPSSGGGSGGGSSPSDSDTGRRRALMLPEVLQAPGNHQHPHRITNFFIDNILRPEFGRRKDAGTCCAGAGGARGGEGGAGTTEGGGGGAGGAEQLLGARESRPNPACAPSAGGTLSAAAGDPAVDGEGGSKTLSLHGGAKKPGDPGGSLDGVLKARGLGGGDLSVSSDSDSSQASATLGAQPMLWPAWVYCTRYSDRP
NP_037410.1 1 197 0.322671573604061 PF06083.11:IL17:104:192 Interleukin-17C; IL-17C; Cytokine CX2 197 89 26 197 0 Homo sapiens (Human) SwissProt::Q9P0M4 1 SwissProt MTLLPGLLFLTWLHTCLAHHDPSLRGHPHSHGTPHCYSAEELPLGQAPPHLLARGAKWGQALPVALVSSLEAASHRGRHERPSATTQCPVLRPEEVLEADTHQRSISPWRYRVDTDEDRYPQKLAFAECLCRGCIDARTGRETAALNSVRLLQSLLVLRRRPCSRDGSGLPTPGAFAFHTEFIHVPVGCTCVLPRSV
NP_040581.1 1 641 0.289457566302652 PF05876.12:Terminase_GpA:40:600 Terminase, large subunit; DNA-packaging protein A; Large terminase protein; gpA; EC 3.1.21.4; EC 3.6.4.12; EC 3.6.4.- 641 561 26 641 0 Escherichia phage lambda (Bacteriophage lambda) SwissProt::P03708 1 SwissProt MNISNSQVNRLRHFVRAGLRSLFRPEPQTAVEWADANYYLPKESAYQEGRWETLPFQRAIMNAMGSDYIREVNVVKSARVGYSKMLLGVYAYFIEHKQRNTLIWLPTDGDAENFMKTHVEPTIRDIPSLLALAPWYGKKHRDNTLTMKRFTNGRGFWCLGGKAAKNYREKSVDVAGYDELAAFDDDIEQEGSPTFLGDKRIEGSVWPKSIRGSTPKVRGTCQIERAASESPHFMRFHVACPHCGEEQYLKFGDKETPFGLKWTPDDPSSVFYLCEHNACVIRQQELDFTDARYICEKTGIWTRDGILWFSSSGEEIEPPDSVTFHIWTAYSPFTTWVQIVKDWMKTKGDTGKRKTFVNTTLGETWEAKIGERPDAEVMAERKEHYSAPVPDRVAYLTAGIDSQLDRYEMRVWGWGPGEESWLIDRQIIMGRHDDEQTLLRVDEAINKTYTRRNGAEMSISRICWDTGGIDPTIVYERSKKHGLFRVIPIKGASVYGKPVASMPRKRNKNGVYLTEIGTDTAKEQIYNRFTLTPEGDEPLPGAVHFPNNPDIFDLTEAQQLTAEEQVEKWVDGRKKILWDSKKRRNEALDCFVYALAALRISISRWQLDLSALLASLQEEDGAATNKKTLADYARALSGEDE
NP_041331.2 1 473 0.445584355179704 PF00513.18:Late_protein_L2:8:461 minor capsid protein L2 473 454 26 450 1 Human papillomavirus type 16 NP_041331.2 1 RefSeq MRHKRSAKRTKRASATQLYKTCKQAGTCPPDIIPKVEGKTIADQILQYGSMGVFFGGLGIGTGSGTGGRTGYIPLGTRPPTATDTLAPVRPPLTVDPVGPSDPSIVSLVEETSFIDAGAPTSVPSIPPDVSGFSITTSTDTTPAILDINNTVTTVTTHNNPTFTDPSVLQPPTPAETGGHFTLSSSTISTHNYEEIPMDTFIVSTNPNTVTSSTPIPGSRPVARLGLYSRTTQQVKVVDPAFVTTPTKLITYDNPAYEGIDVDNTLYFSSNDNSINIAPDPDFLDIVALHRPALTSRRTGIRYSRIGNKQTLRTRSGKSIGAKVHYYYDLSTIDPAEEIELQTITPSTYTTTSHAASPTSINNGLYDIYADDFITDTSTTPVPSVPSTSLSGYIPANTTIPFGGAYNIPLVSGPDIPINITDQAPSLIPIVPGSPQYTIIADAGDFYLHPSYYMLRKRRKRLPYFFSDVSLAA
NP_054778.2 1 137 0.445215328467153 PF15151.6:RGCC:1:137 Regulator of cell cycle RGCC; Response gene to complement 32 protein; RGC-32 137 137 26 137 0 Homo sapiens (Human) SwissProt::Q9H4X1 1 SwissProt MKPPAAQGSPAAAAAAAPALDSAAAEDLSDALCEFDAVLADFASPFHERHFHYEEHLERMKRRSSASVSDSSGFSDSESADSLYRNSFSFSDEKLNSPTDSTPALLSATVTPQKAKLGDTKELEAFIADLDKTLASM
NP_055252.2 1 324 0.0889219135802469 PF02535.22:Zip:28:318 Zinc transporter ZIP1; Solute carrier family 39 member 1; Zinc-iron-regulated transporter-like; Zrt- and Irt-like protein 1; ZIP-1; hZIP1 324 291 26 192 6 Homo sapiens (Human) SwissProt::Q9NY26 1 SwissProt MGPWGEPELLVWRPEAVASEPPVPVGLEVKLGALVLLLVLTLLCSLVPICVLRRPGANHEGSASRQKALSLVSCFAGGVFLATCLLDLLPDYLAAIDEALAALHVTLQFPLQEFILAMGFFLVLVMEQITLAYKEQSGPSPLEETRALLGTVNGGPQHWHDGPGVPQASGAPATPSALRACVLVFSLALHSVFEGLAVGLQRDRARAMELCLALLLHKGILAVSLSLRLLQSHLRAQVVAGCGILFSCMTPLGIGLGAALAESAGPLHQLAQSVLEGMAAGTFLYITFLEILPQELASSEQRILKVILLLAGFALLTGLLFIQI
NP_055257.2 245 499 0.495502745098039 PF13927.6:Ig_3:26:88,PF13895.6:Ig_2:28:98,PF07679.16:I-set:26:100,PF00047.25:ig:26:96 Sialic acid-binding Ig-like lectin 8; Siglec-8; Sialoadhesin family member 2; SAF-2 499 75 26 232 1 Homo sapiens (Human) SwissProt::Q9NYZ4 1 SwissProt YPPWNLTMTVFQGDATASTALGNGSSLSVLEGQSLRLVCAVNSNPPARLSWTRGSLTLCPSRSSNPGLLELPRVHVRDEGEFTCRAQNAQGSQHISLSLSLQNEGTGTSRPVSQVTLAAVGGAGATALAFLSFCIIFIIVRSCRKKSARPAAGVGDTGMEDAKAIRGSASQGPLTESWKDGNPLKKPPPAVAPSSGEEGELHYATLSFHKVKPQDPQGQEATDSEYSEIKIHKRETAETQACLRNHNPSSKEVRG
NP_057906.2 1 579 0.190565112262522 PF01153.19:Glypican:20:574 Glypican-3 579 555 26 579 0 Mus musculus (Mouse) SwissProt::Q8CFZ4 1 SwissProt MAGTVRTACLLVAMLLGLGCLGQAQPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPGSDLQVCLPKGPTCCSRKMEEKYQLTARLNMEQLLQSASMELKFLIIQNAAVFQEAFEIVVRHAKNYTNAMFKNNYPSLTPQAFEFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYTQMMNPGLPESVLDINECLRGARRDLKVFGSFPKLIMTQVSKSLQVTRIFLQALNLGIEVINTTDHLKFSKDCGRMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYILSLEELVNGMYRIYDMENVLLGLFSTIHDSIQYVQKNGGKLTTTIGKLCAHSQQRQYRSAYYPEDLFIDKKILKVAHVEHEETLSSRRRELIQKLKSFINFYSALPGYICSHSPVAENDTLCWNGQELVERYSQKAARNGMKNQFNLHELKMKGPEPVVSQIIDKLKHINQLLRTMSVPKGKVLDKSLDEEGLESGDCGDDEDECIGSSGDGMVKVKNQLRFLAELAYDLDVDDAPGNKQHGNQKDNEITTSHSVGNMPSPLKILISVAIYVACFFFLVH
NP_060352.1 1 311 0.0496980707395499 PF15156.6:CLN6:32:308 Ceroid-lipofuscinosis neuronal protein 6; Protein CLN6 311 277 26 160 7 Homo sapiens (Human) SwissProt::Q9NWW5 0 SwissProt MEATRRRQHLGATGGPGAQLGASFLQARHGSVSADEAARTAPFHLDLWFYFTLQNWVLDFGRPIAMLVFPLEWFPLNKPSVGDYFHMAYNVITPFLLLKLIERSPRTLPRSITYVSIIIFIMGASIHLVGDSVNHRLLFSGYQHHLSVRENPIIKNLKPETLIDSFELLYYYDEYLGHCMWYIPFFLILFMYFSGCFTASKAESLIPGPALLLVAPSGLYYWYLVTEGQIFILFIFTFFAMLALVLHQKRKRLFLDSNGLFLFSSFALTLLLVALWVAWLWNDPVLRKKYPGVIYVPEPWAFYTLHVSSRH
NP_060954.1 1 550 0.102409818181818 PF00083.24:Sugar_tr:144:520,PF07690.16:MFS_1:138:480 Solute carrier family 22 member 11; Organic anion transporter 4 550 383 26 325 10 Homo sapiens (Human) SwissProt::Q9NSA0 1 SwissProt MAFSKLLEQAGGVGLFQTLQVLTFILPCLMIPSQMLLENFSAAIPGHRCWTHMLDNGSAVSTNMTPKALLTISIPPGPNQGPHQCRRFRQPQWQLLDPNATATSWSEADTEPCVDGWVYDRSVFTSTIVAKWDLVCSSQGLKPLSQSIFMSGILVGSFIWGLLSYRFGRKPMLSWCCLQLAVAGTSTIFAPTFVIYCGLRFVAAFGMAGIFLSSLTLMVEWTTTSRRAVTMTVVGCAFSAGQAALGGLAFALRDWRTLQLAASVPFFAISLISWWLPESARWLIIKGKPDQALQELRKVARINGHKEAKNLTIEVLMSSVKEEVASAKEPRSVLDLFCVPVLRWRSCAMLVVNFSLLISYYGLVFDLQSLGRDIFLLQALFGAVDFLGRATTALLLSFLGRRTIQAGSQAMAGLAILANMLVPQDLQTLRVVFAVLGKGCFGISLTCLTIYKAELFPTPVRMTADGILHTVGRLGAMMGPLILMSRQALPLLPPLLYGVISIASSLVVLFFLPETQGLPLPDTIQDLESQKSTAAQGNRQEAVTVESTSL
NP_065206.3 107 250 0.181839583333333 phosphatidylinositol N-acetylglucosaminyltransferase subunit A isoform 3 250 0 26 124 1 Homo sapiens NP_065206.3 1 RefSeq PENLIILCEPSVKSLCEGLEKAIFQLKSGTLPAPENIHNIVKTFYTWRNVAERTEKVYDRVSVEAVLPMDKRLDRLISHCGPVTGYIFALLAVFNFLFLIFLRWMTPDSIIDVAIDATGPRGAWTNNYSHSKRGGENNEISETR
NP_066547.1 1 616 0.588710714285715 PF02208.16:Sorb:70:114 Sorbin and SH3 domain-containing protein 2; Arg-binding protein 2; ArgBP2; Arg/Abl-interacting protein 2; Sorbin 1100 45 26 616 0 Homo sapiens (Human) SwissProt::O94875 1 SwissProt MSYYQRPFSPSAYSLPASLNSSIVMQHGTSLDSTDTYPQHAQSLDGTTSSSIPLYRSSEEEKRVTVIKAPHYPGIGPVDESGIPTAIRTTVDRPKDWYKTMFKQIHMVHKPDDDTDMYNTPYTYNAGLYNPPYSAQSHPAAKTQTYRPLSKSHSDNSPNAFKDASSPVPPPHVPPPVPPLRPRDRSSTEKHDWDPPDRKVDTRKFRSEPRSIFEYEPGKSSILQHERPASLYQSSIDRSLERPMSSASMASDFRKRRKSEPAVGPPRGLGDQSASRTSPGRVDLPGSSTTLTKSFTSSSPSSPSRAKGGDDSKICPSLCSYSGLNGNPSSELDYCSTYRQHLDVPRDSPRAISFKNGWQMARQNAEIWSSTEETVSPKIKSRSCDDLLNDDCDSFPDPKVKSESMGSLLCEEDSKESCPMAWGSPYVPEVRSNGRSRIRHRSARNAPGFLKMYKKMHRINRKDLMNSEVICSVKSRILQYESEQQHKDLLRAWSQCSTEEVPRDMVPTRISEFEKLIQKSKSMPNLGDDMLSPVTLEPPQNGLCPKRRFSIEYLLEEENQSGPPARGRRGCQSNALVPIHIEVTSDEQPRAHVEFSDSDQDGVVSDHSDYIHLEGS
NP_068677.2 1 837 0.537086379928315 lysine-specific demethylase hairless 1182 0 26 837 0 Mus musculus NP_068677.2 1 RefSeq MESMPSFLKDTPAWEKTAPVNGIVGQEPGTSPQDGLRHGALCLGEPAPFWRGVLSTPDSWLPPGFLQGPKDTLSLVEGEGPRNGERKGSWLGGKEGLRWKEAMLAHPLAFCGPACPPRYGPLIPEHSGGHPKSDPVAFRPLHCPFLLETKILERAPFWVPTCLPPYLMSSLPPERPYDWPLAPNPWVYSGSQPKVPSAFGLGSKGFYHKDPNILRPAKEPLAESGMLGLAPGGHLQQACESEGPSLHQRDGETGAGRQQNLCPVFLGYPDTVPRAPWPSCPPGLVHSLGNIWAGPGSNSLGYQLGPPATPRCPSPGPPTPPGGCCSSHLPAREGDLGPCRKCQDSPEGGSSGPGESSEERNKADSRACPPSHHTKLKKTWLTRHSEQFECPGGCSGKEESPATGLRALKRAGSPEVQGASRGPAPKRPSHPFPGTGRQGARAWQETPETIIGSKAEAEQQEEQRGPRDGRIRLQESRLVDTSCQHHLAGVTQCQSCVQAAGEVGVLTGHSQKSRRSPLEEKQLEEEDSSATSEEGGGGPGPEASLNKGLAKHLLSGLGDRLCRLLRKEREALAWAQREGQGPAMTEDSPGIPHCCSRCHHGLFNTHWRCSHCSHRLCVACGRIAGAGKNREKTGSQEQHTDDCAQEAGHAACSLILTQFVSSQALAELSTVMHQVWAKFDIRGHCFCQVDARVWAPGDGGQQKEPTEKTPPTPQPSCNGDSNRTKDIKEETPDSTESPAEDGAGRSPLPCPSLCELLASTAVKLCLGHDRIHMAFAPVTPALPSDDRITNILDSIIAQVVERKIQEKALGPGLRAGSGLRKGLSLPLSPVRTRLSPP
NP_079375.3 1 712 0.191461657303371 PF15489.6:CTC1:61:711 CST complex subunit CTC1; Conserved telomere maintenance component 1; HBV DNAPTP1-transactivated protein B 1217 651 26 712 0 Homo sapiens (Human) SwissProt::Q2NKJ3 1 SwissProt MAAGRAQVPSSEQAWLEDAQVFIQKTLCPAVKEPNVQLTPLVIDCVKTVWLSQGRNQGSTLPLSYSFVSVQDLKTHQRLPCCSHLSWSSSAYQAWAQEAGPNGNPLPREQLLLLGTLTDLSADLEQECRNGSLYVRDNTGVLSCELIDLDLSWLGHLFLFPRWSYLPPARWNSSGEGHLELWDAPVPVFPLTISPGPVTPIPVLYPESASCLLRLRNKLRGVQRNLAGSLVRLSALVKSKQKAYFILSLGRSHPAVTHVSIIVQVPAQLVWHRALRPGTAYVLTELRVSKIRGQRQHVWMTSQSSRLLLLKPECVQELELELEGPLLEADPKPLPMPSNSEDKKDPESLVRYSRLLSYSGAVTGVLNEPAGLYELDGQLGLCLAYQQFRGLRRVMRPGVCLQLQDVHLLQSVGGGTRRPVLAPCLRGAVLLQSFSRQKPGAHSSRQAYGASLYEQLVWERQLGLPLYLWATKALEELACKLCPHVLRHHQFLQHSSPGSPSLGLQLLAPTLDLLAPPGSPVRNAHNEILEEPHHCPLQKYTRLQTPSSFPTLATLKEEGQRKAWASFDPKALLPLPEASYLPSCQLNRRLAWSWLCLLPSAFCPAQVLLGVLVASSHKGCLQLRDQSGSLPCLLLAKHSQPLSDPRLIGCLVRAERFQLIVERDVRSSFPSWKELSMPGFIQKQQARVYVQFFLADALILPVPRPCLHSATP
NP_079470.1 1 394 0.816790862944163 Inositol-trisphosphate 3-kinase C; Inositol 1,4,5-trisphosphate 3-kinase C; IP3 3-kinase C; IP3K C; InsP 3-kinase C; EC 2.7.1.127 683 0 26 394 0 Homo sapiens (Human) SwissProt::Q96DU7 1 SwissProt MRRCPCRGSLNEAEAGALPAAARMGLEAPRGGRRRQPGQQRPGPGAGAPAGRPEGGGPWARTEGSSLHSEPERAGLGPAPGTESPQAEFWTDGQTEPAAAGLGVETERPKQKTEPDRSSLRTHLEWSWSELETTCLWTETGTDGLWTDPHRSDLQFQPEEASPWTQPGVHGPWTELETHGSQTQPERVKSWADNLWTHQNSSSLQTHPEGACPSKEPSADGSWKELYTDGSRTQQDIEGPWTEPYTDGSQKKQDTEAARKQPGTGGFQIQQDTDGSWTQPSTDGSQTAPGTDCLLGEPEDGPLEEPEPGELLTHLYSHLKCSPLCPVPRLIITPETPEPEAQPVGPPSRVEGGSGGFSSASSFDESEDDVVAGGGGASDPEDRSGSKPWKKLKT
NP_080048.2 1 352 0.537130965909091 PF04440.16:Dysbindin:175:309 Dysbindin; Biogenesis of lysosome-related organelles complex 1 subunit 8; BLOC-1 subunit 8; Dysbindin-1; Dystrobrevin-binding protein 1; Hermansky-Pudlak syndrome 7 protein homolog; HPS7 protein homolog 352 135 26 352 0 Mus musculus (Mouse) SwissProt::Q91WZ8 1 SwissProt MLETLRERLLSVQQDFTSGLKTLSDKSREAKVKGKPRTAPRLPKYSAGLELLSRYEDAWAALHRRAKECADAGELVDSEVVMLSAHWEKKRTSLNELQGQLQQLPALLQDLESLMASLAHLETSFEEVENHLLHLEDLCGQCELERHKQAQAQHLESYKKSKRKELEAFKAELDTEHTQKALEMEHTQQLKLKERQKFFEEAFQQDMEQYLSTGYLQIAERREPMGSMSSMEVNVDVLEQMDLMDISDQEALDVFLNSGGEDNIVMSPGVEMESNPNQNEMSLQIPSPSESASQPPASPSACTDLDTADAPLIQSDEEEVQVDTALVTLHTDRKSTPGVSDDSDQCDSTQDI
NP_083698.1 1 470 0.0680634042553192 PF07782.13:DC_STAMP:242:421 Dendritic cell-specific transmembrane protein; DC-STAMP; mDC-STAMP; Dendrocyte-expressed seven transmembrane protein; Transmembrane 7 superfamily member 4 470 180 26 337 6 Mus musculus (Mouse) SwissProt::Q7TNJ0 1 SwissProt MRLWTLGTSIFLRLWGTYVFPRSPSWLDFIQHLGVCCFVAFLSVSLFSAAFYWILPPVALLSSVWMITCVFLCCSKRARCFILLAVLSCGLREGRNALIAAGTGVVIFGHVENIFYNFRGLLDSMTCNLRAKSFSVHFPLLKRYTEAIQWIYGLATPLNLFDDLVSWNQTLVVSLFSPSHALEAHMNDTRGEVLGVLHHMVVTTELLTSVGQKLLALAGLLLILVSTGLFLKRFLGPCGWKYENVYITKQFVRFDEKERHQQRPCVLPLNKKERKKYVIVPSLQLTPKEKKTLGLFFLPVLTYLYMWVLFAAVDYLLYRLISSMNKQFQSLPGLEVHLKLRGEKQGTQGVVHDSAFNISMFEPSCIPKPRLSVSETWVPLSIILLTLIILGLLSSMLMQLKILVSVSFYPKVERERIEYLHAKLLEKRSKQPLREADGKPSLYFKKIHFWFPVLKMIRKKQTIPANEDDL
NP_112471.3 1 537 0.113443202979516 PF00083.24:Sugar_tr:118:502,PF07690.16:MFS_1:123:461 Solute carrier family 22 member 8; Organic anion transporter 3; mOat3; Reduced in osteosclerosis transporter 537 385 26 330 9 Mus musculus (Mouse) SwissProt::O88909 1 SwissProt MTFSEILDRVGSMGPFQYLHVTLLALPILGIANHNLLQIFTATTPDHHCRPPPNASLEPWVLPLGPNGKPEKCLRFVHLPNASLPNDTQGATEPCLDGWIYNSTRDTIVTEWDLVCGSNKLKEMAQSVFMAGILVGGPVFGELSDRFGRKPILTWSYLLLAASGSSAAFSPSLTVYMIFRFLCGCSISGISLSTIILNVEWVPTSTRAISSTTIGYCYTIGQFILPGLAYAVPQWRWLQLSVSAAFFIFSLLSWWVPESIRWLVLSGKFSKALKTLQRVATFNGKKEEGEKLTVEELKFNLQKDITSAKVKYGLSDLFRVSILRRVTFCLSLAWFATGFAYYSLAMGVEEFGVNIYILQIIFGGVDIPAKFITILSISYLGRRITQGFLLILAGVAILALIFVSSEMQLLRTALAVFGKGCLSGSFSCLFLYTSELYPTVLRQTGMGISNIWARVGSMIAPLVKITGELQPFIPNVIFGTMTLLGGSAAFFLLETLNRPLPETIEDIQDWYQQTKKTKQEPEAEKASQTIPLKTGGP
NP_171617.1 1 217 0.250867741935484 PF00676.20:E1_dh:90:210 pyruvate dehydrogenase E1 alpha 428 121 26 217 0 Arabidopsis thaliana NP_171617.1 1 RefSeq MATAFAPTKLTATVPLHGSHENRLLLPIRLAPPSSFLGSTRSLSLRRLNHSNATRRSPVVSVQEVVKEKQSTNNTSLLITKEEGLELYEDMILGRSFEDMCAQMYYRGKMFGFVHLYNGQEAVSTGFIKLLTKSDSVVSTYRDHVHALSKGVSARAVMSELFGKVTGCCRGQGGSMHMFSKEHNMLGGFAFIGEGIPVATGAAFSSKYRREVLKQDC
NP_179869.1 1 530 0.539020754716981 PF08879.10:WRC:197:239,PF08880.11:QLQ:133:165 Growth-regulating factor 1; AtGRF1; Transcription activator GRF1 530 76 26 530 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81001 1 SwissProt MDLGVRVSGHETVSSPGQTELGSGFSNKQERSGFDGEDCWRSSKLSRTSTDGFSSSPASAKTLSFHQGIPLLRSTTINDPRKGQEHMLSFSSASGKSDVSPYLQYCRNSGYGLGGMMNTSNMHGNLLTGVKGPFSLTQWAELEQQALIYKYITANVPVPSSLLLSLKKSFFPYGSLPPNSFGWGSFHLGFSGGNMDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEGQNGHNTNAAAAASAAAASTAAAVSKAAAGTSAVAMRGSDNNNSLAAAVGTQHHTNNQSTDSLANRVQNSRGASVFPATMNLQSKETHPKQSNNPFEFGLISSDSLLNPSHKQASYATSSKGFGSYLDFGNQAKHAGNHNNVDSWPEELKSDWTQLSMSIPMAPSSPVQDKLALSPLRLSREFDPAIHMGLGVNTEFLDPGKKTNNWIPISWGNNNSMGGPLGEVLNSTTNSPKFGSSPTGVLQKSTFGSLSNSSSASSTIIGDNNNKNGDGKDPLGPTTLMNTSATAPSL
NP_180090.6 255 596 0.561439766081871 Two-component response regulator ARR12 596 0 26 342 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P62598 1 SwissProt GVANQQAIMANSELHFMQMNGLDGFHHRPIPVGSGQYHGGAPAMRSFPPNGILGRLNTPSGIGVRSLSSPPAGMFLQNQTDIGKFHHVSSLPLNHSDGGNILQGLPMPLEFDQLQTNNNKSRNMNSNKSIAGTSMAFPSFSTQQNSLISAPNNNVVVLEGHPQATPPGFPGHQINKRLEHWSNAVSSSTHPPPPAHNSNSINHQFDVSPLPHSRPDPLEWNNVSSSYSIPFCDSANTLSSPALDTTNPRAFCRNTDFDSNTNVQPGVFYGPSTDAMALLSSSNPKEGFVVGQQKLQSGGFMVADAGSLDDIVNSTMKQEQSQGDLSGGDLGYGGFSSLRTCI
NP_186875.2 1633 5098 0.219702885170225 PF13764.6:E3_UbLigase_R4:2545:3442,PF00569.17:ZZ:983:1015 Auxin transport protein BIG; Protein ATTENUATED SHADE AVOIDANCE 1; Protein CORYMBOSA1; Protein DARK OVER-EXPRESSION OF CAB 1; Protein LOW PHOSPHATE-RESISTANT ROOT 1; Protein TRANSPORT INHIBITOR RESPONSE 3; Protein UMBRELLA 1 5098 931 26 3466 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRU2 1 SwissProt GVRGSSCQCLKPRKYNGNGSAPARGTNNFQSFLPLSEDADQLGESDSDVEEDGFGEENHVVLYIPKETQYKMSLLLEELGIEDRVLELFSSLLPSITSKRDSGLSKEKQVNLGKDKVLSFDTDLLQLKKAYKSGSLDLKIKADYTNSKDLKSLLANGSLVKSLLSVSVRGRLAVGEGDKVAIFDVGQLIGQATIAPINADKANVKPLSRNIVRFEIVHLSFNPVVENYLAVAGLEDCQILTLNHRGEVIDRLAVELALQGAFIRRIDWVPGSQVQLMVVTNKFVKIYDLSQDSISPTQYFTLPNDMIVDATLFVASRGRVFLLVLSEQGNLYRFELSWGGNAGATPLKEIVQIMGKDVTGKGSSVYFSPTYRLLFISYHDGSSFMGRLSSDATSLTDTSGMFEEESDCKQRVAGLHRWKELLAGSGLFICFSSVKSNAVLAVSLRGDGVCAQNLRHPTGSSSPMVGITAYKPLSKDNVHCLVLHDDGSLQIYSHVRSGVDTDSNFTAEKVKKLGSKILNNKTYAGAKPEFPLDFFERAFCITADVRLGSDAIRNGDSEGAKQSLASEDGFIESPSPVGFKISVSNPNPDIVMVGIRMHVGTTSASSIPSEVTIFQRSIKMDEGMRCWYDIPFTVAESLLADEDVVISVGPTTSGTALPRIDSLEVYGRAKDEFGWKEKMDAVLDMEARVLGHGLLLPGSSKKRALAQSASMEEQVIADGLKLLSIYYSVCRPRQEVVLSELKCKQLLETIFESDRETLLQTTACRVLQSVFPRKEIYYQVMFLPNSVKDTMRLLGVVKVTSILSSRLGILGTGGSIVEEFNAQMRAVSKVALTRKSNFSVFLEMNGSEVVDNLMQVLWGILESEPLDTPTMNNVVMSSVELIYSYAECLASQGKDTGVHSVAPAVQLLKALMLFPNESVQTSSRCVLVLAISSRLLQVPFPKQTMLTTDDLVDNVTTPSVPIRTAGGNTHVMIEEDSITSSVQYCCDGCSTVPILRRRWHCTVCPDFDLCEACYEVLDADRLPPPHTRDHPMTAIPIEVESLGADTNEIQFSADEVGISNMLPVVTSSIPQASTPSIHVLEPGESAEFSASLTDPISISASKRAVNSLILSEFLQELSGWMETVSGVQAIPVMQLFYRLSSAIGGAFMDSSKPEEISLDKLIKWLLGEINLSKPFAASTRSSLGEIVILVFMFFTLMLRSWHQPGSDGSSSKLGGSTDVHDRRIVQSSTVVATQSSLHVQERDDFASQLVRACSCLRNQEFVNYLMNILQQLVHVFKSRAANVEARGSSSGSGCGAMLTVRRDLPAGNYSPFFSDSYAKAHRADIFVDYHRLLLENVFRLVYTLVRPEKQEKMGEKEKVYRNASSKDLKLDGFQDVLCSYINNPHTAFVRRYARRLFLHLCGSKTQYYSVRDSWQFSNEVKNLYKHVEKSGGFENNVSYERSVKIVKSLSTIAEVAVARPRNWQKYCLRHGDFLSFLLNGVFHFAEESVIQTLKLLNLAFYQGKDVSSSVQKAEATEVVTGSNRSGSQSVDSKKKKKGEDGHDSGLEKLYVDMEGVVDIFSANCGDLLRQFIDFFLLEWNSSSVRTEAKSVIYGLWHHGRHSFKESLLAALLQKVRYLPAYGQNIVEYTELVSLLLDKAPENNSKQAINELVDRCLNPDVIRCFFETLHSQNELIANHPNSRIYSTLGNLVEFDGYYLESEPCVACSSPDVPYSKMKLESLKSETKFTDNRIIVKCTGSYTIQSVTMNVHDARKSKSVKVLNLYYNNRPVSDLSELKNNWSLWKRAKSCHLSFNQTELKVEFPIPITACNFMIELDSFYENLQALSLEPLQCPRCSRPVTDKHGICSNCHENAYQCRQCRNINYENLDSFLCNECGYSKYGRFEFNFMAKPSFIFDNMENDEDMKKGLAAIESESENAHKRYQQLLGFKKPLLKIVSSIGETEMDSQHKDTVQQMMASLPGPSCKINRKIALLGVLYGEKCKAAFDSVSKSVQTLQGLRRVLMSYLHQKNSNFSSGASRCVVSKTPNNCYGCATTFVTQCLEILQVLSKHPRSRKQLVAAGILSELFENNIHQGPKTARAQARAALSTFSEGDLSAVNELNNLVQKKIMYCLEHHRSMDIALATREEMLLLSEVCSLTDEFWESRLRLVFQLLFSSIKLGAKHPAISEHIILPCLKIISVACTPPKPDTAEKEQTMGKSAPAVQEKDENAAGVIKYSSESEENNLNVSQKTRDIQLVSYLEWEKGASYLDFVRRQYKASQSIRGASQKSRTHRSDFLALKYTLRWKRRSSRTSKGGLQAFELGSWVTELILSACSQSIRSEMCTLISLLAAQSSPRRYRLINLLIGLLPATLAAGESSAEYFELLFKMIETQDALLFLTVRGCLTTICKLISQEVGNIESLERSLQIDISQGFTLHKLLELLGKFLEVPNIRSRFMRDNLLSHVLEALIVIRGLIVQKTKLINDCNRRLKDLLDGLLLESSENKRQFIRACVSGLQTHAEENKGRTCLFILEQLCNLICPSKPEAVYMLILNKSHTQEEFIRGSMTKNPYSSAEIGPLMRDVKNKICQQLDLLGLLEDDYGMELLVAGNIISLDLSIAQVYELVWKKSNQSSTSLTNSALLASNAAPSRDCPPMTVTYRLQGLDGEATEPMIKELEEDREESQDPEIEFAIAGAVREYGGLEILLDMIKSLQDDFKSNQEEMVAVLDLLNHCCKIRENRRALLRLGALSLLLETARRAFSVDAMEPAEGILLIVESLTLEANESDSISAAQSALTVSNEETGTWEQAKKIVLMFLERLSHPSGLKKSNKQQRNTEMVARILPYLTYGEPAAMEALIEHFSPYLQNWSEFDQLQQRHEEDPKDDSIAQQAAKQRFTVENFVRVSESLKTSSCGERLKDIVLENGIIAVAVKHIKEIFAITGQTGFKSSKEWLLALKLPSVPLILSMLRGLSMGHLPTQTCIDEGGILTLLHALEGVSGENDIGARAENLLDTLADKEGKGDGFLGEKVRALRDATKDEMRRRALRKREELLQGLGMRQELSSDGGERIVVSQPILEGFEDVEEEEDGLACMVCREGYKLRPSDLLGVYSYSKRVNLGVGNSGSARGECVYTTVSYFNIIHFQCHQEAKRADAALKNPKKEWEGAMLRNNESLCNSLFPVKGPSVPLAQYLRYVDQYWDNLNALGRADGSRLRLLTYDIVLMLARFATGASFSADCRGGGRDSNSRFLPFMFQMARHLLDQGGPVQRTNMARSVSSYISSSSTSTATAPSSDSRPLTPGSQLSSTGTEETVQFMMVNSLLSESYESWLQHRRVFLQRGIYHTFMQHAHGRVASRAAEPTSSGGKTQDAETLTGDELLSIVKPMLVYTGMIEQLQQLFKPKKPVHIEPIKKEGTSSGVELEPWEIVMKEKLLNVKEMIGFSKELISWLDEINSATDLQEAFDIVGVLADVLSEGVTQCDQFVRSAIDKD
NP_192985.1 1 168 0.307160714285714 PF14547.6:Hydrophob_seed:85:168,PF00234.22:Tryp_alpha_amyl:91:167,PF14368.6:LTP_2:102:167 Lipid transfer protein EARLI 1; Protein EARLY ARABIDOPSIS ALUMINUM INDUCED 1; pEARLI1 168 84 26 148 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39176 1 SwissProt MASKNSASIALFFALNIIFFTLTAATDCGCNPSPKHKPVPSPKPKPVPSPKPKPVPSPSVPSPSVPSPNPRPVTPPRTPGSSGNCPIDALRLGVCANVLSSLLNIQLGQPSAQPCCSLIQGLVDLDAAICLCTALRANVLGINLNVPISLSVLLNVCNRKVPSGFQCA
NP_196067.1 1 127 0.605794488188976 Cyclin-dependent protein kinase inhibitor SIM; Protein SIAMESE 127 0 26 127 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZ78 1 SwissProt MDLDLIQDLPILNFPPAIKIRANTNRDDDGGGCTTPTSSDHKIPPTTATTPPPPPQKPRPPSTPSSLGIRSCKRKLMTSLSKYEIIVNKDEIERFFSSVYNQTMASSTTTAITVAKRRRSFRSCSRR
NP_217894.1 79 501 0.170923404255319 PF13243.6:SQHop_cyclase_C:261:343 Type B diterpene cyclase; EC 5.5.1.16 501 83 26 423 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O50406 1 SwissProt SNKHRRRRAAQVEKGLLALKNLTSGAFEGPQLDIKDATVGFELIAPTLMAEAARLGLAICHEESILGELVGVREQKLRKLGGSKINKHITAAFSVELAGQDGVGMLDVDNLQETNGSVKYSPSASAYFALHVKPGDKRALAYISSIIQAGDGGAPAFYQAEIFEIVWSLWNLSRTDIDLSDPEIVRTYLPYLDHVEQHWVRGRGVGWTGNSTLEDCDTTSVAYDVLSKFGRSPDIGAVLQFEDADWFRTYFHEVGPSISTNVHVLGALKQAGYDKCHPRVRKVLEFIRSSKEPGRFCWRDKWHRSAYYTTAHLICAASNYDDALCSDAIGWILNTQRPDGSWGFFDGQATAEETAYCIQALAHWQRHSGTSLSAQISRAGGWLSQHCEPPYAPLWIAKTLYCSATVVKAAILSALRLVDESNQ
NP_218245.1 1 551 0.118958983666062 PF07690.16:MFS_1:47:345,PF07690.16:MFS_1:304:497,PF00083.24:Sugar_tr:43:209,PF05977.13:MFS_3:93:212 membrane protein 1065 455 26 246 14 Mycobacterium tuberculosis H37Rv NP_218245.1 1 RefSeq MHTVATNNAAPVIAAGPVGPSRRRRRVHAPLTRRRQPSSSAVLLVAAFGAFLAFLDSTIVNVAFPDIQRHFHSDISDLSWMLNAYNIVFAAFLVAAGRLADLMGRKRVFILGVALFTVASGLCAIAESVGELVAFRVLQGIGAAVLVPASLGLVVEAFPAERRAHGVNLWGAAGAIAAGLGPPIGGALIEADGWRWVFLVNLPLGVFAVLAARRALVENRAAGRRRVPDVRGAVLLAFALGLLTLGLIKGPDWGWASLPTSGSLLAAAVAMVGFVMSSRHHPAPMVEPTLLRIQSFVAGTGLTAVASAGFYAYLLTHVLFLNYVWGYTLLEAGMAVAPAALVAAVVAAVLGRVADRHGYRFIVGIGALIWAASLLWYLKVVGSQPDFLGEWLPGQILQGIGVGATFPLLGSAALARLAKGGSYATASAVTGTIRQVGAVIGVAVLVILVGTPAPGAAEEALRHGWALAAICFVAVGIGALSLGRIRPVPAAVEPPPGPPVAPLGARRPPRPAPVASPAAAVAPTPKTSREVNLLEALRFARPDTQQIELQA
NP_220368.1 1 172 0.330256976744186 PF05302.11:DUF720:35:166 hypothetical protein 172 132 26 172 0 Chlamydia trachomatis D/UW-3/CX NP_220368.1 1 RefSeq MSSAIIPTLPEKNTVIPDSTLIEPASIEINKKSAMYFCITVMLKLSVATTDYSHAIMAVLQENTLEQQRKTKELINVSLLYVPDLIKKNGSDNEYTNHSTIQAFQTSNQQITANRELIQQELSAAQQRAQANQKSVNATSTESMKILQAVSALLTSLIDLTIKANLTTSPSD
NP_252917.1 1 296 0.295521959459459 PF12833.7:HTH_18:220:296,PF00165.23:HTH_AraC:265:296 transcriptional regulator PchR 296 77 26 296 0 Pseudomonas aeruginosa PAO1 NP_252917.1 1 RefSeq MTITIIAPPQADAAAPAPGNRPGVAHIDPNMKLVTGTFCSASEDWFEEPLERGLRLILVQSGQLRCRIPGQPEHLIEGPSLCTIANDGDFTSAQIYGTDKPLRYTIVQLGVEALDSRLGWLPEQLIRRPGGDPRIMSCPAPRAMQALASQIATCQMLGPTRDLYLGGKALELAALSAQFLSGEGRPVEEPRITCSEVERIHAARDLLVGALQEPPSLDTLASRVGMNPRKLTAGFRKVFGASVFGYLQEYRLREAHRMLCDEEANVSTVAYRVGYSPAHFSIAFRKRYGISPSEIR
NP_415589.1 1 97 0.646117525773196 PF04316.13:FlgM:40:88 anti-sigma factor for FliA (σ28) 97 49 26 97 0 Escherichia coli K-12 substr. MG1655 ecocyc::G369-MONOMER 1 ecocyc MSIDRTSPLKPVSTVQPRETTDAPVTNSRAAKTTASTSTSVTLSDAQAKLMQPGSSDINLERVEALKLAIRNGELKMDTGKIADALINEAQQDLQSN
NP_418070.6 1 242 0.433731818181818 murein hydrolase activator EnvC 419 0 26 219 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG12297-MONOMER 1 ecocyc MTRAVKPRRFAIRPIIYASVLSAGVLLCAFSAHADERDQLKSIQADIAAKERAVRQKQQQRASLLAQLKKQEEAISEATRKLRETQNTLNQLNKQIDEMNASIAKLEQQKAAQERSLAAQLDAAFRQGEHTGIQLILSGEESQRGQRLQAYFGYLNQARQETIAQLKQTREEVAMQRAELEEKQSEQQTLLYEQRAQQAKLTQALNERKKTLAGLESSIQQGQQQLSELRANESRLRNSIAR
NP_418089.1 1 344 0.158994476744186 PF01075.17:Glyco_transf_9:65:314 lipopolysaccharide core heptosyltransferase 3 344 250 26 344 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11341-MONOMER 1 ecocyc MRYHGDMLLTTPVISTLKQNYPDAKIDMLLYQDTIPILSENPEINALYGISNKGAGTFDKIKNVLSLIKTLRANNYDLVINLTDQWMVALLVRCLPARMKISQLYGHRQHGIWKKSFTHLAPIHGTHIVERNLSVLEPLGITDFYTDTTMSYAEDCWKKMRRELDALGVKDHYVVIQPTARQIFKCWDNDKFSKVIDALQQRGYQVVLTCGPSADDLACVDEIARGCETKPITGLAGKTRFPELGALIDHAVLFIGVDSAPGHIAAAVKTPVISLFGATDHVFWRPWTENIIQFWAGNYQKMPTRHELDRNKKYLSVIPAEDVIAATEKLLPEDAPSADRNAQL
NP_444314.2 95 655 0.25577825311943 PF01436.21:NHL:279:306,PF01436.21:NHL:377:404,PF01436.21:NHL:524:551 E3 ubiquitin-protein ligase TRIM32; RING-type E3 ubiquitin transferase TRIM32; Tripartite motif-containing protein 32; EC 2.3.2.27 655 84 26 561 0 Mus musculus (Mouse) SwissProt::Q8CH72 1 SwissProt AVGLLMCRGCGRRLPRQFCRSCGVVLCEPCREADHQPPGHCTLPVKEAAEERRRDFGEKLTRLRELTGELQRRKAALEGVSRDLQARYKAVLQEYGHEERRIQEELARSRKFFTGSLAEVEKSNSQVVEEQSYLLNIAEVQAVSRCDYFLAKIKQADVALLEETADEEEPELTASLPRELTLQDVELLKVGHVGPLQIGQAVKKPRTVNMEDSWAGEEGAASSASASVTFREMDMSPEEVAPSPRASPAKQRSSEAASGIQQCLFLKKMGAKGSTPGMFNLPVSLYVTSQSEVLVADRGNYRIQVFNRKGFLKEIRRSPSGIDSFVLSFLGADLPNLTPLSVAMNCHGLIGVTDSYDNSLKVYTMDGHCVACHRSQLSKPWGITALPSGQFVVTDVEGGKLWCFTVDRGAGVVKYSCLCSAVRPKFVTCDAEGTVYFTQGLGLNVENRQNEHHLEGGFSIGSVGPDGQLGRQISHFFSENEDFRCIAGMCVDARGDLIVADSSRKEILHFPKGGGYSVLIREGLTCPVGIALTPKGQLLVLDCWDHCVKIYSYHLRRYSTP
NP_461806.1 238 593 0.203667134831461 PF04888.12:SseC:22:353 Cell invasion protein SipB; Effector protein SipB 593 332 26 310 2 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::Q56019 1 SwissProt SQNQVSQGEQDNLSNVARLTMLMAMFIEIVGKNTEESLQNDLALFNALQEGRQAEMEKKSAEFQEETRKAEETNRIMGCIGKVLGALLTIVSVVAAVFTGGASLALAAVGLAVMVADEIVKAATGVSFIQQALNPIMEHVLKPLMELIGKAITKALEGLGVDKKTAEMAGSIVGAIVAAIAMVAVIVVVAVVGKGAAAKLGNALSKMMGETIKKLVPNVLKQLAQNGSKLFTQGMQRITSGLGNVGSKMGLQTNALSKELVGNTLNKVALGMEVTNTAAQSAGGVAEGVFIKNASEALADFMLARFAMDQIQQWLKQSVEIFGENQKVTAELQKAMSSAVQQNADASRFILRQSRA
NP_476517.1 1 320 0.5386134375 PF15462.6:Barttin:27:251 Barttin 320 225 26 277 2 Homo sapiens (Human) SwissProt::Q8WZ55 1 SwissProt MADEKTFRIGFIVLGLFLLALGTFLMSHDRPQVYGTFYAMGSVMVIGGIIWSMCQCYPKITFVPADSDFQGILSPKAMGLLENGLAAEMKSPSPQPPYVRLWEEAAYDQSLPDFSHIQMKVMSYSEDHRSLLAPEMGQPKLGTSDGGEGGPGDVQAWMEAAVVIHKGSDESEGERRLTQSWPGPLACPQGPAPLASFQDDLDMDSSEGSSPNASPHDREEACSPQQEPQGCRCPLDRFQDFALIDAPTLEDEPQEGQQWEIALPNNWQRYPRTKVEEKEASDTGGEEPEKEEEDLYYGLPDGAGDLLPDKELGFEPDTQG
NP_523492.1 78 486 0.560022249388753 eyes absent, isoform B 760 0 26 409 0 Drosophila melanogaster NP_523492.1 1 RefSeq PSSAGAGMGVGVGGGGGSGGGVGGGVGQCSPLGLPPQSQPLQPTIGSLASLSGHYSNGNANPNVNSSSCSLATASSFAQSAGSSFSTYQQAGGTSGGVSGEDGVVGGATVMSHWTHDGTGSSAAVKSESRSPGQVHASLDNGSVAGSNLYGCSSASNPLDGGAVAVNSSAVAAAAAAVYDGKHDYYYYNSMQQYTPPPFYSGYGTPYAAATAARQAKMEPGAAAAAAAYLTPSYAASGNNNSQLYSSPYAGYNNFGQQDYGGYYNEQYGNYYSPANYSPYAVSSPSSSASHGHGFHVAASSNLSESPTDTHSTTPVHQTTHSPHSPLPISPSTGSGIGPLGNVSAAAAAAALNSSGGSSVGTAGSGGVATSKTTPTGKTGRARGRRHQQPSPTRSTASDTGNSEAVKPP 1
NP_523670.2 133 376 0.571803278688525 Segmentation protein even-skipped 376 0 26 244 0 Drosophila melanogaster P06602 1 SwissProt/TReMBL AWPYAAVYSDPAFAASILQAAANSVGMPYPPYAPAAAAAAAAAAAVATNPMMATGMPPMGMPQMPTMQMPGHSGHAGHPSPYGQYRYTPYHIPARPAPPHPAGPHMHHPHMMGSSATGSSYSAGAAGLLGALPSATCYTGLGVGVPKTQTPPLDLQSSSSPHSSTLSLSPVGSDHAKVFDRSPVAQSAPSVPAPAPLTTTSPLPAPGLLMPSAKRPASDMSPPPTTTVIAEPKPKLFKPYKTEA
NP_566835.1 1 673 0.0939673105497771 PF13632.6:Glyco_trans_2_3:293:499,PF13641.6:Glyco_tranf_2_3:200:432,PF13506.6:Glyco_transf_21:262:432,PF00535.26:Glycos_transf_2:205:358 Xyloglucan glycosyltransferase 4; Cellulose synthase-like protein C4; AtCslC4; Xyloglucan synthase 4; EC 2.4.1.- 673 300 26 565 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJP4 1 SwissProt MAPNSVAVTMEKPDNFSLLEINGSDPSSFPDKRKSISPKQFSWFLLLKAHRLISCLSWLVSSVKKRIAFSAKNINEEEDPKSRGKQMYRFIKACLVISIIALSIEIVAHFKKWNLDLINRPSWEVYGLVEWSYMAWLSFRSDYIAPLVISLSRFCTVLFLIQSLDRLVLCLGCFWIKFKKIEPKLTEESIDLEDPSSFPMVLIQIPMCNEREVYEQSIGAASQLDWPKDRILIQVLDDSDDPNLQLLIKEEVSVWAEKGVNIIYRHRLIRTGYKAGNLKSAMTCDYVKDYEFVTIFDADFTPNPDFLKKTVPHFKGNPELGLVQARWSFVNKDENLLTRLQNINLCFHFEVEQQVNGVFLNFFGFNGTAGVWRIKALEESGGWLERTTVEDMDIAVRAHLNGWKFIYLNDVEVTCELPESYEAYKKQQHRWHSGPMQLFRLCLPSIIKSKISVWKKANLIFLFFLLRKLILPFYSFTLFCIILPLTMFIPEAELPLWIICYVPIFISLLNILPSPKSFPFLVPYLLFENTMSITKFNAMISGLFQFGSAYEWVVTKKTGRSSESDLLAFAEKEEKLHRRNSESGLELLSKLKEQETNLVGQETVKKSLGGLMRPKNKKKTNMVFKKELGLAFLLLTAAARSFLSAHGLHFYFLLFQGLSFLVVGLDLIGEQIS
NP_568444.1 1 454 0.216113876651983 Serine/threonine-protein kinase/endoribonuclease IRE1b; Endoplasmic reticulum-to-nucleus signaling 1-1; Inositol-requiring protein 1-1; AtIRE1-1; Serine/threonine-protein kinase/endoribonuclease IRE1-1; EC 2.7.11.1; EC 3.1.26.- 881 0 26 431 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93VJ2 1 SwissProt MRGSALLDLILFLLVSPLAHSFKGSEISKFYDKSISNQISQSDRESGYVLVSTVDGSISLVDMSSQKLDWTFHTNEPIYSSYQAPHYHYTTDEERSSVLGDDFYMDCDKDWRLYNSSVRKGKRVNEIVDASEFIGTLPYTSTDRIVLGKKDTSVFLLDWKTGKLVKRYRMDELYSNTVVENDKEKAIVLSKEAPLLFGSGFKKSEDFPELVYIERKDFKIQCISKFGDVLWSVSYAKMEAKLQNHESVQFISGLSSSVGKNQFPLSYTTSVPMVQLRNVKYETLFPRLGFLDEALYLPFQDRKPNQLAIGDGNQLTLPGNKEAEEVLSLPLPETVISQITDIIDGSTKQAGFASKFSGLIVLIFGFCVTMLSVCGLFFYRLRQSIRIKEPYVSEVPIATPKKKKSKKNGTTKAVHKKENGFISGGNKDPSHEENEKRLLTAFPGLNNSSAEGYR
NP_579921.1 1 670 0.319755970149254 PF03215.15:Rad17:73:258 cell cycle checkpoint protein RAD17 isoform 1 670 186 26 670 0 Homo sapiens NP_579921.1 1 RefSeq MNQVTDWVDPSFDDFLECSGVSTITATSLGVNNSSHRRKNGPSTLESSRFPARKRGNLSSLEQIYGLENSKEYLSENEPWVDKYKPETQHELAVHKKKIEEVETWLKAQVLERQPKQGGSILLITGPPGCGKTTTLKILSKEHGIQVQEWINPVLPDFQKDDFKGMFNTESSFHMFPYQSQIAVFKEFLLRATKYNKLQMLGDDLRTDKKIILVEDLPNQFYRDSHTLHEVLRKYVRIGRCPLIFIISDSLSGDNNQRLLFPKEIQEECSISNISFNPVAPTIMMKFLNRIVTIEANKNGGKITVPDKTSLELLCQGCSGDIRSAINSLQFSSSKGENNLRPRKKGMSLKSDAVLSKSKRRKKPDRVFENQEVQAIGGKDVSLFLFRALGKILYCKRASLTELDSPRLPSHLSEYERDTLLVEPEEVVEMSHMPGDLFNLYLHQNYIDFFMEIDDIVRASEFLSFADILSGDWNTRSLLREYSTSIATRGVMHSNKARGYAHCQGGGSSFRPLHKPQWFLINKKYRENCLAAKALFPDFCLPALCLQTQLLPYLALLTIPMRNQAQISFIQDIGRLPLKRHFGRLKMEALTDREHGMIDPDSGDEAQLNGGHSAEESLGEPTQATVPETWSLPLSQNSASELPASQPQPFSAQGDMEENIIIEDYESDGT
NP_680116.2 393 1222 0.522507710843373 Lysine-specific demethylase REF6; Jumonji domain-containing protein 12; Lysine-specific histone demethylase REF6; Protein RELATIVE OF EARLY FLOWERING 6; EC 1.14.11.- 1360 0 26 830 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9STM3 1 SwissProt RVPTSINPKPRSSRLKDKARSEGERLTKKLFVQNIIHNNELLSSLGKGSPVALLPQSSSDISVCSDLRIGSHLITNQENPIQLKCEDLSSDSVVVDLSNGLKDTVSVKEKFTSLCERSRNHLASTEKDTQETLSDAERRKNDAAVALSDQRLFSCVTCGVLSFDCVAIVQPKEAAARYLMSADCSFFNDWTAASGSANLGQAARSLHPQSKEKHDVNYFYNVPVQTMDHSVKTGDQKTSTTSPTIAHKDNDVLGMLASAYGDSSDSEEEDQKGLVTPSSKGETKTYDQEGSDGHEEARDGRTSDFNCQRLTSEQNGLSKGGKSSLLEIALPFIPRSDDDSCRLHVFCLEHAAEVEQQLRPFGGINLMLLCHPEYPRIEAEAKIVAEELVINHEWNDTEFRNVTREDEETIQAALDNVEAKGGNSDWTVKLGVNLSYSAILSRSPLYSKQMPYNSIIYKAFGRSSPVASSPSKPKVSGKRSSRQRKYVVGKWCGKVWMSHQVHPFLLEQDLEGEESERSCHLRVAMDEDATGKRSFPNNVSRDSTTMFGRKYCRKRKIRAKAVPRKKLTSFKREDGVSDDTSEDHSYKQQWRASGNEEESYFETGNTASGDSSNQMSDPHKGIIRHKGYKEFESDDEVSDRSLGEEYTVRACAASESSMENGSQHSMYDHDDDDDDIDRQPRGIPRSQQTRVFRNPVSYESEDNGVYQQSGRISISNRQANRMVGEYDSAENSLEERGFCSTGKRQTRSTAKRIAKTKTVQSSRDTKGRFLQEFASGKKNEELDSYMEGPSTRLRVRHQKPSRGSLETKPKKIGKKRSGNASFSRVATEKD
NP_714915.3 1 995 0.0775659296482412 PF09773.9:Meckelin:168:995 Meckelin; Meckel syndrome type 3 protein; Transmembrane protein 67 995 828 26 903 4 Homo sapiens (Human) SwissProt::Q5HYA8 1 SwissProt MATRGGAGVAMAVWSLLSARAVTAFLLLFLPRFLQAQTFSFPFQQPEKCDNNQYFDISALSCVPCGANQRQDARGTSCVCLPGFQMISNNGGPAIICKKCPENMKGVTEDGWNCISCPSDLTAEGKCHCPIGHILVERDINGTLLSQATCELCDGNENSFMVVNALGDRCVRCEPTFVNTSRSCACSEPNILTGGLCFSSTGNFPLRRISAARYGEVGMSLTSEWFAKYLQSSAAACWVYANLTSCQALGNMCVMNMNSYDFATFDACGLFQFIFENTAGLSTVHSISFWRQNLPWLFYGDQLGLAPQVLSSTSLPTNFSFKGENQNTKLKFVAASYDIRGNFLKWQTLEGGVLQLCPDTETRLNAAYSFGTTYQQNCEIPISKILIDFPTPIFYDVYLEYTDENQHQYILAVPVLNLNLQHNKIFVNQDSNSGKWLLTRRIFLVDAVSGRENDLGTQPRVIRVATQISLSVHLVPNTINGNIYPPLITIAYSDIDIKDANSQSVKVSFSVTYEMDHGEAHVQTDIALGVLGGLAVLASLLKTAGWKRRIGSPMIDLQTVVKFLVYYAGDLANVFFIITVGTGLYWLIFFKAQKSVSVLLPMPIQEERFVTYVGCAFALKALQFLHKLISQITIDVFFIDWERPKGKVLKAVEGEGGVRSATVPVSIWRTYFVANEWNEIQTVRKINSLFQVLTVLFFLEVVGFKNLALMDSSSSLSRNPPSYIAPYSCILRYAVSAALWLAIGIIQVVFFAVFYERFIEDKIRQFVDLCSMSNISVFLLSHKCFGYYIHGRSVHGHADTNMEEMNMNLKREAENLCSQRGLVPNTDGQTFEIAISNQMRQHYDRIHETLIRKNGPARLLSSSASTFEQSIKAYHMMNKFLGSFIDHVHKEMDYFIKDKLLLERILGMEFMEPMEKSIFYNDEGYSFSSVLYYGNEATLLIFDLLFFCVVDLACQNFILASFLTYLQQEIFRYIRNTVGQKNLASKTLVDQRFLI
NP_733445.1 1 628 0.656432006369426 PF00651.31:BTB:23:119 Protein tramtrack, alpha isoform; Repressor protein fushi tarazu; Tramtrack p88 813 97 26 628 0 Drosophila melanogaster (Fruit fly) SwissProt::P42282 1 SwissProt MKMASQRFCLRWNNHQSNLLSVFDQLLHAETFTDVTLAVEGQHLKAHKMVLSACSPYFNTLFVSHPEKHPIVILKDVPYSDMKSLLDFMYRGEVSVDQERLTAFLRVAESLRIKGLTEVNDDKPSPAAAAAGAGATGSESTATTPQLQRIQPYLVPQRNRSQAGGLLASAANAGNTPTLPVQPSLLSSALMPKRKRGRPRKLSGSSNGTGNDYDDFDRENMMNDSSDLGNGKMCNESYSGNDDGSDDNQPNAGHTDDLNESRDSLPSKRSKNSKDHRVVSHHEDNSTSVTPTKATPELSQRLFGSSSTTISATAPGGSSTGPSETISLLEISDERESAPVHLPTILGLKIRAINTTTPAQQGSPQTPTKSKPKIRQATGSNNSNSLLKQQLRGGAKDPEVPPATRITGAVTPNAALNAEEQSKEMPKKNQDEVNACIGLHSLANAAEQQAAQVASTGNLHHQLLLHMAANNSMLNTTDYYQQQQQESPSSAGQFMDDDLELLSLNDQQDKSDEPDHEMVTLADENAGLPGYQGNEAEATPAQEDSPAAETATAPPPAPRSGKKGAKRPIQRRRVRRKAQSTLDDQAEHLTEMSVRGLDLFRYASVVEGVYRCTECAKENMQKTFKNKY
O25063 227 2271 0.264865525672371 PF03077.14:VacA2:474:533,PF03077.14:VacA2:937:996,PF03077.14:VacA2:1574:1632 Toxin-like outer membrane protein 2893 179 26 2045 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25063 1 SwissProt/TReMBL SLSGDVCSSLASVGIGANCSTSGPSYSFKGTTNATNTAFSNASGSFTFEENATFSGAKWNGGTYTFNKEFSATNNTAFSSGSFNFKGVSSFNGTSFSNASYTFDNQATFQNSSFNGGTFTFNNQTNPTNNAQHPQIQNSSFSGNATTLKGFVNFQQAFNNSNHQLTIQNASFNNATFNNTGKITIEKDASFNNTTFNTSVDTNNMSVTGGVTLSGKNDLKNGSTLDFGSSKITLAQGTTFNLTSLGSEKSVTILNSSGGITYSNLLNHAINGLTSALKTNESLSNPQSFAQGLWDIITYNGVTGQLLNENAATSKPTDSSPSKSSTNSTQVYQVGYKIGDTIYKLQETFSHNSIIIQALESGTYTPPPVINGSKFDLSASNYINADMPWYDHKYYIPKSQNFTESGTYYLPSVQIWGSYTNSFKQTFSANGSNLVIGYNSTWTDHNVSSSGTVSFGDTSGSALNGHCGPWPYYQCTGTTNGTYSAYHVYITANLRSGNRIGTGGAANLIFNGVDSINIANATITQHNAGIYSSSMTFSTQSMDNSQNLNGLNSNGKLSVYGTTFTNEAKDGKFIFNAGQAVFENTNFNGGSYQFSGDSLNFSNNNQFNSGSFEISAKNASFNNANFNNSASFNFNNSNATTSFVGDFTNANSNLQIAGNAVFGNSTNGSQNTANFNNTGSVNISGNATFDNVVFNGPTNTSVKGQVTLNNITLKNLNAPLSFGDGTITFNAHSVINIAESITNGNPITLVSSSKEIEYNNAFSKNLWQLINYQGHGASSEKLVSSAGNGVYDVVYSFNNQTYNFQEVFSQNSISIRRLGVNMVFDYVDMEKSDHLYYQNALGFMTYMPNSYNNNLGNANNTIYYYDKSIDFYASGKTLFTKAEFSQTFTGQNSAIVFGAKSIWTSLSDAPQSNTIIRFGDNKGAGSNDASGHCWNLQCIGFITGHYEAQKIYITGSIESGNRISSGGGASLNFNGLQGILLTNATLYNRAAGTQSSSMNFISNSANIQAQNSYFIDDTAQNGGNPNFSFNALNLDFSNSSFRGYVGKTQSVFKFNAKNAISFTNSTNLSSGLYQMQAKSVLFDNSNLSVSVGTSSIKANAINLSQNASINASNHSTLELQGDLNVNDTSSLNLNQSTINVSNNATINDYASLIASNGSHLNFNGAVNFNSANITTSLNNSSIVFKGAVSLGGQFNLSNNSSLDFQGSSAITSNTAFNFYDNAFSQSPITFHQALDIKAPLSLGGNLLNPNNSSVLDLKNSQLVFGDQGSLNIANIDLLSDLNDNKNRVYNIIQADMNSNWYERISFFGMHINDGIYDAKNQTYSFTNPLNNALKITESFKDNQLSVTLSQIPGIKNTLYNIGSEIFNYQKVYNNANGVYSYSDDAQGVFYLTSNVKGYYNPNQSYQASGSNNTTKNNNLTSESSIISQTYNAQGNPISALHIYNKGYNFNNIKALGQMALKLYPEIKKVLGNDFSPSSLNALNSNALNQLTKLITPNDWKNINELIDNANNSVVQNFNNGTLIVGATQIGQTDTNSAVVFGGLGYQTPCDYTDIVCQKFRGTYLGQLLESSSADLGYIDTTFNAKEIYLTGTLGSGNAWGTGGSASVTFNSQTSLILNQANIVSSQTDGIFSMLGQEGINKVFNQAGLANILGEVAVQSINKAGGLGNLIVNTLGSNSVIGGYLTPEQKNQTLSQLLGQNNFDNLMNDSGLNTAIKDLIRQKLGFWTGLVGGLAGLGGIDLQNPEKLIGSMSINDLLSKKGLFNQITGFISANDIGQVISVMLQDIVKPSNALKNDVAALGKQMIGEFLGQDTLNSLESLLQNQQIKSVLDKVLAAKGLGPIYEQGLGDLIPNLGKKGLFAPYGLSQVWQKGDFSFNAQGNVFVQNSTFSNANGGTLSFNAGNSLIFAGNNHIAFTNHAGTLQLLSDQVSNINITTLNASNGLKINAANNNVSVSQGNLFVSASCAQQSDPTTANIANPCALSAQSTNGASSNNASNNAPIALSNNDESLMVAANDFNFSGNIYANGVVDFSKIKGSANIKNLYL 3
O50430 1 110 0.167434545454545 Low molecular weight T-cell antigen TB8.4 110 0 26 110 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O50430 1 SwissProt/TReMBL MRLSLTALSAGVGAVAMSLTVGAGVASADPVDAVINTTCNYGQVVAALNATDPGAAAQFNASPVAQSYLRNFLAAPPPQRAAMAAQLQAVPGAAQYIGLVESVAGSCNNY
O60934 327 754 0.611692289719626 PF08599.10:Nbs1_C:357:420 Nibrin; Cell cycle regulatory protein p95; Nijmegen breakage syndrome protein 1 754 64 26 428 0 Homo sapiens (Human) SwissProt::O60934 1 SwissProt GHPSTGLKTTTPGPSLSQGVSVDEKLMPSAPVNTTTYVADTESEQADTWDLSERPKEIKVSKMEQKFRMLSQDAPTVKESCKTSSNNNSMVSNTLAKMRIPNYQLSPTKLPSINKSKDRASQQQQTNSIRNYFQPSTKKRERDEENQEMSSCKSARIETSCSLLEQTQPATPSLWKNKEQHLSENEPVDTNSDNNLFTDTDLKSIVKNSASKSHAAEKLRSNKKREMDDVAIEDEVLEQLFKDTKPELEIDVKVQKQEEDVNVRKRPRMDIETNDTFSDEAVPESSKISQENEIGKKRELKEDSLWSAKEISNNDKLQDDSEMLPKKLLLTEFRSLVIKNSTSRNPSGINDDYGQLKNFKKFKKVTYPGAGKLPHIIGGSDLIAHHARKNTELEEWLRQEMEVQNQHAKEESLADDLFRYNPYLKRRR
O94979 1 1220 0.424496065573771 PF12931.7:Sec16_C:573:711 Protein transport protein Sec31A; ABP125; ABP130; SEC31-like protein 1; SEC31-related protein A; Web1-like protein 1220 139 26 1220 0 Homo sapiens (Human) SwissProt::O94979 1 SwissProt MKLKEVDRTAMQAWSPAQNHPIYLATGTSAQQLDATFSTNASLEIFELDLSDPSLDMKSCATFSSSHRYHKLIWGPYKMDSKGDVSGVLIAGGENGNIILYDPSKIIAGDKEVVIAQNDKHTGPVRALDVNIFQTNLVASGANESEIYIWDLNNFATPMTPGAKTQPPEDISCIAWNRQVQHILASASPSGRATVWDLRKNEPIIKVSDHSNRMHCSGLAWHPDVATQMVLASEDDRLPVIQMWDLRFASSPLRVLENHARGILAIAWSMADPELLLSCGKDAKILCSNPNTGEVLYELPTNTQWCFDIQWCPRNPAVLSAASFDGRISVYSIMGGSTDGLRQKQVDKLSSSFGNLDPFGTGQPLPPLQIPQQTAQHSIVLPLKKPPKWIRRPVGASFSFGGKLVTFENVRMPSHQGAEQQQQQHHVFISQVVTEKEFLSRSDQLQQAVQSQGFINYCQKKIDASQTEFEKNVWSFLKVNFEDDSRGKYLELLGYRKEDLGKKIALALNKVDGANVALKDSDQVAQSDGEESPAAEEQLLGEHIKEEKEESEFLPSSGGTFNISVSGDIDGLITQALLTGNFESAVDLCLHDNRMADAIILAIAGGQELLARTQKKYFAKSQSKITRLITAVVMKNWKEIVESCDLKNWREALAAVLTYAKPDEFSALCDLLGTRLENEGDSLLQTQACLCYICAGNVEKLVACWTKAQDGSHPLSLQDLIEKVVILRKAVQLTQAMDTSTVGVLLAAKMSQYANLLAAQGSIAAALAFLPDNTNQPNIMQLRDRLCRAQGEPVAGHESPKIPYEKQQLPKGRPGPVAGHHQMPRVQTQQYYPHGENPPPPGFIMHGNVNPNAAGQLPTSPGHMHTQVPPYPQPQPYQPAQPYPFGTGGSAMYRPQQPVAPPTSNAYPNTPYISSASSYTGQSQLYAAQHQASSPTSSPATSFPPPPSSGASFQHGGPGAPPSSSAYALPPGTTGTLPAASELPASQRTGPQNGWNDPPALNRVPKKKKMPENFMPPVPITSPIMNPLGDPQSQMLQQQPSAPVPLSSQSSFPQPHLPGGQPFHGVQQPLGQTGMPPSFSKPNIEGAPGAPIGNTFQHVQSLPTKKITKKPIPDEHLILKTTFEDLIQRCLSSATDPQTKRKLDDASKRLEFLYDKLREQTLSPTITSGLHNIARSIETRNYSEGLTMHTHIVSTSNFSETSAFMPVLKVVLTQANKLGV
P01830 1 161 0.177333540372671 PF00047.25:ig:24:116 Thy-1 membrane glycoprotein; Thy-1 antigen; CD90 antigen 161 93 26 161 0 Rattus norvegicus (Rat) SwissProt::P01830 1 SwissProt MNPVISITLLLSVLQMSRGQRVISLTACLVNQNLRLDCRHENNTNLPIQHEFSLTREKKKHVLSGTLGVPEHTYRSRVNLFSDRFIKVLTLANFTTKDEGDYMCELRVSGQNPTSSNKTINVIRDKLVKCGGISLLVQNTSWLLLLLLSLSFLQATDFISL
P12977 1 944 0.575053495762711 PF05009.12:EBV-NA3:139:386 Epstein-Barr nuclear antigen 3; EBNA-3; EBV nuclear antigen 3; Epstein-Barr nuclear antigen 3A; EBNA-3A; EBV nuclear antigen 3A 944 248 26 944 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P12977 1 SwissProt MDKDRPGPPALDDNMEEEVPSTSVVQEQVSAGDWENVLIELSDSSSEKEAEDAHLEPAQKGTKRKRVDHDAGGSAPARPMLPPQPDLPGREAILRRFPLDLRTLLQAIGAAATRIDTRAIDQFFGSQISNTEMYIMYAMAIRQAIRDRRRNPASRRDQAKWRLQTLAAGWPMGYQAYSSWMYSYTDHQTTPTFVHLQATLGCTGGRRCHVTFSAGTFKLPRCTPGDRQWLYVQSSVGNIVQSCNPRYSIFFDYMAIHRSLTKIWEEVLTPDQRVSFMEFLGFLQRTDLSYIKSFVSDALGTTSIQTPWIDDNPSTETAQAWNAGFLRGRAYGIDLLRTEGEHVEGATGETREESEDTESDGDDEDLPCIVSRGGPKVKRPPIFIRRLHRLLLMRAGKRTEQGKEVLEKARGSTYGTPRPPVPKPRPEVPQSDETATSHGSAQVPEPPTIHLAAQGMAYPLHEQHGMAPCPVAQAPPTPLPPVSPGDQLPGVFSDGRVACAPVPAPAGPIVRPWEPSLTQAAGQAFAPVRPQHMPVEPVPVPTVALERPVYPKPVRPAPPKIAMQGPGETSGIRRARERWRPAPWTPNPPRSPSQMSVRDRLARLRAEAQVKQASVEVQPPQLTQVSPQQPMEGPLVPEQQMFPGAPFSQVADVVRAPGVPAMQPQYFDLPLIQPISQGAPVAPLRASMGPVPPVPATQPQYFDIPLTEPINQGASAAHFLPQQPMEGPLVPEQWMFPGAALSQSVRPGVAQSQYFDLPLTQPINHGAPAAHFLHQPPMEGPWVPEQWMFQGAPPSQGTDVVQHQLDALGYTLHGLNHPGVPVSPAVNQYHLSQAAFGLPIDEDESGEGSDTSEPCEALDLSIHGRPCPQAPEWPVQEEGGQDATEVLDLSIHGRPRPRTPEWPVQGEGGQNVTGPETRRVVVSAVVHMCQDDEFPDLQDPPDEA
P24583 40 795 0.445360052910052 PF00130.22:C1_1:376:423,PF00130.22:C1_1:443:494,PF02185.16:HR1:80:142,PF00168.30:C2:171:241 protein kinase C (EC 2.7.11.13) 1151 234 26 756 0 Saccharomyces cerevisiae BRENDA::P24583 1 BRENDA CNTNIREARQNLEYLEDSLKKLRLKTAQQSQGENGSEDNERCNSKEYGFLSTKSPNEHIFSRLDLVKYDCPSLAQRIQYMLQQLEFKLQVEKQYQEANTKLTKLYQIDGDQRSSSAAEGGAMESKYRIQMLNKALKKYQAINVDFDQFKHQPNDIMDNQQPKFRRKQLTGVLTIGITAARDVDHIQSPMFARKPESYVTIKIDDTIKARTKPSRNDRWSEDFQIPVEKGNEIEITVYDKVNDSLIPVAIMWLLLSDIAEEIRKKKAGQTNEQQGWVNASNINGGSSLASEEGSTLTSTYSNSAIQSTSAKNVQGENTSTSQISTNSWFVLEPSGQILLTLGFHKSSQIERKQLMGGLHRHGAIINRKEEIFEQHGHHFVQKSFYNIMCCAYCGDFLRYTGFQCQDCKFLCHKKCYTNVVTKCIAKTSTDTDPDEAKLNHRIPHRFLPTSNRGTKWCCHCGYILPWGRHKVRKCSECGIMCHAQCAHLVPDFCGMSMEMANKILKTIQDTKRNQEKKKRTVPSAQLGSSIGTANGSDLSPSKLAERANAPLPPQPRKHDKTPSPQKVGRDSPTKQHDPIIDKRISLQTHGREKLNKFIDENEAYLNFTEGAQQTAEFSSPEKTLDPTSNRRSLGLTDLSIEHSQTWESKDDLMRDELELWKAQREEMELEIKQDSGEIQEDLEVDHIDLETKQKLDWENKNDFREADLTIDSTHTNPFRDMNSETFQIEQDHASKEVLQETVSLAPTSTHASRTTDQ
P27544 1 350 0.0791942857142856 PF03798.16:TRAM_LAG1_CLN8:98:303 Ceramide synthase 1; CerS1; LAG1 longevity assurance homolog 1; Longevity assurance gene 1 protein homolog 1; Protein UOG-1; EC 2.3.1.- 350 206 26 236 5 Homo sapiens (Human) SwissProt::P27544 1 SwissProt MAAAGPAAGPTGPEPMPSYAQLVQRGWGSALAAARGCTDCGWGLARRGLAEHAHLAPPELLLLALGALGWTALRSAATARLFRPLAKRCCLQPRDAAKMPESAWKFLFYLGSWSYSAYLLFGTDYPFFHDPPSVFYDWTPGMAVPRDIAAAYLLQGSFYGHSIYATLYMDTWRKDSVVMLLHHVVTLILIVSSYAFRYHNVGILVLFLHDISDVQLEFTKLNIYFKSRGGSYHRLHALAADLGCLSFGFSWFWFRLYWFPLKVLYATSHCSLRTVPDIPFYFFFNALLLLLTLMNLYWFLYIVAFAAKVLTGQVHELKDLREYDTAEAQSLKPSKAEKPLRNGLVKDKRF
P38273 1 704 0.228833380681818 PF08217.11:DUF1712:6:702 Vacuolar fusion protein CCZ1; Autophagy-related protein 11; Calcium-caffeine-zinc sensitivity protein 1; Cytoplasm to vacuole targeting protein 16 704 697 26 704 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38273 1 SwissProt MRLHYITVFDPSRSTNENDTFKQLLLFHYFGTTDSIPSLNEKLSIIGVIQGIWSLTSSCVNKDGEDLEKIIELNNDIIFCIKVESRFFISLAISNISDDQSAIPLQYLSAYLWLSYRFFKLLNGSFSGFNKDFRKLTDLLNEFVIPFWNDIYLNLETVTNRSFTVMWPGFYKRANFQHSSYNPGEKNNVEESWDAIILQNILLDKKSYLGLKDILVYHLPKRTKAANRESMGTKTYGLVRNFTSDLNTLPDISNWLYHLHCTYGEISSHILTGNVHFKEELQVEEEQERSRDTNGRDEEESQEQQRREHQETTQNNTSELSLSERVIHNVTLPISFAYDAIHEVSTTTGVSGSLSMIMDYVPKPHWPFISSSNKSADKNNYSNSNDNANSNAPLMAQSEAVGGTIGNSRFGFLISPLNSDLLPSSYQALKLNLNFENSKDKEDFYNCLFWYFDDFLIVIVCDPDFNKICERDYLKDLSFQLCQSMECLNNEILNSQNCDNVESFAYVIRDNVTKEIDSSVPFGSPKFTSDESISTLQLAINGIDQFINDNSNSLSLANWNPITIMGGSNAISKKNTTEGFGNGVNDKTQKFKRKYLNFLNLMSAEKLWDLQVDVLQFLTSLQNSKRDPDYFQEERLLKLNNGVLCYIKENNSNLIIIIKNWFQNNGTSKAAKQRNRFSSDSSKGSSLFQSLGRDVTDWWESREI
P54826 1 345 0.29530231884058 PF02351.16:GDNF:166:240 Growth arrest-specific protein 1; GAS-1 345 75 26 345 0 Homo sapiens (Human) SwissProt::P54826 1 SwissProt MVAALLGGGGEARGGTVPGAWLCLMALLQLLGSAPRGSGLAHGRRLICWQALLQCQGEPECSYAYNQYAEACAPVLAQHGGGDAPGAAAAAFPASAASFSSRWRCPSHCISALIQLNHTRRGPALEDCDCAQDENCKSTKRAIEPCLPRTSGGGAGGPGAGGVMGCTEARRRCDRDSRCNLALSRYLTYCGKVFNGLRCTDECRTVIEDMLAMPKAALLNDCVCDGLERPICESVKENMARLCFGAELGNGPGSSGSDGGLDDYYDEDYDDEQRTGGAGGEQPLDDDDGVPHPPRPGSGAAASGGRGDLPYGPGRRSSGGGGRLAPRGAWTPLASILLLLLGPLF
P56962 1 169 0.329274556213018 Syntaxin-17 302 0 26 169 0 Homo sapiens (Human) SwissProt::P56962 1 SwissProt MSEDEEKVKLRRLEPAIQKFIKIVIPTDLERLRKHQINIEKYQRCRIWDKLHEEHINAGRTVQQLRSNIREIEKLCLKVRKDDLVLLKRMIDPVKEEASAATAEFLQLHLESVEELKKQFNDEETLLQPPLTRSMTVGGAFHTTEAEASSQSLTQIYALPEIPQDQNAA
Q02629 1 807 0.661745229244114 PF13634.6:Nucleoporin_FG:7:75,PF13634.6:Nucleoporin_FG:132:210,PF13634.6:Nucleoporin_FG:210:290,PF13634.6:Nucleoporin_FG:287:374,PF13634.6:Nucleoporin_FG:372:445,PF13634.6:Nucleoporin_FG:429:509,PF13634.6:Nucleoporin_FG:501:577 Nucleoporin NUP100/NSP100; Nuclear pore protein NUP100/NSP100 959 515 26 807 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02629 1 SwissProt MFGNNRPMFGGSNLSFGSNTSSFGGQQSQQPNSLFGNSNNNNNSTSNNAQSGFGGFTSAAGSNSNSLFGNNNTQNNGAFGQSMGATQNSPFGSLNSSNASNGNTFGGSSSMGSFGGNTNNAFNNNSNSTNSPFGFNKPNTGGTLFGSQNNNSAGTSSLFGGQSTSTTGTFGNTGSSFGTGLNGNGSNIFGAGNNSQSNTTGSLFGNQQSSAFGTNNQQGSLFGQQSQNTNNAFGNQNQLGGSSFGSKPVGSGSLFGQSNNTLGNTTNNRNGLFGQMNSSNQGSSNSGLFGQNSMNSSTQGVFGQNNNQMQINGNNNNSLFGKANTFSNSASGGLFGQNNQQQGSGLFGQNSQTSGSSGLFGQNNQKQPNTFTQSNTGIGLFGQNNNQQQQSTGLFGAKPAGTTGSLFGGNSSTQPNSLFGTTNVPTSNTQSQQGNSLFGATKLTNMPFGGNPTANQSGSGNSLFGTKPASTTGSLFGNNTASTTVPSTNGLFGNNANNSTSTTNTGLFGAKPDSQSKPALGGGLFGNSNSNSSTIGQNKPVFGGTTQNTGLFGATGTNSSAVGSTGKLFGQNNNTLNVGTQNVPPVNNTTQNALLGTTAVPSLQQAPVTNEQLFSKISIPNSITNPVKATTSKVNADMKRNSSLTSAYRLAPKPLFAPSSNGDAKFQKWGKTLERSDRGSSTSNSITDPESSYLNSNDLLFDPDRRYLKHLVIKNNKNLNVINHNDDEASKVKLVTFTTESASKDDQASSSIAASKLTEKAHSPQTDLKDDHDESTPDPQSKSPNGSTSIPMIENEKISSKVPGLLS
Q16384 1 188 0.587865425531915 PF09514.10:SSXRD:157:187,PF01352.27:KRAB:24:62 Protein SSX1; Cancer/testis antigen 5.1; CT5.1; Synovial sarcoma, X breakpoint 1 188 70 26 188 0 Homo sapiens (Human) SwissProt::Q16384 1 SwissProt MNGDDTFAKRPRDDAKASEKRSKAFDDIATYFSKKEWKKMKYSEKISYVYMKRNYKAMTKLGFKVTLPPFMCNKQATDFQGNDFDNDHNRRIQVEHPQMTFGRLHRIIPKIMPKKPAEDENDSKGVSEASGPQNDGKQLHPPGKANISEKINKRSGPKRGKHAWTHRLRERKQLVIYEEISDPEEDDE
Q24478 136 1096 0.741648491155047 Centrosome-associated zinc finger protein CP190; Protein enhancer of mod(mdg4)4-1; dMAP190 1096 0 26 961 0 Drosophila melanogaster (Fruit fly) SwissProt::Q24478 1 SwissProt PSPKGIRRRTVGQPSSGLPQQRVLGPSPQSRNVATPIAQRANTQRGSTGNTMSRTSGGSNRSPYGDSSNVKQEPTSPFEQLRKGYNNNKRPAQTSLLSPPSKKPSLEEVKEFAEQQRMRKQIAAEYGDNDPEYDGGMLYDDVHAGDDDDDDMPPQPSTSKQQSPQGTQTQLEHGSTTIILKQDSPSQTPTIIVKDSSNAKLNHTKIIAEVLRQYPHIVKGHKNIKLKIMPNTPAAPTEKSAPATVKPPANQSSATTSPHKKLHVSFKADKSTPLITAQQKAASSQQKSGTSQTTGNQGTGANPPANTAAAQKRRIDSKTMHALIAQGAENTTGPWLCLRCGVNGRPISIPSYRGFRRHLINTHKETIDPALCEHCGWRSVNNRELHFHMYMEHQTKSLLYTFAECALCNQSYRTKGELEAHINEVHTDDNKQQCIYCNKVFEQELQLYRHMKSYHKEQALEDGIIDETDEEFLGSQDEEEEAEGDEEQEPEQTGKVRILSDISLPATSAITVQQAQQEQLQEEDVEQVQQEVKFVGADGNEVELTDEQRKEILSQLNQQQAGATAGGVVMVLSEPEAEHVKQETDEKSLAGTEEEYDDSQIYSELGAADSVESAKKNIADESKESIDNLEWAENLIAESEEQSNKEPKSDKPRDDISEKLKELTGDWTEDENDDDVDDKPATAELASELANKDPEPTVHEEEDDIDLALQSLHKGPEEATEEKASEESVTSADDAVDAVPNINSQPEKMDVDSEAADEKASKAEVQIKKEAELENDQEEFIKEDSPIPHSDSVAELREAVTASEGEDDVHLEADNIRKELLDELIAEAEKPDQEKDIVQSEENATTEALDRSVTDEDDLVPPTQVSTEQMEIDEPAAEKAAENNEDTRTADEKEAVEDKPNQTQDVTTAEKPTLESAKAGDEATSGEAASVDKVKSLISEWGDDDEDEDENGVSAAAKEEL
VIMSS10078509 166 393 0.431065789473684 Zinc finger CCCH domain-containing protein 2; AtC3H2; Protein SOMNUS; SOM; Tandem CCCH Zinc Finger protein 4; AtTZF4 393 0 26 228 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZWA1 1 SwissProt DGKHCKRKVCFFAHSPRQLRVLPPENVSGVSASPSPAAKNPCCLFCSSSPTSTLLGNLSHLSRSPSLSPPMSPANKAAAFSRLRNRAASAVSAAAAAGSMNYKDVLSELVNSLDSMSLAEALQASSSSPVTTPVSAAAAAFASSCGLSNQRLHLQQQQPSSPLQFALSPSTPSYLTNSPQANFFSDDFTPRRRQMNDFTAMTAVRENTNIEDGSCGDPDLGWVNDLLT
VIMSS10078592 1 176 0.519952272727273 PF02309.16:AUX_IAA:50:169 Auxin-responsive protein IAA12; Indoleacetic acid-induced protein 12; Protein BODENLOS 239 120 26 176 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38830 1 SwissProt MRGVSELEVGKSNLPAESELELGLGLSLGGGAWKERGRILTAKDFPSVGSKRSAESSSHQGASPPRSSQVVGWPPIGLHRMNSLVNNQAMKAARAEEGDGEKKVVKNDELKDVSMKVNPKVQGLGFVKVNMDGVGIGRKVDMRAHSSYENLAQTLEEMFFGMTGTTCREKVKPLRL
VIMSS10080270 1 530 0.147885849056604 PF00743.19:FMO-like:11:500,PF13450.6:NAD_binding_8:15:72 Probable flavin-containing monooxygenase 1; EC 1.14.13.- 530 490 26 530 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LMA1 1 SwissProt MASNYDKLTSSRVAIIGAGVSGLAAAKNLVHHNPTVFEASDSVGGVWRSCTYETTKLQSARVDYEFSDFPWPNNRDDTTFPPYLEILDYLESYAKHFDLLKFMKFGSKVIEVRFIGDGETPQMVDLGAYGNLLPGKPVWEVAVQIGDSGDIQWHAFEFVVVCTGKYGDVPRIPAFPAKKGPEMFQGKVMHSMDYCKLEKEEASTLLSGKKVAVIGFKKSAIDLALESALANQGEGGKACTMVVRTTHWGIPHYWVWGLPFFLFYSSRASQFLHDRPNQSFLRTLFCLLFSLLRAVVSKFIESYVLWKLPLEKYGLKPNHSFEEDYASCQMAIIPENFFEEADKGMIRFKKSSKWWFYEEGIVFEDGTTLEADVVILATGYDGKKKLKAIVPEPFRTWLEFPSGVMPLYRGTIHPLIPNMGFVGYVQSSSNLHTSELRSMWLSRLVDEKFRLPSKEKMLDQFLKEMEVTRNSSRFYKRHCISTFSIQHADDMCNDMGLNPWRKSNFLLEAFSPYGSQDYRLGQEEKEDMTA
VIMSS10083657 1 176 0.490293181818182 Nuclear transcription factor Y subunit A-5; AtNF-YA-5 308 0 26 176 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYH4 1 SwissProt MQVFQRKEDSSWGNSMPTTNSNIQGSESFSLTKDMIMSTTQLPAMKHSGLQLQNQDSTSSQSTEEESGGGEVASFGEYKRYGCSIVNNNLSGYIENLGKPIENYTKSITTSSMVSQDSVFPAPTSGQISWSLQCAETSHFNGFLAPEYASTPTALPHLEMMGLVSSRVPLPHHIQE
VIMSS10085806 1 451 0.279926164079823 PF07887.11:Calmodulin_bind:90:370 Protein SAR DEFICIENT 1 451 281 26 451 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9T2 1 SwissProt MAGKRLFQDLDSDQENKSEKRIKSVLPSLASPISSVFGALISENTLRSVLEPVIRKVVRQEVEYGISKRFRLSRSSSFRIEAPEATTPTLKLIFRKNLMTPIFTGSKISDVDNNPLEIILVDDSNKPVNLNRPIKLDIVALHGDFPSGDKWTSDEFESNIIKERDGKRPLLAGEVSVTVRNGVATIGEIVFTDNSSWIRSRKFRIGAKVAKGSSGQGVVVCEAMTEAIVVRDHRGELYKKHHPPMLEDEVWRLEKIGKDGAFHKKLSSRHINTVQDFLKLSVVDVDELRQILGPGMSDRKWEVTLKHARECILGNKLYISRGPNFFMILNPICEVMKALIDGHVLSSQESLNQPYVKNLVRDAYSKGNFLEVGERTANEAALLTQGDDLDQQYAASHYQNIEIDKSYQQNGYVQERSTNNLEIVNEGYITTPAEFNICFTGSSSQNHINPF
VIMSS10086021 1 98 0.0471520408163265 PF02704.14:GASA:39:98 Gibberellin-regulated protein 1; GAST1 protein homolog 1 98 60 26 98 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46689 1 SwissProt MAISKALIASLLISLLVLQLVQADVENSQKKNGYAKKIDCGSACVARCRLSRRPRLCHRACGTCCYRCNCVPPGTYGNYDKCQCYASLTTHGGRRKCP
VIMSS10086240 1 470 0.0416821276595745 PF01490.18:Aa_trans:42:414 like AUX1 3 470 373 26 250 10 Arabidopsis thaliana NP_177892.1 1 RefSeq MAAEKIETVVAGNYLEMEREEENISGNKKSSTKTKLSNFFWHGGSVYDAWFSCASNQVAQVLLTLPYSFSQLGMMSGILFQLFYGLMGSWTAYLISVLYVEYRTRKEREKFDFRNHVIQWFEVLDGLLGKHWRNLGLIFNCTFLLFGSVIQLIACASNIYYINDKLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLAMTTYTSWYLTIASLLHGQAEDVKHSGPTTMVLYFTGATNILYTFGGHAVTVEIMHAMWKPQKFKAIYLLATIYVLTLTLPSASAVYWAFGDKLLTHSNALSLLPKTGFRDTAVILMLIHQFITFGFASTPLYFVWEKLIGVHETKSMFKRAMARLPVVVPIWFLAIIFPFFGPINSAVGSLLVSFTVYIIPALAHMLTFAPAPSRENAVERPPRVVGGWMGTYCINIFVVVWVFVVGFGFGGWASMVNFVRQIDTFGLFTKCYQCPPHKP
VIMSS10086816 1 594 0.128547643097643 PF07690.16:MFS_1:72:537,PF13347.6:MFS_2:79:228 Sucrose transport protein SUC3; Sucrose permease 3; Sucrose transporter 2; Sucrose-proton symporter 3 594 466 26 324 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80605 1 SwissProt MSDSVSISVPYRNLRKEIELETVTKHRQNESGSSSFSESASPSNHSDSADGESVSKNCSLVTLVLSCTVAAGVQFGWALQLSLLTPYIQTLGISHAFSSFIWLCGPITGLVVQPFVGIWSDKCTSKYGRRRPFILVGSFMISIAVIIIGFSADIGYLLGDSKEHCSTFKGTRTRAAVVFIIGFWLLDLANNTVQGPARALLADLSGPDQRNTANAVFCLWMAIGNILGFSAGASGKWQEWFPFLTSRACCAACGNLKAAFLLAVVFLTICTLVTIYFAKEIPFTSNKPTRIQDSAPLLDDLQSKGLEHSKLNNGTANGIKYERVERDTDEQFGNSENEHQDETYVDGPGSVLVNLLTSLRHLPPAMHSVLIVMALTWLSWFPFFLFDTDWMGREVYHGDPTGDSLHMELYDQGVREGALGLLLNSVVLGISSFLIEPMCQRMGARVVWALSNFTVFACMAGTAVISLMSLSDDKNGIEYIMRGNETTRTAAVIVFALLGFPLAITYSVPFSVTAEVTADSGGGQGLAIGVLNLAIVIPQMIVSLGAGPWDQLFGGGNLPAFVLASVAAFAAGVIALQRLPTLSSSFKSTGFHIG
VIMSS10089844 1 449 0.512901781737193 PF00847.20:AP2:152:201 Ethylene-responsive transcription factor RAP2-7; Protein RELATED TO APETALA2 7; Protein TARGET OF EAT 1 449 50 26 449 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SK03 1 SwissProt MLDLNLNADSPESTQYGGDSYLDRQTSDNSAGNRVEESGTSTSSVINADGDEDSCSTRAFTLSFDILKVGSSSGGDESPAASASVTKEFFPVSGDCGHLRDVEGSSSSRNWIDLSFDRIGDGETKLVTPVPTPAPVPAQVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFTLGDYEEDMKQVQNLSKEEFVHILRRQSTGFSRGSSKYRGVTLHKCGRWEARMGQFLGKKAYDKAAINTNGREAVTNFEMSSYQNEINSESNNSEIDLNLGISLSTGNAPKQNGRLFHFPSNTYETQRGVSLRIDNEYMGKPVNTPLPYGSSDHRLYWNGACPSYNNPAEGRATEKRSEAEGMMSNWGWQRPGQTSAVRPQPPGPQPPPLFSVAAASSGFSHFRPQPPNDNATRGYFYPHP
VIMSS10090916 1 202 0.471472772277228 Protein FAR-RED ELONGATED HYPOCOTYL 1; Protein PHYTOCHROME A SIGNAL TRANSDUCTION 3 202 0 26 202 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S4Q6 1 SwissProt MPEVEVDNNNEKPSEINSFHHMIISSSKNVLKMEEVEVSKKRKFQTDQSDELSLLPLSKHTCFANVACSENTNGNSEIDTEYSMSSYVNSTTSMECNNDIEMKEESSGSCGEDKMISFESHLDYIYGTQNLEDFSEKVIENILYLDEQEEEEEDAKGCSSNAAKFVLSSGRWTVNQDDSTLHETKKPTIDQEFEQYFSTLML
VIMSS10091461 110 245 0.624781617647059 LOB domain-containing protein 16; ASYMMETRIC LEAVES 2-like protein 18; AS2-like protein 18 245 0 26 136 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SLB7 1 SwissProt MQMKAQIAGHQTSAAGDLRHSSESTNQFMTWQQTSVSPIGSAYSTPYNHHQPYYGHVNPNNPVSPQSSLEESFSNTSSDVTTTANVRETHHQTGGGVYGHDGIGFHEGYPNKKRSVSYCSSDLGELQALALRMMKN
VIMSS10091975 1 233 0.598403862660944 Transcription factor PIL1; Basic helix-loop-helix protein 124; AtbHLH124; bHLH 124; Protein PHYTOCHROME INTERACTING FACTOR 3-LIKE 1; Transcription factor EN 110; bHLH transcription factor bHLH124 416 0 26 233 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L5W8 1 SwissProt MEAKPLASSSSEPNMISPSSNIKPKLKDEDYMELVCENGQILAKIRRPKNNGSFQKQRRQSLLDLYETEYSEGFKKNIKILGDTQVVPVSQSKPQQDKETNEQMNNNKKKLKSSKIEFERNVSKSNKCVESSTLIDVSAKGPKNVEVTTAPPDEQSAAVGRSTELYFASSSKFSRGTSRDLSCCSLKRKYGDIEEEESTYLSNNSDDESDDAKTQVHARTRKPVTKRKRSTEV
VIMSS10092132 1 276 0.249578260869565 PF01459.22:Porin_3:5:269 Mitochondrial outer membrane protein porin 1; Voltage-dependent anion-selective channel protein 1; AtVDAC1; VDAC-1 276 265 26 276 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRH5 1 SwissProt MVKGPGLYTEIGKKARDLLYKDHNSDQKFSITTFSPAGVAITSTGTKKGDLLLGDVAFQSRRKNITTDLKVCTDSTFLITATVDEAAPGLRSIFSFKVPDQNSGKVELQYLHEYAGISTSMGLTQNPTVNFSGVIGSNVLAVGTDVSFDTKSGNFTKINAGLSFTKEDLIASLTVNDKGDLLNASYYHIVNPLFNTAVGAEVSHKLSSKDSTITVGTQHSLDPLTSVKARVNSAGIASALIQHEWKPKSFFTISGEVDTKSIDKSAKVGLALALKP
VIMSS10100233 1 314 0.245135987261146 PF04674.12:Phi_1:35:313 Protein EXORDIUM 314 279 26 314 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZPE7 1 SwissProt MYLLVFKLFLFLSLLQISVSARNLASQEPNQFQLLKYHKGALLSGKISVNLIWYGKFKPSQRAIISDFITSLTHTSPTSKTLHQPSVATWWKTTEKYYKLATPSKNSSPLSLTLGKQIIDESCSLGKSLTDKKIQTLASKGDQRNAINVVLTSADVTVTGFGMSRCGTHGHARGLGKRGSKFAYIWVGNSETQCPGQCAWPFHAPVYGPQSPPLVAPNNDVGLDGMVINLASLLAGTATNPFGNGYYQGPQNAPLEAASACPGVYGKGAYPGYAGDLLVDTTTGGSFNAYGANGRKFLLPALYDPTTSACSTMV
VIMSS10102662 214 426 0.206428638497653 Probable indole-3-pyruvate monooxygenase YUCCA8; Flavin-containing monooxygenase YUCCA8; EC 1.14.13.168 426 0 26 213 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SVU0 1 SwissProt HFAKPSMVVRSSLHVMPREVMGKSTFELAMKMLRWFPLWLVDKILLVLSWMVLGNIEKYGLKRPEMGPMELKSVKGKTPVLDIGAIEKIRLGKINVVPGIKRFNGNKVELVNGEQLDVDSVVLATGYRSNVPYWLQENEFFAKNGFPKTVADNNGWKGRTGLYAVGFTRKGLSGASMDAVKIAQDIGSVWQLETKQPTKRSRGSLRRCISQQF
VIMSS10104608 1 158 0.804970253164557 PF03760.15:LEA_1:1:71 Late embryogenesis abundant protein 46; Late embryogenesis abundant protein 4-5; AtLEA4-5 158 71 26 158 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FG31 1 SwissProt MQSMKETASNIAASAKSGMDKTKATLEEKAEKMKTRDPVQKQMATQVKEDKINQAEMQKRETRQHNAAMKEAAGAGTGLGLGTATHSTTGQVGHGTGTHQMSALPGHGTGQLTDRVVEGTAVTDPIGRNTGTGRTTAHNTHVGGGGATGYGTGGGYTG
VIMSS10106036 1 749 0.231105874499332 PF05691.12:Raffinose_syn:7:731 Probable galactinol--sucrose galactosyltransferase 6; Protein DARK INDUCIBLE 10; Raffinose synthase 6; EC 2.4.1.82 749 725 26 749 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RX87 1 SwissProt MTIKPAVRISDGNLIIKNRTILTGVPDNVITTSASEAGPVEGVFVGAVFNKEESKHIVPIGTLRNSRFMSCFRFKLWWMAQRMGEMGRDIPYETQFLLVESNDGSHLESDGANGVECNQKVYTVFLPLIEGSFRSCLQGNVNDEVELCLESGDVDTKRSSFTHSLYIHAGTDPFQTITDAIRTVKLHLNSFRQRHEKKLPGIVDYFGWCTWDAFYQEVTQEGVEAGLKSLAAGGTPPKFVIIDDGWQSVERDATVEAGDEKKESPIFRLTGIKENEKFKKKDDPNVGIKNIVKIAKEKHGLKYVYVWHAITGYWGGVRPGEEYGSVMKYPNMSKGVVENDPTWKTDVMTLQGLGLVSPKKVYKFYNELHSYLADAGVDGVKVDVQCVLETLGGGLGGRVELTRQFHQALDSSVAKNFPDNGCIACMSHNTDALYCSKQAAVIRASDDFYPRDPVSHTIHIASVAYNSVFLGEFMQPDWDMFHSVHPAAEYHASARAISGGPLYVSDSPGKHNFELLRKLVLPDGSILRARLPGRPTRDCLFADPARDGVSLLKIWNMNKYTGVLGVYNCQGAAWSSTERKNIFHQTKTDSLTGSIRGRDVHSISEASTDPTTWNGDCAVYSQSRGELIVMPYNVSLPVSLKIREHEIFTVSPISHLVDGVSFAPIGLVNMYNSGGAIEGLRYEAEKMKVVMEVKGCGKFGSYSSVKPKRCVVESNEIAFEYDSSSGLVTFELDKMPIENKRFHLIQVEL
VIMSS10106518 70 181 0.533363392857143 Integrase-type DNA-binding superfamily protein 181 0 26 112 0 Arabidopsis thaliana NP_197901.1 1 RefSeq IPTSSSKLLSATLTAKLHKCYMASLQMTKQTQTQTQTQTARSQSADSDGVTANESHLNRGVTETTEIKWEDGNANMQQNFRPLEEDHIEQMIEELLHYGSIELCSVLPTQTL
VIMSS10111149 86 196 0.414050450450451 PF01486.17:K-box:12:79 Agamous-like MADS-box protein AGL31; Protein MADS AFFECTING FLOWERING 2 196 68 26 111 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FPN7 1 SwissProt KTRNYLPLKELLEIVQSKLEESNVDNASVDTLISLEEQLETALSVTRARKTELMMGEVKSLQKTENLLREENQTLASQVGKKTFLVIEGDRGMSWENGSGNKVRETLPLLK
VIMSS10111168 1 368 0.34012445652174 PF14144.6:DOG1:170:245,PF00170.21:bZIP_1:82:113,PF07716.15:bZIP_2:83:130 Transcription factor TGA1; DNA-binding protein TGA1a-like protein; bZIP transcription factor 47; AtbZIP47 368 125 26 368 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39237 1 SwissProt MNSTSTHFVPPRRVGIYEPVHQFGMWGESFKSNISNGTMNTPNHIIIPNNQKLDNNVSEDTSHGTAGTPHMFDQEASTSRHPDKIQRRLAQNREAARKSRLRKKAYVQQLETSRLKLIQLEQELDRARQQGFYVGNGIDTNSLGFSETMNPGIAAFEMEYGHWVEEQNRQICELRTVLHGHINDIELRSLVENAMKHYFELFRMKSSAAKADVFFVMSGMWRTSAERFFLWIGGFRPSDLLKVLLPHFDVLTDQQLLDVCNLKQSCQQAEDALTQGMEKLQHTLADCVAAGQLGEGSYIPQVNSAMDRLEALVSFVNQADHLRHETLQQMYRILTTRQAARGLLALGEYFQRLRALSSSWATRHREPT
VIMSS109785 205 463 0.204527027027027 PF07992.14:Pyr_redox_2:4:135 NADH dehydrogenase 463 132 26 236 1 Mycobacterium tuberculosis H37Rv NP_216370.1 1 RefSeq DSTKARVILLDAAPAVLPPMGAKLGQRAAARLQKLGVEIQLGAMVTDVDRNGITVKDSDGTVRRIESACKVWSAGVSASRLGRDLAEQSRVELDRAGRVQVLPDLSIPGYPNVFVVGDMAAVEGVPGVAQGAIQGAKYVASTIKAELAGANPAEREPFQYFDKGSMATVSRFSAVAKIGPVEFSGFIAWLIWLVLHLAYLIGFKTKITTLLSWTVTFLSTRRGQLTITDQQAFARTRLEQLAELAAEAQGSAASAKVAS
VIMSS109810 1 687 0.0789783114992723 PF07690.16:MFS_1:33:425,PF06609.13:TRI12:37:448,PF00083.24:Sugar_tr:60:197 drug transporter (NCBI) 687 416 26 372 14 Mycobacterium tuberculosis CDC1551 VIMSS109810 1 MicrobesOnline MAGPTAPTTAPTAIRAGGPLLSPVRRNIIFTALVFGVLVAATGQTIVVPALPTIVAELGSTVDQSWAVTSYLLGGTVVVVVAGKLGDLLGRNRVLLGSVVVFVVGSVLCGLSQTMTMLAISRALQGVGAGAISVTAYALAAEVVPLRDRGRYQGVLGAVFGVNTVTGPLLGGWLTDYLSWRWAFWINVPVSIAVLTVAATAVPALARPPKPVIDYLGILVIAVATTALIMATSWGGTTYAWGSATIVGLLIGAAVALGFFVWLEGRAAAAILPPRLFGSPVFAVCCVLSFVVGFAMLGALTFVPIYLGYVDGASATASGLRTLPMVIGLLIASTGTGVLVGRTGRYKIFPVAGMALMAVAFLLMSQMDEWTPPLLQSLYLVVLGAGIGLSMQVLVLIVQNTSSFEDLGVATSGVTFFRVVGASFGTATFGALFVNFLDRRLGSALTSGAVPVPAVPSPAVLHQLPQSMAAPIVRAYAESLTQVFLCAVSVTVVGFILALLLREVPLTDIHDDADDLGDGFGVPRAESPEDVLEIAVRRMLPNGVRLRDIATQPGCGLGVAELWALLRIYQYQRLFEAVRLTDIGRHLHVPYQVFEPVFDRLVQTGYAARDGDILTLTPSGHRQVDSLAVLIRQWLLDHLAVAPGLKRQPDHQFEAALQHVTDAVLVQRDWYEDLGDLSESRQLAATT
VIMSS149319 1 392 0.130386224489796 PF17151.4:CHASE7:41:226 diguanylate cyclase 570 186 26 346 2 Salmonella enterica subsp. enterica serovar Typhimurium str. LT2 NP_460938.1 1 RefSeq MPHETLLDNQGWFKKLARRFGPGHVVNTCFLIVMLFSTLLTWREVMILKDAYVASQRNHLGSVANVLDRQLQFNMDRLIFLRNGMHEALVAPLAFSALQSAVTQFEQRRVRHFWQLELDKRRTLPLYGVSDQFVARTTLLSRESRDLANELTATLELGYLARLARSSAMLALETMYVSRSGFYLSTLPTAYGSDIVSRYYQYVTQPWFIEQSQRRNSQRGVRWFTSAQPYVTDEQKKVTASLPLDHDNYWYGVLAMDIPVASLQRFLRDAAEKDIEGEYQLYDNHLRLLTDSAPEQQTANTLNDRERALLAREIEKDTLGGLRLGTHYVSWERLDHFDGILLRVHTLREGIQGNFGSISIALTLLWGLFTAMLLISWGVIRHMVKNMFVLQN
VIMSS157105 1 462 0.34574329004329 PF05737.12:Collagen_bind:177:295,PF17961.1:Big_8:50:145,PF01476.20:LysM:358:399 Cell wall protein Lmo0880 462 257 26 439 1 Listeria monocytogenes serovar 1/2a (strain ATCC BAA-679 / EGD-e) SwissProt::Q8Y8L7 1 SwissProt MKKRWLVFAIICLIITGFLSPKAEAATDYGSSFFTNVSLQNQNGEQATNFKENSKVRVAYDFVITQPVASGETMTLTIPDQLKLINYGGFPLMDSQGNTIANATIDQVTGTITLTFTDYVNTHTDLSGSLFYNATFNSKNIQTDQVNPIAFPVKNTTQTVTPYISKVNSGGGTGSPTIVFKQGRMDDKDLSILHWTVTLNNALTPIDNAVYTDTLGSGQNLLGSATIKYRDANKKVIATNIQPIALDADRNFELSIGALNNQSVVITYDTKITTKQKSYTNKATLSGDNLDAVSRNATVNDYGSGGQGTGTPPAPPVKEEPPFIPAEKQPIEKTVETDFGPLEIVKDSEQNGKIKVIYKVKDGDTLPGVANKFDVSVAEIKDWNNLTSDTLQAGQKLQLTIEKTLLSKITVPPVQKVTSTTRVDGVVKATGVLPHTGDSNPFIPFVTGLSLIALGFTFGRKS
VIMSS16168 1 446 0.286681614349776 PF13807.6:GNVR:367:446,PF02706.15:Wzz:16:107 protein-tyrosine kinase Wzc (EC 2.7.10.2; EC 2.7.12.1; EC 2.7.10.1) 720 172 26 403 2 Escherichia coli K-12 substr. MG1655 ecocyc::G7105-MONOMER 1 ecocyc MTEKVKQHAAPVTGSDEIDIGRLVGTVIEARWWVIGITTVFALCAVVYTFFATPIYSADALVQIEQNSGNSLVQDIGSALANKPPASDAEIQLIRSRLVLGKTVDDLDLDIAVSKNTFPIFGAGWDRLMGRQNETVKVTTFNRPKEMADQVFTLNVLDNKNYTLSSDGGFSARGQAGQMLKKEGVTLMVEAIHASPGSEFTVTKYSTLGMINQLQNSLTVTENGKDAGVLSLTYTGEDREQIRDILNSIARNYQEQNIERKSAEASKSLAFLAQQLPEVRSRLDVAENKLNAFRQDKDSVDLPLEAKAVLDSMVNIDAQLNELTFKEAEISKLYTKVHPAYRTLLEKRQALEDEKAKLNGRVTAMPKTQQEIVRLTRDVESGQQVYMQLLNKEQELKITEASTVGDVRIVDPAITQPGVLKPKKGLIILGAIILGLMLSIVGVLLR
VIMSS17576 1 139 0.0949489208633093 DNA-binding transcriptional dual regulator GadW 242 0 26 139 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12242-MONOMER 1 ecocyc MTHVCSVILIRRSFDIYHEQQKISLHNESILLLEKNLADDFAFCSPDTRRLDIDELTVCHYLQNIRQLPRNLGLHSKDRLLINQSPPMPLVTAIFDSFNESGVNSPILSNMLYLSCLSMFSHKKELIPLLFNSISTVSG
VIMSS18391 1 262 0.162128625954199 PF06276.12:FhuF:93:236,PF11575.8:FhuF_C:241:261 hydroxamate siderophore iron reductase 262 165 26 262 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7949-MONOMER 1 ecocyc MAYRSAPLYEDVIWRTHLQPQDPTLAQAVRATIAKHREHLLEFIRLDEPAPLNAMTLAQWSSPNVLSSLLAVYSDHIYRNQPMMIRENKPLISLWAQWYIGLMVPPLMLALLTQEKALDVSPEHFHAEFHETGRVACFWVDVCEDKNATPHSPQHRMETLISQALVPVVQALEATGEINGKLIWSNTGYLINWYLTEMKQLLGEATVESLRHALFFEKTLTNGEDNPLWRTVVLRDGLLVRRTCCQRYRLPDVQQCGDCTLK
VIMSS1935680 1 454 0.246953303964758 PF11887.8:Mce4_CUP1:154:454,PF02470.20:MlaD:45:124 Mce family protein Mce1A 454 381 26 431 1 Mycobacterium tuberculosis H37Rv YP_177701.1 1 RefSeq MTTPGKLNKARVPPYKTAGLGLVLVFALVVALVYLQFRGEFTPKTQLTMLSARAGLVMDPGSKVTYNGVEIGRVDTISEVTRDGESAAKFILDVDPRYIHLIPANVNADIKATTVFGGKYVSLTTPKNPTKRRITPKDVIDVRSVTTEINTLFQTLTSIAEKVDPVKLNLTLSAAAEALTGLGDKFGESIVNANTVLDDLNSRMPQSRHDIQQLAALGDVYADAAPDLFDFLDSSVTTARTINAQQAELDSALLAAAGFGNTTADVFDRGGPYLQRGVADLVPTATLLDTYSPELFCTIRNFYDADPLAKAASGGGNGYSLRTNSEILSGIGISLLSPLALATNGAAIGIGLVAGLIAPPLAVAANLAGALPGIVGGAPNPYTYPENLPRVNARGGPGGAPGCWQPITRDLWPAPYLVMDTGASLAPYNHMEVGSPYAVEYVWGRQVGDNTINP
VIMSS1936033 1 270 0.489781481481482 PF04012.12:PspA_IM30:3:241 CONSERVED 35 KDA ALANINE RICH PROTEIN (NCBI) 270 239 26 270 0 Mycobacterium tuberculosis H37Rv VIMSS1936033 1 MicrobesOnline MANPFVKAWKYLMALFSSKIDEHADPKVQIQQAIEEAQRTHQALTQQAAQVIGNQRQLEMRLNRQLADIEKLQVNVRQALTLADQATAAGDAAKATEYNNAAEAFAAQLVTAEQSVEDLKTLHDQALSAAAQAKKAVERNAMVLQQKIAERTKLLSQLEQAKMQEQVSASLRSMSELAAPGNTPSLDEVRDKIERRYANAIGSAELAESSVQGRMLEVEQAGIQMAGHSRLEQIRASMRGEALPAGGTTATPRPATETSGGAIAEQPYGQ
VIMSS200939 27 510 0.35311652892562 PF11854.8:MtrB_PioB:35:484 extracellular iron oxide respiratory system outer membrane component MtrB 697 450 26 484 0 Shewanella oneidensis MR-1 NP_717385.1 1 RefSeq LANANTEKVKLSAWSCKGCVVETGTSGTVGVGVGYNSEEDIRSANAFGTSNEVAGKFDADLNFKGEKGYRASVDAYQLGMDGGRLDVNAGKQGQYNVNVNYRQIATYDSNSALSPYAGIGGNNLTLPDNWITAGSSNQMPLLMDSLNALELSLKRERTGLGFEYQGESLWSTYVNYMREEKTGLKQASGSFFNQSMMLAEPVDYTTDTIEAGVKLKGDRWFTALSYNGSIFKNEYNQLDFENAFNPTFGAQTQGTMALDPDNQSHTVSLMGQYNDGSNALSGRILTGQMSQDQALVTDNYRYANQLNTDAVDAKVDLLGMNLKVVSKVSNDLRLTGSYDYYDRDNNTQVEEWTQISINNVNGKVAYNTPYDNRTQRFKVAADYRITRDIKLDGGYDFKRDQRDYQDRETTDENTVWARLRVNSFDTWDMWVKGSYGNRDGSQYQASEWTSSETNSLLRKYNLADRDRTQVEARITHSPLESLTI 1
VIMSS25952 1 598 0.211044481605351 PF02707.16:MOSP_N:84:278,PF02722.15:MOSP_C:400:598 Tpr protein C 598 394 26 598 0 Treponema pallidum (strain Nichols) O88138 1 SwissProt/TReMBL MGRQVMQAGVLAGMVCAASGYAGVLTPQVSGTAQLQWGIAFQKNPRTGPGKHTHGFRTTNSLTISLPLVSKHTHTRRGEARSGVWAQLQLKDLAVELASSKSSTALSFTKPTASFQATLHCYGAYLTVGTSPSCVVNFAQLWKPFVTRAYSEKDTRYAPGFSGSGAKLGYQAHNVGNSGVDVDIGFLSFLSNGAWDSTDTTHSKYGFGADATLSYGVDRQRLLTLELAGNATLDQNYVKGTEDSKNENKTALLWGVGGRLTLEPGAGFRFSFALDAGNQHQSNAHAQTQERAILKAREVFRRVEGKLVQNLPNIMMPPGITEQTTLIEMVGLAALIAEGTLGSAIQTVLAAGALAALVSQLVPNIEQGVRDVFRSSDPRVVTAKLLAFLERAPMNALNIDALLRMQWKWLSSGIYFATAGTNIFGKRVFATTRAHYFDFAGFLKLETKSGDPYTHLLTGLNAGVEARVYIPLTYIRYRNNGGYELNGAVPPGTINMPILGKAWCSYRIPLGSHAWLAPHTSVLGTTNRFNIINPAGNLLNERALQYQVGLTFSPFEKVELSAQWEQGVLADAPYMGIAESIWSERHFGTLVCGMKVTW 1
VIMSS34805 1 284 0.287714788732394 PF13439.6:Glyco_transf_4:15:204,PF08323.11:Glyco_transf_5:2:192,PF13579.6:Glyco_trans_4_4:16:199,PF00534.20:Glycos_transf_1:213:282 Glycogen synthase; Alpha-1,4-glucosyltransferase Rv3032; UDP-glucose--glycogen glucosyltransferase; EC 2.4.1.11 414 273 26 284 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMY9 1 SwissProt MRILMVSWEYPPVVIGGLGRHVHHLSTALAAAGHDVVVLSRCPSGTDPSTHPSSDEVTEGVRVIAAAQDPHEFTFGNDMMAWTLAMGHAMIRAGLRLKKLGTDRSWRPDVVHAHDWLVAHPAIALAQFYDVPMVSTIHATEAGRHSGWVSGALSRQVHAVESWLVRESDSLITCSASMNDEITELFGPGLAEITVIRNGIDAARWPFAARRPRTGPAELLYVGRLEYEKGVHDAIAALPRLRRTHPGTTLTIAGEGTQQDWLIDQARKHRVLRATRFVGHLDHT
VIMSS35181 1 99 0.371427272727273 PF02604.19:PhdYeFM_antitox:10:69 Antitoxin VapB47 99 60 26 99 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WF23 1 SwissProt MRATVGLVEAIGIRELRQHASRYLARVEAGEELGVTNKGRLVARLIPVQAAERSREALIESGVLIPARRPQNLLDVTAEPARGRKRTLSDVLNEMRDEQ
VIMSS35566 1 643 0.0937311041990669 PF12250.8:AftA_N:31:453,PF12249.8:AftA_C:465:637 Galactan 5-O-arabinofuranosyltransferase; Arabinofuranosyltransferase AftA; EC 2.4.2.46 643 596 26 370 13 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WN03 1 SwissProt MPSRRKSPQFGHEMGAFTSARAREVLVALGQLAAAVVVAVGVAVVSLLAIARVEWPAFPSSNQLHALTTVGQVGCLAGLVGIGWLWRHGRFRRLARLGGLVLVSAFTVVTLGMPLGATKLYLFGISVDQQFRTEYLTRLTDTAALRDMTYIGLPPFYPPGWFWIGGRAAALTGTPAWEMFKPWAITSMAIAVAVALVLWWRMIRFEYALLVTVATAAVMLAYSSPEPYAAMITVLLPPMLVLTWSGLGARDRQGWAAVVGAGVFLGFAATWYTLLVAYGAFTVVLMALLLAGSRLQSGIKAAVDPLCRLAVVGAIAAAIGSTTWLPYLLRAARDPVSDTGSAQHYLPADGAALTFPMLQFSLLGAICLLGTLWLVMRARSSAPAGALAIGVLAVYLWSLLSMLATLARTTLLSFRLQPTLSVLLVAAGAFGFVEAVQALGKRGRGVIPMAAAIGLAGAIAFSQDIPDVLRPDLTIAYTDTDGYGQRGDRRPPGSEKYYPAIDAAIRRVTGKRRDRTVVLTADYSFLSYYPYWGFQGLTPHYANPLAQFDKRATQIDSWSGLSTADEFIAALDKLPWQPPTVFLMRHGAHNSYTLRLAQDVYPNQPNVRRYTVDLRTALFADPRFVVEDIGPFVLAIRKPQESA
VIMSS44912 1 257 0.341098832684825 PF03532.13:OMS28_porin:3:255 outer membrane porin OMS28 257 253 26 257 0 Borreliella burgdorferi B31 NP_045747.1 1 RefSeq MTKIFSNLIINGLLFGFVSLNVFADSNNANILKPQSNVLEHSDQKDNKKLDQKDQVNQALDTINKVTEDVSSKLEGVRESSLELVESNDAGVVKKFVGSMSLMSDVAKGTVVASQEATIVAKCSGMVAEGANKVVEMSKKAVQETQKAVSVAGEATFLIEKQIMLNKSPNNKELELTKEEFAKVEQVKETLMASERALDETVQEAQKVLNMVNGLNPSNKDQVLAKKDVAKAISNVVKVAQGARDLTKVMAISLYMR
VIMSS47013 110 390 0.0620804270462633 glycosyltransferase family 2 protein 390 0 26 281 0 Campylobacter jejuni subsp. jejuni PT14 WP_002858013.1 1 RefSeq YKIYKYKNALASDKLEISKIDYIIFLDSDNYWELNCIEECVVRMQNVDVLWFDHACIYDDGIEDKGQKTRMNVFNFTQECTITPRDYAKQAIKVGSRDISFSWGGMIDFSFLKQLKLKFINKIINEDIHFGMVLFASADSIYILPKRLYLCRLRANSISNHDKKVTKANVSEYFKDLYEFFGENAKEAKNYLKAASRMITALELIEFFKDQKNENSQAIKEAFLPFYVKKALMIKKFKKDPLNLKEKLPIIKPFIQTKIPYDLWKIWQKIKGILDKINFAK
VIMSS538819 1 158 0.242044303797468 PF01740.21:STAS:35:135,PF13466.6:STAS_2:41:111 CONSERVED HYPOTHETICAL PROTEIN (NCBI) 158 101 26 158 0 Mycobacterium bovis AF2122/97 VIMSS538819 1 MicrobesOnline MTTTIPTSKSACSVTTRPGNAAVDYGGAQIRAYLHHLATVVTIRGEIDAANVEQISEHVRRFSLGTNPMVLDLSELSHFSGAGISLLCILDEDCRAAGVQWALVASPAVVEQLGGRCDQGEHESMFPMARSVHKALHDLADAIDRRRQLVLPLISRSA
VIMSS541971 1 184 0.490196739130435 ESX-1 secretion-associated protein EspD 184 0 26 184 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJD5 1 SwissProt MDLPGNDFDSNDFDAVDLWGADGAEGWTADPIIGVGSAATPDTGPDLDNAHGQAETDTEQEIALFTVTNPPRTVSVSTLMDGRIDHVELSARVAWMSESQLASEILVIADLARQKAQSAQYAFILDRMSQQVDADEHRVALLRKTVGETWGLPSPEEAAAAEAEVFATRYSDDCPAPDDESDPW
VIMSS6 1 363 0.446002479338843 PF17461.2:DUF5423:16:363 hypothetical protein 363 348 26 271 4 Chlamydia trachomatis D/UW-3/CX NP_219507.1 1 RefSeq MTPVTPVPPQSPQQVKGLLSRFLTAPDRHPKLRYVYDIALIAISILCIVSIILWTQGSGLALFAIAPALAIGALGVTLLVSDLAESQKSKEIADTVAAVSLPFILTGTAAGLMFSAIAVGGGAVILANPLFLMGSMTLGFALMSLHRVTYQYLSNREQWKQQKKLEQVELAAWESHLPKESKSSALEEVRYSPRLMKRGKTWRKRAIRRKNYTPIPLVDKTLQTMQPDALFSSTTTHSTDSEQILTSVSPQSSDTESSSSSSFHTPPNSDKELSDSNSSDSSSSSEYMDALETVAAGDVSGITPPSKPSSSPKTTRRVVKLSRSERNAQHHRNKDQEQRQDSSESSEEDSSSDSSQKKKPSRK
VIMSS60674 1 349 0.17807994269341 PF01408.22:GFO_IDH_MocA:7:119 hydroxyphenyl-4,2-bis-thiazoline reductase 349 113 26 349 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) metacyc::MONOMER-15304 1 metacyc MSDVRSVVVAGSRFGQFYAAGVAADPRFVLRGILGQGSRRSRALAERLGVETWCEVEALPDDVRLACVAVGGAARGEQGPALAEALMARGIDVLIEHPLLPREWQDLLRSAERLGRRCLLNTFYPQLPAVARFIELGRQLHRRRGIRHLDAACGVQVGFATLDILAALLEGVGPWSLESPSNDLSAMRGLSLVLAEVPLSLLVLNELAAADDGRMTLLQRVSLTTDRGTLSLLSPHGPLLWTPAVAVPAEDDDGLFALFDEIAGEPLPSAQLWYAEPCSWAQVHQRLWPAAAAEALALLADGDEVRRRNQRSLEVAALWQRIGERLGFPEAPPASLAPASLEQVLEQAS
VIMSS6580681 1 1435 0.396534285714284 PF00617.19:RasGEF:1198:1387,PF00618.20:RasGEF_N:29:133 Guanine nucleotide exchange factor LTE1; Low temperature essential protein 1 1435 295 26 1435 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P07866 1 SwissProt MEIFSQKDYYPTPSSNVISYESDCVSKPVNSADLPALIVHLSSPLEGVDYNASADFFLIYRNFITPQDLHDLLIYRFRWCIREITTNAAKAKRRRIGEVALVRTFVLLRHSILNYFVQDFLPNITLRLRLIEFLNDKHIEQYPKIISSCIINLKKNWVHCSKLVWENIELNEPDKLDFDAWLHYSLKDFTQLESLHKRGSRLSIYARQSFASPDFRNQSVLSLYKTSDVFRLPEKLQSSNSSKNQRSPSMLLFPDNTSNVYSKHRIAKEPSVDNESEDMSDSKQKISHLSKVTLVSTLMKGVDYPSSYAVDKIMPPTPAKKVEFILNSLYIPEDLNEQSGTLQGTSTTSSLDNNSNSNSRSNTSSMSVLHRSAIGLLAKWMKNHNRHDSSNDKKFMSAIKPANQKPEMDAFVKYVVSISSLNRKSSKEEEEEFLNSDSSKFDILSARTIDEVESLLHLQNQLIEKVQTHSNNNRGPTVNVDCERREHIHDIKILQQNSFKPSNDNFSAMDNLDLYQTVSSIAQSVISLTNTLNKQLQNNESNMQPSPSYDALQRRKVKSLTTAYYNKMHGSYSAESMRLFDKDNSSSRTDENGPQRLLFHETDKTNSEAITNMTPRRKNHSQSQKSMTSSPLKNVLPDLKESSPLNDSREDTESITYSYDSELSSSSPPRDTVTKKSRKVRNIVNNTDSPTLKTKTGFLNLREFTFEDTKSLDEKKSTIDGLEKNYDNKENQESEYESTKKLDNSLDASSEANNYDITTRKKHSSCNHKIKQAVVRPASGRISISRVQSIAITPTKELSIVDPEQNKSNSVIEEISEIEPLNLEYNKKSALYSDTSSTVISISTSKLFESAQNSPLKQTQNPQREFPNGTSVSETNRIRLSIAPTIESVVSDLNSITTGSTVETFETSRDLPVPHQRIINLREEYQRGNQDIISNTSSLHELKTIDLSDSNNDLESPSTHAKNNKYFFSPDDGSIDVASPMKNVEELKSKFLKNESETNSNISGSVLTMDDIDINDTSSARNTRRANSESAFTGSLNKKNLNEIANMLDDSINDDPITVALMKLEGTYEKIPEKPENTKSSDAIGIKTSKLADEVEMLNLNNLPSFQNSPAEKRKSLLIERRRQTIMNIPFTPDQSEKEGFTSSSPEKIDVSANVDVAVQAAQIQELIGQYRIHDSRLMISNNESHVPFILMYDSLSVAQQMTLIEKEILGEIDWKDLLDLKMKHEGPQVISWLQLLVRNETLSGIDLAISRFNLTVDWIISEILLTKSSKMKRNVIQRFIHVADHCRTFQNFNTLMEIILALSSSVVQKFTDAWRLIEPGDLLTWEELKKIPSLDRNYSTIRNLLNSVNPLVGCVPFIVVYLSDLSANAEKKDWILEDKVVNYNKFDTNVQIVKNFIQRVQWSKFYTFKVNHELLSKCVYISTLTQEEINELST
VIMSS6580868 1 128 0.60077578125 Uncharacterized protein YBR016W 128 0 26 128 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38216 1 SwissProt MSANDYYGGTAGEKSQYSRPSNPPPSSAHQNKTQERGYPPQQQQQYYQQQQQHPGYYNQQGYNQQGYNQQGYNQQGYNQQGYNQQGYNQQGHQQPVYVQQQPPQRGNEGCLAACLAALCICCTMDMLF
VIMSS6581523 109 275 0.502908982035928 PF00076.22:RRM_1:36:86 La protein homolog; La autoantigen homolog; La ribonucleoprotein 275 51 26 167 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33399 1 SwissProt DLTAARNARIEQNQRTLAVMNFPHEDVEASQIPELQENLEAFFKKLGEINQVRLRRDHRNKKFNGTVLVEFKTIPECEAFLKSYSNDDESNEILSYEGKKLSVLTKKQFDLQREASKSKNFSGRSRSFNGHKKKNLPKFPKNKKKNGKEESKEDSSAIADDDEEHKE
VIMSS6582440 1 343 0.664670262390671 Aquaglycerol porin AQY3; Aquaporin-3 646 0 26 343 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43549 1 SwissProt MSYESGRSSSSSESTRPPTLKEEPNGKIAWEESVKKSRENNENDSTLLRRKLGETRKAIETGGSSRNKLSALTPLKKVVDERKDSVQPQVPSMGFTYSLPNLKTLNSFSDAEQARIMQDYLSRGVNQGNSNNYVDPLYRQLNPTMGSSRNRPVWSLNQPLPHVLDRGLAAKMIQKNMDARSRASSRRGSTDISRGGSTTSVKDWKRLLRGAAPGKKLGDIEAQTQRDNTVGADVKPTKLEPENPQKPSNTHIENVSRKKKRTSHNVNFSLGDESYASSIADAESRKLKNMQTLDGSTPVYTKLPEELIEEENKSTSALDGNEIGASEDEDADIMTFPNFWAKI
VIMSS6582960 398 816 0.571940095465394 Uncharacterized protein YGR130C 816 0 26 419 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53278 1 SwissProt PVATPENPELIVKTKEHGYLSKAVYDKINYDEKIHQAWLADLRAKEKDKYDAKNKEYKEKLQDLQNQIDEIENSMKAMREETSEKIEVSKNRLVKKIIDVNAEHNNKKLMILKDTENMKNQKLQEKNEVLDKQTNVKSEIDDLNNEKTNVQKEFNDWTTNLSNLSQQLDAQIFKINQINLKQGKVQNEIDNLEKKKEDLVTQTEENKKLHEKNVQVLESVENKEYLPQINDIDNQISSLLNEVTIIKQENANEKTQLSAITKRLEDERRAHEEQLKLEAEERKRKEENLLEKQRQELEEQAHQAQLDHEQQITQVKQTYNDQLTELQDKLATEEKELEAVKRERTRLQAEKAIEEQTRQKNADEALKQEILSRQHKQAEGIHAAENHKIPNDRSQKNTSVLPKDDSLYEYHTEEDVMYA
VIMSS6583816 1 577 0.561542807625649 Zap1p 880 0 26 577 0 Saccharomyces cerevisiae S288C NP_012479.1 1 RefSeq MDALTPRDSPKRDDSMATSAATAASAKPDALTIGKEGIVHGHIHNYNNLTYIHGHLHHSAPVNDSSASATPAAAAVADAATSAFASGASHDMGGDCHVNEKCKEYTDCQHFEFLNYHNNPSLTKYNDTATYNSNNHSFANNFHSVASDPTSPQQNSKSDLPRRKDSWFNDDLILLPSSKKNKPNPPPGSDDCYCTPKILEICCDETHPKSEANIKQGESDQPTKKDISENGNDVAIFTDVKNDHLMPNFNLHDQYCNSTNHDSHNHNNTVPDSFSQLMSHLSEIDCDLTCDTPCTASTSATSGHKFVQDHQSSNNDDVFHKYCKFCEESTDNQPCSKHMHLESKPPQLPPKCSSLRKPTNTLQGTNHAYHEHILNTDMDLKILEDLCNISSLYEVPFGKHINHHDHNNAGNGCDGSSTGNNENGNQTMNLLLSSINRCNPKNNLNGSNNNTAGATSTDHQHHHHRIQFHSHKPNRNNIVNNSGISAANTTADLTNNDLNDLISREYSYERFRNQSEPPSLPKVTHQNQKNRRSWPTKDLESTDFSSLEDSLPSSISPPIQTTSTINFNWCFKEEKNN
VIMSS6585567 1 301 0.176868438538206 PF06687.12:SUR7:9:206 Uncharacterized plasma membrane protein YNL194C 301 198 26 209 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40169 1 SwissProt MSYKKFVYFINLFFLLGATLLTFFLILAGGRTTGVLKNFYWFQASTSGFNSAPSVTRWYNYNWCGWESRGIAVNCSSKMAAQPFSPRDNFGSSPLMPSTFLNNRNAYYYLSRVGWAMLLIGLFFLLITLVSVIASLIRYNRRTAALATAMSWITLFFITLSACLYTGCYAKAVKAFHHENRDARLGPKNFGLIWTTVFLLIVNAICCTIMVATHKRNEYIYDRSFASTKTVDSQTPTPVPTNGGIPSSVPVTEVQQSQSHQNHRFFKKLRTKKRTVTSAGDEPDRVQEERVYTEQNVPVVS
VIMSS6586289 1 659 0.245699544764795 PF07690.16:MFS_1:100:505 Polyamine transporter 4 659 406 26 420 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12256 1 SwissProt MPSSLTKTESNSDPRTNIQQVPKALDKNVTNSGNLDSTSSSTGSITEDEKRSEPNADSNNMTGGEPIDPRDLDWDGPDDPDNPHNWSSLKKWYTTMTSAFLCLVVTMGSSLYVSSVPELVERYHVSQTLALAGLTFYLLGLSTVIGAPLSEVFGRKPVYLFSLPVSMLFTMGVGLSNGHMRIILPLRFLSGVFASPALSVGSGTILDIFDVDQVSVAMTYFVLSPFLGPVLSPIMAGFATEAKGWRWSEWIQLIAGGLILPFIALMPETHKGIILRKRAKKRNIALKKFSREAQKEFLKTTVTITILRPLKMLVVEPIVFVFSVYVAFIFAILFGFFEAYAVIYRGVYHMSMGISGLPFIGIGVGLWIGAFFYLYIDRKYLFPKPPAGTQPLTEKERTSKRTTPYRGARDAETGELLPVVPEKFLIACKFGSVALPIGLFWQAWTARSDVHWMAPVAAGVPFGFGLILIFFSVLMYFSTCYPPLTVASCLAANNLLRYVMSSVFPLFTIQMYTKMKIKWASTLFALVCVVMIPIPWVFEKWGSKLRHKSQFGYAAMEKEAETEGGIDDVNAVDGELNLTRMTTLRTMETDPSTREKPGERLSLRRTHTQPVPASFDREDGQHAQNRNEPISNSLYSAIKDNEDGYSYTEMATDASARMV
VIMSS832 1 264 0.258080681818182 inclusion membrane protein 264 0 26 218 2 Chlamydia trachomatis D/UW-3/CX NP_220333.1 1 RefSeq MTTLPNNCTSNSNSINTFTKDIEMAKQIQGSRKDPLAKTSWIAGLICVVAGVLGLLAIGIGGCSMASGLGLIGAIVAAVIVAVGLCCLVSALCLQVEKSQWWQKEFESWIEQKSQFRIVMADMLKANRKLQSEVEFLSKGWSDDTAVHKEDVTKYEQVVEEYAEKIMELYEETGVLTIEKINLQKEKKAWLEEKAEMEQKLTTVTDLEAAKQQLEEKVTDLESEKQELREELDKAIENLDEMAYEAMEFEKEKHGIKPGRRGSI
VIMSS92959 1 85 0.344197647058824 PF07338.13:DUF1471:34:85 DUF1471 domain-containing multiple stress resistance outer membrane protein BhsA 85 52 26 85 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6570-MONOMER 1 ecocyc MKNVKTLIAAAILSSMSFASFAAVEVQSTPEGQQKVGTISANAGTNLGSLEEQLAQKADEMGAKSFRITSVTGPNTLHGTAVIYK
WP_000446299.1 1 193 0.182887046632124 PF02706.15:Wzz:4:94 Capsular polysaccharide type 5 biosynthesis protein cap5A 222 91 26 150 2 Staphylococcus aureus (strain Newman) SwissProt::P95695 1 SwissProt MESTLELTKIKEVLQKNLKILIILPLLFLIISAIVTFFVLSPKYQANTQILVNQTKGDNPQFMAQEVQSNIQLVNTYKEIVKSPRILDEVSKDLNDKYSPSKLSSMLTITNQENTQLINIQVKSGHKQDSEKIANSFAKVTSKQIPKIMSVDNVSILSKADGTAVKVAPKTVVNLIGAFFLGLVVALIYIFFK
WP_000697557.1 1 298 0.148727181208054 OriC activity response regulator 298 0 26 298 0 Helicobacter pylori SS1 WP_000697557.1 1 RefSeq MKILIIEDDLALARSISHNLHDLGHFCEIISSISEENKEPYDVILVSSKVCTQGRCEHFVRYNSKQIIIMMASHVNEDGVNKPIQAGARDYILKPFKMDELLRKIQYHKAYQEMTARLGFYENYLDFIHAELPLPKDFSYRPPFIIHTPSQELANAYLLQYAKERQMDFSFFSLKDTTWKELYKNKDKLERPFYIMHLEELKKDEQLKLLELARSCPIVLSYTHKEPLEFPKIVSIECGNKPLSLFNNHTTFLSIQEYEKEAIRHFSSTCTDTELANKLGISRKSLWEKRRKYNLPRK
WP_010881995.1 1 434 0.212569585253456 PF03687.13:UPF0164:35:336 UPF0164 family protein 434 302 26 434 0 Treponema pallidum subsp. pallidum str. Nichols WP_010881995.1 1 RefSeq MRQNGAVPMISCSVRRRPRWEPQVGAAFLAFALLPVLASGRGMQAAVATAAGSSGSGSDGKHPGKEQFLQFLIPSGGRYEYLGVSFTALADDASFFEANPAGSAGLSRGEVALFHHSQIHDSHTETVSFARRTQNTGYGASVRAFSSESDLKSFFGGNSGGNKNGGHQGKQGKGFVAIANASHTFCGQYRFKGVSFGCNFKMGFRKGKTDSHVTVAGDLGLRAAFSVAKNFGSNEPNMHVGLVLKNAGISVKTNSCQVEHLNPAIAVGFAYRPVYAFLFSLGLQQTLTKRESPVCSVGFMFFCTQHVTLLASAACEGGAYALSGGAEIRIGSFHLDMGYRYDQIFQAAHPHHVSVGLKWLIPNGGTQADQALLVKESYLVGLRFYDQRRYQEAITAWQLTLRQDPGFEPAAEGIERARRFLKLHEKLSLFDILN
XP_005272243.1 1 841 0.612449702734839 rap guanine nucleotide exchange factor 1 isoform X6 1264 0 26 841 0 Homo sapiens XP_005272243.1 1 RefSeq MSGGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLMDKFHSPKIKRTPSKKGKPAEVSVKIPEKPVNKEATDRFLPEGYPLPLDLEQQAVEFMSTSAVASRSQRQKNLSWLEEKEKEVVSALRYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQNDPRIQHSSALSSCYSRVYQSLANLIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKELVRLTIEKQGRPSPTSPVKPSSPASKPDGPAELPLTDREVEILNKTTGMSQSTELLPDATDEEVAPPKPPLPGIRVVDNSPPPALPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQDFDVDCYAQRRLSGGSHSYGGESPRLSPCSSIGKLSKSDEQLSSLDRDSGQCSRNTSCETLDHYDPDYEFLQQDLSNADQIPQQTAWNLSPLPESLGESGSPFLGPPFQLPLGGHPQPDGPLAPGQQTDTPPALPEKKRRSAASQTADGSGCRVSYERHPSQYDNISGEDLQSTAPIPSVPYAPFAAILPFQHGGSSAPVEFVGDFTAPESTGDPEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSMFYQTPQNEHIYQQKNKLLMEVYGFSDSFSGVDSVQELAPPPALPPKQRQLQASCAASSFSSVSHCVQQTKVAFTPEDGSAAQGLSVSVSNSFLSRHGSLPVPSYKSVFRSYSQDFVPHHQASVPPFLPPTSSSSPHFPPAHQSQSSDLAVPTMAGPPPSTVDGPLSASQESSFHGNTVCLPSETSFTDSSENASEEAGEGEYVNLYSSGQSSEELAPSRGEPPAGKDGHPRDPSAVSGVPGKDSRDGSERAPKSPD
XP_006233014.1 1 468 0.253432264957265 PF00083.24:Sugar_tr:193:384,PF07690.16:MFS_1:174:467 Synaptic vesicle glycoprotein 2A; Synaptic vesicle protein 2; Synaptic vesicle protein 2A 742 294 26 310 7 Rattus norvegicus (Rat) SwissProt::Q02563 1 SwissProt MEEGFRDRAAFIRGAKDIAKEVKKHAAKKVVKGLDRVQDEYSRRSYSRFEEEEDDDDFPAPADGYYRGEGAQDEEEGGASSDATEGHDEDDEIYEGEYQGIPRAESGGKGERMADGAPLAGVRGGLSDGEGPPGGRGEAQRRKDREELAQQYETILRECGHGRFQWTLYFVLGLALMADGVEVFVVGFVLPSAEKDMCLSDSNKGMLGLIVYLGMMVGAFLWGGLADRLGRRQCLLISLSVNSVFAFFSSFVQGYGTFLFCRLLSGVGIGGSIPIVFSYFSEFLAQEKRGEHLSWLCMFWMIGGVYAAAMAWAIIPHYGWSFQMGSAYQFHSWRVFVLVCAFPSVFAIGALTTQPESPRFFLENGKHDEAWMVLKQVHDTNMRAKGHPERVFSVTHIKTIHQEDELIEIQSDTGTWYQRWGVRALSLGGQVWGNFLSCFSPEYRRITLMMMGVWFTMSFSYYGLTVWF
XP_006499166.1 1 440 0.460733863636363 PF03299.14:TF_AP-2:214:409 transcription factor AP-2 gamma isoform X2 440 196 26 440 0 Mus musculus XP_006499166.1 1 RefSeq MSFQLLRDRHDSSSNGNPRIPHLSSPGQHLYSPAPPLSHTGVAEYQPPPYFPPPYQQLAYSQSADHYSHLGEAYAAAMNPLHQPAATGSQQQAWPGRQSQEGSSLASHHSRSASLIPHISGLEGGSVSARREVYRRSDLLLPHAHALEAGLAENLGLHEMAHPIEEVQNVDDAHLLLHDQTVIRKGPISMTKNPLGLPCQKDLVGVVMNPSEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAAHVTLLTSLVEGEAVHLARDFAYVCEAEFPSKAVADYLTRPHLGGRNEMATRKSMLLAAQQVCKEFTDLLHQDRTPNGNNRPAQVLEPNIQNCLSHFSLITHGFGSQAICAAVSAVQNYIKEALIAIDKSYMNPGDQSPADSSKTMEKMEKHRK
XP_006502907.1 1 489 0.502905112474437 PF00859.18:CTF_NFI:237:338,PF00859.18:CTF_NFI:339:488,PF10524.9:NfI_DNAbd_pre-N:33:69,PF03165.16:MH1:92:193 nuclear factor 1 A-type isoform X4 489 391 26 489 0 Mus musculus XP_006502907.1 1 RefSeq MKLADSVMAGKASDGSIKWQLCYDISARTWWMDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKEEERAVKDELLSEKPEVKQKWASRLLAKLRKDIRPEYREDFVLTVTGKKPPCCVLSNPDQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLVKSPQCSNPGLCVQPHHIGVSVKELDLYLAYFVHAADSSQSESPSQPSEADIKDQPENGHLGFQDSFVTSGVFSVTELVRVSQTPIAAGTGPNFSLSDLESSSYYSMSPGAMRRSLPSTSSTSSTKRLKSVEDEMDSPGEEPFYTGQGRSPGSGSQSSGWHEVEPASPHATPSTLHFPTSPIIQQPGPYFSHPAIRYHPQETLKEFVQLVCPDAGQQAGQVGFLNPNGSSQGKVHNPFLPTPMLPPPPPPPMARPVPLPMPDTKPPTTSTEGGAASPTSPTYSTPSTSPANRFVSVGPRDPSFVNIPQQTQSWYLG
XP_006503928.1 1 339 0.113688790560472 PF01545.21:Cation_efflux:27:244 zinc transporter 3 isoform X1 339 218 26 201 6 Mus musculus XP_006503928.1 1 RefSeq MAFHHCHKDPVPQSGLSPERVQARRQLYAACAVCFIFMAGEVVGGYLAHSLAIMTDAAHLLADIGSMLASLFSLWLSTRPATRTMTFGWHRSETLGALASVVSLWIVTGILLYLAFLRLLHSDYHIEAGAMLLTASIAVCANLLMAFVLHQTGAPHSHGSTGAEYAPLEEGHGYPMSLGNTSVRAAFVHVLGDLLQSFGVLAASILIYFKPQYKVADPISTFLFSICALGSTAPTLRDVLLVLMEGAPRSVEFEPVRDTLLSVPGVRATHDLHLWALTLTYHVASAHLAIDSTADPEAVLAEASSRLYSRFGFSSCTLQVEQYQPEMAQCLRCQEPSQA
XP_006509169.1 1 422 0.0471895734597157 PF03062.19:MBOAT:145:362 ghrelin O-acyltransferase isoform X1 422 218 26 333 4 Mus musculus XP_006509169.1 1 RefSeq MESAQACWDGWGSRNKPSPPPHPTDARYLFLLAGGGVLAFAAMGPYSLLIFIPALCAVALVSFLSPQEVHRLTFFFQMGWQTLCHLGLHYTEYYLGEPPPVRFYITLSSLMLLTQRVTSLSLDICEGKVEAPRRGIRSKSSFSEHLWDALPHFSYLLFFPALLGGSLCSFRRFQACVQRSSSLYPSISFRALTWRGLQILGLECLKVALRSAVSAGAGLDDCQRLECIYLMWSTAWLFKLTYYSHWILDDSLLHAAGFGAEAGQGPGEEGYVPDVDIWTLETTHRISLFARQWNRSTALWLRRLVFRKSRRWPLLQTFAFSAWWHGLHPGQVFGFLCWSVMVKADYLIHTFANVCIRSWPLRLLYRALTWAHTQLIIAYIMLAVEGRSLSSLCQLCCSYNSLFPVMYGLLLFLLAERKDKRN
XP_006532541.1 1 225 0.152016444444445 PF04191.13:PEMT:115:217 phosphatidylethanolamine N-methyltransferase isoform X1 225 103 26 156 3 Mus musculus XP_006532541.1 1 RefSeq MPRGPFSGHAAGRGPHVAPPEGARVMEENTSPTTALISSSVAGHDCCGGFGNIDFRQVARWEQRTRKLSRAFGSPHLACYSLGICILLLNILRSHCFTQAMMSQPKMEGLDNHTTYFLGLAFLGWGFVFVLSSFYALGFTGTFLGDYFGILKESRVTTFPFSVLDNPMYWGSTANYLGWALMHASPTGLLLTVLVAIVYVVALLYEEPFTAEIYRQKATRLHKRS
XP_008757763.1 96 493 0.746462311557789 PF12347.8:HJURP_C:2:56 myocyte-specific enhancer factor 2A isoform X2 493 55 26 398 0 Rattus norvegicus XP_008757763.1 1 RefSeq CESPDADDYFEHSPLSEDRFSKLNEDSDFIFKRGPPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLAESSMLSPPPATLHRNVSPGAPQRPPSTGSAGGMLSTTDLTVPNGAGNSPVGNGFVNSRASPNLIGNTGANSVGKVMPTKSPPPPGGGSVGMNSRKPDLRVVIPPSSKGMMPPLNAQRISSSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFTSPGMLSLGQASAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNINIKSEPISPPRDRMTPSGFQQQQQQQPQQQPPPQPPQPQPQPRQEMGRSPVDSLSSSSSSYDGSDREDPRGDFHSPIVLGRPPNAEDRESPSVKRMRMDTWVT
XP_011237289.1 104 416 0.537425559105432 Optineurin 584 0 26 313 0 Mus musculus (Mouse) SwissProt::Q8K3K8 1 SwissProt NERLKEELGKFKEKSEKPLEDLTGGYRYPRALEEEVEKLKTQVEQEVEHLKIQVMRLRAEKADLLGIVSELQLKLNSGGSSEDSFVEIRMTEGETEGAMKEMKNCPTPTRTDPISLSNCTEDARSCAEFEELTVSQLLLCLREGNQKVERLEVALREAKERISDFEKKANGHSSTEKQTARRADREKEDKGQESVGSEVETLSIQVTSLFKELQEAHTKLSEAELMKKRLQEKCQALERKNSATPSELNEKQELVYSNKKLELQVESMRSEIKMEQAKTEEEKSRLATLQATHNKLLQEHNKALKTIEELTKQ
XP_011239060.1 1 832 0.437030408653845 PF11830.8:DUF3350:777:832,PF00640.23:PID:277:372 TBC1 domain family member 1 1255 152 26 832 0 Mus musculus (Mouse) SwissProt::Q60949 1 SwissProt MEAITFTARKHPFPNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSGQCSKKEPRTKQVRLWVSPSGLRCEPDLEKSQPWDPLICSSIFECKPQRVHKLIHNSHDPSYFACLIKEDAAHRQSLCYVFKADDQTKVPEIISSIRQAGKIARQEELRCPSEFDDTFAKKFEVLFCGRVTVAHKKAPPALIDECIEKFNHVSCGRRTDWEAPTGQPSAPGPRPMRKSFSQPGLRSLAFRKEFQDASLRSSTFSSFDNDIENHLIGGHNVVQPTDMEENRTMLFTIGQSEVYLISPDTKKIALEKNFKEISFCSQGIRHVDHFGFICRECSGGGSGGFHFVCYVFQCTNEALVDEIMMTLKQAFTVAAVQQTAKAPAQLCEGCPLQGLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKEHSHTGEPKQTLQVAAENIGSDLPPSASRFRLDSLKNRAKRSLTESLESILSRGNKARGLQDHSASVDLDSSTSSTLSNTSKELSMGDKEAFPVSETSFKLLGSSDDLSSDSEGHIAEESALLSPQQAFRRRANTLSHFPVECPAPPEPAQSSPGVSQRKLMRYHSVSTETPHERNVDHLPGGESQGCPGQPSAPPPPRLNPSASSPNFFKYLKHNSSGEQSGNAVPKSVSYRNALRKKLHSSSSVPNFLKFLAPVDENNTCDFKNTNRDFESKANHLGDTDGTPVKTRRHSWRQQIFLRVATPQKACDSPSRYEDYSELGELPPRSPLEPVCEDGPFGPVQEEKRKTSRELRELWKKAILQQILLLRMEKENQKLQ
XP_011244082.1 1 894 0.486333780760626 zinc finger transcription factor Trps1 isoform X2 1292 0 26 894 0 Mus musculus XP_011244082.1 1 RefSeq MVRKKNPPLRNVASEGEGQTLEPTATESKVSGKNKELSADQMSENTDQSDVAELNSKEEHSTHGQEPSSSGKKDLQISGLSEKAGFNYESPSKGGSLVSFPHDEVTDRNMLAFSSPAAGGVCEPLKSPQRAEADDPQDMACTPSGDSLETKEEHKMSPKATEETGPVQSGQANCQGLSPVSVASKNPQVPSDGGVRLSKPKGDLLVNDNPDPAPLSPELQDFKCNICGYGYYGNDPTDLIKHFRKYHLGLHNRTRQDAELDSKILALHNMVQFSHSKDFQKVNRSVLSGVLQDISSSRPALLNGTYDVQVTSGGTFIGIGRKTPDCQGNTKYFRCKFCNFTYMGNSSTELEQHFLQTHPNKIKVSLPSSEGVKPSEKNSNKSIPALRASDSGDVGKWQDKMTVKAGDDTPVGYSVPIKPLDSSRQNGTEATSYYWCKFCSFSCESSSSLKLLEHYGKQHGAVQSGGLNPELNDKLPRGSVINQNDLAKSVEGEPLTKPEKGLSGAKKKDFPSKGAEDNMVTSYNCQFCDFRYSKSHGPDVIVVGPLLRHYQQLHNIHKCTIKHCPFCPRGLCSPEKHLGEITYPFACRKSNCSHCALLLLHLSPGVAGSSRVKHQCHQCSFSTPDVDVLLFHYETVHESQASDVKQEANHLLGSDGQQAVRDSKEHSCTKCDFITQVEEEISRHYRRAHSCYKCRQCSFTAADTQSLLEHFNTVHCQEQEITTANGEEGGHAIPTIKEEPKIDLKVYSLLNPDSKMGETVPESIVKREKLDDKEGLKDKIWTESSTDDLRGVAWRGADILRGSPSYTQASLGVLTPVSSSQEQTKTLRDSPNVEAAHLARPMYGLAVDTKGFLQGAPAGSEKSASLTQQYPASGESKTKDESQSLLRAVTISGT
XP_011516065.1 1 501 0.669233532934132 zinc finger protein GLIS3 isoform X1 930 0 26 501 0 Homo sapiens XP_011516065.1 1 RefSeq MNGRSCSMSLHRTSGTPQGPRMVSGHHIPAIRAHSGTPGPSPCGSTSSPTMASLANNLHLKMPSGGGMAPQNNVAESRIHLPALSPRRQMLTNGKPRFQVTQAGGMSGSHTLKPKQQEFGSPFPPNPGKGALGFGPQCKSIGKGSCNNLVVTSSPMMVQRLGLISPPASQVSTACNQISPSLQRAMNAANLNIPPSDTRSLISRESLASTTLSLTESQSASSMKQEWSQGYRALPSLSNHGSQNGLDLGDLLSLPPGTSMSSNSVSNSLPSYLFGTESSHSPYPSPRHSSTRSHSARSKKRALSLSPLSDGIGIDFNTIIRTSPTSLVAYINGSRASPANLSPQPEVYGHFLGVRGSCIPQPRPVPGSQKGVLVAPGGLALPAYGEDGALEHERMQQLEHGGLQPGLVNHMVVQHGLPGPDSQSAGLFKTERLEEFPGSTVDLPPAPPLPPLPPPPGPPPPYHAHAHLHHPELGPHAQQLALPQATLDDDGEMDGIGGKHC
XP_011535104.1 1 130 0.703146153846154 PF08383.11:Maf_N:67:101 Neural retina-specific leucine zipper protein; NRL 237 35 26 130 0 Homo sapiens (Human) SwissProt::P54845 1 SwissProt MALPPSPLAMEYVNDFDLMKFEVKREPSEGRPGPPTASLGSTPYSSVPPSPTFSEPGMVGATEGTRPGLEELYWLATLQQQLGAGEALGLSPEEAMELLQGQGPVPVDGPHGYYPGSPEETGAQHVQLAE
XP_011540494.1 1 500 0.0730872 PF06664.12:MIG-14_Wnt-bd:133:451 protein wntless homolog isoform X2 500 319 26 347 7 Homo sapiens XP_011540494.1 1 RefSeq MSVKCVDARKNHHKTKWFVPWGPNHCDKIRDIEEAIPREIEANDIVFSVHIPLPHMEMSPWFQFMLFILQLDIAFKLNNQIRENAEVSMDVSLAYRDDAFAEWTEMAHERVPRKLKCTFTSPKTPEHEGRYYECDVLPFMEIGSVAHKFYLLNIRLPVNEKKKINVGIGEIKDIRLVGIHQNGGFTKVWFAMKTFLTPSIFIIMVWYWRRITMMSRPPVLLEKVIFALGISMTFINIPVEWFSIGFDWTWMLLFGDIRQGIFYAMLLSFWIIFCGEHMMDQHERNHIAGYWKQVGPIAVGSFCLFIFDMCERGVQLTNPFYSIWTTDIGTELAMAFIIVAGICLCLYFLFLCFMVFQVFRNISGKQSSLPAMSKVRRLHYEGLIFRFKFLMLITLACAAMTVIFFIVSQVTEGHWKWGGVTVQVNSAFFTGIYGMWNLYVFALMFLYAPSHKNYGEDQSNGMQLPCKSREDCALFVSELYQELFSASKYSFINDNAASGI
XP_016857513.1 1 1497 0.317488844355377 PF13385.6:Laminin_G_3:281:435,PF05572.13:Peptidase_M43:679:830,PF00066.17:Notch:586:612 Pappalysin-2; Pregnancy-associated plasma protein A2; PAPP-A2; Pregnancy-associated plasma protein E1; PAPP-E; EC 3.4.24.- 1791 334 26 1497 0 Homo sapiens (Human) SwissProt::Q9BXP8 1 SwissProt MMCLKILRISLAILAGWALCSANSELGWTRKKSLVEREHLNQVLLEGERCWLGAKVRRPRASPQHHLFGVYPSRAGNYLRPYPVGEQEIHHTGRSKPDTEGNAVSLVPPDLTENPAGLRGAVEEPAAPWVGDSPIGQSELLGDDDAYLGNQRSKESLGEAGIQKGSAMAATTTTAIFTTLNEPKPETQRRGWAKSRQRRQVWKRRAEDGQGDSGISSHFQPWPKHSLKHRVKKSPPEESNQNGGEGSYREAETFNSQVGLPILYFSGRRERLLLRPEVLAEIPREAFTVEAWVKPEGGQNNPAIIAGVFDNCSHTVSDKGWALGIRSGKDKGKRDARFFFSLCTDRVKKATILISHSRYQPGTWTHVAATYDGRHMALYVDGTQVASSLDQSGPLNSPFMASCRSLLLGGDSSEDGHYFRGHLGTLVFWSTALPQSHFQHSSQHSSGEEEATDLVLTASFEPVNTEWVPFRDEKYPRLEVLQGFEPEPEILSPLQPPLCGQTVCDNVELISQYNGYWPLRGEKVIRYQVVNICDDEGLNPIVSEEQIRLQHEALNEAFSRYNISWQLSVHQVHNSTLRHRVVLVNCEPSKIGNDHCDPECEHPLTGYDGGDCRLQGRCYSWNRRDGLCHVECNNMLNDFDDGDCCDPQVADVRKTCFDPDSPKRAYMSVKELKEALQLNSTHFLNIYFASSVREDLAGAATWPWDKDAVTHLGGIVLSPAYYGMPGHTDTMIHEVGHVLGLYHVFKGVSERESCNDPCKETVPSMETGDLCADTAPTPKSELCREPEPTSDTCGFTRFPGAPFTNYMSYTDDNCTDNFTPNQVARMHCYLDLVYQQWTESRKPTPIPIPPMVIGQTNKSLTIHWLPPISGVVYDRASGSLCGACTEDGTFRQYVHTASSRRVCDSSGYWTPEEAVGPPDVDQPCEPSLQAWSPEVHLYHMNMTVPCPTEGCSLELLFQHPVQADTLTLWVTSFFMESSQVLFDTEILLENKESVHLGPLDTFCDIPLTIKLHVDGKVSGVKVYTFDERIEIDAALLTSQPHSPLCSGCRPVRYQVLRDPPFASGLPVVVTHSHRKFTDVEVTPGQMYQYQVLAEAGGELGEASPPLNHIHGAPYCGDGKVSERLGEECDDGDLVSGDGCSKVCELEEGFNCVGEPSLCYMYEGDGICEPFERKTSIVDCGIYTPKGYLDQWATRAYSSHEDKKKCPVSLVTGEPHSLICTSYHPDLPNHRPLTGWFPCVASENETQDDRSEQPEGSLKKEDEVWLKVCFNRPGEARAIFIFLTTDGLVPGEHQQPTVTLYLTDVRGSNHSLGTYGLSCQHNPLIINVTHHQNVLFHHTTSVLLNFSSPRVGISAVALRTSSRIGLSAPSNCISEDEGQNHQGQSCIHRPCGKQDSCPSLLLDHADVVNCTSIGPGLMKCAITCQRGFALQASSGQYIRPMQKEILLTCSSGHWDQNVSCLPVDCGVPDPSLVNYANFSCSEGTKFLKRCSISCVPPA
XP_016867945.1 94 248 0.498874838709677 T-box transcription factor TBX20 isoform X1 248 0 26 155 0 Homo sapiens XP_016867945.1 1 RefSeq TDIERESVESLIQKHSYARSPIRTYGGEEDVLGDESQTTPNRGSAFTTSDNLSLSSWVSSSSSFPGFQHPQSLTALGTSTASIATPIPHPIQGSLPPYSRLGMPLTPSAIASSMQGSGPTFPSFHMPRYHHYFQQGPYAAIQGLRHSSAVMTPFV
XP_017171296.1 1 129 0.793027131782946 PF06553.12:BNIP3:7:129 BCL2/adenovirus E1B 19 kDa protein-interacting protein 3-like isoform X1 179 123 26 129 0 Mus musculus XP_017171296.1 1 RefSeq MNSSNGNENGNGKNGGLEHVPSSSSIHNGDMEKILLDAQHESGQSSSRGSSHCDSPSPQEDGQIMFDVEMHTSRDHSSQSEEEVVEGEKEVEALKKSADWVSDWSSRPENIPPKEFHFRHPKRAASLSM
YP_178021.1 1 99 0.457345454545455 PF00934.20:PE:1:90 PE family immunomodulator PE35 99 90 26 99 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WIG7 1 SwissProt MEKMSHDPIAADIGTQVSDNALHGVTAGSTALTSVTGLVPAGADEVSAQAATAFTSEGIQLLASNASAQDQLHRAGEAVQDVARTYSQIDDGAAGVFAE
NP_000298.3 1 181 0.719762430939227 POU domain, class 3, transcription factor 4 361 0 25 181 0 Homo sapiens NP_000298.3 1 RefSeq MATAASNPYSILSSTSLVHADSAGMQQGSPFRNPQKLLQSDYLQGVPSNGHPLGHHWVTSLSDGGPWSSTLATSPLDQQDVKPGREDLQLGAIIHHRSPHVAHHSPHTNHPNAWGASPAPNPSITSSGQPLNVYSQPGFTVSGMLEHGGLTPPPAAASAQSLHPVLREPPDHGELGSHHCQ
NP_001007092.1 1 331 0.14536918429003 PF07850.14:Renin_r:255:331 renin receptor precursor 350 77 25 308 1 Rattus norvegicus NP_001007092.1 1 RefSeq MAVLVVLLSSLVSSALANEFSILRSPGSVVFRNGNWPIPGDRIPDVAALSMGFSVKEDLSWPGLAVGNLFHRPRATIMVTVKGVDKLALPTGSVISYPLENAVPFSLDSVANSIHSLFSEETPVVLQLAPSEERVYMVGKANSVFEDLSVTLRQLRNRLFQENSVLNSLPLNSLSRNNEVDLLFLSELQVLHDISSLLSRHKHLAKDHSPDLYSLELAGLDELGKRYGEDSEQFRDASRILVDALQKFADDMYSLYGGNAVVELVTVKSFDTSLVRKSRTILETKQENTQSPYNLAYKYNLEYSVVFNLVLWIMTGLALAVIITSYNIWNM
NP_001028254.2 85 306 0.729274324324324 PF01056.18:Myc_N:32:70,PF01056.18:Myc_N:106:174 protein L-Myc isoform 3 394 108 25 222 0 Homo sapiens NP_001028254.2 1 RefSeq APGIGPPEPWPGGCTGDEAESRGHSKGWGRNYASIIRRDCMWSGFSARERLERAVSDRLAPGAPRGNPPKASAAPDCTPSLEAGNPAPAAPCPLGEPKTQACSGSESPSDSENEEIDVVTVEKRQSLGIRKPVTITVRADPLDPCMKHFHISIHQQQHNYAARFPPESCSQEEASERGPQEEVLERDAAGEKEDEEDEEIVSPPPVESEAAQSCHPKPVSSD
NP_001036006.1 1 711 0.523319268635724 PF00013.29:KH_1:565:625 A-kinase anchor protein 1, mitochondrial; Dual specificity A-kinase-anchoring protein 1; D-AKAP-1; Protein kinase A-anchoring protein 1; PRKA1; Spermatid A-kinase anchor protein; S-AKAP 857 61 25 691 1 Mus musculus (Mouse) SwissProt::O08715 1 SwissProt MAIQLRSLFPLALPGMLALLGWWWFFSRKKDRLSSSDKQVETLKVGPAIKDRRLSEEACPGVLSVAPTVTQPPGREEQRCVDKPSTEPLALPRTRQVRRRSESSGNLPSVADTRSQPGPCRDEIAKVELSLMGDKAKSIPLGCPLLPKDASFPYEAVERCKQESALGKTPGRGWPSPYAASGEKARETGGTEGTGDAVLGENVSEEGLLSQECVSEVEKSEFPILAPGGGEGEEVSHGPPQVAELLKKEEYIVGKLPSSFVEPVHSEPVKDEDALEPQVKGSSNTSDRDLAGELDKDETVPENDQIKQAAFQLISQVILEATEEFRATTVGKTVAQVHPTSATQPKGKEESCVPASQETSLGQDTSDPASTRTGATASPSAEALPPKTYVSCLSSPLSGPTKDQKPKNSAHHISLAPCPPPVTPQRQSLEGASNPRGDDNFVACMANNSQSVLSVSSLGQCSDPVSTSGLEDSCTETISSSGDKAMTPPLPVSTQPFSNGVLKEELSDLGTEDGWTMDTEADHSGGSDGNSMDSVDSCCGLTKPDSPQSVQAGSNPKKVDLIIWEIEVPKHLVGRLIGKQGRYVSFLKQTSGAKIYISTLPYTQNIQICHIEGSQHHVDKALNLIGKKFKELNLTNIYAPPLPSLALPSLPMTSWLMLPDGITVEVIVVNQVNAGHLFVQQHTHPTFHALRSLDQQMYLCYSQPGIPTLPT
NP_001128619.1 1 444 0.0932639639639639 PF02535.22:Zip:127:433 zinc transporter ZIP8 isoform b precursor 444 307 25 316 6 Homo sapiens NP_001128619.1 1 RefSeq MAPGRAVAGLLLLAAAGLGGVAEGPGLAFSEDVLSVFGANLSLSAAQLQHLLEQMGAASRVGVPEPGQLHFNQCLTAEEIFSLHGFSNATQITSSKFSVICPAVLQQLNFHPCEDRPKHKTRPSHSEVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTFFVGLAIGTLFSNAIFQLIPEAFGFDPKVDSYVEKAVAVFGGFYLLFFFERMLKMLLKTYGQNGHTHFGNDNFGPQEKTHQPKALPAINGVTCYANPAVTEANGHIHFDNVSVVSLQDGKKEPSSCTCLKGPKLSEIGTIAWMITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNAGMSTRQALLFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDMLREKIIKWATDDIKSQLHLLWIYTAR
NP_001190150.2 1 192 0.288554166666667 PF00168.30:C2:19:110 BON1-associated protein 1 192 92 25 192 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q941L2 1 SwissProt MIYFGRSIDNHYTTMMTKTLEIDLRSAEGLKLNRRPIKKKTFAVVKIDEKCRKSNLDESRRSNPTWNYKSEMPINGNEQFIFIEVFYRTGSGHDKKIGEAKIPTNDFMGRYSPEGHLNFLSYRLRDEFGDKCGIVNLSILVKSDPTRDYGACSSQAAVTGLWRPRLETASIDGYGGRTVTGVPVWGLYQRQF
NP_001262642.1 1 102 0.245530392156863 Neuropeptide F; NPF; dNPF 102 0 25 102 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VET0 1 SwissProt MCQTMRCILVACVALALLAAGCRVEASNSRPPRKNDVNTMADAYKFLQDLDTYYGDRARVRFGKRGSLMDILRNHEMDNINLGKNANNGGEFARGFNEEEIF
NP_001280576.1 261 558 0.567638590604027 PF01504.18:PIP5K:8:78 phosphatidylinositol 4-phosphate 5-kinase type-1 gamma isoform 4 558 71 25 298 0 Mus musculus NP_001280576.1 1 RefSeq GAARGEAIETDDTMGGIPAVNGRGERLLLHIGIIDILQSYRFIKKLEHTWKALVHDGDTVSVHRPSFYAERFFKFMSSTVFRKSSSLKSSPSKKGRGALLAVKPLGPTAAFSASQIPSEREDVQYDLRGARSYPTLEDEGRPDLLPCTPPSFEEATTASIATTLSSTSLSIPERSPSDTSEQPRYRRRTQSSGQDGRPQEEPHAEDLQKITVQVEPVCGVGVVPKEEGAGVEVPPCGASAAASVEIDAASQASEPASQASDEEDAPSTDIYFPTDERSWVYSPLHYSARPASDGESDT
NP_001305793.1 1 595 0.309575294117647 PF08357.11:SEFIR:212:367,PF16742.5:IL17R_D_N:1:25 interleukin-17 receptor D isoform b 595 181 25 595 0 Homo sapiens NP_001305793.1 1 RefSeq MESQPFLNMKFETDYFVKVVPFPSIKNESNYHPFFFRTRACDLLLQPDNLACKPFWKPRNLNISQHGSDMQVSFDHAPHNFGFRFFYLHYKLKHEGPFKRKTCKQEQTTETTSCLLQNVSPGDYIIELVDDTNTTRKVMHYALKPVHSPWAGPIRAVAITVPLVVISAFATLFTVMCRKKQQENIYSHLDEESSESSTYTAALPRERLRPRPKVFLCYSSKDGQNHMNVVQCFAYFLQDFCGCEVALDLWEDFSLCREGQREWVIQKIHESQFIIVVCSKGMKYFVDKKNYKHKGGGRGSGKGELFLVAVSAIAEKLRQAKQSSSAALSKFIAVYFDYSCEGDVPGILDLSTKYRLMDNLPQLCSHLHSRDHGLQEPGQHTRQGSRRNYFRSKSGRSLYVAICNMHQFIDEEPDWFEKQFVPFHPPPLRYREPVLEKFDSGLVLNDVMCKPGPESDFCLKVEAAVLGATGPADSQHESQHGGLDQDGEARPALDGSAALQPLLHTVKAGSPSDMPRDSGIYDSSVPSSELSLPLMEGLSTDQTETSSLTESVSSSSGLGEEEPPALPSKLLSSGSCKADLGCRSYTDELHAVAPL
NP_001307520.1 324 706 0.590372323759791 Gamma-adducin; Adducin-like protein 70 706 0 25 383 0 Homo sapiens (Human) SwissProt::Q9UEY8 1 SwissProt LAGAGGVDNLHVLDFQKYKAFTYTVAASGGGGVNMGSHQKWKVGEIEFEGLMRTLDNLGYRTGYAYRHPLIREKPRHKSDVEIPATVTAFSFEDDTVPLSPLKYMAQRQQREKTRWLNSPNTYMKVNVPEESRNGETSPRTKITWMKAEDSSKVSGGTPIKIEDPNQFVPLNTNPNEVLEKRNKIREQNRYDLKTAGPQSQLLAGIVVDKPPSTMQFEDDDHGPPAPPNPFSHLTEGELEEYKRTIERKQQGLEDAEQELLSDDASSVSQIQSQTQSPQNVPEKLEENHELFSKSFISMEVPVMVVNGKDDMHDVEDELAKRVSRLSTSTTIENIEITIKSPEKIEEVLSPEGSPSKSPSKKKKKFRTPSFLKKNKKKEKVEA
NP_001318864.1 157 348 0.6218625 NAC domain-containing protein 101; ANAC101; Protein VASCULAR RELATED NAC-DOMAIN 6 348 0 25 192 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVA1 1 SwissProt KLTTMNYNNPRTMMGSSSGQESNWFTQQMDVGNGNYYHLPDLESPRMFQGSSSSSLSSLHQNDQDPYGVVLSTINATPTTIMQRDDGHVITNDDDHMIMMNTSTGDHHQSGLLVNDDHNDQVMDWQTLDKFVASQLIMSQEEEEVNKDPSDNSSNETFHHLSEEQAATMVSMNASSSSSPCSFYSWAQNTHT
NP_001328877.1 1 238 0.387978151260504 PF01486.17:K-box:88:173 K-box region and MADS-box transcription factor family protein 238 86 25 238 0 Arabidopsis thaliana NP_001328877.1 1 RefSeq MVCSRKLTSSLFFVMLKSHSSSSLAVVVSMSTLTTGFVLESLNCASGRFDPINFIFYYLSKCRFKRTIERYKKAISDNSNTGSVAEINAQYYQQESAKLRQQIISIQNSNRQLMGETIGSMSPKELRNLEGRLERSITRIRSKKNELLFSEIDYMQKREVDLHNDNQILRAKIAENERNNPSISLMPGGSNYEQLMPPPQTQSQPFDSRNYFQVAALQPNNHHYSSAGRQDQTALQLV
NP_004089.1 1 139 0.424138129496403 Homeobox protein EMX2; Empty spiracles homolog 2; Empty spiracles-like protein 2 252 0 25 139 0 Homo sapiens (Human) SwissProt::Q04743 1 SwissProt MFQPAPKRCFTIESLVAKDSPLPASRSEDPIRPAALSYANSSPINPFLNGFHSAAAAAAGRGVYSNPDLVFAEAVSHPPNPAVPVHPVPPPHALAAHPLPSSHSPHPLFASQQRDPSTFYPWLIHRYRYLGHRFQGNDT
NP_005849.1 1 692 0.618646820809248 PF04988.12:AKAP95:390:550 A-kinase anchor protein 8; AKAP-8; A-kinase anchor protein 95 kDa; AKAP 95 692 161 25 692 0 Homo sapiens (Human) SwissProt::O43823 1 SwissProt MDQGYGGYGAWSAGPANTQGAYGTGVASWQGYENYNYYGAQNTSVTTGATYSYGPASWEAAKANDGGLAAGAPAMHMASYGPEPCTDNSDSLIAKINQRLDMMSKEGGRGGSGGGGEGIQDRESSFRFQPFESYDSRPCLPEHNPYRPSYSYDYEFDLGSDRNGSFGGQYSECRDPARERGSLDGFMRGRGQGRFQDRSNPGTFMRSDPFVPPAASSEPLSTPWNELNYVGGRGLGGPSPSRPPPSLFSQSMAPDYGVMGMQGAGGYDSTMPYGCGRSQPRMRDRDRPKRRGFDRFGPDGTGRKRKQFQLYEEPDTKLARVDSEGDFSENDDAAGDFRSGDEEFKGEDELCDSGRQRGEKEDEDEDVKKRREKQRRRDRTRDRAADRIQFACSVCKFRSFDDEEIQKHLQSKFHKETLRFISTKLPDKTVEFLQEYIVNRNKKIEKRRQELMEKETAKPKPDPFKGIGQEHFFKKIEAAHCLACDMLIPAQPQLLQRHLHSVDHNHNRRLAAEQFKKTSLHVAKSVLNNRHIVKMLEKYLKGEDPFTSETVDPEMEGDDNLGGEDKKETPEEVAADVLAEVITAAVRAVDGEGAPAPESSGEPAEDEGPTDTAEAGSDPQAEQLLEEQVPCGTAHEKGVPKARSEAAEAGNGAETMAAEAESAQTRVAPAPAAADAEVEQTDAESKDAVPTE
NP_006426.2 1 132 0.167020454545455 PF04505.12:CD225:53:119 Interferon-induced transmembrane protein 2; Dispanin subfamily A member 2c; DSPA2c; Interferon-inducible protein 1-8D 132 67 25 86 2 Homo sapiens (Human) SwissProt::Q01629 1 SwissProt MNHIVQTFSPVNSGQPPNYEMLKEEQEVAMLGVPHNPAPPMSTVIHIRSETSVPDHVVWSLFNTLFMNTCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGIFMTILLIIIPVLVVQAQR
NP_006738.3 464 1042 0.530897236614853 PF00595.24:PDZ:225:295,PF02145.15:Rap_GAP:5:70 Signal-induced proliferation-associated protein 1; Sipa-1; GTPase-activating protein Spa-1; p130 SPA-1 1042 137 25 579 0 Homo sapiens (Human) SwissProt::Q96FS4 1 SwissProt HTPCTPHTTYRVAVSRTQDTPAFGPALPAGGGPFAANADFRAFLLAKALNGEQAAGHARQFHAMATRTRQQYLQDLATNEVTTTSLDSASRFGLPSLGGRRRAAPRGPGAELQAAGSLVWGVRAAPGARVAAGAQASGPEGIEVPCLLGISAEALVLVAPRDGRVVFNCACRDVLAWTFSEQQLDLYHGRGEAITLRFDGSPGQAVGEVVARLQLVSRGCETRELALPRDGQGRLGFEVDAEGFVTHVERFTFAETAGLRPGARLLRVCGQTLPSLRPEAAAQLLRSAPKVCVTVLPPDESGRPRRSFSELYTLSLQEPSRRGAPDPVQDEVQGVTLLPTTKQLLHLCLQDGGSPPGPGDLAEERTEFLHSQNSLSPRSSLSDEAPVLPNTTPDLLLATTAKPSVPSADSETPLTQDRPGSPSGSEDKGNPAPELRASFLPRTLSLRNSISRIMSEAGSGTLEDEWQAISEIASTCNTILESLSREGQPIPESGDPKGTPKSDAEPEPGNLSEKVSHLESMLRKLQEDLQKEKADRAALEEEVRSLRHNNRRLQAESESAATRLLLASKQLGSPTADLA
NP_009106.1 1 339 0.199116519174041 PF01145.25:Band_7:25:207 Erlin-2; Endoplasmic reticulum lipid raft-associated protein 2; Stomatin-prohibitin-flotillin-HflC/K domain-containing protein 2; SPFH domain-containing protein 2 339 183 25 339 0 Homo sapiens (Human) SwissProt::O94905 1 SwissProt MAQLGAVVAVASSFFCASLFSAVHKIEEGHIGVYYRGGALLTSTSGPGFHLMLPFITSYKSVQTTLQTDEVKNVPCGTSGGVMIYFDRIEVVNFLVPNAVYDIVKNYTADYDKALIFNKIHHELNQFCSVHTLQEVYIELFDQIDENLKLALQQDLTSMAPGLVIQAVRVTKPNIPEAIRRNYELMESEKTKLLIAAQKQKVVEKEAETERKKALIEAEKVAQVAEITYGQKVMEKETEKKISEIEDAAFLAREKAKADAECYTAMKIAEANKLKLTPEYLQLMKYKAIASNSKIYFGKDIPNMFMDSAGSVSKQFEGLADKLSFGLEDEPLETATKEN
NP_009545.2 381 840 0.323232391304348 PF09453.10:HIRA_B:107:125,PF07569.11:Hira:355:426 Protein HIR1; Histone transcription regulator 1 840 91 25 460 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32479 1 SwissProt TLFKFENNELGKPIPLEKNMEQLYRYGVDKDSLDFPESINQLLLEDQTKSFKHTKISTSKLGENHPTLATNSASNQKDNNDASVSRSEHINILIPKRKKDAILNKAVTLKSGKKRVAPTLISTSSSSPFSNGIKKPTLDSKRIENNVKSSTKTINSKNTLLNVPEGVEKKISISSFPLPRLGIHSLIMGTKERSAWKISNSELENDDADNAGGKGSDGTSNSIDDIAVLSEEENDFHRMTLNAKLTQEKIWSEEPTTRCLLQSDVIPDTDVVVLEGGSLDDIAVLEIRNGVERSIQFDSEALLDNPTRILGYQGGKRTIETFIPEVIICAIGSKDCKCWCLASANGSIYILSYNGQQRIPKICLGHKVIKMVTSSKYLLVLTERGLFFAWDLLDLKLVLRNVPILPILNGQPIHGNKVRINKVIKCFRLDGSSCDLLLEVGDPKNVYKWTKDLGCWSLYK
NP_010340.1 1 444 0.221563738738739 Cell wall mannoprotein PST1; Haze protective factor 2; Protoplast secreted protein 1 444 0 25 444 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12355 1 SwissProt MQLHSLIASTALLITSALAATSSSSSIPSSCTISSHATATAQSDLDKYSRCDTLVGNLTIGGGLKTGALANVKEINGSLTIFNATNLTSFAADSLESITDSLNLQSLTILTSASFGSLQSVDSIKLITLPAISSFTSNIKSANNIYISDTSLQSVDGFSALKKVNVFNVNNNKKLTSIKSPVETVSDSLQFSFNGNQTKITFDDLVWANNISLTDVHSVSFANLQKINSSLGFINNSISSLNFTKLNTIGQTFSIVSNDYLKNLSFSNLSTIGGALVVANNTGLQKIGGLDNLTTIGGTLEVVGNFTSLNLDSLKSVKGGADVESKSSNFSCNALKALQKKGGIKGESFVCKNGASSTSVKLSSTSKSQSSQTTAKVSKSSSKAEEKKFTSGDIKAAASASSVSSSGASSSSSKSSKGNAAIMAPIGQTTPLVGLLTAIIMSIM
NP_010530.1 1 326 0.621584662576687 Peroxisomal targeting signal receptor; PTS1 receptor; PTS1R; Peroxin-5; Peroxisomal protein PAS10 612 0 25 326 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35056 1 SwissProt MDVGSCSVGNNPLAQLHKHTQQNKSLQFNQKNNGRLNESPLQGTNKPGISEAFISNVNAISQENMANMQRFINGEPLIDDKRRMEIGPSSGRLPPFSNVHSLQTSANPTQIKGVNDISHWSQEFQGSNSIQNRNADTGNSEKAWQRGSTTASSRFQYPNTMMNNYAYASMNSLSGSRLQSPAFMNQQQSGRSKEGVNEQEQQPWTDQFEKLEKEVSENLDINDEIEKEENVSEVEQNKPETVEKEEGVYGDQYQSDFQEVWDSIHKDAEEVLPSELVNDDLNLGEDYLKYLGGRVNGNIEYAFQSNNEYFNNPNAYKIGCLLMENG
NP_010669.1 1 195 0.619234358974359 PF00076.22:RRM_1:82:146 RNA annealing protein YRA1 226 65 25 195 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12159 1 SwissProt MSANLDKSLDEIIGSNKAGSNRARVGGTRGNGPRRVGKQVGSQRRSLPNRRGPIRKNTRAPPNAVARVAKLLDTTREVKVNVEGLPRDIKQDAVREFFASQVGGVQRVLLSYNERGQSTGMANITFKNGELARRAVERFNGSPIDGGRSRLRLNLIVDPNQRPVKSLADRIKAMPQKGGNAPRPVKRGPNRKAAM
NP_010849.3 1 628 0.055225796178344 Siderophore iron transporter 1; Ferrioxamine B permease; Siderophore iron transporter ARN3 628 0 25 323 14 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39980 1 SwissProt MDPGIANHTLPEEFEEVVVPEMLEKEVGAKVDVKPTLTTSSPAPSYIELIDPGVHNIEIYAEMYNRPIYRVALFFSLFLIAYAYGLDGNIRYTFQAYATSSYSQHSLLSTVNCIKTVIAAVGQIFFARLSDIFGRFSIMIVSIIFYSMGTIIESQAVNITRFAVGGCFYQLGLTGIILILEVIASDFSNLNWRLLALFIPALPFIINTWISGNVTSAIDANWKWGIGMWAFILPLACIPLGICMLHMRYLARKHAKDRLKPEFEALNKLKWKSFCIDIAFWKLDIIGMLLITVFFGCVLVPFTLAGGLKEEWKTAHIIVPEVIGWVVVLPLYMLWEIKYSRHPLTPWDLIQDRGIFFALLIAFFINFNWYMQGDYMYTVLVVAVHESIKSATRITSLYSFVSVIVGTILGFILIKVRRTKPFIIFGISCWIVSFGLLVHYRGDSGAHSGIIGSLCLLGFGAGSFTYVTQASIQASAKTHARMAVVTSLYLATYNIGSAFGSSVSGAVWTNILPKEISKRISDPTLAAQAYGSPFTFITTYTWGTPERIALVMSYRYVQKILCIIGLVFCFPLLGCAFMLRNHKLTDSIALEGNDHLESKNTFEIEEKEESFLKNKFFTHFTSSKDRKD
NP_012156.1 1 377 0.189587798408488 Histidine protein methyltransferase 1; Mitotic exit network interactor 1; EC 2.1.1.85 377 0 25 377 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40481 1 SwissProt MSFSFGFTSNDFDDDELVAQPETFVESSKENENTTAYINPLDSDFLSQAGVVQPNVEDLGTILESLKDVRLTFEEFQSPIYRKPLIKRELFDVKHQLMLETDAQSNNNSTELDILLGDTSEDLRKNIYEGGLKSWECSYDLVDLLSENVDRISNDIDAVVEIGCGTALPSEFLFRSALLRNDRSKGLKFVLTDYNASVLRLVTIPNLVITWAKTVLTKEQWYALQKDECEDIPINNEELLLTSKLLAAFYDDVQSRNISVTLISGSWGRKFSNLIHEVLSGSQKVLSLSSETIYQPDNLPVIAETILDIHNLPQTDVKTYVAAKDIYFGVGGSITEFEAYLDDKINSEHLPIHSERFKVNSGLKRSIICIETNKAIR
NP_012304.1 1 234 0.138969658119658 PF02798.20:GST_N:4:83,PF00043.25:GST_C:116:217,PF13417.6:GST_N_3:18:87,PF13409.6:GST_N_2:16:81 glutathione transferase 1 (EC 2.5.1.18) 234 186 25 234 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) metacyc::YIR038C-MONOMER 1 metacyc MSLPIIKVHWLDHSRAFRLLWLLDHLNLEYEIVPYKRDANFRAPPELKKIHPLGRSPLLEVQDRETGKKKILAESGFIFQYVLQHFDHSHVLMSEDADIADQINYYLFYVEGSLQPPLMIEFILSKVKDSGMPFPISYLARKVADKISQAYSSGEVKNQFDFVEGEISKNNGYLVDGKLSGADILMSFPLQMAFERKFAAPEDYPAISKWLKTITSEESYAASKEKARALGSNF
NP_012852.1 1 256 0.102758203125 PF00106.25:adh_short:10:203,PF13561.6:adh_short_C2:15:211,PF08659.10:KR:8:84 Uncharacterized oxidoreductase YKL071W 256 204 25 256 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36086 1 SwissProt MNTSSRITYFIIGGSRGIGFNLVKILSASTGNTVITSIRGSPSLPKNKQVEDLAKIRKNIHIVQLDLTKDESIGNIADEIKKTPFFLGIDIFIACSAVSDSYYKVLETPKSVWLNHYSTNALGPILALQKVYPLLLLKKTRKIFFISSVAGSINAFVPLSVSAYGQSKAALNYAVKTLSFELKPEGFTVVAFHPGMVSTDMGQYGLDHFKEKNIDISGVNIITPEESASALIDVFRKILPEDNGKFFNYDGSEGVF
NP_013391.1 1 474 0.337710759493671 PF04005.12:Hus1:1:237 DNA damage checkpoint control protein MEC3 474 237 25 474 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02574 1 SwissProt MKLKLIVNGCEAPDDYKLLRTTINTVASLRKTAILRFNSERLTIISTPKSSLNSSNNGTILRGDTGQLWCTIPHDVFRLYTVISARELNTITMECNCDSLLSVFKRYDRVMNQGSSSNMTIKLQSMPEWNTNNGTLSGGTAGGVDTTSKPNPICALGITFEEIVHTSGPNDAIVMNGGVDEHNGLPTTVGTGNLLASNKVIMHSFKVPVKLLFRAQDTRIQEPMINYIQLMMYKLPPISGEFGSAFHGFIRRVERYSNVNHIHLMGVKKKEHGNEGDDVELKIIVNELDWHLEICWNGPLDSVIQRQEGLTDNPSQNQHIDTDGRQEEGSLPIIEADKPMSSLYTNTRDREMEENIRYDEDLLRIEDSSIADTRGNIYTADTSGDTEFNDISVMVEKAEQESSSTHEVIIRCKDWKVCSKLYAAFEEVVLAISHDESCVFHCSLDRGSLEDSEDVEKPRERGQIIYYIARSKGL
NP_013699.1 1 584 0.282344691780822 PF00789.20:UBX:429:569,PF14555.6:UBA_4:18:60 UBX domain-containing protein 2; Secretion lowering protein 1 584 184 25 584 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04228 1 SwissProt MPVVNHEDSEFHLSHTEEDKLNEFQVITNFPPEDLPDVVRLLRNHGWQLEPALSRYFDGEWKGEPDQMGEPTQTSTPMAETLVPPALGPRPLLFTASLPVVRPLPANFRNDFRTIGLNGRSNTVWSMFESFSYDGNPFLFILLLIPRIINRLSATIFTFFCTLLSLHSISGGGNSGKPKISKVPKAPTRETHIPLAEILGDTKDKDAFCELKSFKPDISFNEALRIAKEEFKFMLLILVGDTYDTDTDTVDVNSKLLLEKILLNKKTLQYLRKIDNDLIIYLKCVHELEPWLVARQLGVRNTPEIFLIANVANKASHSETLPSQRLSILGKLKVNSLNRFLQSLTNVVEKYTPELVVNKTEMHELRMSREIKKLQEDAYKKSLEMDRIKAIEKEKSLKHAQDLKLNSTARQLKWLKACIDEIQPFETTGKQATLQFRTSSGKRFVKKFPSMTTLYQIYQSIGCHIYLAVYSSDPAEWSNALQDKIRQLSADDDMLCFKEGQLETATATTIEELGHIINNELTSFDLERGKLEFDFELVSPFPKYTVHPNEHMSVDQVPQLWPNGSLLVEALDEEDEEDEENEEQ
NP_013958.1 1 1029 0.161183673469388 PF12451.8:VPS11_C:976:1022 E3 ubiquitin-protein ligase PEP5; Carboxypeptidase Y-deficient protein 5; Histone E3 ligase PEP5; RING-type E3 ubiquitin transferase PEP5; Vacuolar biogenesis protein END1; Vacuolar morphogenesis protein 1; Vacuolar protein sorting-associated protein 11; Vacuolar protein-targeting protein 11; EC 2.3.2.27 1029 47 25 1029 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P12868 1 SwissProt MSLSSWRQFQLFENIPIRDPNFGGDSLLYSDPTLCAATIVDPQTLIIAVNSNIIKVVKLNQSQVIHEFQSFPHDFQITFLKVINGEFLVALAESIGKPSLIRVYKLEKLPNREQLYHSQVELKNGNNTYPISVVSISNDLSCIVVGFINGKIILIRGDISRDRGSQQRIIYEDPSKEPITALFLNNDATACFAATTSRILLFNTTGRNRGRPSLVLNSKNGLDLNCGSFNPATNEFICCLSNFIEFFSSSGKKHQFAFDLSLRKRIFCVDKDHILIVTEETGVPTTSISVNELSPTIINRIFIIDAKNKIISLNFVVSSAIIDIFSTSQSGKNITYLLTSEGVMHRITPKSLENQINIIIQKELYPFALQLAKQHSLSPLDVQEIHKKYGDYLFKKGLRKEATDQYIQCLDVVETSEIISKFGVKEVPDPESMRNLADYLWSLIKNSISQRDHVTLLLIVLIKLKDVEGIDTFIQHFDRKGIWNEGVVMDDMDDVTFFYSDNDFFDLDLILELMKESDFKRLSYRLAKKYSKDSLIIVDILLNLLHNPVKAIKYIKSLPIDETLRCLVTYSKKLLEESPNETNALLIEVFTGKFKPSTFEVDLDRRDTTGDFSENIRTVFYSYKTFFNYMNSNGTSDAMSESSEASHEHEEPTYHPPKPSIVFSSFVTKPFEFVVFLEACLACYQQYEGFDEDRQVILTTLYDLYLNLAQNDVPERIDDWRSRATGVLRESNKLVYSAASNNTSKRVDNSIMLLISHMDQSSASAKDKTKIDIASFANDNPEMDLLSTFRAMTLNEEPSTCLKFLEKYGTEEPKLLQVALSYFVSNKLIFKEMGGNEVLKEKVLRPIIEGERMPLLDIIKALSRTNVAHFGLIQDIIIDHVKTEDTEIKRNEKLIESYDKELKEKNKKLKNTINSDQPLHVPLKNQTCFMCRLTLDIPVVFFKCGHIYHQHCLNEEEDTLESERKLFKCPKCLVDLETSNKLFEAQHEVVEKNDLLNFALNSEEGSRDRFKVITEFLGRGAISYSDITI
NP_033452.2 1 256 0.574643359375 PF05438.12:TRH:7:117,PF05438.12:TRH:144:223 Pro-thyrotropin-releasing hormone; Pro-TRH; Prothyroliberin 256 191 25 256 0 Mus musculus (Mouse) SwissProt::Q62361 1 SwissProt MQGPWLMMALALIFVLTGIPKSCALLEAAQEEGAVTPDLPGLEKVQVRPERRFLRKDLQRVRGDLGAALDSWITKRQHPGKREEKEEDVEAEERGDLGEVGAWRPHKRQHPGRRANQDKDSWSDEGDSDWLPPSWLPDFFLDSWFSDAPQVKRQHPGRRSFPWMESDVTKRQHPGRRFIDPELQRSWEETEGEEGGLMPEKRQHPGKRAVGHPCGPQGICGQTGLLQLLGDLSRGQETLAKQTPQLEAWVREPLEE
NP_033534.2 1 525 0.115209904761905 PF01490.18:Aa_trans:115:510 Vesicular inhibitory amino acid transporter; GABA and glycine transporter; Solute carrier family 32 member 1; Vesicular GABA transporter; mVGAT; mVIAAT 525 396 25 329 9 Mus musculus (Mouse) SwissProt::O35633 1 SwissProt MATLLRSKLTNVATSVSNKSQAKVSGMFARMGFQAATDEEAVGFAHCDDLDFEHRQGLQMDILKSEGEPCGDEGAEAPVEGDIHYQRGGAPLPPSGSKDQAVGAGGEFGGHDKPKITAWEAGWNVTNAIQGMFVLGLPYAILHGGYLGLFLIIFAAVVCCYTGKILIACLYEENEDGEVVRVRDSYVAIANACCAPRFPTLGGRVVNVAQIIELVMTCILYVVVSGNLMYNSFPGLPVSQKSWSIIATAVLLPCAFLKNLKAVSKFSLLCTLAHFVINILVIAYCLSRARDWAWEKVKFYIDVKKFPISIGIIVFSYTSQIFLPSLEGNMQQPSEFHCMMNWTHIAACVLKGLFALVAYLTWADETKEVITDNLPGSIRAVVNLFLVAKALLSYPLPFFAAVEVLEKSLFQEGSRAFFPACYGGDGRLKSWGLTLRCALVVFTLLMAIYVPHFALLMGLTGSLTGAGLCFLLPSLFHLRLLWRKLLWHQVFFDVAIFVIGGICSVSGFVHSLEGLIEAYRTNAED
NP_034292.2 1 533 0.172374108818012 PF03016.15:Exostosin:111:395,PF09258.10:Glyco_transf_64:480:532 Exostosin-1; Glucuronosyl-N-acetylglucosaminyl-proteoglycan/N-acetylglucosaminyl-proteoglycan 4-alpha-N-acetylglucosaminyltransferase; Multiple exostoses protein 1 homolog; EC 2.4.1.224; EC 2.4.1.225 746 338 25 513 1 Mus musculus (Mouse) SwissProt::P97464 1 SwissProt MQAKKRYFILLSAGSCLALLFYFGGVQFRASRSHSRREEHSGRNGLHQPSPDHFWPRFPDALRPFFPWDQLENEDSSVHISPRQKRDANSSIYKGKKCRMESCFDFTLCKKNGFKVYVYPQQKGEKIAESYQNILAAIEGSRFYTSDPSQACLFVLSLDTLDRDQLSPQYVHNLRSKVQSLHLWNNGRNHLIFNLYSGTWPDYTEDVGFDIGQAMLAKASISTENFRPNFDVSIPLFSKDHPRTGGERGFLKFNTIPPLRKYMLVFKGKRYLTGIGSDTRNALYHVHNGEDVLLLTTCKHGKDWQKHKDSRCDRDNTEYEKYDYREMLHNATFCLVPRGRRLGSFRFLEALQAACVPVMLSNGWELPFSEVINWNQAAVIGDERLLLQIPSTIRSIHQDKILALRQQTQFLWEAYFSSVEKIVLTTLEIIQDRIFKHISRNSLIWNKHPGGLFVLPQYSSYLGDFPYYYANLGLKPPSKFTAVIHAVTPLVSQSQPVLKLLVAAAKSQYCAQIIVLWNCDKPLPAKHRWPATA
NP_034372.1 1 433 0.208242032332564 PF00852.19:Glyco_transf_10:256:431,PF17039.5:Glyco_tran_10_N:89:234 4-galactosyl-N-acetylglucosaminide 3-alpha-L-fucosyltransferase (EC 2.4.1.152) 433 322 25 433 0 Mus musculus BRENDA::Q11127 1 BRENDA MAPARQELQHESRCRPSRTVDAWRAAVATRGRHMETPGYRRRTRCGGWGLPRSVSSLAAVGLLCTALTTFICWGQLPPLPWASPAPQRLVGVLLWWEPFRGRGGYPKSPPDCSLRFNISGCRLLTDRAAYGEAQAVLFHHRDLVKELHDWPPPWGARERTDKALVLRVFDDQEGAVTLTGKALETVGSRPPGQRWVWMNFESPSHTPGLRGLAKDLFNWTLSYRTDSDVFVPYGFLYSRSDPTEQPSGLGPQLARKRGLVAWVVSNWNEHQARVRYYHQLSRHVSVDVFGRTGPGRPVPAIGLLHTVARYKFYLAFENSRHVDYITEKLWRNAFLAGAVPVVLGPDRANYERFVPRGAFIHVDDFPNAASLAAYLLFLDRNVAVYRRYFRWRRSFAVHITSFWDEQWCRTCQAVQTSGDQPKSIHNLADWFQR
NP_035577.1 176 464 0.63866124567474 transcription factor SOX-8 464 0 25 289 0 Mus musculus NP_035577.1 1 RefSeq VKTGRSDSDSGTELGHHPGGPMYKADAVLGEAHHHSDHHTGQTHGPPTPPTTPKTDLHQASNGSKQELRLEGRRLVDSGRQNIDFSNVDISELSSEVISNMDTFDVHEFDQYLPLNGHSALPTEPSQATASGSYGGASYSHSGATGIGASPVWAHKGAPSASASPTEAGPLRPQIKTEQLSPSHYNDQSHGSPGRADYGSYSAQASVTTAASATAASSFASAQCDYTDLQASNYYSPYPGYPPSLYQYPYFHSSRRPYASPLLNGLSMPPAHSPSSNWDQPVYTTLTRP
NP_036346.1 1 535 0.151780747663552 PF04666.13:Glyco_transf_54:98:380 Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase A; N-glycosyl-oligosaccharide-glycoprotein N-acetylglucosaminyltransferase IVa; GlcNAc-T IVa; GnT-IVa; N-acetylglucosaminyltransferase IVa; UDP-N-acetylglucosamine: alpha-1,3-D-mannoside beta-1,4-N-acetylglucosaminyltransferase IVa; EC 2.4.1.145 535 283 25 512 1 Homo sapiens (Human) SwissProt::Q9UM21 1 SwissProt MRLRNGTVATALAFITSFLTLSWYTTWQNGKEKLIAYQREFLALKERLRIAEHRISQRSSELNTIVQQFKRVGAETNGSKDALNKFSDNTLKLLKELTSKKSLQVPSIYYHLPHLLKNEGSLQPAVQIGNGRTGVSIVMGIPTVKREVKSYLIETLHSLIDNLYPEEKLDCVIVVFIGETDIDYVHGVVANLEKEFSKEISSGLVEVISPPESYYPDLTNLKETFGDSKERVRWRTKQNLDYCFLMMYAQEKGIYYIQLEDDIIVKQNYFNTIKNFALQLSSEEWMILEFSQLGFIGKMFQAPDLTLIVEFIFMFYKEKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFRPSLFQHVGLHSSLSGKIQKLTDKDYMKPLLLKIHVNPPAEVSTSLKVYQGHTLEKTYMGEDFFWAITPIAGDYILFKFDKPVNVESYLFHSGNQEHPGDILLNTTVEVLPFKSEGLEISKETKDKRLEDGYFRIGKFENGVAEGMVDPSLNPISAFRLSVIQNSAVWAILNEIHIKKATN
NP_055215.2 1 346 0.386729479768786 PF00021.21:UPAR_LY6:33:86,PF00021.21:UPAR_LY6:140:222 Ly6/PLAUR domain-containing protein 3; GPI-anchored metastasis-associated protein C4.4A homolog; Matrigel-induced gene C4 protein; MIG-C4 346 137 25 346 0 Homo sapiens (Human) SwissProt::O95274 1 SwissProt MDPARKAGAQAMIWTAGWLLLLLLRGGAQALECYSCVQKADDGCSPNKMKTVKCAPGVDVCTEAVGAVETIHGQFSLAVRGCGSGLPGKNDRGLDLHGLLAFIQLQQCAQDRCNAKLNLTSRALDPAGNESAYPPNGVECYSCVGLSREACQGTSPPVVSCYNASDHVYKGCFDGNVTLTAANVTVSLPVRGCVQDEFCTRDGVTGPGFTLSGSCCQGSRCNSDLRNKTYFSPRIPPLVRLPPPEPTTVASTTSVTTSTSAPVRPTSTTKPMPAPTSQTPRQGVEHEASRDEEPRLTGGAAGHQDRSNSGQYPAKGGPQQPHNKGCVAPTAGLAALLLAVAAGVLL
NP_058265.1 1 98 0.235886734693878 hypothetical protein 98 0 25 98 0 Salmonella enterica subsp. enterica serovar Typhi NP_058265.1 1 RefSeq MTTQETPEPFRCSSFDMITIWAVRDPQSSASVVPGVSGYPAYSAGMTVNENPIHLVYRVPKSNYRSYAEGGLLFYNLYSSPTEIATDSTYTYVEMILP
NP_062160.2 1 4506 0.191829427430092 PF01347.22:Vitellogenin_N:46:598,PF09172.11:DUF1943:633:938,PF06448.11:DUF1081:961:1072,PF12491.8:ApoB100_C:4435:4490 apolipoprotein B-100 precursor 4506 1027 25 4506 0 Rattus norvegicus NP_062160.2 1 RefSeq MGPQRPALRAPLLLLFLLLFLDTSVWAQDEVLENFILNCPKDATRFKHLRKYVYSYEAESSSGVRGTADSRSATKINCKVELEVPQVCTLIMRTSQCTLKEVYGFNPEGKALMKKTKNSEEFASAMSRYELKLAFPEGKRVALYPDLGEPNYILNIKRGIISALLVPPETEEDKQVLFQDTVYGNCSTQVTVNSRKGTVATEMSTERNLQHCDGFQPISTSVSPLALIKGLVRPLSTLISSSQSCQYTLEPKRKHVSEAICNEQHLFLPFSYKNKYGIMTHVTQKLSLEDTPKINSRFFRGGINQVGLAFESTKSTSPPKQADAVLKTLQELKKLSISEQNAQRANLFHKLVTELRGLSGEAITSLLPQLIEVSSPITLQALIQCGQPECYTHILQWLKTEKAHPLLIDIVTYLMALIPNPSVQRLQEIFNTAKELQSRATLYALSHAVNSYYAIMDHSRSPVLEDIAGYLMKQIDNECMGDEDRTFLILRVIGNMGRTMERVMPALKSSVLNCVRSTKPSLQIQKAALQALRKMEMGDEVRTILFDTFVNDVAPVEKRLAAYLLLMRSPSSSDINKIAKLLQWEQSEQVKNFVASHIANILNSEELYVQDLKNLIKNALVNSRLPTIMDFRKFSRNYQISKSVSIPLFDPVSAKIEGNLVFDPSSYLPKESMLKTTLTVFGIASLDLFEIGLEGKGFEPTLEALFGKQGFFPDSVNKALYWVNGQVPDRVSKVLVDHFGYTKDDKHEQDMVNGIMPIVDKLIKELKSKEIPEARAYLRILGKELGFVRLQDLQVLGKLLLNGAQTFRGVPQMIVQAIREGSKDDLFLHYIFMENAFELPTGVGLQLQVSSSGVFTPGIKAGVRLELANIQAELVAKPSVSLEFVTNMGIIIPDFAKSGVQMNTNFFHESGLEARVALKAGQLKVIIPSPKRPVKLFSGSNTLHLVSTTKTEVIPPLIENRKSWSTCKPFFTGMNYCTTGAYSNASSTESASYYPLTGDTRYELELKPTGEVEQYSASATYELLKEDKSLVDTLKFLVQAEGVQQSEATAMFKYNRRSRTLSSEVLIPGFDVNFGTILRVNDESSKDKNTYKLILDIQNKKITEVSVVGHVSYDKKGDGKVKGVVSIPRLQAEARSEVHTHWSPTKLLFQMDSSATAYGSTISKRVAWRYDNEKIEFDWNTGTNVDTKKVASNFPVDLSRYPRMVHEYANGLLDHRVPQTDMTFRHMGSKLIVATNTWLQMATRGLPYPQSLQDHLNGLSELNLPKVGLPDFHIPDNLFLKTDGRVKYTLNKNRIEIDIPLPLGGKSSKDLKVPESVRTPALNFKSVGFHLPSQEVQIPTFTIPKTHQLQVPLLGILDLSTNVYSNLYNWSVSYTGGNTSRDHFSLQAQYRMKADSVVDLFSYSVQGSGETTYDSKSTFTLSCDGSLHHKFLDSKFKVSHVEKFGNNPVSKGLLTFETSSALGPQMSATVQLDSKKKQHLYVKDIKVDGQFRVFSLYAQGEYGLSYERDSMTGQMSGESNMKFNSTYFQGTNQIVGMYQDGMLSVTSTSDLQDGIFKNTASLKYENYELTLKSDSSGQYENFAASNKLDMTFSKQSALLRSEHQANYKSLRLVTLLSGSLTSQGVELNADILGTDKINTGAHKSTLKIAQDGVSTSATTNLKYSPLLLENELNAELGLSGASMKLSTSGRFKEHHAKFSLDGRAALTEVSLGSIYQAMILGADSKNVFNFKLSREGLKLSNDMMGSYAEMKLDHTHSLRISGLSLDFFSKMDNIYSGDKFYKQNFNLQLQPYSFGITLSNDLKYDALVLTNNGRLRLEPLKLNVGGNFKGTYQNNELKHIYTISYTDLVVASYRADTVATVQGVEFSHRLNADIEGLASSVDVTTSYSSDPLHFNNVFRFVLAPFTLGVDTHTSGDGKMSLWGEHTGQMYSKFLLKAEPLALTFSHDYKGSTSHNLLYKNSVSTALEHTLSALLTPAEQTSSWKFKTSLNDKVYSQEFEAYNTKDKIGIELSGRADLSGLYSPIKVPFFYSEPVNVLNSLEINDAFDEPREFTIDAVVKYDKNQDVHTISLPFFQSLPDYLERNRRGIISLLEAMKGELQRLSVDQFVRKYRVALSRLPQQIHDYLNASDWERQVAGAKEKLTSFMENYRITDNDVLIALDSAKINLNEKLSQLETYAIQFDQYIRDNYDAQDLKRTIAQIIDRIIEKLKMLDEQYHIRVNLAKSIHNLYLFVENVDLNQISSSGASWIQNVDTKYQIRIQIQEKLQHLRTQIHNIDIQQLAAELKQQIEALDVPMHLDQLRTAILFQRISVIIERVKYFVMNLIEDFKVTEKINTFRVIVRELIEKYEVDRQIQVLMDKSIELAHRYSLSEPLQKLSNVLQQIEIKDYYDKLVGFIDDTVEWIKAVSFKNIIEELNRLIDMSVKKLKAFDYHQFVDKTNSKIREMTQRINAEIQALELPQKTEALKLWVEDFKTTVSNSLEKLKDTKVTVVVDWLQDGLAQIKAQFQDALEDVRDRIYQMDIQGELERCLSLVSQVYSTVVTYISDWWTLTAKNITDFAEQYSTQKWAESVKALVEQGFIVPEIQTFLGTMPAFEVSLHALQEANFQTPDFIVPLTDLRIPSIWINFKMLKNVKIPLRFSTPEFTLLNTFRVRSFTIDLLEIKAKIIRTIDQMLSSELQWPLPEVYLRDLEMVNISLARLSLPDFHVPEITIPEFTIPNVNLKDLQVPDLHIPEFQLPHLSCTTEIPAFGKLHSVLKIQSPLFILDASANIQNITTSENKAEIVASVTARGESKFEALNFDFQAQAQFLELNANPLVLKESVNFSSKHVRMEHEGKILVSGKALEGKSDTVARLHTEKNTVEFNNGIVVKINNQFTLDSQTKYFHKLSVPRLDFSSKASLNNEIKTLLEAGHMAWTSSGTGSWNWACPNFSDEGIHSSKISFIVDGPIASFGLSNNINGKHLRVVQKLTSESGFLNYSRFEVESKVESQHVGSSILTAEGRALLGDAKAEMTGEHNANLNGKVIGTLKNSLFFSAQPFEITASTNNEGNLKVSFPLKLTGKIDFLNNYALFLSPHAQQASWQLSTRFNQYKYNQNFSAINNEHNMEASIVMNGDANLDFLNIPLTIPEINLPYTRFTTPLLKDFSIWEETGLKEFLKTTKQSFDLSIKAQYKKNRDKHSVVIPLKMFYEFMLNNVNSWDRKFEKVRDNALHFLTASYNETKIKFDKYKTENSLNQPSRTFQNRGHTIPVLNIEVSPFAVETLASSHVIPKAIRTPSVTIPGPNIIVPSYRLVLPSLQLPVFHIPRTLFKFSLPDFKKLSTIDNIYIPAMGNFTYDFSFKSSVITLNTNAGLYNQSDLVARFLSSSSFVTDALQYKLEGTSRLMRKKVLKLATAVSLTNKFLKGSHDSTISLTKKNMEASVKTTANLHAPIFTMNFKQELNGNTKSKPTVSSSIELNYDFNSSKLHSAAKGGVDHKFSLESLTSYLSIESFTKGNIKGSFLSQEYSGSVANEANVYLNSKGTRSSVRLQGASNFAGIWNFEVGENFAGEATLRRIYGTWEHNMINHLQVFSYFDTKGKQTCRATLELSPWTMSTLLQVHVSQPSPLFDLHHFDQEVILKASTKNQKVSWKSEVQVESQVLQHNAHFSNDQEEVRLDIAGSLEGQLWDLENFFLPAFGKSLRELLQIDGKRQYLQASTSLHYTKNPNGYLLSLPVQELTDRFIIPGLKLNDFSGIKIYKKLSTSPFALNLTMLPKVKFPGVDLLTQYSKPEGSSVPTFETTIPEIQLTVSQFTLPKSFPVGNTVFDLNKLTNLIADVDLPSITLPEQTIEIPSLEFSVPAGIFIPFFGELTAHVGMASPLYNVTWSTGWKNKADHVETFLDSTCSSTLQFLEYALKVVGTHRIENDKFIYKIKGTLQHCDFNVKYNEDGIFEGLWDLEGEAHLDITSPALTDFHLHYKEDKTSVSASAASPAIGTVSLDASTDDQSVRLNVYFRPQSPPDNKLSIFKMEWRDKESDGETYIKINWEEEAAFRLLDSLKSNVPKASEAVYDYVKKYHLGHASSELRKSLQNDAEHAIRMVDEMNVNAQRVTRDTYQSLYKKMLAQESQSIPEKLKKMVLGSLVRITQKYHMAVTWLMDSVIHFLKFNRVQFPGNAGTYTVDELYTIAMRETKKLLSQLFNGLGHLFSYVQDQVEKSRVINDITFKCPFSPTPCKLKDVLLIFREDLNILSNLGQQDINFTTILSDFQSFLERLLDIIEEKIECLKNNESTCVPDHINMFFKTHIPFAFKSLRENIYSVFSEFNDFVQSILQEGSYKLQQVHQYMKAFREEYFDPSVVGWTVKYYEIEEKMVDLIKTLLAPLRDFYSEYSVTAADFASKMSTQVEQFVSRDIREYLSMLADINGKGREKVAELSIVVKERIKSWSTAVAEITSDYLRQLHSKLQDFSDQLSGYYEKFVAESTRLIDLSIQNYHMFLRYIAELLKKLQVATANNVSPYLRFAQGELIITF 1
NP_062712.1 1 80 0.7384475 PF10195.9:Phospho_p8:23:77 Nuclear protein 1; Protein p8 80 55 25 80 0 Mus musculus (Mouse) SwissProt::Q9WTK0 1 SwissProt MATLPPTANPSQQPLNLEDEDGILDEYDQYSLAHPCVVGGGRKGRTKREAAANTNRPSPGGHERKLLTKFQNSERKKAWR
NP_068587.1 1 580 0.0639660344827587 PF00474.17:SSF:43:442 High affinity choline transporter 1; Hemicholinium-3-sensitive choline transporter; CHT; Solute carrier family 5 member 7 580 400 25 304 12 Homo sapiens (Human) SwissProt::Q9GZV3 1 SwissProt MAFHVEGLIAIIVFYLLILLVGIWAAWRTKNSGSAEERSEAIIVGGRDIGLLVGGFTMTATWVGGGYINGTAEAVYVPGYGLAWAQAPIGYSLSLILGGLFFAKPMRSKGYVTMLDPFQQIYGKRMGGLLFIPALMGEMFWAAAIFSALGATISVIIDVDMHISVIISALIATLYTLVGGLYSVAYTDVVQLFCIFVGLWISVPFALSHPAVADIGFTAVHAKYQKPWLGTVDSSEVYSWLDSFLLLMLGGIPWQAYFQRVLSSSSATYAQVLSFLAAFGCLVMAIPAILIGAIGASTDWNQTAYGLPDPKTTEEADMILPIVLQYLCPVYISFFGLGAVSAAVMSSADSSILSASSMFARNIYQLSFRQNASDKEIVWVMRITVFVFGASATAMALLTKTVYGLWYLSSDLVYIVIFPQLLCVLFVKGTNTYGAVAGYVSGLFLRITGGEPYLYLQPLIFYPGYYPDDNGIYNQKFPFKTLAMVTSFLTNICISYLAKYLFESGTLPPKLDVFDAVVARHSEENMDKTILVKNENIKLDELALVKPRQSMTLSSTFTNKEAFLDVDSSPEGSGTEDNLQ
NP_073568.2 1 243 0.900187242798354 Nuclear ubiquitous casein and cyclin-dependent kinase substrate 1; P1 243 0 25 243 0 Homo sapiens (Human) SwissProt::Q9H1E3 1 SwissProt MSRPVRNRKVVDYSQFQESDDADEDYGRDSGPPTKKIRSSPREAKNKRRSGKNSQEDSEDSEDKDVKTKKDDSHSAEDSEDEKEDHKNVRQQRQAASKAASKQREMLMEDVGSEEEQEEEDEAPFQEKDSGSDEDFLMEDDDDSDYGSSKKKNKKMVKKSKPERKEKKMPKPRLKATVTPSPVKGKGKVGRPTASKASKEKTPSPKEEDEEPESPPEKKTSTSPPPEKSGDEGSEDEAPSGED
NP_085130.2 1 459 0.655765577342049 Cytoplasmic polyadenylation element-binding protein 4; CPE-BP4; CPE-binding protein 4; hCPEB-4 729 0 25 459 0 Homo sapiens (Human) SwissProt::Q17RY0 1 SwissProt MGDYGFGVLVQSNTGNKSAFPVRFHPHLQPPHHHQNATPSPAAFINNNTAANGSSAGSAWLFPAPATHNIQDEILGSEKAKSQQQEQQDPLEKQQLSPSPGQEAGILPETEKAKSEENQGDNSSENGNGKEKIRIESPVLTGFDYQEATGLGTSTQPLTSSASSLTGFSNWSAAIAPSSSTIINEDASFFHQGGVPAASANNGALLFQNFPHHVSPGFGGSFSPQIGPLSQHHPHHPHFQHHHSQHQQQRRSPASPHPPPFTHRNAAFNQLPHLANNLNKPPSPWSSYQSPSPTPSSSWSPGGGGYGGWGGSQGRDHRRGLNGGITPLNSISPLKKNFASNHIQLQKYARPSSAFAPKSWMEDSLNRADNIFPFPDRPRTFDMHSLESSLIDIMRAENDTIKGRLNYSYPGSDSSLLINARTYGRRRGQSSLFPMEDGFLDDGRGDQPLHSGLGSPHCF
NP_112235.2 1 390 0.468622307692308 PF11265.8:Med25_VWA:14:226,PF11235.8:Med25_SD1:228:383 Mediator of RNA polymerase II transcription subunit 25; Activator interaction domain-containing protein 1; Activator-recruited cofactor 92 kDa component; ARC92; Mediator complex subunit 25; p78 747 369 25 390 0 Homo sapiens (Human) SwissProt::Q71SY5 1 SwissProt MVPGSEGPARAGSVVADVVFVIEGTANLGPYFEGLRKHYLLPAIEYFNGGPPAETDFGGDYGGTQYSLVVFNTVDCAPESYVQCHAPTSSAYEFVTWLDGIKFMGGGGESCSLIAEGLSTALQLFDDFKKMREQIGQTHRVCLLICNSPPYLLPAVESTTYSGCTTENLVQQIGERGIHFSIVSPRKLPALRLLFEKAAPPALLEPLQPPTDVSQDPRHMVLVRGLVLPVGGGSAPGPLQSKQPVPLPPAAPSGATLSAAPQQPLPPVPPQYQVPGNLSAAQVAAQNAVEAAKNQKAGLGPRFSPITPLQQAAPGVGPPFSQAPAPQLPPGPPGAPKPPPASQPSLVSTVAPGSGLAPTAQPGAPSMAGTVAPGGVSGPSPAQLGAPALG
NP_115909.1 1 305 0.379687540983607 PF13015.6:PRKCSH_1:64:173,PF07915.13:PRKCSH:69:119 N-acetylglucosamine-1-phosphotransferase subunit gamma; GlcNAc-1-phosphotransferase subunit gamma; UDP-N-acetylglucosamine-1-phosphotransferase subunit gamma 305 110 25 305 0 Homo sapiens (Human) SwissProt::Q9UJJ9 1 SwissProt MAAGLARLLLLLGLSAGGPAPAGAAKMKVVEEPNAFGVNNPFLPQASRLQAKRDPSPVSGPVHLFRLSGKCFSLVESTYKYEFCPFHNVTQHEQTFRWNAYSGILGIWHEWEIANNTFTGMWMRDGDACRSRSRQSKVELACGKSNRLAHVSEPSTCVYALTFETPLVCHPHALLVYPTLPEALQRQWDQVEQDLADELITPQGHEKLLRTLFEDAGYLKTPEENEPTQLEGGPDSLGFETLENCRKAHKELSKEIKRLKGLLTQHGIPYTRPTETSNLEHLGHETPRAKSPEQLRGDPGLRGSL
NP_115984.3 1 416 0.801397836538462 Neurabin-2; Neurabin-II; Protein phosphatase 1 regulatory subunit 9B; Spinophilin 817 0 25 416 0 Homo sapiens (Human) SwissProt::Q96SB3 1 SwissProt MMKTEPRGPGGPLRSASPHRSAYEAGIQALKPPDAPGPDEAPKGAHHKKYGSNVHRIKSMFLQMGTTAGPSGEAGGGAGLAEAPRASERGVRLSLPRASSLNENVDHSALLKLGTSVSERVSRFDSKPAPSAQPAPPPHPPSRLQETRKLFERSAPAAAGGDKEAAARRLLRQERAGLQDRKLDVVVRFNGSTEALDKLDADAVSPTVSQLSAVFEKADSRTGLHRGPGLPRAAGVPQVNSKLVSKRSRVFQPPPPPPPAPSGDAPAEKERCPAGQQPPQHRVAPARPPPKPREVRKIKPVEVEESGESEAESAPGEVIQAEVTVHAALENGSTVATAASPAPEEPKAQAAPEKEAAAVAPPERGVGNGRAPDVAPEEVDESKKEDFSEADLVDVSAYSGLGEDSAGSALEEDDED
NP_149976.1 1 71 0.507133802816901 Urocortin-2; Stresscopin-related peptide; Urocortin II; Ucn II; Urocortin-related peptide 112 0 25 48 1 Homo sapiens (Human) SwissProt::Q96RP3 0 SwissProt MTRCALLLLMVLMLGRVLVVPVTPIPTFQLRPQNSPQTTPRPAASESPSAAPTWPWAAQSHCSPTRHPGSR
NP_150648.2 1 3174 0.186430434782609 PF16910.5:VPS13_mid_rpt:569:793,PF16910.5:VPS13_mid_rpt:1145:1242,PF16908.5:VPS13:139:371,PF16909.5:VPS13_C:2766:2943,PF12624.7:Chorein_N:3:116,PF06650.12:SHR-BD:2209:2454,PF09333.11:ATG_C:2948:3032 Vacuolar protein sorting-associated protein 13A; Chorea-acanthocytosis protein; Chorein 3174 1179 25 3174 0 Homo sapiens (Human) SwissProt::Q96RL7 1 SwissProt MVFESVVVDVLNRFLGDYVVDLDTSQLSLGIWKGAVALKNLQIKENALSQLDVPFKVKVGHIGNLKLIIPWKNLYTQPVEAVLEEIYLLIVPSSRIKYDPLKEEKQLMEAKQQELKRIEEAKQKVVDQEQHLPEKQDTFAEKLVTQIIKNLQVKISSIHIRYEDDITNRDKPLSFGISLQNLSMQTTDQYWVPCLHDETEKLVRKLIRLDNLFAYWNVKSQMFYLSDYDNSLDDLKNGIVNENIVPEGYDFVFRPISANAKLVMNRRSDFDFSAPKINLEIELHNIAIEFNKPQYFSIMELLESVDMMAQNLPYRKFKPDVPLHHHAREWWAYAIHGVLEVNVCPRLWMWSWKHIRKHRQKVKQYKELYKKKLTSKKPPGELLVSLEELEKTLDVFNITIARQTAEVEVKKAGYKIYKEGVKDPEDNKGWFSWLWSWSEQNTNEQQPDVQPETLEEMLTPEEKALLYEAIGYSETAVDPTLLKTFEALKFFVHLKSMSIVLRENHQKPELVDIVIEEFSTLIVQRPGAQAIKFETKIDSFHITGLPDNSEKPRLLSSLDDAMSLFQITFEINPLDETVSQRCIIEAEPLEIIYDARTVNSIVEFFRPPKEVHLAQLTAATLTKLEEFRSKTATGLLYIIETQKVLDLKINLKASYIIVPQDGIFSPTSNLLLLDLGHLKVTSKSRSELPDVKQGEANLKEIMDRAYDSFDIQLTSVQLLYSRVGDNWREARKLSVSTQHILVPMHFNLELSKAMVFMDVRMPKFKIYGKLPLISLRISDKKLQGIMELIESIPKPEPVTEVSAPVKSFQIQTSTSLGTSQISQKIIPLLELPSVSEDDSEEEFFDAPCSPLEEPLQFPTGVKSIRTRKLQKQDCSVNMTTFKIRFEVPKVLIEFYHLVGDCELSVVEILVLGLGAEIEIRTYDLKANAFLKEFCLKCPEYLDENKKPVYLVTTLDNTMEDLLTLEYVKAEKNVPDLKSTYNNVLQLIKVNFSSLDIHLHTEALLNTINYLHNILPQSEEKSAPVSTTETEDKGDVIKKLALKLSTNEDIITLQILAELSCLQIFIQDQKCNISEIKIEGLDSEMIMRPSETEINAKLRNIIVLDSDITAIYKKAVYITGKEVFSFKMVSYMDATAGSAYTDMNVVDIQVNLIVGCIEVVFVTKFLYSILAFIDNFQAAKQALAEATVQAAGMAATGVKELAQRSSRMALDINIKAPVVVIPQSPVSENVFVADFGLITMTNTFHMITESQSSPPPVIDLITIKLSEMRLYRSRFINDAYQEVLDLLLPLNLEVVVERNLCWEWYQEVPCFNVNAQLKPMEFILSQEDITTIFKTLHGNIWYEKDGSASPAVTKDQYSATSGVTTNASHHSGGATVVTAAVVEVHSRALLVKTTLNISFKTDDLTMVLYSPGPKQASFTDVRDPSLKLAEFKLENIISTLKMYTDGSTFSSFSLKNCILDDKRPHVKKATPRMIGLTVGFDKKDMMDIKYRKVRDGCVTDAVFQEMYICASVEFLQTVANVFLEAYTTGTAVETSVQTWTAKEEVPTQESVKWEINVIIKNPEIVFVADMTKNDAPALVITTQCEICYKGNLENSTMTAAIKDLQVRACPFLPVKRKGKITTVLQPCDLFYQTTQKGTDPQVIDMSVKSLTLKVSPVIINTMITITSALYTTKETIPEETASSTAHLWEKKDTKTLKMWFLEESNETEKIAPTTELVPKGEMIKMNIDSIFIVLEAGIGHRTVPMLLAKSRFSGEGKNWSSLINLHCQLELEVHYYNEMFGVWEPLLEPLEIDQTEDFRPWNLGIKMKKKAKMAIVESDPEEENYKVPEYKTVISFHSKDQLNITLSKCGLVMLNNLVKAFTEAATGSSADFVKDLAPFMILNSLGLTISVSPSDSFSVLNIPMAKSYVLKNGESLSMDYIRTKDNDHFNAMTSLSSKLFFILLTPVNHSTADKIPLTKVGRRLYTVRHRESGVERSIVCQIDTVEGSKKVTIRSPVQIRNHFSVPLSVYEGDTLLGTASPENEFNIPLGSYRSFIFLKPEDENYQMCEGIDFEEIIKNDGALLKKKCRSKNPSKESFLINIVPEKDNLTSLSVYSEDGWDLPYIMHLWPPILLRNLLPYKIAYYIEGIENSVFTLSEGHSAQICTAQLGKARLHLKLLDYLNHDWKSEYHIKPNQQDISFVSFTCVTEMEKTDLDIAVHMTYNTGQTVVAFHSPYWMVNKTGRMLQYKADGIHRKHPPNYKKPVLFSFQPNHFFNNNKVQLMVTDSELSNQFSIDTVGSHGAVKCKGLKMDYQVGVTIDLSSFNITRIVTFTPFYMIKNKSKYHISVAEEGNDKWLSLDLEQCIPFWPEYASSKLLIQVERSEDPPKRIYFNKQENCILLRLDNELGGIIAEVNLAEHSTVITFLDYHDGAATFLLINHTKNELVQYNQSSLSEIEDSLPPGKAVFYTWADPVGSRRLKWRCRKSHGEVTQKDDMMMPIDLGEKTIYLVSFFEGLQRIILFTEDPRVFKVTYESEKAELAEQEIAVALQDVGISLVNNYTKQEVAYIGITSSDVVWETKPKKKARWKPMSVKHTEKLEREFKEYTESSPSEDKVIQLDTNVPVRLTPTGHNMKILQPHVIALRRNYLPALKVEYNTSAHQSSFRIQIYRIQIQNQIHGAVFPFVFYPVKPPKSVTMDSAPKPFTDVSIVMRSAGHSQISRIKYFKVLIQEMDLRLDLGFIYALTDLMTEAEVTENTEVELFHKDIEAFKEEYKTASLVDQSQVSLYEYFHISPIKLHLSVSLSSGREEAKDSKQNGGLIPVHSLNLLLKSIGATLTDVQDVVFKLAFFELNYQFHTTSDLQSEVIRHYSKQAIKQMYVLILGLDVLGNPFGLIREFSEGVEAFFYEPYQGAIQGPEEFVEGMALGLKALVGGAVGGLAGAASKITGAMAKGVAAMTMDEDYQQKRREAMNKQPAGFREGITRGGKGLVSGFVSGITGIVTKPIKGAQKGGAAGFFKGVGKGLVGAVARPTGGIIDMASSTFQGIKRATETSEVESLRPPRFFNEDGVIRPYRLRDGTGNQMLQVMENGRFAKYKYFTHVMINKTDMLMITRRGVLFVTKGTFGQLTCEWQYSFDEFTKEPFIVHGRRLRIEAKERVKSVFHAREFGKIINFKTPEDARWILTKLQEAREPSPSL
NP_172406.1 1 334 0.148859281437126 PF01501.20:Glyco_transf_8:20:262 Galactinol synthase 3; AtGolS3; GolS-3; EC 2.4.1.123 334 243 25 334 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80518 1 SwissProt MAPEMNNKLSYGEKKRAYVTFLAGTGDYVKGVVGLAKGLRKTKSKYPLVVAVLPDVPADHRRQLLDQGCVIKEIQPVYPPDNQTQFAMAYYVLNYSKLRIWKFVEYSKLIYLDGDIQVFENIDHLFDLPDGNFYAVKDCFCEKTWSHTPQYKIGYCQQCPDKVTWPESELGPKPPLYFNAGMFVYEPSLPTYYNLLETLKVVPPTPFAEQDFLNMYFKDIYKPIPPVYNLVLAMLWRHPENIELNEAKVVHYCAAGAKPWRFTGQEGNMEREDIKMLVEKWWDIYNDESLDYKNFNVHCGQKEDVHRKPKTLPQFFTDLSEADVLQCAKAPSAA
NP_176170.1 208 920 0.460509537166901 PF01031.20:Dynamin_M:50:287,PF00169.29:PH:377:495,PF02212.18:GED:528:610,PF15413.6:PH_11:376:493 Dynamin-2B; Dynamin-like protein 3; Dynamin-related protein 2B; EC 3.6.5.5 920 441 25 713 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQ55 1 SwissProt QAAENPKSLAAVQALLSNQGPPKTTDIPWVALIGQSVSIASAQSGGSENSLETAWRAESESLKSILTGAPQSKLGRIALVDTLASQIRSRMKLRLPNILTGLQGKSQIVQDELARLGEQLVSSAEGTRAIALELCREFEDKFLLHLAGGEGSGWKVVASFEGNFPNRIKKLPLDRHFDLNNVKRIVLEADGYQPYLISPEKGLRSLIKTVLELAKDPARLCVDEVHRVLVDIVSASANATPGLGRYPPFKREVVAIASAALDGFKNEAKKMVVALVDMERAFVPPQHFIRLVQRRMERQRREEELKGRSSKKGQDAEQSLLNRATSPQPDGPSSTGGSLKSLRDKLMPQDKDKDKEKETPEVSGLKTAGPEGEITAGYLMKKSAKTNGWSRRWFVLNEKTGKLGYTKKQEERNFRGTVTLEECSIEEISDDEGEKSKSSKDKKSNGPDSKGPGLVFKITCRVPYKTVLKAHNALVLKAESMVDKNEWINKLQKVIQARGGQVGSASMRQSLSEGSLDKMVRKPVDPEEELRWMSQEVRGYVEAVLNSLAANVPKAVVLCQVEKSKEDMLNQLYSSISAIGNERIESLIQEDQNVKRRRDRYQKQSSLLSKLTRQLSIHDNRAAAASSWSDNSGTESSPRTNGGSSGEDWMNAFNAAASGPDSLKRYGSGGHSRRYSDPAQNGEDSSGSGGSSRRTTPNRLPPAPPQSGSSYRY
NP_181511.3 1 927 0.300011866235167 PF13934.6:ELYS:324:595 E3 ubiquitin-protein ligase HOS1; Protein HIGH EXPRESSION OF OSMOTICALLY RESPONSIVE GENE 1; RING finger protein HOS1; RING-type E3 ubiquitin transferase HOS1; EC 2.3.2.27 927 272 25 927 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84JU6 1 SwissProt MDTREINGFASAARSISLPTQPNYSSKPVQEALKHLASINLRELCNEAKVERCRATRDLASCGRFVNYVLNPCGHASLCTECCQRCDVCPICRSTLPKFGDRLRLRLYYECVEAGLISRTHEEASQDSDEDEHQLAADVHRLYSLFDVAMNNNLISVVCHYITNVCMDETAVSSDPVIAFLLDEVVVKDWVKRTFRSTLAELQEIYNLETKEMQAWLDKLLRCSKQVAGICSVLEVMESAFKGSVSPQLQDVQTLRENIGKTKQHLDIMVWCIRHGFLDDVRSRYSNFTSWNALVGERKSNAVKRAWPDAVDQSSDCSVQSASLFIEDALENLEREPEYSQEIGADLEVGRLQKDKRSFLRSKIEGTSGSYPFENLRTAADMLFLHGGSDLVVAKQAIFLYYLFDRHWTTPEKYWKHTIDDFAATFGITRHSLLESFVFYLLDDHSEEALQEACRILPEICGPETYPKVAQVLLERDNPETALMVLRWSGRDGVSELVSIGEAVTALRVRVECGLLSEAFTYQRTLCLKVKENNLKNGAVKHASDDLDIWSWTEWMEILVNEFCCLSIRRNLVDRIIELPWNPDEEKYLHRCLLDSATDDPSSAVGSLLVVFYLQRYRYIQAYQVDLRLQKIEEAFVSDNQIGEEVMFRMRSQSHWRKELVDRAIDILPVIQQQQVRSGQFSEMEDASEGAKKSDLPDAPDMITSSVPFATTNSVFLQSANNARAREPVANNGSPFQPGHMIGNASHDLSHGRLFTNANRGQKSEVRSVTKNLKFGEMSTPFKDLNRARGNSQLQGKRTEESSPEVNVDRYIENNMSSPYLRRITANNPVTVKSSSNHLNGSSQKPESTFFGTRMQPDKDNFVDLDDPMDMSSSLKDNNNNVLATESRNNSGGLRWRSDETSDDEDELTSFGSMPVKGRRRRRFAAR
NP_187735.2 1 182 0.445783516483517 PF00046.29:Homeodomain:22:82 WUSCHEL-related homeobox 5 182 61 25 182 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H1D2 1 SwissProt MSFSVKGRSLRGNNNGGTGTKCGRWNPTVEQLKILTDLFRAGLRTPTTDQIQKISTELSFYGKIESKNVFYWFQNHKARERQKRRKISIDFDHHHHQPSTRDVFEISEEDCQEEEKVIETLQLFPVNSFEDSNSKVDKMRARGNNQYREYIRETTTTSFSPYSSCGAEMEHPPPLDLRLSFL
NP_189403.1 1 191 0.260574345549738 PF01277.17:Oleosin:50:162 Oleosin 20.3 kDa; Oleosin type 4 191 113 25 145 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42431 1 SwissProt MANVDRDRRVHVDRTDKRVHQPNYEDDVGFGGYGGYGAGSDYKSRGPSTNQILALIAGVPIGGTLLTLAGLTLAGSVIGLLVSIPLFLLFSPVIVPAALTIGLAVTGILASGLFGLTGLSSVSWVLNYLRGTSDTVPEQLDYAKRRMADAVGYAGMKGKEMGQYVQDKAHEARETEFMTETHEPGKARRGS
NP_194152.1 1 151 0.25835298013245 PF00646.33:F-box:32:76 F-box protein GID2; Protein SLEEPY 1 151 45 25 151 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9STX3 1 SwissProt MKRSTTDSDLAGDAHNETNKKMKSTEEEEIGFSNLDENLVYEVLKHVDAKTLAMSSCVSKIWHKTAQDERLWELICTRHWTNIGCGQNQLRSVVLALGGFRRLHSLYLWPLSKPNPRARFGKDELKLTLSLLSIRYYEKMSFTKRPLPESK
NP_194824.1 1 448 0.1325390625 PF00487.24:FA_desaturase:148:396 Omega-6 fatty acid desaturase, chloroplastic; EC 1.14.19.23 448 249 25 402 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46312 1 SwissProt MASRIADSLFAFTGPQQCLPRVPKLAASSARVSPGVYAVKPIDLLLKGRTHRSRRCVAPVKRRIGCIKAVAAPVAPPSADSAEDREQLAESYGFRQIGEDLPENVTLKDIMDTLPKEVFEIDDLKALKSVLISVTSYTLGLFMIAKSPWYLLPLAWAWTGTAITGFFVIGHDCAHKSFSKNKLVEDIVGTLAFLPLVYPYEPWRFKHDRHHAKTNMLVHDTAWQPVPPEEFESSPVMRKAIIFGYGPIRPWLSIAHWVNWHFNLKKFRASEVNRVKISLACVFAFMAVGWPLIVYKVGILGWVKFWLMPWLGYHFWMSTFTMVHHTAPHIPFKPADEWNAAQAQLNGTVHCDYPSWIEILCHDINVHIPHHISPRIPSYNLRAAHESIQENWGKYTNLATWNWRLMKTIMTVCHVYDKEENYIPFDRLAPEESQPITFLKKAMPNYTA
NP_195024.1 1 373 0.328187667560322 PF07526.11:POX:202:332 Homeobox protein ATH1 473 131 25 373 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48731 1 SwissProt MDNNNNNNTFSSLDNVMTNQNPLLMDFIPSREDSTSFSTMLPWNTIRSDPLQMGGFDIFNSMLTNKYLSSSPRSIDVQDNRNVEFMAPPPHPPPLHPLDHLRHYDDSSNNMWGFEANSEFQAFSGVVGPSEPMMSTFGEEDFPFLISNKRNNELSLSLASDVSDECSEISLCAATRLASEQASCSSKDISNNVVTQGFSQLIFGSKYLHSVQEILSHFAAYSLDYSSRGTESGAASSAFTSRFENITEFLDGDSNNSEAGFGSTFQRRALEAKKTHLLDLLQMVDDRYSHCVDEIHTVISAFHAATELDPQLHTRFALQTVSFLYKNLRERICKKIISMGSVLERGKDKTQETSMFHQHCLLQQLKRKNHQIW
NP_195488.2 1 535 0.523013831775701 PF08879.10:WRC:228:270,PF08880.11:QLQ:164:197 Growth-regulating factor 2; AtGRF2; Transcription activator GRF2 535 77 25 535 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L8A8 1 SwissProt MDIGVHVLGSVTSNENESLGLKELIGTKQDRSGFIGEDCLQRSLKLARTTTRAEEEENLSSSVAAAYCKTMSFHQGIPLMRSASPLSSDSRRQEQMLSFSDKPDALDFSKYVGLDNSSNNKNSLSPFLHQIPPPSYFRSSGGYGSGGMMMNMSMQGNFTGVKGPFTLTQWAELEQQALIYKYITANVPVPSSLLISIKKSFYPYGSLPPSSFGWGTFHLGFAGGNMDPEPGRCRRTDGKKWRCSRDAVPDQKYCERHINRGRHRSRKPVEVQSGQNQTAAAASKAVTTPQQPVVAGNTNRSNARASSNRSLAIGSQYINPSTESLPNNRGVSIYPSTVNLQPKESPVIHQKHRNNNNPFEFGHISSDSLLNPNTAKTYGSSFLDFSSNQEKHSGNHNHNSWPEELTSDWTQLSMSIPIASSSPSSTHNNNNAQEKTTLSPLRLSRELDLSIQTDETTIEPTVKKVNTWIPISWGNSLGGPLGEVLNSTTNSPTFGSSPTGVLQKSTFCSLSNNSSVSSPIAENNRHNGDYFHYTT
NP_199324.2 1 586 0.242419795221843 PF12313.8:NPR1_like_C:366:573,PF11900.8:DUF3420:220:267,PF00651.31:BTB:56:180 Regulatory protein NPR3; BTB/POZ domain-containing protein NPR3 586 381 25 586 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L746 1 SwissProt MATLTEPSSSLSFTSSHFSYGSIGSNHFSSSSASNPEVVSLTKLSSNLEQLLSNSDCDYSDAEIIVDGVPVGVHRCILAARSKFFQDLFKKEKKISKTEKPKYQLREMLPYGAVAHEAFLYFLSYIYTGRLKPFPLEVSTCVDPVCSHDCCRPAIDFVVQLMYASSVLQVPELVSSFQRRLCNFVEKTLVENVLPILMVAFNCKLTQLLDQCIERVARSDLYRFCIEKEVPPEVAEKIKQLRLISPQDEETSPKISEKLLERIGKILKALDSDDVELVKLLLTESDITLDQANGLHYSVVYSDPKVVAEILALDMGDVNYRNSRGYTVLHFAAMRREPSIIISLIDKGANASEFTSDGRSAVNILRRLTNPKDYHTKTAKGRESSKARLCIDILEREIRKNPMVLDTPMCSISMPEDLQMRLLYLEKRVGLAQLFFPTEAKVAMDIGNVEGTSEFTGLSPPSSGLTGNLSQVDLNETPHMQTQRLLTRMVALMKTVETGRRFFPYGSEVLDKYMAEYIDDDILDDFHFEKGSTHERRLKRMRYRELKDDVQKAYSKDKESKIARSCLSASSSPSSSSIRDDLHNTT
NP_199696.2 1 306 0.27363431372549 PF13181.6:TPR_8:168:199 Protein SULFUR DEFICIENCY-INDUCED 1; AtSDI1 306 32 25 306 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GXU5 1 SwissProt MERSLKKTKNNYNNSIKSNLMKDDELFHVIHKVPCGDTPYVRAKHAQLIEKNPEMAIVWFWKAINTGDRVDSALKDMAVVMKQLDRSEEAIEAIKSFRPRCSKNSQDSLDNVLIDLYKKCGRMEEQVELLKRKLRQIYQGEAFNGKPTKTARSHGKKFQVTVQQEISRLLGNLGWAYMQQAKYLSAEAVYRKAQMVEPDANKSCNLAMCLIKQGRFEEGRLVLDDVLEYRVLGADDCRTRQRAEELLSELESSLPRMRDAEMEDVLGNILDDDFVLGLEEMTSTSFKSKRLPIFEQISSFRNTLVC
NP_199744.1 117 342 0.400586283185841 Transcription factor MYB111; Myb-related protein 111; AtMYB111; Protein PRODUCTION OF FLAVONOL GLYCOSIDES 3 342 0 25 226 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJ07 1 SwissProt YAFTAVSGDGHNLLVNDVVLKKSCSSSSGAKNNNKTKKKKKGRTSRSSMKKHKQMVTASQCFSQPKELESDFSEGGQNGNFEGESLGPYEWLDGELERLLSSCVWECTSEEAVIGVNDEKVCESGDNSSCCVNLFEEEQGSETKIGHVGITEVDHDMTVEREREGSFLSSNSNENNDKDWWVGLCNSSEVGFGVDEELLDWEFQGNVTCQSDDLWDLSDIGEITLE
NP_199831.1 1 210 0.204108095238095 PF16974.5:NAR2:29:204 High-affinity nitrate transporter 3.1; Protein WOUND-RESPONSIVE 3 210 176 25 187 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FGS5 1 SwissProt MAIQKILFASLLICSLIQSIHGAEKVRLFKELDKGALDVTTKPSREGPGVVLDAGKDTLNITWTLSSIGSKREAEFKIIKVKLCYAPPSQVDRPWRKTHDELFKDKTCPHKIIAKPYDKTLQSTTWTLERDIPTGTYFVRAYAVDAIGHEVAYGQSTDDAKKTNLFSVQAISGRHASLDIASICFSVFSVVALVVFFVNEKRKAKIEQSK
NP_200440.1 1 445 0.54619595505618 Peroxisome biogenesis protein 5; Peroxin-5; AtPEX5; Peroxisomal targeting signal type 1 receptor; Pex5p 728 0 25 445 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMA3 1 SwissProt MAMRDLVNGGAACAVPGSSSSSNPLGALTNALLGSSSKTQERLKEIPNANRSGPRPQFYSEDQQIRSLPGSELDQPLLQPGAQGSEFFRGFRSVDQNGLGAAWDEVQQGGPMPPMGPMFEPVQPTFEGPPQRVLSNFLHSFVESSRGGIPFRPAPVPVLGLSQSDKQCIRDRSSIMARHFFADRGEEFINSQVNALLSSLDIDDGIQARGHVPGRFRELDDYWNESQAVVKPNLHPADNWAAEFNQHGMDHGGPDSWVQSFEQQHGVNGWATEFEQGQSQLMSSQMRSMDMQNIAAMEQTRKLAHTLSQDGNPKFQNSRFLQFVSKMSRGELIIDENQVKQASAPGEWATEYEQQYLGPPSWADQFANEKLSHGPEQWADEFASGRGQQETAEDQWVNEFSKLNVDDWIDEFAEGPVGDSSADAWANAYDEFLNEKNAGKQTSGV
NP_207333.1 1 376 0.247016755319149 Cag pathogenicity island protein (Cag16) 376 0 25 376 0 Helicobacter pylori CharProtDB::CH_091203 1 CharProtDB MLAKIVFSSLVAFGVLSANVEQFGSFFNEIKKEQEEVAAKEDALKARKKLLNNTHDFLEDLIFRKQKIKELMDHRAKVLSDLENKYKKEKEALEKETRGKILTAKSKAYGDLEQALKDNPLYRKLLPNPYAYVLNQETFTKEDRERLSYYYPQVKTSSIFKKTTATTKDKAQALLQMGVFSLDEEQNKKASRLALSYKQAIEEYSNNVSNLLSRKELDNIDYYLQLERNKFDSKAKDIAQKATNTLIFNSERLAFSMAIDKINEKYLRGYEAFSNLLKNVKDDVELNTLTKNFTNQKLSFAQKQKLCLLVLDSFNFDTQSKKSILKKTNEYNIFVDSDPMMSDKTTMQKEHYKIFNFFKTVVSAYRNNVAKNNPFE
NP_217176.1 1 75 0.328148 hypothetical protein 75 0 25 75 0 Mycobacterium tuberculosis H37Rv NP_217176.1 1 RefSeq MIAGVDQALAATGQASQRAAGASGGVTVGVGVGTEQRNLSVVAPSQFTFSSRSPDFVDETAGQSWCAILGLNQFH
NP_218396.1 335 729 0.479538227848101 ESX-1 secretion-associated protein EspK 729 0 25 395 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJC1 1 SwissProt EQPGVPGQHAGGGTQSGPAHADESAASVTPAAASGVPGARAAAAAPSGTAVGAGARSSVGTAAASGAGSHAATGRAPVATSDKAAAPSTRAASARTAPPARPPSTDHIDKPDRSESADDGTPVSMIPVSAARAARDAATAAASARQRGRGDALRLARRIAAALNASDNNAGDYGFFWITAVTTDGSIVVANSYGLAYIPDGMELPNKVYLASADHAIPVDEIARCATYPVLAVQAWAAFHDMTLRAVIGTAEQLASSDPGVAKIVLEPDDIPESGKMTGRSRLEVVDPSAAAQLADTTDQRLLDLLPPAPVDVNPPGDERHMLWFELMKPMTSTATGREAAHLRAFRAYAAHSQEIALHQAHTATDAAVQRVAVADWLYWQYVTGLLDRALAAAC
NP_250400.1 1 295 0.367377966101695 PF05844.12:YopD:1:295 translocator outer membrane protein PopD 295 295 25 272 1 Pseudomonas aeruginosa PAO1 NP_250400.1 1 RefSeq MIDTQYSLAATQAAIPSEPIAPGAAGRSVGTPQAAADLPQVPAARADRVELNAPRQVLDPVRMEAAGSELDSSVELLLILFRIAQKARELGVLQRDNENQSIIHAQKAQVDEMRSGATLMIAMAVIAGVGALASAVVGSLGALKNGKAISQEKTLQKNIDGRNELIDAKMQALGKTSDEDRKIVGKVWAADQVQDSVALRAAGRAFESRNGALQVANTVIQSFVQMANASVQVRQGESQASAREGEVNATIGQSQKQKVEDQMSFDAGFMKDVLQLIQQYTQSHNQAWRAAAGVV
NP_253042.1 136 286 0.226746357615894 PF00582.26:Usp:4:147 hypothetical protein 286 144 25 151 0 Pseudomonas aeruginosa PAO1 NP_253042.1 1 RefSeq EEEYRRVLLALDFSPTSTRAVQMAGQLGFLDAASLTALHAFEPFAKGMMRYSGIKEDRVEHYVDQEELKANVELRDYVAGLGLGREDIQLRVGEGLPINVIMTEVRRQAPQLTVLGTQGLTGFRRALIGSVAEAALGDLPCDVLAVPPKRD
NP_253244.1 1 607 0.336692751235585 Type IV pilus biogenesis factor PilY1; Pilus-associated adhesin PilY1 1161 0 25 607 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9HVM8 1 SwissProt MKSVLHQIGKTSLAAALSGAVLLSAQTTHAAALSVSQQPLMLIQGVAPNMLVTLDDSGSMAFAYAPDSISGYGNYTFFASNSFNPMYFDPNTQYKLPKKLTLVNGQVQIQDYPAPNFSSAWRNGFTRSGSINLSNSYKVTIEYGRGYDKESTIKADAAYYYDFTGSSSCNRTNQACYTRRYVSTEQRQNFANWYSFYRTRALATQTAANLAFYSLPENARVSWQLLNDSNCNQMGSGSSSGNCFSNYLRDFTGQHRVNFFNWLEKLSVNGGTPLRQAMTRAGEFLKKTGVNGPYAYRPGTQTAPEYSCRGSYHILMTDGLWNNDSANVGNADSTARNLPDGKSYSSQTPYRDGTFDTLADQAFHYWATDARPDIDDNIKPYIPYPDQANPSAEYWNPRNDPATWQHMVTYTLGLGLTTSLTSPRWEGSTFSGGYNDIVAGNLSWPRASNNDSNNVYDLWHAAVNSRGEFFSADSPDQLVAAFQDILNRISGKDLPASRPAISSSLQEDDTGDKLTRFAYQTSFASDKNWAGDLTRYSLTTQDKATVQTKLWSAQSILDAMPNGGAGRKIMMAGSGTSGLKEFTWGSLSADQQRQLNRDPDRNDVADT 1
NP_446202.1 1 102 0.675510784313725 C-type natriuretic peptide precursor 126 0 25 102 0 Rattus norvegicus NP_446202.1 1 RefSeq MHLSQLIACALLLALLSLRPSEAKPGTPPKVPRTPPGEELAEPQAAGGNQKKGDKTPGGGGANLKGDRSRLLRDLRVDTKSRAAWARLLHEHPNARKYKGGN
NP_523360.1 1 236 0.50183093220339 PF03792.13:PBC:41:236 Homeobox protein extradenticle; Dpbx 376 196 25 236 0 Drosophila melanogaster (Fruit fly) SwissProt::P40427 1 SwissProt MEDPNRMLAHTGGMMAPQGYGLSGQDDGQNAGSENEVRKQKDIGEILQQIMSISEQSLDEAQARKHTLNCHRMKPALFSVLCEIKEKTVLSIRNTQEEEPPDPQLMRLDNMLIAEGVAGPEKGGGGAAAASAAAASQGGSLSIDGADNAIEHSDYRAKLAQIRQIYHQELEKYEQACNEFTTHVMNLLREQSRTRPITPKEIERMVQIIHKKFSSIQMQLKQSTCEAVMILRSRFL
NP_523615.2 1 954 0.688600209643605 PF12756.7:zf-C2H2_2:353:382,PF00096.26:zf-C2H2:354:374,PF00096.26:zf-C2H2:534:557,PF13912.6:zf-C2H2_6:353:374,PF13912.6:zf-C2H2_6:535:559 Protein teashirt 954 56 25 954 0 Drosophila melanogaster (Fruit fly) SwissProt::P22265 1 SwissProt MLHEALMLEIYRQALNAGALPTARPRSTESANSSERCPSHDSNSSEHGGGAGSGGVGHRLDAAALSTGVMPGEGPTTLHSSFPAVPQSLPSQPPSMEAYLHMVAAAAQQYGFPLAAAAAAGAGPRLPLPLANEAAAPFKLPPQASPTASSNNSEALDFRTNLYGRAESAEPPASEGEEEEFDDGANNPLDLSVGTRKRGHESEPQLGHIQVKKMFKSDSPPANSVASPSASQLLPGVNPYLAAVAAANIFRAGQFPDWNSKNDLVVDPLEKMSDIVKGGASGMGTKEKMHSSKATTPQAASQPPKSPVQPTPNQNSESGGGSGGGAAGSGAVTKARHNIWQSHWQNKGVASSVFRCVWCKQSFPTLEALTTHMKDSKHCGVNVPPFGNLPSNNPQPQHHHPTPPPPPQNHNLRKHSSGSASNHSPSANVKNAFQYRGDPPTPLPRKLVRGQNVWLGKGVEQAMQILKCMRCGESFRSLGEMTKHMQETQHYTNILSQEQSISIKSGNANANSDAKESHNSLSSEESRTLSAVLTCKVCDKAFNSLGDLSNHMAKNNHYAEPLLQSAGARKRPAPKKREKSLPVRKLLEMKGGSGTTQEDHSNEKTSVQGKPGLGPGGGDKNDAALFAERMRQYITGVKAPEEIAKVAAAQLLAKNKSPELVEQKNGGSAKAAGASSVLSAIEQMFTTSFDTPPRHASLPASSPSNSSTKNTSPVASSILKRLGIDETVDYNKPLIDTNDPYYQHYRYTSSERSGSECSAEARPRLDAPTPEKQQQGGGHDEESSKPAIKQEREAESKPVKMEIKSEFVDEPNEAEETSKMEAAVVNGSATNNNNNIVERSSPKTPSSAASPQTRLLPPRSPAESQRSVTPKSPASSHKSYDGSSEGTKKFPSDSLNALSSMFDSLGSSGAGANSRAKLAAAAAAGGSESPENLTAGGNSLAALRQFCVKKEKTA
NP_524135.3 1 846 0.328901891252954 ATR-interacting protein mus304; Mutagen-sensitive protein 304 846 0 25 846 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VVN4 1 SwissProt MAKRFSAMKDFARCKKPRLDVSVTRGSARPSPPRNNFDGILWDDDDDVILMATQLAEAEIEAEERKKKGGTEVDIGNSEVTFSEFAPTFQGSTSTQQMFPPPPPPQKKPTSLDMDAIFADDDDFDFLAVTLMDSEPQKMPEPKTSTSRITTSSISVQQKTTTTTTINATQSRQQEHQLKFLMDRIEALKRENAQLEKNLGDSKERNEIKSGEVSLLRDELKHLRQQLQASKMEKLALADETNRDCNKKVAEAAKQIAAKDIELKIKNAEFSKLKTQQKAHERSMNSSMSILQAAPDPLEKRLSLRLNRLNIHRSVPGLKTDNGSVFEYSENEDQTKKRRNHFELELKQLLLHYARLQAKPESVDNLLPRILSSVGKVFTEFASYAQSLDFPHNCMLYPYNPHNLEEEVHRISLTHQSCLYDNEKAVPLRRFIATLALICRREERISRGLTEWKENDLGLLDMAIEAITKLGFSYEVGQHFGLLEALTSLLNSLLQENALLQHNEELLFDLLKQLVFTRPSPWVFAELSSCFLSCLRHPQLMDKMCVNSPKDCFVSDRVRSVYRFGPDSCLLQVYAGLLELCFFSETPLRQDYFQLLLKIGGNHVRFAFECFKNPPDFILEMLPYFADDGDEDSSDGTLMKTGTSLSFNSTGAVQGSVSNGSTSASVSNPNQNSNSSTTQRGKGCECYVKLCLSAVTIVFQVMHQWMLHSRKAGTEEVGEISRIAVHLLSLVFHEYYLTCLFRDSEETTKHYLSLICNWWSEHANLLGFQSIHLRLLNQLVKAHFMLKPLHLEAKPNNPVNDLSEWKRIVKNADDQRAVKSAVTVDPSKLLNTDFFSALKREENTFE
NP_536681.2 1 255 0.313318431372549 Fez family zinc finger protein 2; Forebrain embryonic zinc finger-like protein 2; Zinc finger protein 312; Zinc finger protein Fez-like 455 0 25 255 0 Mus musculus (Mouse) SwissProt::Q9ESP5 1 SwissProt MASSASLETMVPPACPRAGASPATSKTLAFSIERIMAKTSEPRAPFEPRPAALEADSSQSKKLLNLCSPLPCMIPLQPLGYEVPSKTLLSYSEFWKSSLRAGGGGGGGSGGGAPVCGASGLCKTNCGVCCKAELGLAPSALPAGRVIKPQVINQAVGLPASGSLYYFNYLDSTAYPPSELLGGHLFPSGLLNAQAPTSLAAHPKLFLLENAKLASLAADKFPHPASYPHKERLHAPLEQVLKENSALTAERGGVK
NP_566173.1 1 68 0.816705882352941 Late embryogenesis abundant protein (LEA) family protein 68 0 25 68 0 Arabidopsis thaliana NP_566173.1 1 RefSeq MDNKQNASYQAGQATGQTKEKAGGMMDKAKDAAASAQDSLQQTGQQMKEKAQGAADVVKDKTGMNKSH
NP_612157.1 1 324 0.907513271604938 pygopus homolog 2 406 0 25 324 0 Homo sapiens NP_612157.1 1 RefSeq MAASAPPPPDKLEGGGGPAPPPAPPSTGRKQGKAGLQMKSPEKKRRKSNTQGPAYSHLTEFAPPPTPMVDHLVASNPFEDDFGAPKVGVAAPPFLGSPVPFGGFRVQGGMAGQVPPGYSTGGGGGPQPLRRQPPPFPPNPMGPAFNMPPQGPGYPPPGNMNFPSQPFNQPLGQNFSPPSGQMMPGPVGGFGPMISPTMGQPPRAELGPPSLSQRFAQPGAPFGPSPLQRPGQGLPSLPPNTSPFPGPDPGFPGPGGEDGGKPLNPPASTAFPQEPHSGSPAAAVNGNQPSFPPNSSGRGGGTPDANSLAPPGKAGGGSGPQPPP
NP_729468.2 1 330 0.585875454545455 peptidoglycan recognition protein LC, isoform A 500 0 25 307 1 Drosophila melanogaster NP_729468.2 1 RefSeq MPFSNETEMSQCSNAKRRVNDPLTGPKNCSTSSTDSGVILNDNVAAFRPEKETKDRGTGEGQFQSKSEEKTESKRISVEHTVNITTENVGKTSSPAVSIRSTTISVVSIDDNAIDSSSIDSDSEAEAEDYTVQKLGHQVTYPPNSSHLRDLNQGLTVISRHVAPGEAAVPPPNPLEAGIVAKQILNGNLAVATPTSPAGGATQGIGSIALTNSTDVTFGDKHFYEGPVTIQQFLIDNRDKWKPGEGPAGGQDNPAFNGGPSTNGSAPGSKHEDPAQTPPICPFLPNTVGRKAVTVTVVFVTLTFLLGIVLATTTNLFGKTLNQTDLDVID
NP_758465.2 1 416 0.820367548076922 Neurabin-2; Neurabin-II; Protein phosphatase 1 regulatory subunit 9B; Spinophilin 817 0 25 416 0 Mus musculus (Mouse) SwissProt::Q6R891 1 SwissProt MMKTEPRGPGGPLRSASPHRSAYEAGIQALKPPDAPGPDEAPKAAHHKKYGSNVHRIKSMFLQMGTTAGPPGEAGGGAGMAEAPRASDRGVRLSLPRASSLNENVDHSALLKLGTSVSERVSRFDSKPAPSAQPAPPPHPPSRLQETRKLFERSVPAASGGDKEAVARRLLRQERAGLQDRKLDVVVRFNGSTEALDKLDADAVSPTVSQLSAVFEKADSRTGLHRAPGPPRAAGAPQVNSKLVTKRSRVFQPPPPPPAPSGDGATEKERGPGGQQPPQHRVAPARPPPKPREVRKIKPVEVEESGESEAESAPGEVIQAEVTVHAALENGSTPATTASPAPEEPKAEAVPEEEAAASVATLERGVDNGRAPDMAPEEVDESKKEDFSEADLVDVSAYSGLGEDSGGSALEEDDEE
NP_817091.1 263 629 0.68971825613079 PF04849.13:HAP1_N:1:140 Huntingtin-associated protein 1; HAP-1 629 140 25 367 0 Rattus norvegicus (Rat) SwissProt::P54256 1 SwissProt HHCPQLEALKQKLKLLEEENDHLREEASHLDNLEDKEQMLILECVEQFSEASQQMAELSEVLVLRLEGYERQQKEITQLQAEITKLQQRCQSYGAQTEKLQQQLASEKGVHPESLRAGSHMQDYGSRPRERQEDGKSHRQRSSMPAGSVTHYGYSVPLDALPSFPETLAEELRTSLRKFITDPAYFMERCDTRCREERKKEQGTMPPPPVQDLKPPEDFEAPEELVPEEELGAIEEVGTAEDGPAEETEQASEETEAWEEVEPEVDEATRMNVVVSALEASGLGPSHLDMKYVLQQLSNWQDAHSKRQQKQKVVPKDSPAPQQQTNMGGGIVEQQPIVPTQDSQRLEEDRATHSPSAREEEGPSGAT
NP_891847.1 459 1507 0.694108579599618 Inactive histone-lysine N-methyltransferase 2E; Inactive lysine N-methyltransferase 2E; Myeloid/lymphoid or mixed-lineage leukemia protein 5 1858 0 25 1049 0 Homo sapiens (Human) SwissProt::Q8IZD2 1 SwissProt CACLKENPECPVLKRSSESMENINSGYETRRKKGKKDKDISKEKDTQNQNITLDCEGTTNKMKSPETKQRKLSPLRLSVSNNQEPDFIDDIEEKTPISNEVEMESEEQIAERKRKMTREERKMEAILQAFARLEKREKRREQALERISTAKTEVKTECKDTQIVSDAEVIQEQAKEENASKPTPAKVNRTKQRKSFSRSRTHIGQQRRRHRTVSMCSDIQPSSPDIEVTSQQNDIENTVLTIEPETETALAEIITETEVPALNKCPTKYPKTKKHLVNEWLSEKNEKTGKPSDGLSERPLRITTDPEVLATQLNSLPGLTYSPHVYSTPKHYIRFTSPFLSEKRRRKEPTENISGSCKKRWLKQALEEENSAILHRFNSPCQERSRSPAVNGENKSPLLLNDSCSLPDLTTPLKKRRFYQLLDSVYSETSTPTPSPYATPTHTDITPMDPSFATPPRIKSDDETCRNGYKPIYSPVTPVTPGTPGNTMHFENISSPESSPEIKRRTYSQEGYDRSSTMLTLGPFRNSNLTELGLQEIKTIGYTSPRSRTEVNRQCPGEKEPVSDLQLGLDAVEPTALHKTLETPAHDRAEPNSQLDSTHSGRGTMYSSWVKSPDRTGVNFSVNSNLRDLTPSHQLEVGGGFRISESKCLMQDDTRGMFMETTVFCTSEDGLVSGFGRTVNDNLIDGNCTPQNPPQKKKVSLLEYRKRQREARKSGSKTENFPLISVSPHASGSLSNNGDGCASSNDNGEQVDHTASLPLPTPATVYNATSEETSNNCPVKDATASEKNEPEVQWTASTSVEQVRERSYQRALLLSDHRKDKDSGGESPCVSCSPSHVQSSPSSHSNHIPQLQAKGPVPSFSELMEDPDPENPEPTTTNECPSPDTSQNTCKSPPKMSKPGSPGSVIPAQAHGKIFTKPDPQWDSTVSASEAENGVHLKTELQQKQLSNNNQALSKNHPPQTHVRNSSEQLSQKLPSVPTKLHCPPSPHLENPPKSSTPHTPVQHGYLSPKPPSQQLGSPYRPHHSQSPQVGTPQREPQRNFYPAAQNLP
NP_963290.1 1 945 0.401757777777777 PF00609.19:DAGK_acc:463:620,PF00781.24:DAGK_cat:312:426,PF00130.22:C1_1:189:247,PF12796.7:Ank_2:811:905,PF13637.6:Ank_4:841:890,PF13606.6:Ank_3:841:864 diacylglycerol kinase zeta isoform 1 945 427 25 945 0 Homo sapiens NP_963290.1 1 RefSeq MAEGQGGGGQRWDWAGGGRAAEEEVVRRRCRRGEEAQVAQPWPEGSRGTAAGPPVEERFRQLHLRKQVSYRKAITKSGLQHLAPPPPTPGAPCSESERQIRSTVDWSESATYGEHIWFETNVSGDFCYVGEQYCVARMLKSVSRRKCAACKIVVHTPCIEQLEKINFRCKPSFRESGSRNVREPTFVRHHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMLQQIEEPCSLGVHAAVVIPPTWILRARRPQNTLKASKKKKRASFKRKSSKKGPEEGRWRPFIIRPTPSPLMKPLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPKEALEMYRKVHNLRILACGGDGTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEEGNVVQLDRWDLHAEPNPEAGPEDRDEGATDRLPLDVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKPQCVVFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCREVVLTTSKAIPVQVDGEPCKLAASRIRIALRNQATMVQKAKRRSAAPLHSDQQPVPEQLRIQVSRVSMHDYEALHYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQQEPDGAGAKSPTCQKLSPKWCFLDATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPTPTSPLPTSPCSPTPRSLQGDAAPPQGEELIEAAKRNDFCKLQELHRAGGDLMHRDEQSRTLLHHAVSTGSKDVVRYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLMKTDQQGDTPRQRAEKAQDTELAAYLENRQHYQMIQREDQETAV
NP_995322.1 1 362 0.0724709944751381 PF01699.24:Na_Ca_ex:74:214 Sodium/potassium/calcium exchanger 5; Na(+)/K(+)/Ca(2+)-exchange protein 5; Solute carrier family 24 member 5 500 141 25 235 6 Homo sapiens (Human) SwissProt::Q71RS6 1 SwissProt MQTKGGQTWARRALLLGILWATAHLPLSGTSLPQRLPRATGNSTQCVISPSSEFPEGFFTRQERRDGGIIIYFLIIVYMFMAISIVCDEYFLPSLEIISESLGLSQDVAGTTFMAAGSSAPELVTAFLGVFITKGDIGISTILGSAIYNLLGICAACGLLSNTVSTLSCWPLFRDCAAYTISAAAVLGIIYDNQVYWYEGALLLLIYGLYVLVLCFDIKINQYIIKKCSPCCACLAKAMERSEQQPLMGWEDEGQPFIRRQSRTDSGIFYEDSGYSQLSISLHGLSQVSEDPPSVFNMPEADLKRIFWVLSLPIITLLFLTTPDCRKKFWKNYFVITFFMSAIWISAFTYILVWMVTITGET
O00443 1 962 0.303608316008316 PF00792.24:PI3K_C2:703:841,PF00794.18:PI3K_rbd:413:511,PF00613.20:PI3Ka:869:961 Phosphatidylinositol 4-phosphate 3-kinase C2 domain-containing subunit alpha; PI3K-C2-alpha; PtdIns-3-kinase C2 subunit alpha; Phosphoinositide 3-kinase-C2-alpha; EC 2.7.1.154 1686 331 25 962 0 Homo sapiens (Human) SwissProt::O00443 1 SwissProt MAQISSNSGFKECPSSHPEPTRAKDVDKEEALQMEAEALAKLQKDRQVTDNQRGFELSSSTRKKAQVYNKQDYDLMVFPESDSQKRALDIDVEKLTQAELEKLLLDDSFETKKTPVLPVTPILSPSFSAQLYFRPTIQRGQWPPGLPGPSTYALPSIYPSTYSKQAAFQNGFNPRMPTFPSTEPIYLSLPGQSPYFSYPLTPATPFHPQGSLPIYRPVVSTDMAKLFDKIASTSEFLKNGKARTDLEITDSKVSNLQVSPKSEDISKFDWLDLDPLSKPKVDNVEVLDHEEEKNVSSLLAKDPWDAVLLEERSTANCHLERKVNGKSLSVATVTRSQSLNIRTTQLAKAQGHISQKDPNGTSSLPTGSSLLQEVEVQNEEMAAFCRSITKLKTKFPYTNHRTNPGYLLSPVTAQRNICGENASVKVSIDIEGFQLPVTFTCDVSSTVEIIIMQALCWVHDDLNQVDVGSYVLKVCGQEEVLQNNHCLGSHEHIQNCRKWDTEIRLQLLTFSAMCQNLARTAEDDETPVDLNKHLYQIEKPCKEAMTRHPVEELLDSYHNQVELALQIENQHRAVDQVIKAVRKICSALDGVETLAITESVKKLKRAVNLPRSKTADVTSLFGGEDTSRSSTRGSLNPENPVQVSINQLTAAIYDLLRLHANSGRSPTDCAQSSKSVKEAWTTTEQLQFTIFAAHGISSNWVSNYEKYYLICSLSHNGKDLFKPIQSKKVGTYKNFFYLIKWDELIIFPIQISQLPLESVLHLTLFGILNQSSGSSPDSNKQRKGPEALGKVSLPLFDFKRFLTCGTKLLYLWTSSHTNSVPGTVTKKGYVMERIVLQVDFPSPAFDIIYTTPQVDRSIIQQHNLETLENDIKGKLLDILHKDSSLGLSKEDKAFLWEKRYYCFKHPNCLPKILASAPNWKWVNLAKTYSLLHQWPALYPLIALELLDSKFADQEVRSLAVTW
O43826 197 429 0.0890339055793992 PF07690.16:MFS_1:17:184 Glucose-6-phosphate exchanger SLC37A4; Glucose-5-phosphate transporter; Glucose-6-phosphate translocase; Solute carrier family 37 member 4; Transformation-related gene 19 protein; TRG-19 429 168 25 104 6 Homo sapiens (Human) SwissProt::O43826 0 SwissProt RNLDPMPSEGKKGSLKEESTLQELLLSPYLWVLSTGYLVVFGVKTCCTDWGQFFLIQEKGQSALVGSSYMSALEVGGLVGSIAAGYLSDRAMAKAGLSNYGNPRHGLLLFMMAGMTVSMYLFRVTVTSDSPKLWILVLGAVFGFSSYGPIALFGVIANESAPPNLCGTSHAIVGLMANVGGFLAGLPFSTIAKHYSWSTAFWVAEVICAASTAAFFLLRNIRTKMGRVSKKAE
O82089 1 121 0.55257438016529 PF00403.26:HMA:7:63 Copper transport protein CCH; Copper chaperone CCH 121 57 25 121 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82089 1 SwissProt MAQTVVLKVGMSCQGCVGAVNRVLGKMEGVESFDIDIKEQKVTVKGNVEPEAVFQTVSKTGKKTSYWPVEAEAEPKAEADPKVETVTETKTEAETKTEAKVDAKADVEPKAAEAETKPSQV
P13288 1 429 0.15726013986014 Serine/threonine-protein kinase BGLF4; EC 2.7.11.1 429 0 25 429 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P13288 1 SwissProt MDVNMAAELSPTNSSSSGELSVSPEPPRETQAFLGKVTVIDYFTFQHKHLKVTNIDDMTETLYVKLPENMTRCDHLPITCEYLLGRGSYGAVYAHADNATVKLYDSVTELYHELMVCDMIQIGKATAEDGQDKALVDYLSACTSCHALFMPQFRCSLQDYGHWHDGSIEPLVRGFQGLKDAVYFLNRHCGLFHSDISPSNILVDFTDTMWGMGRLVLTDYGTASLHDRNKMLDVRLKSSKGRQLYRLYCQREPFSIAKDTYKPLCLLSKCYILRGAGHIPDPSACGPVGAQTALRLDLQSLGYSLLYGIMHLADSTHKIPYPNPDMGFDRSDPLYFLQFAAPKVVLLEVLSQMWNLNLDMGLTSCGESPCVDVTAEHMSQFLQWCRSLKKRFKESYFFNCRPRFEHPHLPGLVAELLADDFFGPDGRRG
P20396 1 242 0.715257024793388 PF05438.12:TRH:6:202 Pro-thyrotropin-releasing hormone; Pro-TRH; Prothyroliberin 242 197 25 242 0 Homo sapiens (Human) SwissProt::P20396 1 SwissProt MPGPWLLLALALTLNLTGVPGGRAQPEAAQQEAVTAAEHPGLDDFLRQVERLLFLRENIQRLQGDQGEHSASQIFQSDWLSKRQHPGKREEEEEEGVEEEEEEEGGAVGPHKRQHPGRREDEASWSVDVTQHKRQHPGRRSPWLAYAVPKRQHPGRRLADPKAQRSWEEEEEEEEREEDLMPEKRQHPGKRALGGPCGPQGAYGQAGLLLGLLDDLSRSQGAEEKRQHPGRRAAWVREPLEE
P26992 1 200 0.144781 Ciliary neurotrophic factor receptor subunit alpha; CNTF receptor subunit alpha; CNTFR-alpha 372 0 25 200 0 Homo sapiens (Human) SwissProt::P26992 1 SwissProt MAAPVPWACCAVLAAAAAVVYAQRHSPQEAPHVQYERLGSDVTLPCGTANWDAAVTWRVNGTDLAPDLLNGSQLVLHGLELGHSGLYACFHRDSWHLRHQVLLHVGLPPREPVLSCRSNTYPKGFYCSWHLPTPTYIPNTFNVTVLHGSKIMVCEKDPALKNRCHIRYMHLFSTIKYKVSISVSNALGHNATAITFDEFT
P28496 1 418 0.13138947368421 PF03798.16:TRAM_LAG1_CLN8:173:377,PF08390.11:TRAM1:110:167 Ceramide synthase LAC1; Sphingosine N-acyltransferase LAC1; EC 2.3.1.-; EC 2.3.1.24 418 263 25 257 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P28496 1 SwissProt MSTIKPSPSNNNLKVRSRPRRKSSIGKIDLGDTVPSLGTMFETKESKTAAKRRMQRLSEATKNDSDLVKKIWFSFREISYRHAWIAPLMILIAVYSAYFTSGNTTKTNVLHRFVAVSYQIGDTNAYGKGINDLCFVFYYMIFFTFLREFLMDVVIRPFAIRLHVTSKHRIKRIMEQMYAIFYTGVSGPFGIYCMYHSDLWFFNTKAMYRTYPDFTNPFLFKVFYLGQAAFWAQQACILVLQLEKPRKDHNELTFHHIVTLLLIWSSYVFHFTKMGLPIYITMDVSDFLLSFSKTLNYLDSGLAFFSFAIFVVAWIYLRHYINLKILWSVLTQFRTEGNYVLNFATQQYKCWISLPIVFVLIGALQLVNLYWLFLIFRVLYRILWRGILKDDRSDSESDEESDESSTTPTDSTPTKKDI
P93654 1 177 0.547402259887006 PF14523.6:Syntaxin_2:29:128 Syntaxin-22; AtSYP22; AtVAM3; Protein SHOOT GRAVITROPISM 3 268 100 25 177 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93654 1 SwissProt MSFQDLESGRGRSTRKFNGGRQDSTQAVASGIFQINTGVSTFQRLVNTLGTPKDTPELREKLHKTRLHIGQLVKDTSAKLKEASETDHQSGVNPSKKIADAKLARDFQAVLKEFQKAQQTAAERETTYTPFVPQSALPSSYTAGEVDKVPEQRAQLQESKRQELVLLDNEIAFNEAV
Q01664 1 338 0.622223964497041 PF00010.26:HLH:49:99 Transcription factor AP-4 338 51 25 338 0 Homo sapiens Q01664 1 SwissProt/TReMBL MEYFMVPTQKVPSLQHFRKTEKEVIGGLCSLANIPLTPETQRDQERRIRREIANSNERRRMQSINAGFQSLKTLIPHTDGEKLSKAAILQQTAEYIFSLEQEKTRLLQQNTQLKRFIQELSGSSPKRRRAEDKDEGIGSPDIWEDEKAEDLRREMIELRQQLDKERSVRMMLEEQVRSLEAHMYPEKLKVIAQQVQLQQQQEQVRLLHQEKLEREQQQLRTQLLPPPAPTHHPTVIVPAPPPPPSHHINVVTMGPSSVINSVSTSRQNLDTIVQAIQHIEGTQEKQELEEEQRRAVIVKPVRSCPEAPTSDTASDSEASDSDAMDQSREEPSGDGELP
Q08650 1 418 0.112919377990431 PF03982.13:DAGAT:84:143,PF03982.13:DAGAT:186:412 Diacylglycerol O-acyltransferase 1; DGAT; Acyl-CoA:monoacylglycerol acyltransferase; MGAT; EC 2.3.1.20; EC 2.3.1.22 418 287 25 395 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08650 1 SwissProt MSGTFNDIRRRKKEEGSPTAGITERHENKSLSSIDKREQTLKPQLESCCPLATPFERRLQTLAVAWHTSSFVLFSIFTLFAISTPALWVLAIPYMIYFFFDRSPATGEVVNRYSLRFRSLPIWKWYCDYFPISLIKTVNLKPTFTLSKNKRVNEKNYKIRLWPTKYSINLKSNSTIDYRNQECTGPTYLFGYHPHGIGALGAFGAFATEGCNYSKIFPGIPISLMTLVTQFHIPLYRDYLLALGISSVSRKNALRTLSKNQSICIVVGGARESLLSSTNGTQLILNKRKGFIKLAIQTGNINLVPVFAFGEVDCYNVLSTKKDSVLGKMQLWFKENFGFTIPIFYARGLFNYDFGLLPFRAPINVVVGRPIYVEKKITNPPDDVVNHFHDLYIAELKRLYYENREKYGVPDAELKIVG
Q08887 1 554 0.584285559566786 Nuclear division defective protein 1 554 0 25 554 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08887 1 SwissProt MDRDISYQQNYTSTGATATSSRQPSTDNNADTNFLKVMSEFKYNFNSPLPTTTQFPTPYSSNQYQQTQDHFANTDAHNSSSNESSLVENSILPHHQQIQQQQQQQQQQQQQQQALGSLVPPAVTRTDTSETLDDINVQPSSVLQFGNSLPSEFLVASPEQFKEFLLDSPSTNFNFFHKTPAKTPLRFVTDSNGAQQSTTENPGQQQNVFSNVDLNNLLKSNGKTPSSSCTGAFSRTPLSKIDMNLMFNQPLPTSPSKRFSSLSLTPYGRKILNDVGTPYAKALISSNSALVDFQKARKDITTNATSIGLENANNILQRTPLRSNNKKLFIKTPQDTINSTSTLTKDNENKQDIYGSSPTTIQLNSSITKSISKLDNSRIPLLASRSDNILDSNVDDQLFDLGLTRLPLSPTPNCNSLHSTTTGTSALQIPELPKMGSFRSDTGINPISSSNTVSFKSKSGNNNSKGRIKKNGKKPSKFQIIVANIDQFNQDTSSSSLSSSLNASSSAGNSNSNVTKKRASKLKRSQSLLSDSGSKSQARKSCNSKSNGNLFNSQ
Q12030 1 206 0.490546601941748 PF03540.13:TFIID_30kDa:86:135 Transcription initiation factor TFIID subunit 10; TAFII-23; TAFII23; TAFII-25; TAFII25; TBP-associated factor 10; TBP-associated factor 25 kDa; p25 206 50 25 206 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12030 1 SwissProt MDFEEDYDAEFDDNQEGQLETPFPSVAGADDGDNDNDDSVAENMKKKQKREAVVDDGSENAFGIPEFTRKDKTLEEILEMMDSTPPIIPDAVIDYYLTKNGFNVADVRVKRLLALATQKFVSDIAKDAYEYSRIRSSVAVSNANNSQARARQLLQGQQQPGVQQISQQQHQQNEKTTASKVVLTVNDLSSAVAEYGLNIGRPDFYR
Q14444 252 709 0.786724890829694 PF12287.8:Caprin-1_C:108:434 Caprin-1; Cell cycle-associated protein 1; Cytoplasmic activation- and proliferation-associated protein 1; GPI-anchored membrane protein 1; GPI-anchored protein p137; GPI-p137; p137GPI; Membrane component chromosome 11 surface marker 1; RNA granule protein 105 709 327 25 458 0 Homo sapiens (Human) SwissProt::Q14444 1 SwissProt HQNGLCEEEEAASAPAVEDQVPEAEPEPAEEYTEQSEVESTEYVNRQFMAETQFTSGEKEQVDEWTVETVEVVNSLQQQPQAASPSVPEPHSLTPVAQADPLVRRQRVQDLMAQMQGPYNFIQDSMLDFENQTLDPAIVSAQPMNPTQNMDMPQLVCPPVHSESRLAQPNQVPVQPEATQVPLVSSTSEGYTASQPLYQPSHATEQRPQKEPIDQIQATISLNTDQTTASSSLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPVNEPETLKQQNQYQASYNQSFSSQPHQVEQTELQQEQLQTVVGTYHGSPDQSHQVTGNHQQPPQQNTGFPRSNQPYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSNTPNSGYTQSQFSAPRDYSGYQRDGYQQNFKRGSGQSGPRGAPRGRGGPPRPNRGMPQMNTQQVN
Q46409 1 393 0.230697201017812 PF01308.17:Chlam_OMP:1:393 Major outer membrane porin, serovar D 393 393 25 393 0 Chlamydia trachomatis (strain D/UW-3/Cx) Q46409 1 SwissProt/TReMBL MKKLLKSVLVFAALSSASSLQALPVGNPAEPSLMIDGILWEGFGGDPCDPCATWCDAISMRVGYYGDFVFDRVLKTDVNKEFQMGAKPTTDTGNSAAPSTLTARENPAYGRHMQDAEMFTNAACMALNIWDRFDVFCTLGATSGYLKGNSASFNLVGLFGDNENQKTVKAESVPNMSFDQSVVELYTDTTFAWSVGARAALWECGCATLGASFQYAQSKPKVEELNVLCNAAEFTINKPKGYVGKEFPLDLTAGTDAATGTKDASIDYHEWQASLALSYRLNMFTPYIGVKWSRASFDADTIRIAQPKSATAIFDTTTLNPTIAGAGDVKTGAEGQLGDTMQIVSLQLNKMKSRKSCGIAVGTTIVDADKYAVTVETRLIDERAAHVNAQFRF 2
Q8N726 1 132 0.632537121212121 PF07392.12:P19Arf_N:4:54 Tumor suppressor ARF; Alternative reading frame; ARF; Cyclin-dependent kinase inhibitor 2A; p14ARF 132 51 25 132 0 Homo sapiens (Human) SwissProt::Q8N726 1 SwissProt MVRRFLVTLRIRRACGPPRVRVFVVHIPRLTGEWAAPGAPAAVALVLMLLRSQRLGQQPLPRRPGHDDGQRPSGGAAAAPRRGAQLRRPRHSHPTRARRCPGGLPGHAGGAAPGRGAAGRARCLGPSARGPG
Q92574 1 937 0.445503842049093 PF04388.12:Hamartin:7:719 Hamartin; Tuberous sclerosis 1 protein 1164 713 25 937 0 Homo sapiens (Human) SwissProt::Q92574 1 SwissProt MAQQANVGELLAMLDSPMLGVRDDVTAVFKENLNSDRGPMLVNTLVDYYLETSSQPALHILTTLQEPHDKHLLDRINEYVGKAATRLSILSLLGHVIRLQPSWKHKLSQAPLLPSLLKCLKMDTDVVVLTTGVLVLITMLPMIPQSGKQHLLDFFDIFGRLSSWCLKKPGHVAEVYLVHLHASVYALFHRLYGMYPCNFVSFLRSHYSMKENLETFEEVVKPMMEHVRIHPELVTGSKDHELDPRRWKRLETHDVVIECAKISLDPTEASYEDGYSVSHQISARFPHRSADVTTSPYADTQNSYGCATSTPYSTSRLMLLNMPGQLPQTLSSPSTRLITEPPQATLWSPSMVCGMTTPPTSPGNVPPDLSHPYSKVFGTTAGGKGTPLGTPATSPPPAPLCHSDDYVHISLPQATVTPPRKEERMDSARPCLHRQHHLLNDRGSEEPPGSKGSVTLSDLPGFLGDLASEEDSIEKDKEEAAISRELSEITTAEAEPVVPRGGFDSPFYRDSLPGSQRKTHSAASSSQGASVNPEPLHSSLDKLGPDTPKQAFTPIDLPCGSADESPAGDRECQTSLETSIFTPSPCKIPPPTRVGFGSGQPPPYDHLFEVALPKTAHHFVIRKTEELLKKAKGNTEEDGVPSTSPMEVLDRLIQQGADAHSKELNKLPLPSKSVDWTHFGGSPPSDEIRTLRDQLLLLHNQLLYERFKRQQHALRNRRLLRKVIKAAALEEHNAAMKDQLKLQEKDIQMWKVSLQKEQARYNQLQEQRDTMVTKLHSQIRQLQHDREEFYNQSQELQTKLEDCRNMIAELRIELKKANNKVCHTELLLSQVSQKLSNSESVQQQMEFLNRQLLVLGEVNELYLEQLQNKHSDTTKEVEMMKAAYRKELEKNRSHVLQQTQRLDTSQKRILELESHLAKKDHLLLEQKKYLEDVKLQA
SwissProt::Q00278 129 271 0.239662937062937 Norsolorinic acid ketoreductase; Aflatoxin biosynthesis ketoreductase nor-1; Aflatoxin biosynthesis protein D; Short chain dehydrogenase aflD; EC 1.1.1.349 271 0 25 143 0 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q00278 1 SwissProt HLQAHMMVNMYAPVLLFQATRLMLQQSKQQAKFVLIGAPISTITNMHDYSRAPLTAYGVSKLAANYMVRKFHFENKWLTAFIIDPGHVQTDMGDQGARLMGRPQAPTTVADSVAGICARIDEATKETTSGHFVIHTDGSQLPW
SwissProt::Q93063 1 455 0.149126153846154 PF03016.15:Exostosin:101:380 Exostosin-2; Glucuronosyl-N-acetylglucosaminyl-proteoglycan/N-acetylglucosaminyl-proteoglycan 4-alpha-N-acetylglucosaminyltransferase; Multiple exostoses protein 2; Putative tumor suppressor protein EXT2; EC 2.4.1.224; EC 2.4.1.225 718 280 25 432 1 Homo sapiens (Human) SwissProt::Q93063 1 SwissProt MCASVKYNIRGPALIPRMKTKHRIYYITLFSIVLLGLIATGMFQFWPHSIESSNDWNVEKRSIRDVPVVRLPADSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYALKKYVDDFGVSVSNTISREYNELLMAISDSDYYTDDINRACLFVPSIDVLNQNTLRIKETAQAMAQLSRWDRGTNHLLFNMLPGGPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVYSPLSAEVDLPEKGPGPRQYFLLSSQVGLHPEYREDLEALQVKHGESVLVLDKCTNLSEGVLSVRKRCHKHQVFDYPQVLQEATFCVVLRGARLGQAVLSDVLQAGCVPVVIADSYILPFSEVLDWKRASVVVPEEKMSDVYSILQSIPQRQIEEMQRQARWFWEAYFQSIKAIALATLQIINDRIYPYAAISYEEWNDPPAVKWGSVSNPLFLPLIPPQSQG
VIMSS10080577 112 230 0.337805042016807 Ethylene-responsive transcription factor ERF012; Dehydration response element-binding protein 26 230 0 25 119 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SFE4 1 SwissProt HHLLDNLLDENTLLSPKSIQRVAAQAANSFNHFAPTSSAVSSPSDHDHHHDDGMQSLMGSFVDNHVSLMDSTSSWYDDHNGMFLFDNGAPFNYSPQLNSTTMLDEYFYEDADIPLWSFN
VIMSS10086173 1 570 0.17734 PF03547.18:Mem_trans:9:565 Auxin efflux carrier component 6; AtPIN6 570 557 25 370 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SQH6 1 SwissProt MITGNEFYTVMCAMAPLYFAMFVAYGSVKWCKIFTPAQCSGINRFVSVFAVPVLSFHFISQNNPYKMDTMFILADTLSKIFVFVLLSLWAVFFKAGGLDWLITLFSIATLPNTLVMGIPLLQAMYGDYTQTLMVQLVVLQCIIWYTLLLFLFELRAARLLIRAEFPGQAAGSIAKIQVDDDVISLDGMDPLRTETETDVNGRIRLRIRRSVSSVPDSVMSSSLCLTPRASNLSNAEIFSVNTPNNRFFHGGGGSGTLQFYNGSNEIMFCNGDLGGFGFTRPGLGASPRRLSGYASSDAYSLQPTPRASNFNELDVNGNGTPVWMKSPAAGRIYRQSSPKMMWESGQRHAAKDINGSVPEKEISFRDALKAAPQATAAGGGASMEEGAAGKDTTPVAAIGKQEMPSAIVMMRLILTVVGRKLSRNPNTYSSLLGLVWSLISFKWNIPMPNIVDFSIKIISDAGLGMAMFSLGLFMALQPKMIPCGAKKATMGMLIRFISGPLFMAGASLLVGLRGSRLHAAIVQAALPQGIVPFVFAREYNLHPDLLSTLVIFGMIVSLPVTILYYVLLGL
VIMSS10088447 117 269 0.366586274509804 Transcription factor MYB7; Myb-related protein 7; AtMYB7; Myb-related protein Y49; AtY49 269 0 25 153 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42379 1 SwissProt LSKGIDPATHRGINEAKISDLKKTKDQIVKDVSFVTKFEETDKSGDQKQNKYIRNGLVCKEERVVVEEKIGPDLNLELRISPPWQNQREISTCTASRFYMENDMECSSETVKCQTENSSSISYSSIDISSSNVGYDFLGLKTRILDFRSLEMK
VIMSS10088540 1 396 0.0599803030303031 PF03547.18:Mem_trans:10:387 Protein PIN-LIKES 5; Auxin efflux carrier-like protein 5 396 378 25 215 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SHL8 1 SwissProt MGFWSLLEVASMPVIQVLFMSLVGAFMASDRCKLFPVEARNSMNKVVFVLFAPALMFANLAQTVTLEDIISWWFMPVNMGLTFLIGGLLGWLVVKILKPPPYLEGLIVATCSAGNMGNLPIILVPAICDEDKSPFGNRSVCRTVGLSYASFSMALGGFYIWTYTFRLIKGSAMKVQAIEESEKIAIKSSNSDLEADHKTHLLGAPEDKENKVVKEKTGFWRKGVDFLHEILEELLAPPTLGAIIGFIFGAVRWLRNLIIGDDAPLRIVQSTAKLLGDGTIPCMTIILGGNLIQGLRSSAVKPMVVLGIVCVRYIAMPIIGIGIVLTAANLGFLPADPLFQYVLMLQFTLPPAMNIGTMTQLYNVAQDECSVLMLWTYLVAILALTVWSTIFLHLLV
VIMSS10090090 1 286 0.224461888111888 PF00067.22:p450:40:284 CYP71A13 (cytochrome P450, family 71, subfamily A, polypeptide 13); indoleacetaldoxime dehydratase/ oxygen binding (RefSeq) 503 245 25 263 1 Arabidopsis thaliana VIMSS10090090 1 MicrobesOnline MSNIQEMEMILSISLCLTTLITLLLLRRFLKRTATKVNLPPSPWRLPVIGNLHQLSLHPHRSLRSLSLRYGPLMLLHFGRVPILVVSSGEAAQEVLKTHDHKFANRPRSKAVHGLMNGGRDVVFAPYGEYWRQMKSVCILNLLTNKMVESFEKVREDEVNAMIEKLEKASSSSSSENLSELFITLPSDVTSRVALGRKHSEDETARDLKKRVRQIMELLGEFPIGEYVPILAWIDGIRGFNNKIKEVSRGFSDLMDKVVQEHLEASNDKADFVDILLSIEKDKNSG
VIMSS10091064 1 130 0.385032307692308 L-ornithine N5-acetyltransferase NATA1; Protein N-ACETYLTRANSFERASE ACTIVITY 1; EC 2.3.1.- 228 0 25 130 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZV05 1 SwissProt MAPPTAAPEPNTVPETSPTGHRMFSRIRLATPTDVPFIHKLIHQMAVFERLTHLFVATESGLASTLFNSRPFQAVTVFLLEISPSPFPTTHDASSPDFTPFLETHKVDLPIEDPDREKFLPDKLNDVVVA
VIMSS10091748 1 812 0.366522660098522 E3 ubiquitin-protein ligase BRE1-like 1; AtBRE1; Protein HISTONE MONOUBIQUITINATION 1; AtHUB1; Protein REDUCED DORMANCY 4; RING-type E3 ubiquitin transferase BRE1-like 1; EC 2.3.2.27 878 0 25 812 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RXD6 1 SwissProt MASTGEPDRKRRHFSSISPSEAAAAVKKQPFFWPSSEDKLDTAVLQFQNLKLSQKLEAQQVECSILEDKLSQIKEKQLPYNSSLKTVHKSWEKLTASVESCSVRVSDSSSGAHRFVNKEDGSSPAVKNDFINRLLETGATESSSSNICSNQMEENGVNTSSQMTQTLYNLVAATEDLRCLKDELYPTVLRTNLGKDLCGQLALSELESEIKSFRGDLDDVLVKFKSLSRELQSHRDADAKVRVDLKRIRGELEDEVVELQQCNGDLSALRAERDATAGAFFPVLSLGNKLATSDRERDKQRDLQDMETVLKELTVLASGRLQQLKNLHEERTKMLGKMSNLQNKSKSVRCISSSQACLSLKDQLEKSKEAVFQYMALLEKLQVEKDSIVWKEREINIKNELGDVSRKTSAVTDSRMASLDSEIQKQLDEKMRIKTRLGNISRERGRKEIFADMKALISSFPEEMSSMRSQLNNYKETAGGIHSLRADVQSLSGVLCRKTKEYEALQLRSADYASQLGDLNATVCDLKNSHEELKLFLDMYKRESTDARDIAEAKEQEYRAWAHVQSLKSSLDEQNLELRVKAANEAEAVSQQMLAAAEAEIADLRQKMDDCKRDVAKHSDILKSKHEEHGTYLSEIQTIGSAYEDIVPQNQQLLLQVTERDDYNIKLFLEGITSRQMQDTLLIDKYIMDKDIQQGSAYASFLSKKSSRIEDQLRFCTDQFQKLAEDKYQKSVSLENLQKKRADIGNGLEQARSRLEESHSKVEQSRLDYGALELELEIERFNRRRIEEEMEIAKKKVSRLRSLIEGSSAIQK
VIMSS10092538 1 118 0.642975423728813 PF02309.16:AUX_IAA:26:103 Auxin-responsive protein IAA16; Indoleacetic acid-induced protein 16 236 78 25 118 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O24407 1 SwissProt MINFEATELRLGLPGGNHGGEMAGKNNGKRGFSETVDLKLNLSSTAMDSVSKVDLENMKEKVVKPPAKAQVVGWPPVRSFRKNVMSGQKPTTGDATEGNDKTSGSSGATSSASACATV
VIMSS10092716 1 202 0.210782178217822 PF00010.26:HLH:2:50 Transcription factor MUTE; Basic helix-loop-helix protein 45; AtbHLH45; bHLH 45; Transcription factor EN 20; bHLH transcription factor bHLH045 202 49 25 202 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M8K6 1 SwissProt MSHIAVERNRRRQMNEHLKSLRSLTPCFYIKRGDQASIIGGVIEFIKELQQLVQVLESKKRRKTLNRPSFPYDHQTIEPSSLGAATTRVPFSRIENVMTTSTFKEVGACCNSPHANVEAKISGSNVVLRVVSRRIVGQLVKIISVLEKLSFQVLHLNISSMEETVLYFFVVKIGLECHLSLEELTLEVQKSFVSDEVIVSTN
VIMSS10093310 1 808 0.25515556930693 PF00534.20:Glycos_transf_1:571:675,PF13692.6:Glyco_trans_1_4:571:700 Digalactosyldiacylglycerol synthase 1, chloroplastic; EC 2.4.1.241 808 130 25 808 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7D1 1 SwissProt MVKETLIPPSSTSMTTGTSSSSSLSMTLSSTNALSFLSKGWREVWDSADADLQLMRDRANSVKNLASTFDREIENFLNNSARSAFPVGSPSASSFSNEIGIMKKLQPKISEFRRVYSAPEISRKVMERWGPARAKLGMDLSAIKKAIVSEMELDERQGVLEMSRLRRRRNSDRVRFTEFFAEAERDGEAYFGDWEPIRSLKSRFKEFEKRSSLEILSGFKNSEFVEKLKTSFKSIYKETDEAKDVPPLDVPELLACLVRQSEPFLDQIGVRKDTCDRIVESLCKCKSQQLWRLPSAQASDLIENDNHGVDLDMRIASVLQSTGHHYDGGFWTDFVKPETPENKRHVAIVTTASLPWMTGTAVNPLFRAAYLAKAAKQSVTLVVPWLCESDQELVYPNNLTFSSPEEQESYIRKWLEERIGFKADFKISFYPGKFSKERRSIFPAGDTSQFISSKDADIAILEEPEHLNWYYHGKRWTDKFNHVVGIVHTNYLEYIKREKNGALQAFFVNHVNNWVTRAYCDKVLRLSAATQDLPKSVVCNVHGVNPKFLMIGEKIAEERSRGEQAFSKGAYFLGKMVWAKGYRELIDLMAKHKSELGSFNLDVYGNGEDAVEVQRAAKKHDLNLNFLKGRDHADDALHKYKVFINPSISDVLCTATAEALAMGKFVVCADHPSNEFFRSFPNCLTYKTSEDFVSKVQEAMTKEPLPLTPEQMYNLSWEAATQRFMEYSDLDKILNNGEGGRKMRKSRSVPSFNEVVDGGLAFSHYVLTGNDFLRLCTGATPRTKDYDNQHCKDLNLVPPHVHKPIFGW
VIMSS10094581 1 839 0.325057449344457 PF03101.15:FAR1:84:186,PF10551.9:MULE:284:376 Protein FAR-RED ELONGATED HYPOCOTYL 3 839 196 25 839 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LIE5 1 SwissProt MDIDLRLHSGDLCKGDDEDRGLDNVLHNEEDMDIGKIEDVSVEVNTDDSVGMGVPTGELVEYTEGMNLEPLNGMEFESHGEAYSFYQEYSRAMGFNTAIQNSRRSKTTREFIDAKFACSRYGTKREYDKSFNRPRARQSKQDPENMAGRRTCAKTDCKASMHVKRRPDGKWVIHSFVREHNHELLPAQAVSEQTRKIYAAMAKQFAEYKTVISLKSDSKSSFEKGRTLSVETGDFKILLDFLSRMQSLNSNFFYAVDLGDDQRVKNVFWVDAKSRHNYGSFCDVVSLDTTYVRNKYKMPLAIFVGVNQHYQYMVLGCALISDESAATYSWLMETWLRAIGGQAPKVLITELDVVMNSIVPEIFPNTRHCLFLWHVLMKVSENLGQVVKQHDNFMPKFEKCIYKSGKDEDFARKWYKNLARFGLKDDQWMISLYEDRKKWAPTYMTDVLLAGMSTSQRADSINAFFDKYMHKKTSVQEFVKVYDTVLQDRCEEEAKADSEMWNKQPAMKSPSPFEKSVSEVYTPAVFKKFQIEVLGAIACSPREENRDATCSTFRVQDFENNQDFMVTWNQTKAEVSCICRLFEYKGYLCRHTLNVLQCCHLSSIPSQYILKRWTKDAKSRHFSGEPQQLQTRLLRYNDLCERALKLNEEASLSQESYNIAFLAIEGAIGNCAGINTSGRSLPDVVTSPTQGLISVEEDNHSRSAGKTSKKKNPTKKRKVNPEQDVMPVAAPESLQQMDKLSPRTVGIESYYGTQQSVQGMVQLNLMGPTRDNFYGNQQTMQGLRQLNSIAPSYDSYYGPQQGIHGQGVDFFRPANFSYDIRDDPNVRTTQLHEDASRHS
VIMSS10096998 1 489 0.716874233128834 PF03634.13:TCP:116:298 Transcription factor TCP14; AtTCP14 489 183 25 489 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93Z00 1 SwissProt MQKPTSSILNVIMDGGDSVGGGGGDDHHRHLHHHHRPTFPFQLLGKHDPDDNHQQQPSPSSSSSLFSLHQHQQLSQSQPQSQSQKSQPQTTQKELLQTQEESAVVAAKKPPLKRASTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGHKSDGETIEWLLQQAEPSVIAATGTGTIPANFTSLNISLRSSGSSMSLPSHFRSAASTFSPNNIFSPAMLQQQQQQQRGGGVGFHHPHLQGRAPTSSLFPGIDNFTPTTSFLNFHNPTKQEGDQDSEELNSEKKRRIQTTSDLHQQQQQHQHDQIGGYTLQSSNSGSTATAAAAQQIPGNFWMVAAAAAAGGGGGNNNQTGGLMTASIGTGGGGGEPVWTFPSINTAAAALYRSGVSGVPSGAVSSGLHFMNFAAPMAFLTGQQQLATTSNHEINEDSNNNEGGRSDGGGDHHNTQRHHHHQQQHHHNILSGLNQYGRQVSGDSQASGSLGGGDEEDQQD
VIMSS10098105 72 240 0.50081124260355 PF01486.17:K-box:12:99 Agamous-like MADS-box protein AGL16 240 88 25 169 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A2RVQ5 1 SwissProt AKGETSSENDPASEIQFWQKEAAILKRQLHNLQENHRQMMGEELSGLSVEALQNLENQLELSLRGVRMKKDQMLIEEIQVLNREGNLVHQENLDLHKKVNLMHQQNMELHEKVSEVEGVKIANKNSLLTNGLDMRDTSNEHVHLQLSQPQHDHETHSKAIQLNYFSFIA
VIMSS10098179 133 354 0.497957657657658 Squamosa promoter-binding-like protein 15 354 0 25 222 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2Q6 1 SwissProt PQPTTALFTSHYSRIAPSLYGNPNAAMIKSVLGDPTAWSTARSVMQRPGPWQINPVRETHPHMNVLSHGSSSFTTCPEMINNNSTDSSCALSLLSNSYPIHQQQLQTPTNTWRPSSGFDSMISFSDKVTMAQPPPISTHQPPISTHQQYLSQTWEVIAGEKSNSHYMSPVSQISEPADFQISNGTTMGGFELYLHQQVLKQYMEPENTRAYDSSPQHFNWSL
VIMSS10098818 1 98 0.327670408163265 PF00631.22:G-gamma:26:98 Guanine nucleotide-binding protein subunit gamma 1; Ggamma-subunit 1; Heterotrimeric G protein gamma-subunit 1; AtAGG1 98 73 25 98 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FDX9 1 SwissProt MREETVVYEQEESVSHGGGKHRILAELARVEQEVAFLEKELKEVENTDIVSTVCEELLSVIEKGPDPLLPLTNGPLNLGWDRWFEGPNGGEGCRCLIL
VIMSS10100674 1 182 0.338168131868132 PF14547.6:Hydrophob_seed:99:182,PF00234.22:Tryp_alpha_amyl:106:181 pEARLI1-like lipid transfer protein 2 182 84 25 162 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SU34 1 SwissProt MASKNSASLALFFALNILFFTLTAGTNCRCNPSPKPRPLPNPKVPSPKVPTPSVPSPYVPTPSVPSPSVPTPSVPSPSVPSPNPTPVIPPRTPGSSGNCPIDALRLGVCANVLSGLLNVQLGQPSPQPCCSLIQGLVDLDAAVCLCTALRANVLGINLNVPISLSVLLNVCNRRLPSNFQCA
VIMSS10100734 1 246 0.55285243902439 PF00847.20:AP2:136:179 AP2 domain-containing transcription factor family protein (RefSeq) 246 44 25 246 0 Arabidopsis thaliana VIMSS10100734 1 MicrobesOnline MRIHEKGGGGRRKVKHSEVDMVSLRRRRLLGLCCGPNGYVTPLPFLTAEEMITGIPNPNARAAYNPGPAETVTTVIVEKKAIEERSRRTRSKHMHFRSDYSDISPVNSDSISPKYQPPKRRKQHRRKRVHNQEPCLMRGVYYKNMKWQAAIKVEKKQIHLGTFSSQEEAARLYDRAAFMCGREPNFELSEEVIRELKQQSWEEFLNCTRRTITNKKPKSRIEHEDTKINASMPHQPEEEEQDSDKM
VIMSS10102395 1 263 0.372871863117871 RGS1-HXK1-interacting protein 1 263 0 25 263 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84K90 1 SwissProt MSETEATGVTDDSAPAIETETVSDAMEHTAIGVVESVEGAIEGAEKWVGDLQRTVKESKDTAMRSARSLRENSTSQFRSIQDFIPHALTQYKTYENAFFSKVTDELIYAKEHPAAAIGIGVAASLVLMRGPRRFLFRNTLGRFQSEEAQFLKAEKHVQELNMSVDLMKKESRKLLERTALAEKDMKRGLSELMNSGNDIHRLAKSVHKAECEAADLMDGLRQIPGRDAIKLRAEVASMTSLLRQKRIALNKRIMGMSDLGVSV
VIMSS10103195 1 328 0.2736625 hypothetical protein (RefSeq) 328 0 25 305 1 Arabidopsis thaliana VIMSS10103195 1 MicrobesOnline MARGGGEWTGGIAGTGVLSFKRITLLVCFFNILIALFVLRFLYASSLHIYSNNDNVVKYTADEIRKMEESIRIRRSKEPTLILQLVKKLKHEVSTAESSTELSPNVKHKLVDEILQRLKSFEDKSNVTQLREVVETWRNEKLEEAKELIQGQNGVNSTLIVEEAGMLVRALELEWDVLSEEIGFWLPAEVTNVEHDDKPEGEEEPEEILAGRPVPAVCNAELHTDYGGAAVRWGLTHHKESAADCCQACLDQAKRAKPGETRCNIWVYCPSEFGCFSPDIYEHKHQECWLKYAEKPKQNFKDRYSETYRNNHPKAPSIVPWVSGVVTA
VIMSS10104701 1 171 0.191995321637427 DNA binding / transcription factor (RefSeq) 274 0 25 171 0 Arabidopsis thaliana VIMSS10104701 1 MicrobesOnline MTPSLKPLRERQNHSFFFVSLPHPWLLKSCDYLLVSFLFSFPLLYKNQNIHSFCSIITCRFFLSFVKMASFEESSDLEAIQSHLLEDLLVCDGFMGDFDFDASFVSGLWCIEPHVPKQEPDSPVLDPDSFVNEFLQVEGESSSSSSPELNSSSSTYETDQSVKKAERFEEE
VIMSS10105453 1 274 0.277521167883212 PF01459.22:Porin_3:5:267 Mitochondrial outer membrane protein porin 3; Protein HYPERSENSITIVE RESPONSE 2; Athsr2; Voltage-dependent anion-selective channel protein 3; AtVDAC3; VDAC-3 274 263 25 274 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SMX3 1 SwissProt MVKGPGLYTEIGKKARDLLYRDYQGDQKFSVTTYSSTGVAITTTGTNKGSLFLGDVATQVKNNNFTADVKVSTDSSLLTTLTFDEPAPGLKVIVQAKLPDHKSGKAEVQYFHDYAGISTSVGFTATPIVNFSGVVGTNGLSLGTDVAYNTESGNFKHFNAGFNFTKDDLTASLILNDKGEKLNASYYQIVSPSTVVGAEISHNFTTKENAITVGTQHALDPLTTVKARVNNAGVANALIQHEWRPKSFFTVSGEVDSKAIDKSAKVGIALALKP
VIMSS10107630 119 258 0.386154285714286 Transcription factor TT2; Myb-related protein 123; AtMYB123; Myb-related transcription factor LBM2-like; Protein TRANSPARENT TESTA 2 258 0 25 140 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJA2 1 SwissProt PKTQTKQPKRIKHSTNNENNVCVIRTKAIRCSKTLLFSDLSLQKKSSTSPLPLKEQEMDQGGSSLMGDLEFDFDRIHSEFHFPDLMDFDGLDCGNVTSLVSSNEILGELVPAQGNLDLNRPFTSCHHRGDDEDWLRDFTC
VIMSS10109666 1 224 0.461299107142857 PF00847.20:AP2:52:102 Dehydration-responsive element-binding protein 1D; Protein DREB1D; C-repeat/dehydration-responsive element-binding factor 4; C-repeat-binding factor 4; CRT/DRE-binding factor 4 224 51 25 224 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJ93 1 SwissProt MNPFYSTFPDSFLSISDHRSPVSDSSECSPKLASSCPKKRAGRKKFRETRHPIYRGVRQRNSGKWVCEVREPNKKSRIWLGTFPTVEMAARAHDVAALALRGRSACLNFADSAWRLRIPETTCPKEIQKAASEAAMAFQNETTTEGSKTAAEAEEAAGEGVREGERRAEEQNGGVFYMDDEALLGMPNFFENMAEGMLLPPPEVGWNHNDFDGVGDVSLWSFDE
VIMSS10110603 1 485 0.504147422680412 PF00847.20:AP2:159:208 AP2-like ethylene-responsive transcription factor TOE2; Protein TARGET OF EAT 2 485 50 25 485 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVG2 1 SwissProt MLDLNLDVDSTESTQNERDSITVKGVSLNQMDESVTSNSSVVNAEASSCIDGEDELCSTRTVKFQFEILKGGGEEEEEDDDERSAVMMTKEFFPVAKGMNFMDSSAQSSRSTVDISFQRGKQGGDFIGSGSGGGDASRVMQPPSQPVKKSRRGPRSKSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAVKFRGLEADINFVIGDYEEDLKQMANLSKEEVVQVLRRQSSGFSRNNSRYQGVALQKIGGWGAQMEQLHGNMGCDKAAVQWKGREAASLIEPHASRMIPEAANVKLDLNLGISLSLGDGPKQKDRALRLHHVPNNSVCGRNTMMENHMAAAACDTPFNFLKRGSDHLNNRHALPSAFFSPMERTPEKGLMLRSHQSFPARTWQGHDQSSGGTAVAATAPPLFSNAASSGFSLSATRPPSSTAIHHPSQPFVNLNQPGLYVIHPSDYISQHQHNLMNRPQPPP
VIMSS10110766 1 104 0.452252884615385 AP2 domain-containing transcription factor family protein (RefSeq) 201 0 25 104 0 Arabidopsis thaliana VIMSS10110766 1 MicrobesOnline METFEESSDLDVIQKHLFEDLMIPDGFIEDFVFDDTAFVSGLWSLEPFNPVPKLEPSSPVLDPDSYVQEILQMEAESSSSSSTTTSPEVETVSNRKKTKRFEET
VIMSS10111400 1 352 0.449830113636364 PF00847.20:AP2:95:144,PF00847.20:AP2:187:237 AP2-like ethylene-responsive transcription factor TOE3; Protein TARGET OF EAT 3 352 101 25 352 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FH95 1 SwissProt MWNLNDSPDHHEESDSRGNPVGHVSNGMSQSATWLPFVLPVTRNFFPAQSMEPGVRWSGFNSVGKSDPSGSGRPEEPEISPPIKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAHAAARAYDRAAIKFRGVDADINFDIEDYLDDLKQMGNLTKEEFMHVLRRQSTGFPRGSSKYRGVTLHKCGRWESRLGQFLNKKYVYLGLFDTEIEAARAYDKAAIKCNGKDAVTNFDPKVYEEEEDLSSETTRNGHNLGLSLGESSSEEFRLKSDIASIRSRIRDEERLLGSDLSLAMMTTTVRSEKQQSDGGGNRVVGMAASSGFSPQPSPYRIPRTFHFSRP
VIMSS108135 1 303 0.0955557755775578 PF00823.19:PPE:8:164 PPE family protein PPE4 513 157 25 257 2 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WI43 1 SwissProt MAAPIWMASPPEVHSALLSNGPGPGSLVAAATAWSQLSAEYASTAAELSGLLGAVPGWAWQGPSAEWYVAAHLPYVAWLTQASADAAGAAAQHEAAAAAYTTALAAMPTLAELAANHVIHTVLVATNFFGINTIPITLNEADYVRMWLQAAAVMGLYQAASGAALASAPRTVPAPTVMNPGGGAASTVGAVNPWQWLLALLQQLWNAYTGFYGWMLQLIWQFLQDPIGNSIKIIIAFLTNPIQALITYGPLLFALGYQIFFNLVGWPTWGMILSSPFLLPAGLGLGLAAIAFLPIVLAPAVIP
VIMSS109006 1 370 0.180229459459459 PF01073.19:3Beta_HSD:18:277,PF01370.21:Epimerase:17:239,PF16363.5:GDP_Man_Dehyd:18:342,PF13460.6:NAD_binding_10:21:176,PF07993.12:NAD_binding_4:53:221,PF04321.17:RmlD_sub_bind:16:274,PF02719.15:Polysacc_synt_2:17:136,PF08659.10:KR:15:139,PF05368.13:NmrA:17:131 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase; Cholesterol dehydrogenase; EC 1.1.1.145; EC 5.3.3.1 370 328 25 370 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WQP7 1 SwissProt MLRRMGDASLTTELGRVLVTGGAGFVGANLVTTLLDRGHWVRSFDRAPSLLPAHPQLEVLQGDITDADVCAAAVDGIDTIFHTAAIIELMGGASVTDEYRQRSFAVNVGGTENLLHAGQRAGVQRFVYTSSNSVVMGGQNIAGGDETLPYTDRFNDLYTETKVVAERFVLAQNGVDGMLTCAIRPSGIWGNGDQTMFRKLFESVLKGHVKVLVGRKSARLDNSYVHNLIHGFILAAAHLVPDGTAPGQAYFINDAEPINMFEFARPVLEACGQRWPKMRISGPAVRWVMTGWQRLHFRFGFPAPLLEPLAVERLYLDNYFSIAKARRDLGYEPLFTTQQALTECLPYYVSLFEQMKNEARAEKTAATVKP
VIMSS110324 195 391 0.35403654822335 PF12484.8:PE_PPE_C:105:193 PPE family protein PPE38 391 89 25 197 0 Mycobacterium tuberculosis H37Rv YP_177870.1 1 RefSeq VSAALSPIAEGAVEGVPAVVAAAQSVAAGLPVDAALQVGQAAAYPASMLIGPMMQLAQMGTTANTAGLAGAEAAGLAAADVPTFAGDIASGTGLGGAGGLGAGMSAELGKARLVGAMSVPPTWEGSVPARMASSAMAGLGAMPAEVPAAGGPMGMMPMPMGMGGAGAGMPAGMMGRGGANPHVVQARPSVVPRVGIG
VIMSS110977 1 406 0.0588630541871921 PF03773.13:ArsP_1:18:329 Putative permease Rv2963 406 312 25 202 9 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::I6YET7 1 SwissProt MTSTKVEDRVTAAVLGAIGHALALTASMTWEILWALILGFALSAVVQAVVRRSTIVTLLGDDRPRTLVIATGLGAASSSCSYAAVALARSLFRKGANFTAAMAFEIGSTNLVVELGIILALLMGWQFTAAEFVGGPIMILVLAVLFRLFVGARLIDAAREQAERGLAGSMEGHAAMDMSIKREGSFWRRLLSPPGFTSIAHVFVMEWLAILRDLILGLLIAGAIAAWVPESFWQSFFLANHPAWSAVWGPIIGPIVAIVSFVCSIGNVPLAAVLWNGGISFGGVIAFIFADLLILPILNIYRKYYGARMMLVLLGTFYASMVVAGYLIELLFGTTNLIPSQRSATVMTAEISWNYTTWLNVIFLVIAAALVVRFITSGGLPMLRMMGGSPDAPHDHHDRHDDHLGH
VIMSS134251 1 335 0.273195223880597 PF13936.6:HTH_38:8:51 transposase 335 44 25 335 0 Streptococcus pneumoniae R6 NP_358960.1 1 RefSeq MQDNYTTKAKHLTIDSRRLIERWKKEGKSNREIASLLGKAPQTIHTEIKRGTVRQCLGKGRFKEVYSADYAQQSYENNRKRSVKKSSVTKELKEKILHYHNQKFSPEMMVMAKGVNVGISTIYYWIHHGKLGLSKQDLLYPRKGKALKKQASTNFKPVGQSIEQRSEAINLRLENGHYEIDTVLLTRAKNYCLLVLTDRKSRHQIIRLIPNKSAEVVNQALKLILKQHKILSITADNGTEFNRLFDVFSEEHIYYAHPYASWERGTNENHNRFIRRWLPKGTNKMTPKEVAFIEKWINNYPKKYLDYKSPREDFWMANLNLKFSKMEIIFIKRFQ
VIMSS137 1 360 0.0863252777777778 hypothetical protein (NCBI ptt file) 360 0 25 268 4 Chlamydia trachomatis D/UW-3/CX VIMSS137 1 MicrobesOnline MVSFDLNDPVRNTDNHYRNINRMLNSATCAAGGIGLLTPVVCSPMGAFCFAQGPSSAEDLGHRIQHFVACSGPAAGFYSLSNERIMFEEAAVPSVLEAVEATFWISAFARLRGNEPSTCDTVMMSCVIGCISLVCGAMFVAIVSCAVKISRIVRTMTQAHALRETIQRQLAARATDMRSAYSKLKGIIAIRALNEVERGHRKLRNKMITAFVANALITLAFCALLASAVIAAFFFGAASAGLASVFFGCLWGGIGALAVGVLVGIVSGICQRNYKVEAARCIQRGALYALVLEKMQRFPKEFLKDGVAKSVVAIQAGESLDTGELAWEEMPSITACLGREGMDAQAYSFLSASPLDARIE
VIMSS146 1 285 0.275254736842105 hypothetical protein 285 0 25 262 1 Chlamydia trachomatis D/UW-3/CX NP_219647.1 1 RefSeq MTTPDNNTIDVSFPTFVRLNVATTDLADGNKSNAVTITETATANYVNVTQDLTSSTAKLECTQDLIAQGKLIVTNPKSDISFGGRVNLADNTVNYSNGGAEVSFTNINSRQGKQYVPYGLYKNGEPKISMRSALSGGHVGSGDTGGWGAEVLWDAYTEQLKDMTDGAVTLNSSNRGKLSFTASPEAPVLFRLSVFMRKNGDWLDNGVGGRVMLYVNTTDSAGKTVRRLLGIAVCLGSTWYTTVPMFWCAATYYATSSGFFQLIVGERNFRVSSLSWSVVRLPVVP
VIMSS149 1 1449 0.271571290545203 hypothetical protein (NCBI ptt file) 1449 0 25 1357 4 Chlamydia trachomatis D/UW-3/CX VIMSS149 1 MicrobesOnline MANPSTPSFNHSDLSLQGRLRASSQQCTQAGQGDPQPLSPESRGLTSNFSTRRDLIDVVEESIETAKGSELKKLRIYEIALKILTIIGAAILFAVPLCMLLGVPLWIPIVTCIGVGIAFSIAKGCLQKRCQQIREEYRALHLYHRYLLSNKDSIDGTLLSRFDIRFRKAEEKLHGLDLDKREANHPLEADKRYDFAGLAHQRYQVDAALGISSSQDAFWRGVAQQVKSVKDDVVLGDKASTDLYPIAQQALQAAGVGFSGAAGKESLLDLAKSLSSLFAWGSQVGKDSHEALQQYQMRFLSSPILATWCGAGFSASAQDFVLKGENILDIASENHTKMQNAIKRVQLVSVLGKMRNWKEKIDTLIQNKNLDQDSLRKLYQDIEKAMHKVCIEDGVSTSIQTQVRKVTQKYLRQDLQELLNKKAPLNESDLSKMQKGISSCANLVVTLLESQLGTSGQTPIKEVEESIYRDLIATILQMGSAAGGVTPLVDGVHKAIREGKALRSELSRAMSLHPRQSFLGVQSAVEKLQAFIRDPKWGASAVHTSAEETLAQKQKFVSDLTRIQTSLADWRERYGLFEETKLNHIVSTDFVSRTEAFLDTLKNVAEACSLEQAVAELKDCEDAMKADLTHVEQKMNPTEIESAREEFKRLMEELAGIQEQLEQIAQPIYEEGVSGERLLLNTVFFHPEVLRKKVQAKEASLEALTKGEQPSPTKKKTLKQLSEGCEYFSSLVSKINALKTILEGSRGKKIASQDIRQLIGLTDELALELSSFQQDSLESLLYGLEGLSIPAASIEQKKGSPKSSSIAEKVVYASHQRVHNGVKAKVNRTLEAFSQLIKGLRGSLRNAMITKAVVAAVLSVAFSCLAIALFSVQLTWLPIMLCVLALVLEAIPSALSIWVEKRNWKYEVASLAKQLVSDGRKLPYPDLGDQNIKHLEKIRDVYGLDGVAELRVAEAALLGVQKLPEEQKQESLKSAVKALRADAKVLNKKFKKLPESYQPQHSEVTGVQGVTEQESRDDVLVAQDMAAIEELQDQYHAACLQFESVSTRFLAEQRKAKFLEELLVQKRRDVSHLSHQEAHYTQVVSHLKELISMRKGASTQHASKEEISTKMRELLSLDDQLLKAHTAQDVNRDNSINGQLQQQFKKLSEEGSLQKVKALLELNMCLGNAGQTLYHSRLKREVFEASLSGTSRQLLQYGEDLFASYDGSDRSALLRFVLGSGYEMISEASSELKSLRKRWKRSASQAAIAPEDYEKVCRVLERFLKARDSLRPKLGLPLGKSSDATVGLQHQIRDNQRVKARVTACYQESCRNVLQHLEDWVRKTRQESAECQKVETKIREFCQKAGSKENLAESTEMLFSSLEEDLNKIPLDVLRAILRSLSSKVLHIRDQKLELEKLEEQFAKTNAIVKAKEAEFEKNGEVWHNQYQMLKSQMEKLESQKRRLTDKKE
VIMSS158249 1 626 0.314651118210863 PF06458.12:MucBP:327:388,PF06458.12:MucBP:396:460,PF07523.12:Big_3:466:532 putative peptidoglycan bound protein (LPXTG motif) (NCBI ptt file) 626 194 25 584 2 Listeria monocytogenes EGD-e VIMSS158249 1 MicrobesOnline MKKKFVYLLLLVLFMTVLPFQMLEAQAASTSWIEQELDGNEPFIAETEKILSKNRQDITLADLETIQKIHVFGADSIPNKISDYKNLTALEANYGTITEVPESVGSLKELVTLNVDENNLQEFPMVIFQLPKLEVLYISRGNITEIPTEITTLASHLKVLDVSNQKLVTIPDSILTTNWKAMHDGKLGMSLAGNQIASDIPANYLDNFNSGGNMLEFYDNPTIDYLQKQDQLTYTGGTIEVPLNTDFKQLTPDKTNLGLKSNIPLFSQHEFMYYDDGTSANILTNGVATDVGDGYITIKSTLSTNSNPFAKVRVPIKVTAPLKGADVTVQYLDSNGDTLATPDILSGNEGDAYASTPKTIDGYTLTQTPTNAQGTFTEEPQTVIYVYTKNSVAAAPVTVKYLNEEGKILTASDNLTGNVDDPYQTKAKEFAGYTLDESKLPTNASGVFKTNAQTVTYVYKTIPASIKAHDSTMNVGDTWSAKDNFDSAVDSLGVAVPFDEVKVDGSVDTTKAGVYPVTYSFAGDSVTIKVTVKATVVPAPPITPIKPVVPAPANPNTPSTQQTPTKQSGTLKIKATHQEQPIVAGNLKLPTTGDNLWDSVLYSIFGFITVCLSFVLFFRLKKQKNS
VIMSS168 1 367 0.343242779291553 PF12919.7:TcdA_TcdB:166:367,PF12918.7:TcdB_N:54:115 hypothetical protein 639 264 25 367 0 Chlamydia trachomatis D/UW-3/CX NP_219669.1 1 RefSeq MNVRTYSVQRGGVKTISASAVPPTAAVLSRKKRAIEEKKEEASSGKIENLDASKYDLTPKNIEEKLGITPEQKSTVKDLLNKLKKVISAYNSMPDKNSEAGQNSLIQQGKYVDAIQKKLPASSQAQPKQAKAKEQKAEEKPKTTPIEGVLETIKTEFKGHRVPVEKIIHGIWIAGAPPDGIEDYMRVFLDTYEGFDFYFWVDENAYAAAKFSSILKKVAFDAAIQDLRSATDESTKAFVKDYDELKQKYEKKVAETTSQAEKDQYLKDLKDLLEKFTKISDEIRGKFDRLFLKNVIVAQNGFFNFCLLKGLGNINDETRAEYLEKELKLPTEEIEQYKKLKETNKEKIAAIVKQLNEKLGSDRVKIK
VIMSS174 1 163 0.0684085889570552 hypothetical protein (NCBI ptt file) 163 0 25 163 0 Chlamydia trachomatis D/UW-3/CX VIMSS174 1 MicrobesOnline MNYHNTFVKTSMFFLAKRLVQLNKNPFLLKKFSETTVLFIFERQLKMWEGYSIDENNYISDYNMEFGRPLLQKLANPVCKALLQKQLEAEQAMTLSNQVTVGDIVLMRSPIFEKSVLLETLINEIIYQESLFLFKKPENVQCPKMSFEHGAHEILLKIFLTVS
VIMSS187410 1 144 0.219338194444444 putative H transfer determinant 144 0 25 144 0 Salmonella enterica subsp. enterica serovar Typhi NP_058225.1 1 RefSeq MKVNFKKVIPLLMVGLTVAGSYSIFNAKPSKPDLYDFTGKVLKTTSVFQPCDKESTPSLNIQIADNGSVHINGVASKVTFVERVPGNEIAVKCTGLQVKNSRLVHTSSYTMIISEGKGGFVISDLTHTKDNEVMSGTWFFKKRV
VIMSS1935928 194 1459 0.23844186413902 PF01469.18:Pentapeptide_2:42:79,PF01469.18:Pentapeptide_2:85:121,PF01469.18:Pentapeptide_2:125:163,PF01469.18:Pentapeptide_2:155:193,PF01469.18:Pentapeptide_2:165:203,PF01469.18:Pentapeptide_2:615:653,PF01469.18:Pentapeptide_2:687:724,PF01469.18:Pentapeptide_2:730:767,PF01469.18:Pentapeptide_2:769:806,PF01469.18:Pentapeptide_2:808:847 PPE family protein PPE34 1459 347 25 1266 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::Q79FI9 1 SwissProt ITSSKGVLANLSLGLANSGFGQMGAANLGILNLGSLNPGGNNFGLGNVGSNNVGLGNTGNGNIGFGNTGNGNIGFGLTGDNQQGFGGWNSGTGNIGLFNSGTGNIGIGNTGTGNFGIGNSGTSYNTGIGNTGQANTGFFNAGIANTGIGNTGNYNTGSFNLGSFNTGDFNTGSSNTGFFNPGNLNTGVGNTGNVNTGGFNSGNYSNGFFWRGDYQGLIGFSGTLTIPAAGLDLNGLGSVGPITIPSITIPEIGLGINSSGALVGPINVPPITVPAIGLGINSTGALVGPINIPPITLNSIGLELSAFQVINVGSISIPASPLAIGLFGVNPTVGSIGPGSISIQLGTPEIPAIPPFFPGFPPDYVTVSGQIGPITFLSGGYSLPAIPLGIDVGGGLGPFTVFPDGYSLPAIPLGIDVGGGLGPFTVFPDGYSLPAIPLGIDVGGGLGPFTVFPDGYSLPAIPLGIDVGGAIGPLTTPPITIPSIPLGIDVSGSLGPINIPIEIAGTPGFGNSTTTPSSGFFNSGTGGTSGFGNVGSGGSGFWNIAGNLGNSGFLNVGPLTSGILNFGNTVSGLYNTSTLGLATSAFHSGVGNTDSQLAGFMRNAAGGTLFNFGFANDGTLNLGNANLGDYNVGSGNVGSYNFGSGNIGNGSFGFGNIGSNNFGFGNVGSNNLGFANTGPGLTEALHNIGFGNIGGNNYGFANIGNGNIGFGNTGTGNIGIGLTGDNQVGFGALNSGSGNIGFFNSGNGNIGFFNSGNGNVGIGNSGNYNTGLGNVGNANTGLFNTGNVNTGIGNAGSYNTGSYNAGDTNTGDLNPGNANTGYLNLGDLNTGWGNIGDLNTGALISGSYSNGILWRGDYQGLIGYSDTLSIPAIPLSVEVNGGIGPIVVPDITIPGIPLSLNALGGVGPIVVPDITIPGIPLSLNALGGVGPIVVPDITIPGIPLSLNALGGVGPIVVPDITIPGIPLSLNALGGVGPIVVPDITIPGIPLSLNALGGVGPITVPGVPISRIPLTINIRIPVNITLNELPFNVAGIFTGYIGPIPLSTFVLGVTLAGGTLESGIQGFSVNPFGLNIPLSGATNAVTIPGFAINPFGLNVPLSGGTSPVTIPGFAINPFGLNVPLSGGTSPVTIPGFTIPGSPLNLTANGGLGPINIPINITSAPGFGNSTTTPSSGFFNSGDGSASGFGNVGPGISGLWNQVPNALQGGVSGIYNVGQLASGVANLGNTVSGFNNTSTVGHLTAAFNSGVNNIGQMLLGFFSPGAGP
VIMSS1936015 169 580 0.213025242718447 PF08237.11:PE-PPE:132:357 Uncharacterized PPE family protein PPE42 580 226 25 412 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WHZ5 1 SwissProt QEGLQQQLQNVLAQLASGNLGSGNVGVGNIGNDNIGNANIGFGNRGDANIGIGNIGDRNLGIGNTGNWNIGIGITGNGQIGFGKPANPDVLVVGNGGPGVTALVMGGTDSLLPLPNIPLLEYAARFITPVHPGYTATFLETPSQFFPFTGLNSLTYDVSVAQGVTNLHTAIMAQLAAGNEVVVFGTSQSATIATFEMRYLQSLPAHLRPGLDELSFTLTGNPNRPDGGILTRFGFSIPQLGFTLSGATPADAYPTVDYAFQYDGVNDFPKYPLNVFATANAIAGILFLHSGLIALPPDLASGVVQPVSSPDVLTTYILLPSQDLPLLVPLRAIPLLGNPLADLIQPDLRVLVELGYDRTAHQDVPSPFGLFPDVDWAEVAADLQQGAVQGVNDALSGLGLPPPWQPALPRLF
VIMSS1936061 1 434 0.120576036866359 PF00823.19:PPE:8:164 PPE FAMILY PROTEIN (NCBI) 434 157 25 365 3 Mycobacterium tuberculosis H37Rv VIMSS1936061 1 MicrobesOnline MTAPVWLASPPEVHSALLSAGPGPGSLQAAAAGWSALSAEYAAVAQELSVVVAAVGAGVWQGPSAELFVAAYVPYVAWLVQASADSAAAAGEHEAAAAGYVCALAEMPTLPELAANHLTHAVLVATNFFGINTIPIALNEADYVRMWVQAATVMSAYEAVVGAALVATPHTGPAPVIVKPGANEASNAVAAATITPFPWHEIVQFLEETFAAYDQYLSALLSELPAVAWVWFQLFVDILGFNIIGFIITLASNAQLLTEFAINASYVAVGLLYAIAGVIDIVVEWVIGNLFGVVPLLGGPLLGALAAAVVPGVAGLAGVAGLAALPAVGAAAGAPAALVGSVAPVSGGVVSPQARLVSAVEPAPASTSVSVLASDRGAGALGFVGTAGKESVGQPAGLTVLADEFGDGAPVPMLPGSWGPDLVGVAGDGGLVSV
VIMSS1936107 1 103 0.455128155339806 Pilin; Pili structural subunit 103 0 25 80 1 Mycobacterium tuberculosis (strain ATCC 25177 / H37Ra) SwissProt::A5U7Y7 1 SwissProt MYRFACRTLMLAACILATGVAGLGVGAQSAAQTAPVPDYYWCPGQPFDPAWGPNWDPYTCHDDFHRDSDGPDHSRDYPGPILEGPVLDDPGAAPPPPAAGGGA
VIMSS226 1 129 0.0786635658914729 CHLTR hypothetical protein (NCBI ptt file) 129 0 25 83 2 Chlamydia trachomatis D/UW-3/CX VIMSS226 0 MicrobesOnline MRCCCVRTNYEEVRSSSTGDQVVSAVKERKCDSSLCRKIASVAFTLIGALLLGIGMVLSFALLGSSAGLIGVGVILSALGAVCLSLGLCKLFLRMKRVSLDKAEQKMLEDQVELLRQENQELKALVYSN
VIMSS232 1 196 0.109154081632653 hypothetical protein (NCBI ptt file) 196 0 25 150 2 Chlamydia trachomatis D/UW-3/CX VIMSS232 1 MicrobesOnline MSTTISGDASSLPLPTASCVEIKSTSSSTKGNTCSKILDIALAIVGALVVVAGVLALVLCASNVIFTAIGIAALIIGSACVGAGISRLMCRSSYASLEAKNVLAEQRLRNLSEEKDALVSVSFINKMFLRGLTDDLQALEAKAIEVEIDCLDRLEKNEQALLSDVRLVLSSYTRWLDSAEKEKAALKASIDANQAS
VIMSS245327 1 255 0.190432156862745 PF03704.17:BTAD:103:248,PF00486.28:Trans_reg_C:23:94 actinorhodin cluster activator protein (NCBI) 255 218 25 255 0 Streptomyces coelicolor A3(2) VIMSS245327 1 MicrobesOnline MRFNLLGRVHVITDAGCVIPLKSSKATQLLVLLLLRRHEVVGSGVLIEELWADHPPRSAMTTLQTYVYHTRRLLGEHRVTSDDRELVLTQPPGYFALIDEDELDVAVAERLIRTGGRLLEENRLEEALASLDAGLDLWRGPALSTVPCGRVLESNIAHLEELRLFGMQLRIDANWRLGRIGPMIPELRSLVISHPLNETLHAKLMGALCQMGRRAEALESYRNLRRILSDELGVDPTPEIQRMHMEILNGEKVLV
VIMSS25975 1 232 0.191644396551724 PF01476.20:LysM:87:130,PF01476.20:LysM:137:169 M23B subfamily peptidase 371 77 25 209 1 Treponema pallidum (strain Nichols) O83190 1 SwissProt/TReMBL MSVYCRSSKQTVREPCRPVPVGALLLFVTLLLLALALAYFGAQVEPLTPALTLTEQEPRQASGYVSSLLRARIVQEDAEPALYYTVYEVREGDVVGRIAQRYDISQDAIISLNKLRSTRALQVGQLLKIPSVDGILYTVKNGDTFSSIAAAHQISLERLVLLNTPSSSKESPPSVRTLVSPFYNSAARESCVPFPFSSAKQWRENTSFDAVQPLQPARVLFLPGAHLSARAL
VIMSS27771 1 475 0.139088842105263 PF03135.14:CagE_TrbE_VirB:5:201,PF01580.18:FtsK_SpoIIIE:224:295,PF01935.17:DUF87:258:318 DNA transfer protein (NCBI ptt file) 475 292 25 475 0 Helicobacter pylori J99 VIMSS27771 1 MicrobesOnline MSSILTDFEPKFLSSDDLLNLYAEYCNGHYCDFKYKQRRLSDGNIQSHLYFKKDHFIHDYNGIETFKRFIAVKAYDVDNITSLALSNLLCEKFNLDILLTIEAMDKERALFFIRERKKRSKDISYQNIEYLEQMVSTDRAQIQKVSLSIMVFANSKKELDKKSIIVYNTLKKEGFSAVLESINMRPIFFSFFPERNFLNSRLRPQTSQNIASLIMFEKYQEGFKENSWGDCPVSVFKNQNGSAHFFNFQAKQGKDKNDNVVGHTMIIGSTGSGKSTFISFLIANLLTKYDMSVVALDRMNGLEIMTDFFEGQYNTANTDGGFYINPFSLKDSEENRQFLANWIKFMLNIDSDNQQDNKASQSIDKVIRDTYNYMGDQKNQINLLEIAKNLGSSEQDFNEILKSQGEKIYFKNFQDCLDFSNSPLSVINMDAFANDKKLMGLIAMYLFHKLFFEAKEHNKPFFYSLMKLKTTLCIL
VIMSS27772 1 140 0.0661928571428571 PF12696.7:TraG-D_C:9:62 DNA transfer protein (NCBI ptt file) 140 54 25 140 0 Helicobacter pylori J99 VIMSS27772 1 MicrobesOnline MHPIMFAYIANALAQARKINGTLCMAFQKISQVKELGIDKAKSLIGNLSQVIIYPTKDTDELIECGVPLSDSEINFLHNTDMRARQVLVKNIVTNASAFIEIDLKKICKNYFIFLIAMLVIEKSSMILKKQTKKLIRKSI
VIMSS33759 1 199 0.0138371859296482 PF01810.18:LysE:14:197 PROBABLE CONSERVED INTEGRAL MEMBRANE PROTEIN (NCBI) 199 184 25 87 5 Mycobacterium tuberculosis H37Rv VIMSS33759 1 MicrobesOnline MNSPLVVGFLACFTLIAAIGAQNAFVLRQGIQREHVLPVVALCTVSDIVLIAAGIAGFGALIGAHPRALNVVKFGGAAFLIGYGLLAARRAWRPVALIPSGATPVRLAEVLVTCAAFTFLNPHVYLDTVVLLGALANEHSDQRWLFGLGAVTASAVWFATLGFGAGRLRGLFTNPGSWRILDGLIAVMMVALGISLTVT
VIMSS340192 1 492 0.396434146341463 hypothetical protein 492 0 25 492 0 Vibrio parahaemolyticus RIMD 2210633 NP_798059.1 1 RefSeq MVNTTQKISQSPVPDLEQFRAIAAQKDDRVISKRGEVKEPSTFHKGHKFASVSEGVLRKKYTKFFQENIKTHLDLKQALLKEEKPETALLAYSLVSPSGYRGEPLTERKILEVVSLLDEVKVEGDTYQQLKNTFDSISKDPRMQVSLENQYPGKMDGFGAQLLEMGKEKLKGSGVNAAINLALPGVGLLVATGRELHKASVNGDAEAYHHQLEQISQLPGRDQRLSMPMQQTLAIGHAMLSAEGAVGATLGMATGGLGTFGVSSVATAGVTPIAKEAIGTALATGIISGGGFVAGQAGAYGLNNEVQDQLKQGPMSGVLPRLEISNVKGDFTFSMQEPAAVRALMAYLGPKEDTSMSSPQAPKEAQEMEAARLTLKQMLGSSPNEHLVPDVDSLLKLSDEDMPSQTESTANGAFKKLLSEDWDWLMPAVRAMDKGEANKINEKLTYKLPLDAANGRVYLDKSPNLSGAQLDALDKLGSPSQLRLMYLAEGWI
VIMSS34232 1 508 0.0415074803149606 PF07690.16:MFS_1:14:404,PF00083.24:Sugar_tr:45:175 Drug efflux pump JefA 508 391 25 199 14 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJW9 1 SwissProt MTPRQRLTVLATGLGIFMVFVDVNIVNVALPSIQKVFHTGEQGLQWAVAGYSLGMAAVLMSCALLGDRYGRRRSFVFGVTLFVVSSIVCVLPVSLAVFTVARVIQGLGAAFISVLSLALLSHSFPNPRMKARAISNWMAIGMVGAASAPALGGLMVDGLGWRSVFLVNVPLGAIVWLLTLVGVDESQDPEPTQLDWVGQLTLIPAVALIAYTIIEAPRFDRQSAGFVAALLLAAGVLLWLFVRHEHRAAFPLVDLKLFAEPLYRSVLIVYFVVMSCFFGTLMVITQHFQNVRDLSPLHAGLMMLPVPAGFGVASLLAGRAVNKWGPQLPVLTCLAAMFIGLAIFAISMDHAHPVALVGLTIFGAGAGGCATPLLHLGMTKVDDGRAGMAAGMLNLQRSLGGIFGVAFLGTIVAAWLGAALPNTMADEIPDPIARAIVVDVIVDSANPHAHAAFIGPGHRITAAQEDEIVLAADAVFVSGIKLALGGAAVLLTGAFVLGWTRFPRTPAS
VIMSS34403 1 179 0.323827932960894 PF01951.16:Archease:49:179 Probable protein archease 179 131 25 179 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WQ02 1 SwissProt MLHRDDHINPPRPRGLDVPCARLRATNPLRALARCVQAGKPGTSSGHRSVPHTADLRIEAWAPTRDGCIRQAVLGTVESFLDLESAHAVHTRLRRLTADRDDDLLVAVLEEVIYLLDTVGETPVDLRLRDVDGGVDVTFATTDASTLVQVGAVPKAVSLNELRFSQGRHGWRCAVTLDV
VIMSS34492 1 165 0.564113333333334 PF01476.20:LysM:116:163 LysM domain-containing protein 165 48 25 142 1 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) O07218 1 SwissProt/TReMBL MTPVRPPHTPDPLNLRGPLDGPRWRRAEPAQSRRPGRSRPGGAPLRYHRTGVGMSRTGHGSRPVPPATTVGLALLAAAITLWLGLVAQFGQMITGGSADGSADSTGRVPDRLAVVRVETGESLYDVAVRVAPNAPTRQVADRIRELNGLQTPALAVGQTLIAPVG
VIMSS34642 1 404 0.153084900990099 PF02163.22:Peptidase_M50:10:371,PF17820.1:PDZ_6:148:201 Zinc metalloprotease Rip1; Regulator of sigma KLM proteases; S2P endopeptidase; Site-2-type intramembrane protease; site-2 protease Rip1; S2P protease Rip1; EC 3.4.24.- 404 362 25 312 4 Mycobacterium tuberculosis (strain ATCC 35801 / TMC 107 / Erdman) SwissProt::H8EW46 1 SwissProt MMFVTGIVLFALAILISVALHECGHMWVARRTGMKVRRYFVGFGPTLWSTRRGETEYGVKAVPLGGFCDIAGMTPVEELDPDERDRAMYKQATWKRVAVLFAGPGMNLAICLVLIYAIALVWGLPNLHPPTRAVIGETGCVAQEVSQGKLEQCTGPGPAALAGIRSGDVVVKVGDTPVSSFDEMAAAVRKSHGSVPIVVERDGTAIVTYVDIESTQRWIPNGQGGELQPATVGAIGVGAARVGPVRYGVFSAMPATFAVTGDLTVEVGKALAALPTKVGALVRAIGGGQRDPQTPISVVGASIIGGDTVDHGLWVAFWFFLAQLNLILAAINLLPLLPFDGGHIAVAVFERIRNMVRSARGKVAAAPVNYLKLLPATYVVLVLVVGYMLLTVTADLVNPIRLFQ
VIMSS34767 1 445 0.152644494382022 PF07690.16:MFS_1:22:356 PROBABLE CONSERVED INTEGRAL MEMBRANE PROTEIN (NCBI) 445 335 25 269 8 Mycobacterium tuberculosis H37Rv VIMSS34767 1 MicrobesOnline MSRDPTGVGARWAIMIVSLGVTASSFLFINGVAFLIPRLENARGTPLSHAGLLASMPSWGLVVTMFAWGYLLDHVGERMVMAVGSALTAAAAYAAASVHSLLWIGVFLFLGGMAAGGCNSAGGRLVSGWFPPQQRGLAMGIRQTAQPLGIASGALVIPELAERGVHAGLMFPAVVCTLAAVASVLGIVDPPRKSRTKASEQELASPYRGSSILWRIHAASALLMMPQTVTVTFMLVWLINHHGWSVAQAGVLVTISQLLGALGRVAVGRWSDHVGSRMRPVRLIAAAAAATLFLLAAVDNEGSRYDVLLMIAISVIAVLDNGLEATAITEYAGPYWSGRALGIQNTTQRLMAAAGPPLFGSLITTAAYPTAWALCGVFPLAAVPLVPVRLLPPGLETRARRQSVRRHRWWQAVRCHAWPNGPRRPGPPGQPRRVRQGGTAITPPT
VIMSS34861 1 254 0.318637795275591 PF03007.16:WES_acyltransf:5:254 Probable diacyglycerol O-acyltransferase Tgs4; TGS4; Probable triacylglycerol synthase tgs4; EC 2.3.1.20 474 250 25 254 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKC3 1 SwissProt MTRINPIDLSFLLLERANRPNHMAAYTIFEKPKGQKSSFGPRLFDAYRHSQAAKPFNHKLKWLGTDVAAWETVEPDMGYHIRHLALPAPGSMQQFHETVSFLNTGLLDRGHPMWECYIIDGIERGRIAILLKVHHALIDGEGGLRAMRNFLSDSPDDTTLAGPWMSAQGADRPRRTPATVSRRAQLQGQLQGMIKGLTKLPSGLFGVSADAADLGAQALSLKARKASLPFTARRTLFNNTAKSAARAYGNVELP
VIMSS34899 1 104 0.2933 Uncharacterized protein MT3211 104 0 25 104 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WL08 1 SwissProt MVIRFDQIGSLVLSMKSLASLSFQRCLRENSSLVAALDRLDAAVDELSALSFDALTTPERDRARRDRDHHPWSRSRSQLSPRMAHGAVHQCQWPKAVWAVIDNP
VIMSS35145 1 446 0.302280493273543 PF03007.16:WES_acyltransf:4:237,PF06974.13:DUF1298:271:400 Putative diacyglycerol O-acyltransferase Rv3371; Putative triacylglycerol synthase Rv3371; EC 2.3.1.20 446 364 25 446 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKA9 1 SwissProt MAQLTALDAGFLKSRDPERHPGLAIGAVAVVNGAAPSYDQLKTVLTERIKSIPRCTQVLATEWIDYPGFDLTQHVRRVALPRPGDEAELFRAIALALERPLDPDRPLWECWIIEGLNGNRWAILIKIHHCMAGAMSAAHLLARLCDDADGSAFANNVDIKQIPPYGDARSWAETLWRMSVSIAGAVCTAAARAVSWPAVTSPAGPVTTRRRYQAVRVPRDAVDAVCHKFGVTANDVALAAITEGFRTVLLHRGQQPRADSLRTLEKTDGSSAMLPYLPVEYDDPVRRLRTVHNRSQQSGRRQPDSLSDYTPLMLCAKMIHALARLPQQGIVTLATSAPRPRHQLRLMGQKMDQVLPIPPTALQLSTGIAVLSYGDELVFGITADYDAASEMQQLVNGIELGVARLVALSDDSVLLFTKDRRKRSSRALPSAARRGRPSVPTARARH
VIMSS44574 1 1277 0.112706969459671 B. burgdorferi predicted coding region BBE02 (NCBI ptt file) 1277 0 25 1277 0 Borrelia burgdorferi B31 VIMSS44574 1 MicrobesOnline MKTNDIVKTNNPNISLYKQLSKDFIKKENINKLKDFFILIKNKLFSIDDNSTEANIESLLKYIFEELNYSVEQQKAGQIEGVESRVDILLFENDKDKASFNNKLKEAKKNNEPIPIEDILIIAEVKRPTFSFDAKDKLKESEDQLYRYLNQYQKHYGILSNGKVWRLYDKSKVLYGEKRYIEFNFXKIEEKEEYKEQEWFVLFIYLIRKERYLKTSNVIEVEKEQIAKEKEIIQKTLKEILYERPDDSIVFKIAKNIYDKEFKLSGKEITQHILASILEESIIFILRIFFIAYIEDNDIFKKILQENKLYRSSISFRYFFYDENTKKKLEYKKIITIFNLLDKGSDAIKFPVFNGGLFSEDKVKYLNNEGLLSISEIEEILVKMLFFEEKNIKDEKFVKYSRLDPKSFGELYETLLEYDLRIADTTVHRIIEDGVYLIRTEEELENKKVNKIATYLKGNIYLTSRSLDRKKSGAYYTPDDLTDFMVISSIEEQLKTKSPLDIKIIDNSCGSGHFLISCLDYLTEKVWYELDKFEDVKKELDEEYRVIIEESEEYDVQDSISKELVLKRMLLKXCIYGVDINPISVEITMLSLWINTFIFGTPLSFIEHHIKAGNALLGYTKDEFFDIVKKKFESGFSLFKKRIKEIITILEDIYQKIKGINDTTKEDIEKSKKIYKEYEESKDIDNLRIIFSLIKLYSLSFDKSLNMEFSDIASVISLIENILGNKTSSEDKEKIEKIRKLSSYYKFFHYGIEFPDIQEGFDIVIGNPPWEKTKFNETEFFSKHIPNYRKLGIKEQNIIKQEILSKDNHPLSIEYNEEKNSIIAINNIYKFDFKCFTSGGDPNLFRYFVTFNLKLIKEKGNLTYLVPSAIWNESSSRILRKHIFARYKLNYIYQFENKKRFKDVHSSFKFAIFQLSNIKESTSSFKAKFMIQSSDNILKEITRDLKDSKDDAYKGIELNINQIKKLSPIQESIIEFKDNEEFTLINKMFSKFSALGEGYIDFKKGLDPSIKNRKSLLKECNNKNLIFLYSGANIHQFNSRFFEDKDAKESSKLLWIDKEDLEKVLTKDNQYQTERVFYRAIASNTNERTMISTLSPGNCYCVNSIYINDEKTPISLYKKLFIISIFNSFVFDFLLRRFVDSNVLKSCLYQCPMPQPEEKEILSNPLYLNLAKNTSLLIAKNDPENFKYLLYLEYFKFDKEKVNKILKLDKEDEFFKEKENENNFIIASLYSLAKEDFITLLGDFKALKNKKKGEDYISSLIKGYDNYLLNNKIFYHK
VIMSS44895 1 414 0.464301207729469 B. burgdorferi predicted coding region BBA57 (NCBI ptt file) 414 0 25 414 0 Borrelia burgdorferi B31 VIMSS44895 1 MicrobesOnline MNGKLRKALKIAIFTTLLLVISCNANMDTNDKNKALNEYKLKNISEVIKNSLQLESDPKLKKEPESNINQSTPPILEIEKIEPGKQEMSLKSEFGSESLMPLEEPEEANMAKSEEEIAKIQEKLLLIGASDEITDQELGENMQKFLNPTTVEFKISTTTNETILTTIEEEEINNNKDKIFDHKEENVTLGNNSLENATLNKNTITLAQNQKYTTHLKNDDKFITKEYLKQVRDSLDKALNAIKNLETSKEFRELENLPKDQENSPSAKKESTESNINNTNTENIEIIKNRLLEELNKSELIFEDTKDPLGTSTIKKVIDAAKKWQEKENSSQIDWDLGFKFHPNPKFYNKLTAQEYKVLAEKFTKVKNEYKNTKEQLKAESKLTTNSISKIINATKEFANQVINLILLVEKNYQ
VIMSS453 1 150 0.111537333333333 PF05745.11:CRPA:2:124 15kDa Cysteine-Rich Protein (NCBI ptt file) 150 123 25 104 2 Chlamydia trachomatis D/UW-3/CX VIMSS453 1 MicrobesOnline MSTVPVVQGAGSSNSAQDISTRPLTLKERISNLLSSTAFKVGLVVIGLLLVIATLIFLVSAASFVNAIYLVAIPAILGCVNICVGILSMEGHCSPERWILCKKVLKTSEDIIDDGQINNSNKVFTDERLNAIGGVVESLSRRNSLVDQTQ
VIMSS46117 1 755 0.245098278145695 PF00593.24:TonB_dep_Rec:248:754,PF07715.15:Plug:58:156 putative outer membrane siderophore receptor (NCBI ptt file) 755 606 25 755 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46117 1 MicrobesOnline MKKLSLFCAVGLCFASFAFSEELEFDSLEISGSKIKNDEKPFVTPGATSTREGIGSDTQSIDSIVRSIPGTYTNTDQAQGTVQVNIRGMSGFGRVNTMIDGVTQTFYGSASDDPARFHSQTGTSAFGAVIDTNFLIGVDVTRGTFEGVGGANALMGSANFKTIGINDIVHDGNIFGFLGRFSYGSNGIGPSYMSAVAGKTELENNGYVGALFGYSAKRITQNYTVGGGGKIGSSMVDTDGDGIADTNIAPFDPDFLTQKPNSQLFKLEYVPNSFTNTIFSYRRYQNELAGRKIHNDNYQLDFWHNPNEWLNVNTLIAYNQGIQTYGSKSTFAANDAIANTKAKNTATTFDISDTLEGEWHRFNLNIRFGANILLNDYKNTLNTSIQGVNSIPFQPRGKQNLFTYYLDNSLNYGIFTLDTNVNLLDWNIKGHRPACDEVNFMCFPKAATDIDKNGLRLNASVMLSAAIHELITPFVSFARTNRAPNVQEMFFSNNEGNGINSFLKPEQANTWQIGFNSFKHGLLKDDDRFGFKAVYYHTKIKDYIYNEQFYLEDPSKDPPSSQFYMHLNSADDTIFKGVELELSYDLGFAYAKAMYSRQDTSSTISQTSGPLLGSFSASKIMELPKDYANVELGFRLNDKISFGGIAKYTGKAKRVNPNTDDWNKDPNNPYYPKPTTQDLPKIPIIVDLYWNIEWFKNLTMRAEVQNLFDKNYMDALNAYNSLDNQLQYNGAGDPIYLFSNSARGRTFIVSFEYKY 5
VIMSS56754 1 293 0.0146549488054608 PF00528.22:BPD_transp_1:92:279 polyamine transport protein PotH (NCBI) 293 188 25 156 6 Pseudomonas aeruginosa PAO1 VIMSS56754 1 MicrobesOnline MPTGRHAVIGVPFLWLFLFFLLPFAIVLKISLAEADVAIPPYTEIFAYADQQLQVLLNLGNYLMLTDDPLYIDAYLGSLKMAFISTLICLLVGYPMAYAIARSSQSSQTVLLLLIMMPTWTAILIRVYAWMGILSNNGLLNSVLMGLGLISEPLTILNTNIAVYIGIVYSYLPFMILPLYANLVKHDPSLLEAASDLGARNFTSFWKITVPLSKNGIIAGCMLVFIPAVGEFVIPELLGGPETLMIGKVLWQEFFNNRDWPVASALAVVMLAILIIPIILFNKNQAKELEGKV
VIMSS57295 1 730 0.293107808219178 PF04185.14:Phosphoesterase:51:436,PF05506.12:DUF756:512:619,PF05506.12:DUF756:629:712 phospholipase C/sphingomyelin synthase; EC 2.7.8.27; EC 3.1.4.3 730 578 25 730 0 Pseudomonas aeruginosa CharProtDB::CH_000949 1 CharProtDB MTENWKFRRRTFLKHGAQAATLAGLSGLFPETLRRALAVEPDIRTGTIQDVQHVVILMQENRSFDHYFGHLNGVRGFNDPRALKRQDGKPVWYQNYKYEFSPYHWDTKVTSAQWVSSQNHEWSAFHAIWNQGRNDKWMAVQYPEAMGYFKRGDIPYYYALADAFTLCEAYHQSMMGPTNPNRLYHMSGRAAPSGDGKDVHIGNDMGDGTIGASGTVDWTTYPERLSAAGVDWRVYQEGGYRSSSLWYLYVDAYWKYRLQEQNNYDCNALAWFRNFKNAPRDSDLWQRAMLARGVDQLRKDVQENTLPQVSWIVAPYCYCEHPWWGPSFGEYYVTRVLEALTSNPEVWARTVFILNYDEGDGFYDHASAPVPPWKDGVGLSTVSTAGEIEVSSGLPIGLGHRVPLIAISPWSKGGKVSAEVFDHTSVLRFLERRFGVVEENISPWRRAVCGDLTSLFDFQGAGDTQVAPDLTNVPQSDARKEDAYWQQFYRPSPKYWSYEPKSLPGQEKGQRPTLAVPYQLHATLALDIAAGKLRLTLGNDGMSLPGNPQGHSAAVFQVQPREVGNPRFYTVTSYPVVQESGEELGRTLNDELDDLLDANGRYAFEVHGPNGFFREFHGNLHLAAQMARPEVSVTYQRNGNLQLNIRNLGRLPCSVTVTPNPAYTREGSRRYELEPNQAISEVWLLRSSQGWYDLSVTASNTEANYLRRLAGHVETGKPSRSDPLLDIAAT
VIMSS577546 1 2843 0.224347731269785 hypothetical protein (NCBI) 2843 0 25 2820 1 Wolbachia endosymbiont of Drosophila melanogaster VIMSS577546 1 MicrobesOnline MPNFYKLSFAKQVLPFDEGVQLYQDNSRSGDPLQLYALHKEGLNGYRYDNNTWKETLSMRGLHAQQIYFADVLGNGELMLIAKTKEGISFYQHKNNEFSLLNRTENFRNNGFGSVRDWNEPGSIIKFGHFYRNQGLVGILTYRRNYGTKLFVVVKDLLQKQQYPIHTPKYDYTIPGNFSQAKFALSDLKQNGQENIIVHGNNGFNIYSFNQTHRLEHLIQVPSSAKSDDSEEELFFPNLTGQSYRDIVVLNSSGLFVYQHNSSINNYGLIDYQPSFSKLKGWEQEYINSIQFEDIDLDGREDMLFTGPSGINLLSFNNRTNQWQSLLDNSELTISQRHSRVMKVLPASPPTTKHPIVFTKYKNQLHLANIVEIEDSLSLEEELEIDNASSKVTTSKVVPQVSKVHLEEEKPHLLLRDQLDDSSIIDAVNKNTGKLNFKLPLIDLSNLSSDLKLDVFYDGNSQIFGNLGLGWSLPQNFIMVDHQASIFPEDKKYYVILQDLPQQLVFDSKNSTDNVYSFKLSNEQSDVQVRYYRDKERWEIDSKGVKQSYGSAQNGAVGWGLKWKNWRGVGSSSTGQEQFANVWYLAQIEDENNNVLHCTYDAINVEVASGKNFTREIYLKTISDDQGNNITFNYSDKDKSEYDLPPIVDKQGNINTQMVQTRYLSGYKVSTTNYQQDISFVYEVKDRKRLLSKIKQEGDSTNQPILQFSYSKSHMLEKVILPTGASVQFDYQLLEKDFSISVNERIAQKYDVGKDYRVDYVDDYVLVSYMDGQEKVVLRMFNQEMTEELYSSLARKDSSKLPLLGRGGAKSYGVVLTGGFLAVVLSYSTDQELHLLRQEKGKWLSKSYTFSEKTTINPGKGFMVVVDRDSAIEVISWNKDSKEWRKDKPFAESEVPLLLKVFGRGFIFYDNDLLSIGYKDYEDRWRYNTIEAIPGVINDIEETLDKFDLDSKRRDDFFAFFKSNALQVSNNLLFLNRWKTEGMKLYSVIDLFVLDSSYKLAKREQKKILQDDLNQISDWLENGSGSSFKLAYQIVNDKLKVVAKDVRGSIVDEIHKQTDNSNRRNDQKDEVLKDVTKSLEDRAKKPFLLNPYKYLAFLNSQVVICNNTKLTFTGDNWKEGTVSEEELKQEKFSVPLDEKFVLKKEDLNSSIKLYNKKSNDKEELVKELGVNQFNQTVIRYPIYLAYQPRDQRVSVIKFANDGNISRTDELSWSEKLSPFSNYQTLVTSENSGKTLVFRKQAGHLFPDPVITQVTVSFDDGNKRITGYEYSKAKALGNIIYYENVSVVPGNDKESFGWIEETRDFGNQSRTEKRIFNSQKELIKIVNFENKQANATTVDKENLYLNTTLLDKTGRLEIAQFFPYEIADEEVGYYGFEGYEVNRIGEKNSTFEKKWVFDEKDIIREGFSFTGRNYLSLSGKSLVGKFQPNDQSQEYIASCWVRPHSEMFKLGDIVPYLKAVVSTENKNETVLPLGEVKLQVGNWFYLEVIVDLFYAKEVLKNVNEVQTNKTLVEEENVKLTTSIIVAPSTNTTVDVDHVRFSPLKSSFKANVYTKQVTEVISASGLVERQIYDKYQKQVGSISGYGELKELSTYTKSSSIGKITELKSSVIIQPENGFYEDFASDSFDERWKIDSADAWQISPGRLQHLTSGTHTLQLNSSDIDRASYGMRFYFSLQSDGSVINFNNNLKVIRTGSKAEIAFSGRGQSIPLDGELLIVAEGKRIFVWVDGGLYFDGFLNASLFNLEISGKARISDVIVFSKPSVEVTYFNELNEKVQEIVLESENAAIVKEYLYDELGREAIITQPVCIERTNVLAYYSDFVTNGNPYKANSVWKTGKLEGDAARIVGEYGYSQVKYCDNPLNEECVVGLPGKEFSISGPYAKRFSSSLDNLFISNLFPASKNYSYRVEHKPGNVKDVSVFDSKDNRVAWYISTPKSKSLLSTYEYGENGKLVKSLPPIYHEKVGTFYKMNSQLNSTSREEKTLQDSLGVNISYDQRGKIINKTTPDSGKVENLYDKDGLLRFVLHYSNNEKIDNTVYFDYDELGRLRSTGEVISSTPKEELLSLELSGNNTRAYQEFYYSDAEHQPVLRGKIARAITFNSGEPLIEESVLNIDKETISKRIVIPTKDSEKPVLIAVNKRYGAGKLREVEYPIDIQDRPLRINYQYDKLGRIEGIGVRGKEDLFASFTYNAYGQISSEVHLPDLEKNFTRQYSYNSAGFLIELKDKFLTEKVYYTNGSYGGYGYGDGTITRTEFQADWHENCDDSKLGLNEKSFVSEYVTSEKSALCFRELKKGGYLNDNGHQAKVSSTMRGELPIICRYGSTGRHIQNTLGREGFPREYGHSYDYGNYQELTKAKYFVGKEVPMPLQRDSFAREIPRMNETASRDIWERLKESCYLVEDNEKVDVSLGHGKRGKSFIKGTLLDDLKSVNADYERYKLPLEEVLIEYFAQKRDLSSLKVSLRDAFVRWGSIEEANANKIVKMLEEKGYLKNPFAKEFNEVLERYQPYVYEIVSVLSEHFANPLGEAEFDVESYSIDANGNHGHFYTGFDRYEIDYRNNTNQVSNVKFQSFALSKMEQNFLVKHDSRGNVIQALHKGIEQIDYHPVSNRATKIKLIDSRALEFYYDARGERVLKRVYDKAGETIKEIHYIRDEFGRALVEREVTYIAGGLRPDVSVTAYIYGPRGLFGFIRNNEFYSVITDHEGSIRLVVKGDEVVAAYDYLPYDNLMRKYESDPEGQISYRYTGQEWDEEIGLYNYHARFYDPNIGRFYQIDPKEQYFSPYKYAGNSPVSMVDPDGELAFLVLLPFIIAGAMAGGYLGGAAANNRWDPTVWDFKSKETWLGLWIT 1
VIMSS58156 1 98 0.57750612244898 PF07216.12:LcrG:9:98 type III secretion regulator 98 90 25 98 0 Pseudomonas aeruginosa PAO1 NP_250396.1 1 RefSeq MGDMNEYTEDTLRATVQAAELAIRDSEERGRLLAEMWQGLGLAADAGELLFQAPERELARAAEEELLAELRRMRSSQPTQGEQGTRPRRPTPMRGLLI
VIMSS58643 1 104 0.401446153846154 PF13510.6:Fer2_4:17:95,PF00111.27:Fer2:44:85 Hydrogen cyanide synthase subunit HcnA; HcnA; Glycine dehydrogenase (cyanide-forming); EC 1.4.99.5 104 79 25 104 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::G3XD67 1 SwissProt MHLLERQHDIQPLSRADMTIHLNGQPVAAAAGETVLNVLNAVGLRRLARNDHGQASGAFCGMGVCHCCLVAIDGRPKRRACQTVVRPGMRVETESNRFDQEERP
VIMSS58724 1 125 0.158492 PF03992.16:ABM:48:96 hypothetical protein 125 49 25 125 0 Pseudomonas aeruginosa PAO1 NP_250964.1 1 RefSeq MLIPSSYCCTDDQCPAASERSPCYAQLIDIEVEPASQLSLALEQNAHLQRLERCFRNCPGYLSASLHPSEDGQHVLNYTCWRSREDCERAWLAREDAQGPLSAGVWRLGAKSVRFETFLVDAEGC
VIMSS5927250 1 260 0.293785769230769 PF13545.6:HTH_Crp_2:151:216 Crp/Fnr family transcriptional regulator 260 66 25 260 0 Burkholderia cenocepacia J2315 WP_006494985.1 1 RefSeq MLHLHSSYSANAILAALPEDSIRTIAPHLELVRIKAGMLDRVGEPMRHLHFPTTAMMSVQHLMEDGAMVEVAVVGREGVVGLGTLVGGVAASSRVEVRIGGMAYRVPSCVMRAEFERSPQTYRLLLNTCQATMAQISRSALCNRHHSVSEQLSRWLLLAHDRIDGDELTVTQQTIANMLGVRREGVTEAAGNLQEAGLIRQRRGRITVLDRDGLEHHACECYDLIRADYRRLLGTRGNTRPATVRPRMPEGRCGFPAHGA
VIMSS59303 1 83 0.507524096385542 PF11839.8:Alanine_zipper:24:83 Major outer membrane lipoprotein 83 60 25 83 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) P11221 1 SwissProt/TReMBL MNNVLKFSALALAAVLATGCSSHSKETEARLTATEDAAARAQARADEAYRKADEALGAAQKAQQTADEANERALRMLEKASRK
VIMSS60668 1 414 0.0493316425120773 PF13000.7:Acatn:14:93,PF07690.16:MFS_1:39:364 transporter 414 351 25 190 10 Pseudomonas aeruginosa PAO1 NP_252908.1 0 RefSeq MLELYRHRRLVITLALLYLSQGIPIGLAMDALPTLLRQDGAPLQALAFLPLVGLPWVVKFLWAPWVDNHWSRRLGRRRSWILPMQCMVLACLLGLATLGLGVASAGWAVGLLALASLASATQDIATDGMAAEHFSGELLAKVNAVQIAGVMIGFFGGGAGSLILAGHFGQRTAFLVMACVPLASLCCVLALGRGDPHELPPAPAAKASLLRFLRRPLAPSLLALALLSAMTAVSGFGLSKLYLSDAGWALQDIGRLGMSGGLVTVFLGCGGGAWLVRRIGLWRGFALGVVLAGCSALLWYLQAGRWLALSEGLAWTCVLIGSLATGITSVAILTAAMRFAGQGGQAGTDVTAVQSTRDLGEMLASSFLVSLTAQIGYAGGFLTGSALAVLALLLALRLQAGEGRGEWKGRAEEA
VIMSS634 1 266 0.201381954887218 hypothetical protein (NCBI ptt file) 266 0 25 220 2 Chlamydia trachomatis D/UW-3/CX VIMSS634 1 MicrobesOnline MAATVPIASSVGRLLSSATATTLRGNATSLRSKLNSVDDFFDLISSSCTLARVAPGTTVSETQQQQLSTIETTLDSARSASNAVQAGCGIVQLLTGGLFFKTNPDGSFQLDPVSQQRTLLSPLSLLSKVTRLASKVLGTVKFMGSQAFPVYQLGAHATGIGLSASAFGTVSSAFDVAENSREVLGNLKQNKPTEGTSKENGFMARLKRARASMFNLLCSIFDLLAQAFCFISDAVSTAFMGVHTAFIVGIFCFLSALGNVILSFAF
VIMSS637 1 832 0.243621754807692 PF04518.12:Effector_1:462:829 hypothetical protein (NCBI ptt file) 832 368 25 832 0 Chlamydia trachomatis D/UW-3/CX VIMSS637 1 MicrobesOnline MNRIHRTQGSLTDYNSTLEAIAKKIAKPDSATIVSQVAQYEQFKMEQEALKALLVSFDQKADQRYRNLIQRLEQLDVDRQTGRSTESQHIQEKPMASLQSENQVVAQAVVQSDSSMPIFTGIKQSWAVRLVQGIREILDQVLVDTSLFTEEERGDLLAIRMDAASLQDKQERLSTEDIRSLLSLSNDVMRVLQKASVSSTRQLELIQSLIDIFGTEENLEQSFAQVRLENFQAILSVIKERLTEEEFRVFQEVSEEISSIQRTSESHLSPEHIEAIARVGGHLSAKIVESELKASQKVDLCQRIAAMYQEQVDAVQAYHSLEQDALFVNSRQHSHFVQVISLVSSLMHSLSPTSEEERILLNPAMMVSVLPTVRAIGLRFDFLTAEQQQMVNAAVSSLQQQQLDEFLGVLCAHLVVVNCQNKETGLLEGLEESFSETLSGLSNNFVLTAKMQDILQVCSLQGFVTLANGDRYELFSYNDSGEAVCDEIALGDGFHKVLGTMLAVALSQAEVFKQECDRFILQADSEKNMIHKRMVQGEQKSLFLTKMQTELNAGKTIAQTKEVEASPLPSAVASVLIDHYMPKEVEFLEKISSRLYYGNKGSDIGNTILDAISLYVNSATYFGFANYIGQPPVVGKTRENIFAGSADNAKAKLDEEKKQVDVFLEITEAAKTTVTNQQSAVTNDDKLSTEQKAKIKAELTQYTDMLNAISNSLTSLKTQLAPLSVSTVEGVDGVFEVKNGIPGENGKNWRLVLQTLEDTVVSGEVGSPTNIGMFQMQALVHLNQQAYADMGQNFQLELQMHLTSMQQEWMVVATSLQLLNQIYLGLARNLLR
VIMSS6581509 1 342 0.644935672514619 PF04614.12:Pex19:68:342 Peroxisomal membrane protein import receptor PEX19; Peroxin-19 342 275 25 342 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07418 1 SwissProt MNENEYDNFDDLDDLLDEDPTKLDEAEPDDVQAKGSVYNDSENKEKNAESKDSDGVQVANESEEDPELKEMMVDLQNEFANLMKNNGNENNVKTEDFNKLISALEEAAKVPHQQMEQGCSSLKSNSTDKGTVNGSNPGFKNIVSNTLDRLKENGNKVDTSLAEETKESQRSGQNNNIDDILSQLLDQMVASGGKESAENQFDLKDGEMDDAITKILDQMTSKEVLYEPMKEMRSEFGVWFQENGENEEHKEKIGTYKRQFNIVDEIVNIYELKDYDELKHKDRVTELLDELEQLGDSPIRSANSPLKHGNEEEELMKMLEIDGNDPNLGNLDKELTDGCKQQ
VIMSS6581565 1 261 0.571108812260536 PF11214.8:Med2:28:123 Mediator of RNA polymerase II transcription subunit 2; Mediator complex subunit 2 431 96 25 261 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12124 1 SwissProt MVVQNSPVSSVHTANFSERGSNTRTMTYKNKLTVCFDDILKVGAEMMMQQQLKNVQLDSYLVNGFSQSQQKLLKEKVKLFHGILDDLETSLSQSSSYLETLTALGKEKEKEREEAEKKRAEQENMRKVREQEELKKRQELEEASQQQQLQQNSKEKNGLGLNFSTTAPANTTDANGSKENYQELGSLQSSSQTQLENANAANNGAAFSPLTTTRIQSQQAQPSDVMFNDLNSMDISMFSGLDSTGFDSTAFNATVDETKGF
VIMSS6582471 1 796 0.55382851758794 PF02996.17:Prefoldin:9:123,PF12927.7:DUF3835:711:790 Bud site selection protein 27 796 195 25 796 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43573 1 SwissProt MDLLAASVESTLKNLQDKRNFLSEQREHYIDIRSRLVRFINDNDDGEEEGEGQGMVFGDIIISTSKIYLSLGYEYYVEKTKEEAITFVDDKLKLMEDAIEQFNLKIEEAKKTLDNLNHMEDGNGIEEDEANNDEDFLPSMEIREELDDEGNVISSSVTPTTKQPSQSNSKKEQTPAVGPKEKGLAKEKKSKSFEENLKGKLLKRNDEVKKKVQPSKVDTENVYTFADLVQQMDQQDELEDGYIETDEINYDYDAFENSNFKVNDNYEEDDEDEDEEEYLNHSIIPGFEAQSSFLQQIQRLRAQKQSQDHEREEGDVNKSLKPILKKSSFAENSDKKQKKKQVGFASSLEIHEVENLKEENKRQMQSFAVPMYETQESTGIANKMTSDEFDGDLFAKMLGVQEADEVHEKYKEELINQERLEGEASRSNRRTRVSRFRKDRASKKENTLSTFKQETTRSVENEVVEKEPVVGDIIEKEPVVGDVIEKEPVVGDVIEKEPAVTDIVEREPAVNDIVERKPVVGDIIEKEPTINDIVEKEPEINSKSEFETPFKKKKLKSLQKPRSSKSMKKKFDPKILENISDDDYDDDDDGNKKLLSNKSKNNTDEQDKFPSKIQEVSRSMAKTGATVGSEPVRITNVDYHALGGNLDDMVKAYSLGLYDDDLEEDPGTIVEKLEDFKEYNKQVELLRDEIRDFQLENKPVTMEEEENDGNVMNDIIEHEFPESYTNDEDEVALHPGRLQEEVAIEYRRLKEATASKWQSSSPAAHTEGELEPIDKFGNPVKTSRFRSQRLHMDSKP
VIMSS6582562 1 151 0.0779814569536424 Putative protein of unknown function (RefSeq) 151 0 25 151 0 Saccharomyces cerevisiae VIMSS6582562 1 MicrobesOnline MIFGPTSVYSKCSAKSSGIIKDTAKLPISRVRIKVMLEITVSFLFFDRFPRSFLNHNLYDSICPFFAWQYTSYYLSIYRQSFLFHFLQKDFSNDFVSEELIYALVALGAKNSFDNSLSKHTYEYYNHSKRNLLEDSTNKNSAFSSASVTKP 1
VIMSS6582583 140 558 0.47303031026253 PF03126.18:Plus-3:104:205 RNA polymerase-associated protein RTF1 558 102 25 419 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53064 1 SwissProt DEDSRKTRASTRSTHATGHSDIKASKLSQLKKQRARKNRHYSDNEDEDDEEDYREEDYKDDEGSEYGDDEEYNPFDRRDTYDKREEVEWAEEEDEQDREPEISDFNKLRIGRSFVAKFCFYPGFEDAVKGCYGRVNVGTDKRTGKTSYRMVRIERVFLQKPYNMGKFYTNQYFGVTQGKDRKVFQMNYFSDGLFAEDEYQRYLRALDNSQMIKPSLHSLSNKTKEVMDFVNTPLTDKTTDEVVRHRMQFNKKLSGTNAVLEKTVLREKLQYAKETNNEKDIAKYSAQLRNFEKRMSVYEKHHENDQSDIKKLGELTSKNRKLNMSNIRNAEHVKKEDSNNFDSKSDPFSRLKTRTKVYYQEIQKEENAKAKEIAQQEKLQEDKDAKDKREKELLVAQFRRLGGLERMVGELDIKFDLKF 2
VIMSS6583436 55 995 0.399336450584484 PF11765.8:Hyphal_reg_CWP:258:547 Secreted protein CSS1; Condition specific secretion protein 1; Haze protective factor 1' 995 290 25 941 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40442 1 SwissProt IAETSSSATDILSSITQSASSTSGVSSSVGPSSSSVVSSSVSQSSSSVSDVSSSVSQSSSSASDVSSSVSQSASSTSDVSSSVSQSSSSASDVSSSVSQSSSSASDVSSSVSQSASSASDVSSSVSQSASSTSDVSSSVSQSSSSASDVSSSVSQSSSSASDVSSSVSQSASSTSDVSSSVSQSASSTSGVSSSGSQSVSSASGSSSSFPQSTSSASTASGSATSNSLSSITSSASSASATASNSLSSSDGTIYLPTTTISGDLTLTGKVIATEGVVVAAGAKLTLLDGDKYSFSADLKVYGDLLVKKSKETYPGTEFDISGENFDVTGNFNAEESAATSASIYSFTPSSFDNSGDISLSLSKSKKGEVTFSPYSNSGAFSFSNAILNGGSVSGLQRRDDTEGSVNNGEINLDNGSTYVIVEPVSGKGTVNIISGNLYLHYPDTFTGQTVVFKGEGVLAVDPTETNATPIPVVGYTGKNQIAITADITALSYDGTTGVLTATQGNRQFSFAIGTGFSSSDFSVSEGIFAGAYAYYLNYNGVVATSAASSSTASGASASVTGSTSFGASVTGSTASTSFGASVTGSTASTSFGASVTGSTSVYTTTLDYVNATSTVVVSCSETTDSNGNVYTITTTVPCSSTTATITSCDETGCHVSTSTGAVVTETVSSKSYTTATVTHCDDNGCNTKTVTSECSKETSATTASPKSYTTVTVTHCDDNGCNTKTVTSEAPEATTTTTVSSQSYTTATVTHCDDNGCKTKTVTSEAPEATTTTVSPKTYTTATVTQCDDNGCSTKTVTSECPEETSATTTSPKSYTTVTVTHCDDNGCNTKTVTSEAPEATTTTVSPKTYTTATVTQCDDNGCSTKTVTSEAPKETSETSETSAAPKDIHYCHWLLNGDDNGCNVKIITSKIPEATSTVTQLVLLQSHTLLSLLRVLKQPH 1
VIMSS6583967 481 1151 0.214483159463487 SCF E3 ubiquitin ligase complex F-box protein GRR1; F-box and leucine-rich repeat protein GRR1; F-box/LRR-repeat protein GRR1 1151 0 25 671 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P24814 1 SwissProt FDSLRNFIVHSPMLKRIKITANNNMNDELVELLANKCPLLVEVDITLSPNVTDSSLLKLLTRLVQLREFRITHNTNITDNLFQELSKVVDDMPSLRLIDLSGCENITDKTIESIVNLAPKLRNVFLGKCSRITDASLFQLSKLGKNLQTVHFGHCFNITDNGVRALFHSCTRIQYVDFACCTNLTNRTLYELADLPKLKRIGLVKCTQMTDEGLLNMVSLRGRNDTLERVHLSYCSNLTIYPIYELLMSCPRLSHLSLTAVPSFLRPDITMYCRPAPSDFSENQRQIFCVFSGKGVHKLRHYLVNLTSPAFGPHVDVNDVLTKYIRSKNLIFNGETLEDALRRIITDLNQDSAAIIAATGLNQINGLNNDFLFQNINFERIDEVFSWYLNTFDGIRMSSEEVNSLLLQVNKTFCEDPFSDVDDDQDYVVAPGVNREINSEMCHIVRKFHELNDHIDDFEVNVASLVRVQFQFTGFLLHEMTQTYMQMIELNRQICLVQKTVQESGNIDYQKGLLIWRLLFIDKFIMVVQKYKLSTVVLRLYLKDNITLLTRQRELLIAHQRSAWNNNNDNDANRNANNIVNIVSDAGANDTSNNETNNGNDDNETENPNFWRQFGNRMQISPDQMRNLQMGLRNQNMVRNNNNNTIDESMPDTAIDSQMDEASGTPDEDML
VIMSS6584102 1 325 0.371430769230769 PF00399.19:PIR:68:83,PF00399.19:PIR:92:109,PF00399.19:PIR:110:127,PF00399.19:PIR:128:145,PF00399.19:PIR:146:163,PF00399.19:PIR:164:181,PF00399.19:PIR:183:199 Cell wall mannoprotein PIR3; Covalently-linked cell wall protein 8; Protein with internal repeats 3 325 123 25 325 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03180 1 SwissProt MQYKKPLVVSALAATSLAAYAPKDPWSTLTPSATYKGGITDYSSSFGIAIEAVATSASSVASSKAKRAASQIGDGQVQAATTTAAVSKKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAVSQITDGQVQAAKSTAAAASQISDGQVQATTSTKAAASQITDGQIQASKTTSGASQVSDGQVQATAEVKDANDPVDVVSCNNNSTLSMSLSKGILTDRKGRIGSIVANRQFQFDGPPPQAGAIYAAGWSITPEGNLALGDQDTFYQCLSGDFYNLYDKHIGSQCHEVYLQAIDLIDC
VIMSS6584816 1 101 0.353345544554455 Citrinin resistance protein, mitochondrial; Citrinin sensitive knockout protein 1 101 0 25 101 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06139 1 SwissProt MQSISNCPIGLVSKNTINSASTIAEWVACPWKYINVVGSGRYVSNKPDKITRYDLLKAAQEAEMQELLTRNDMKGRHKRNKKSKIALETIAEENSSTESLF
VIMSS6584819 1 216 0.199038425925926 PF04061.14:ORMDL:69:203 Protein ORM2 216 135 25 135 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06144 1 SwissProt MIDRTKNESPAFEESPLTPNVSNLKPFPSQSNKISTPVTDHRRRRSSSVISHVEQETFEDENDQQMLPNMNATWVDQRGAWLIHIVVIVLLRLFYSLFGSTPKWTWTLTNMTYIIGFYIMFHLVKGTPFDFNGGAYDNLTMWEQINDETLYTPTRKFLLIVPIVLFLISNQYYRNDMTLFLSNLAVTVLIGVVPKLGITHRLRISIPGITGRAQIS
VIMSS6584941 1 513 0.257142300194932 PF10281.9:Ish1:109:145,PF10281.9:Ish1:165:201,PF10281.9:Ish1:239:276,PF10281.9:Ish1:447:482 Meiotic sister chromatid recombination protein 1 513 148 25 513 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03104 1 SwissProt MKQFKLVNAVSASFVLIGLVLANSDSVFDKWTQEDLADYLRDNKKSLEKYATDSIEDLKTEASQVWDKHAQPKPWWQVWSSDSSSVSNSNPGWFGYTGSSDHPVSDWLFDTWSTDSLRNFLKKNGVDVDDAKASKDSLVKTAKENFNKISKSLKSSGYYPSSSYFDSWSTKDLQNWLNDNGIDYDKAVQSKDELVQKVKENIYRTSEKAEQQRLGLLESLDLAHQQILDTSGQIKDTVFDKWSSDQLTNWLESHKVNIDKNMAKKHDYLVRMAKENSANLKDDIYWYLDYMKRESSPFLTKTPEYVGSVWDSSKNFLTNLYSKFRGKTDNVINDTFLVGLDSWPKDKLKMFLDARGIKYSMLSTEHQLRELVKKSRNEKLKILPKDYQKYFDNSNWSLDDIKGWFADKKDDFQDSQTYSTIMQDFDKVSKNTNDAKDQIAKTWSNTFQSWSQEDLLQYLKSFGVPVKQTSTKDDLINLAKQNTQWLFGTVKEPAYKRYLHNVKNWSKSILGFN
VIMSS6585097 1 377 0.100011405835544 PF00067.22:p450:77:372 Cytochrome P450 61; C-22 sterol desaturase; EC 1.14.19.41 538 296 25 377 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54781 1 SwissProt MSSVAENIIQHATHNSTLHQLAKDQPSVGVTTAFSILDTLKSMSYLKIFATLICILLVWDQVAYQIKKGSIAGPKFKFWPIIGPFLESLDPKFEEYKAKWASGPLSCVSIFHKFVVIASTRDLARKILQSSKFVKPCVVDVAVKILRPCNWVFLDGKAHTDYRKSLNGLFTKQALAQYLPSLEQIMDKYMDKFVRLSKENNYEPQVFFHEMREILCALSLNSFCGNYITEDQVRKIADDYYLVTAALELVNFPIIIPYTKTWYGKKTADMAMKIFENCAQMAKDHIAAGGKPVCVMDAWCKLMHDAKNSNDDDSRIYHREFTNKEISEAVFTFLFASQDASSSLACWLFQIVADRPDVLAKIREEQLAVRNNDMSTE
VIMSS6586401 1 206 0.137655339805825 PF10339.9:Vel1p:6:205 VEL1-related protein YOR387C 206 200 25 206 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08910 1 SwissProt MSFLNIFTFFSVLVSVATAVRFDLTNVTCNNLHGPHCGTYVMEVVGQNGTFLGQSTFAGADVLTESAGDAWARYLGQETRFLPKLTTIASNDTKNFSPLIFTTNIYTCNPQSIGDAMVPFANTVTGEIEYNSWADTADNASFITGLANQLFNSTQYGVQVASCYPNFASVILSTPTVNIFAANETLPDYCTAIQLKAVCPPDAGFA
VIMSS6586605 142 534 0.790348600508904 Transcription factor RLM1 676 0 25 393 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12224 1 SwissProt SNMHSNKKASDKNIPSAHMKLLSPTALISKMDGSEQNKRHPENALPPLQHLKRLKPDPLQISRTPQQQQQQNISRPYHSSMYNLNQPSSSSSSPSTMDFPKLPSFQNSSFNGRPPPISISPNKFSKPFTNASSRTPKQEHKINNSGSNNNDNSNYTQSPSNSLEDSIQQTVKARRKLSARPVLRVRIPNNNFSSNSAIPSEPSSASSTSANGNSMGSSQIMKENKTSRSSKISPLSASASGPLTLQKGNNGRMVIKLPNANAPNGSNNGNGSNNNNHPYPFGSGSSPLFSATQPYIATPLQPSNIPGGPFQQNTSFLAQRQTQQYQQMSFKKQSQTVPLTTTLTGRPPSTFSGPETSNGPPTGSLPSKFVHDLMSNSPNVSSISMFPDWSMGP
VIMSS814 1 163 0.152895705521472 hypothetical protein 163 0 25 163 0 Chlamydia trachomatis D/UW-3/CX NP_220315.1 1 RefSeq MRFLLALFSLILVLPATEAFSTEDKQCQQEAEEDCSQVADTCVFYSYAEGLEHARDEGKLTLVVLLDTSGYSFETLADAAHAMESSLLSTFADFVVLSRREAVPLIYPPVPDPMVGEIALFLEAFSDQTFPSQPVIVTLAIGASSAEIMDITEIPSINPEFVE
VIMSS871 1 405 0.180477037037037 hypothetical protein (NCBI ptt file) 405 0 25 318 4 Chlamydia trachomatis D/UW-3/CX VIMSS871 1 MicrobesOnline MGFGTVRGKGKAVKSFFLRPLQNLEVGLFSLPIVLLLGEIGCVSSISSVSLVAVLSIVGVFVALVSFFRSWGYGLSVVGAIFFGLALCNNFPVSVFWGGLLTVSFIISYGILLLSVSLVEGHIKEKAVSLSELTASHNSLQDSYNREVQERKEKELLAQSKITALEQELSVSHEQLQEVSRKYTHASEDLQILIDQRDSWLKDYMTLHQEYVRVVAGDEENVIFPWKVFQGNSEKDSGYQQRVQDAEHKIAHLEKLCEEENSGKRYAEECLDKALADLLESTRLREILEKEIFQKDEEIASLKQEIAAEKLLSSSVSDDRAAYKGKYLQLREQFTVKDSFLKKARRERFLAQEQLLVLKRAKEEEASNLSTTDSFSIIQNLLLQIEALEEEVTYLEELVLHNQNR
VIMSS917893 1 246 0.00845975609756098 PF02517.16:CPBP:127:218 hypothetical protein (NCBI) 246 92 25 99 7 Staphylococcus haemolyticus JCSC1435 VIMSS917893 0 MicrobesOnline MKRIWVAFLTIVIYALAQFLPLLAQQLPFFKDLKGMELAKAGVYTQVILFVIAALLIIWLNSIIKNPTALERGYKEPKRYILPWALLGFVVVMIYQMVASLITMWLVGQPQQSPNTERIMAIAKQLPVFILLISIVGPILEEYIFRKVIFGEIYNKIKGNRIVAFLIASIVSSILFAIAHNDIKFFFIYFGMGMLFSLAYVLTRRIAVPIIIHMLQNGFVVTVQFFFGDTVKHLQEQSNFIIHFLF
VIMSS93281 1 441 0.363804308390023 hypothetical protein (NCBI ptt file) 441 0 25 441 0 Escherichia coli O157:H7 str. Sakai VIMSS93281 1 MicrobesOnline MNIQPTIQSGITSQNNQHHQTEQIPSTQIPQSELPLGCQAGFVVNIPDDIQQHAPECGETTALLSLIKDKGLLSGLDEYIAPHLEEGSIGKKTLDMFGLFNVTQMALEIPSSVSGISGKYGVQLNIVKPDIHPTSGNYFLQIFPLHDEIGFNFKDLPGPLKNALSNSNISTTAVSTIASTGTSATTSTVTTEPKDPIPWFGLTAQVVRNHGVELPIVKTENGWKLVGETPLTPDGPKANYTEEWVIRPGEADFKYGASPLQATLGLEFGAHFKWDLDNPNTKYAVLTNAAANALGALGGFAVSRFASTDPMLSPHIGAMVGQAAGHAIQYNTPGLKPDTILWWAGATLGAADLNKAEFEVARFTDYPRIWWHAREGAIFPNKADIEHATGADIRAMEEGIPVGQRHPNPEDVVIDIESNGLPHHNPSNHVDIFDIIQETRV
WP_003899906.1 222 495 0.149363503649635 Putative FAD-containing monooxygenase MymA; EC 1.14.13.- 495 0 25 274 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNF7 1 SwissProt AVDKISEVLGRFLPDRWVYEFGRRRNIAIQRKLYQACRRWPKLMRRLLLWEVRRRLGRSVDMSNFTPNYLPWDERLCAVPNGDLFKTLASGAASVVTDQIETFTEKGILCKSGREIEADIIVTATGLNIQMLGGMRLIVDGAEYQLPEKMTYKGVLLENAPNLAWIIGYTNASWTLKSDIAGAYLCRLLRHMADNGYTVATPRDAQDCALDVGMFDQLNSGYVKRGQDIMPRQGSKHPWRVLMHYEKDAKILLEDPIDDGVLHFAAAAQDHAAA
XP_001154789.1 128 392 0.128096981132076 PF03798.16:TRAM_LAG1_CLN8:4:197 ceramide synthase 6 isoform X1 392 194 25 181 4 Pan troglodytes XP_001154789.1 1 RefSeq TLTRFCESMWRFSFYLYVFTYGVRFLKKTPWLWNTRHCWYNYPYQPLTTDLHYYYILELSFYWSLMFSQFTDIKRKDFGIMFLHHLVSIFLITFSYVNNMARVGTLVLCLHDSADALLEAAKMANYAKFQKMCDLLFVMFAVVFITTRLGIFPLWVLNTTLFESWEIVGPYPSWWVFNLLLLLVQGLNCFWSYLIVKIACKAVSRGKAGKWNPLHVSKDDRSDIESSSDEEDSEPPGKNPHTATTTNGTSGTNGYLLTGSCSMDD 1
XP_005207799.1 1 278 0.766638848920863 PF00865.18:Osteopontin:23:196,PF00865.18:Osteopontin:197:278 Osteopontin 278 256 25 278 0 Bos taurus P31096 1 SwissProt/TReMBL MRIAVICFCLLGIASALPVKPTSSGSSEEKQLNNKYPDAVATWLKPDPSQKQTFLAPQNSVSSEETDDNKQNTLPSKSNESPEQTDDLDDDDDNSQDVNSNDSDDAETTDDPDHSDESHHSDESDEVDFPTDIPTIAVFTPFIPTESANDGRGDSVAYGLKSRSKKFRRSNVQSPDATEEDFTSHIESEEMHDAPKKTSQLTDHSKETNSSELSKELTPKAKDKNKHSNLIESQENSKLSQEFHSLEDKLDLDHKSEEDKHLKIRISHELDSASSEVN
XP_005268084.1 1 1801 0.259477234869517 PF05731.11:TROVE:226:676,PF05386.11:TEP1_N:1:29,PF05386.11:TEP1_N:31:59,PF05386.11:TEP1_N:61:89,PF05386.11:TEP1_N:91:119,PF05729.12:NACHT:1162:1335,PF13271.6:DUF4062:900:1009 Telomerase protein component 1; Telomerase-associated protein 1; Telomerase protein 1; p240; p80 telomerase homolog 2627 851 25 1801 0 Homo sapiens (Human) SwissProt::Q99973 1 SwissProt MEKLHGHVSAHPDILSLENRCLAMLPDLQPLEKLHQHVSTHSDILSLKNQCLATLPDLKTMEKPHGYVSAHPDILSLENQCLATLSDLKTMEKPHGHVSAHPDILSLENRCLATLSSLKSTVSASPLFQSLQISHMTQADLYRVNNSNCLLSEPPSWRAQHFSKGLDLSTCPIALKSISATETAQEATLGRWFDSEEKKGAETQMPSYSLSLGEEEEVEDLAVKLTSGDSESHPEPTDHVLQEKKMALLSLLCSTLVSEVNMNNTSDPTLAAIFEICRELALLEPEFILKASLYARQQLNVRNVANNILAIAAFLPACRPHLRRYFCAIVQLPSDWIQVAELYQSLAEGDKNKLVPLPACLRTAMTDKFAQFDEYQLAKYNPRKHRAKRHPRRPPRSPGMEPPFSHRCFPRYIGFLREEQRKFEKAGDTVSEKKNPPRFTLKKLVQRLHIHKPAQHVQALLGYRYPSNLQLFSRSRLPGPWDSSRAGKRMKLSRPETWERELSLRGNKASVWEELIENGKLPFMAMLRNLCNLLRVGISSRHHELILQRLQHAKSVIHSRQFPFRFLNAHDAIDALEAQLRNQALPFPSNITLMRRILTRNEKNRPRRRFLCHLSRQQLRMAMRIPVLYEQLKREKLRVHKARQWKYDGEMLNRYRQALETAVNLSVKHSLPLLPGRTVLVYLTDANADRLCPKSNPQGPPLNYALLLIGMMITRAEQVDVVLCGGDTLKTAVLKAEEGILKTAIKLQAQVQEFDENDGWSLNTFGKYLLSLAGQRVPVDRVILLGQSMDDGMINVAKQLYWQRVNSKCLFVGILLRRVQYLSTDLNPNDVTLSGCTDAILKFIAEHGASHLLEHVGQMDKIFKIPPPPGKTGVQSLRPLEEDTPSPLAPVSQQGWRSIRLFISSTFRDMHGERDLLLRSVLPALQARAAPHRISLHGIDLRWGVTEEETRRNRQLEVCLGEVENAQLFVGILGSRYGYIPPSYNLPDHPHFHWAQQYPSGRSVTEMEVMQFLNRNQRLQPSAQALIYFRDSSFLSSVPDAWKSDFVSESEEAARRISELKSYLSRQKGITCRRYPCEWGGVAAGRPYVGGLEEFGQLVLQDVWNMIQKLYLQPGALLEQPVSIPDDDLVQATFQQLQKPPSPARPRLLQDTVQRLMLPHGRLSLVTGQSGQGKTAFLASLVSALQAPDGAKVASLVFFHFSGARPDQGLALTLLRRLCTYLRGQLKEPGALPSTYRSLVWELQQRLLPKSAESLHPGQTQVLIIDGADRLVDQNGQLISDWIPKKLPRCVHLVLSVSSDAGLGETLEQSQGAHVLALGPLEASARARLVREELALYGKRLEESPFNNQMRLLLVKRESGRPLYLRLVTDHLRLFTLYEQVSERLRTLPATVPLLLQHILSTLEKEHGPDVLPQALTALEVTRSGLTVDQLHGVLSVWRTLPKGTKSWEEAVAAGNSGDPYPMGPFACLVQSLRSLLGEGPLERPGARLCLPDGPLRTAAKRCYGKRPGLEDTAHILIAAQLWKTCDADASGTFRSCPPEALGDLPYHLLQSGNRGLLSKFLTNLHVVAAHLELGLVSRLLEAHALYASSVPKEEQKLPEADVAVFRTFLRQQASILSQYPRLLPQQAANQPLDSPLCHQASLLSRRWHLQHTLRWLNKPRTMKNQQSSSLSLAVSSSPTAVAFSTNGQRAAVGTANGTVYLLDLRTWQEEKSVVSGCDGISACLFLSDDTLFLTAFDGLLELWDLQHGCRVLQTKAHQYQITGCCLSPDCRLLATVCLGGCLKLWDTVRGQLAFQHTYPK
XP_005273737.1 159 318 0.7304025 docking protein 2 isoform X1 318 0 25 160 0 Homo sapiens XP_005273737.1 1 RefSeq PQPQPATIPASLPRPDSPYSRPHDSLPPPSPTTPVPAPRPRGQEGEYAVPFDAVARSLGKNFRGILAVPPQLLADPLYDSIEETLPPRPDHIYDEPEGVAALSLYDSPQEPRGEAWRRQATADRDPAGLQHVQPAGQDFSASGWQPGTEYDNVVLKKGPK
XP_006241498.1 1 489 0.110933537832311 PF07690.16:MFS_1:34:394 monocarboxylate transporter 2 isoform X1 489 361 25 247 11 Rattus norvegicus XP_006241498.1 1 RefSeq MPSESSVKATAAPPPFPLPPDGGWGWVVVCASFISIGFSYAFPKAVTVFFNDIKDIFKTTSSQIAWISSIMLAVMYAGGPISSVLVNNYGSRPVVIVGGLLCCTGMILASFSSSVIELYLTVGFIGGLGLAFNLQPALTIIGKYFYRKRPLANGFAMAGSPVFLSTLAPFNQFLFNSYGWKGSFLILGAIFLHSCVAGCLMRPVGPSPRAAKSKSKVGSRQDSSTKRLSKVSTAEKINRFLDFGLFTHRGFLIYLSGNVVLFLGMFAPIIFLAPYAKDKGVDDYNSAFLLSVMAFTDMFARPSVGLIANTSLIRPRIQYLFSVAIMFTGICHLLCPLAHSYTALVVYVIFFGIGFGSISSLLFECLMDQVGASRFSSAVGLVTIVECCPVLFGPPLAGKLLDITGQYKYLYIASGIVVLSSGIYLLICNAINYRLLEKERKREKARRKKSASQASKEMEALSRSKQDDVTVKVSNTHNPPSDRDKESSI
XP_006242345.1 1 456 0.0129995614035088 PF01490.18:Aa_trans:26:441 sodium-coupled neutral amino acid transporter 2 isoform X2 456 416 25 255 9 Rattus norvegicus XP_006242345.1 1 RefSeq MWTWTPKTRTFYLNRIWGRRSTKQTLILLTFVSIFSLYSVHLLLKTANEGGSLLYEQLGHKAYGLAGKLAASGSITMQNIGAMSSYLFIVKYELPLVIKALMNIEDTNGLWYLNGDYLVLLVSFVLILPLSLLRNLGYLGYTSGLSLLCMIFFLIVVGVVVFVCRKCILKALSGHPALLTSAIFCCQVICKKFQIPCPVEVALMANETVNGTFTQVALAALASNSTAADTCRPRYFIFNSQTVYAVPILTFSFVCHPAVLPIYEELKSRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYEHVESELLHTYSAIVGTDILLLVVRLAVLVAVTLTVPVVIFPIRSSVTHLLCPTKEFSWFRHSVITVTILAFTNLLVIFVPTIRDIFGFIGASAAAMLIFILPSAFYIKLVKKEPMRSVQKIGALCFLLSGVVVMIGSMGLIVLDWVHDASAGGH
XP_006504500.1 1 352 0.690332102272727 Neurosecretory protein VGF 617 0 25 352 0 Mus musculus (Mouse) SwissProt::Q0VGU4 1 SwissProt MKTFTLPASVLFCFLLLIQGLGAAPPGRPDVFPPPLSSEHNGQVAEDAVSRPKDDGVPEVRAARNPEPQDQGELFQGVDPRALASVLLQALDRPASPPSVPGGSQQGTPEEAAEALLTESVRSQTHSLPAPEIQAPAVAPPRPQTQDRDPEEDDRSEELEALASLLQELRDFSPSNAKRQQETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPPVPSQFQARMSESAPLPETHQFGEGVSSPKTHLGETLTPLSKAYQSLGGPFPKVRRLEGSFLGGSEAGERLLQQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRDLGGR
XP_006531771.1 1 310 0.669693225806451 cation channel sperm-associated protein 1 isoform X1 607 0 25 287 1 Mus musculus XP_006531771.1 1 RefSeq MGCSNNHTNSMDRAGVSTLPNNISHAYGGSHPLAESQHSGGPQSGPRIDPNHHPHQDDPHRPSEPLSHPSSTGSHQGTTHQQYHERSHHLNPQQNRDHADTISYRSSTRFYRSHAPFSRQERPHLHADHHHEGHHAHSHHGEHPHHKEQRHYHGDHMHHHIHHRSPSASQLSHKSHSTLATSPSHVGSKSTASGARYTFGARSQIFGKAQSRESLRESASLSEGEDHVQKRKKAQRAHKKAHTGNIFQLLWEKISHLLLGLQQMILSLTQSLGFETFIFIVVCLNTVILVAQTFTELEIRGEWYFMVLDS
XP_006714527.1 1 461 0.880968763557484 FYN-binding protein 1 isoform X2 829 0 25 461 0 Homo sapiens XP_006714527.1 1 RefSeq MAKYNTGGNPTEDVSVNSRPFRVTGPNSSSGIQARKNLFNNQGNASPPAGPSNVPKFGSPKPPVAVKPSSEEKPDKEPKPPFLKPTGAGQRFGTPASLTTRDPEAKVGFLKPVGPKPINLPKEDSKPTFPWPPGNKPSLHSVNQDHDLKPLGPKSGPTPPTSENEQKQAFPKLTGVKGKFMSASQDLEPKPLFPKPAFGQKPPLSTENSHEDESPMKNVSSSKGSPAPLGVRSKSGPLKPAREDSENKDHAGEISSLPFPGVVLKPAASRGGPGLSKNGEEKKEDRKIDAAKNTFQSKINQEELASGTPPARFPKAPSKLTVGGPWGQSQEKEKGDKNSATPKQKPLPPLFTLGPPPPKPNRPPNVDLTKFHKTSSGNSTSKGQTSYSTTSLPPPPPSHPASQPPLPASHPSQPPVPSLPPRNIKPPFDLKSPVNEDNQDGVTHSDGAGNLDEEQDSEGET
XP_011239881.1 1 519 0.622435452793835 transcription factor SOX-5 isoform X6 751 0 25 519 0 Mus musculus XP_011239881.1 1 RefSeq MSSKRPASPYGETDGEVAMVTSRQKVEEEESERLPAFHLPLHVSFPNKPHSEEFQPVSLLTQETCGPRTPTVQHNTMEVDGNKVMSSLAPYNSSTSPQKAEEGGRQSGESVSSAALGTPERRKGSLADVVDTLKQRKMEELIKNEPEDTPSIEKLLSKDWKDKLLAMGSGNFGEIKGTPESLAEKERQLMGMINQLTSLREQLLAAHDEQKKLAASQIEKQRQQMELAKQQQEQIARQQQQLLQQQHKINLLQQQIQQVQGQLPPLMIPVFPPDQRTLAAAAQQGFLLPPGFSYKAGCSDPYPVQLIPTTMAAAAAATPGLGPLQLQQFYAAQLAAMQVSPGGKLLGLPQGNLGAAVSPTSIHTDKSTNSPPPKSKDEVAQPLNLSAKPKTSDGKSPASPTSPHMPALRINSGAGPLKASVPAALASPSARVSTIGYLNDHDAVTKAIQEARQMKEQLRREQQALDGKVAVVNSIGLSNCRTEKEKTTLESLTQQLAVKQNEEGKFSHGMMDFNMSGDS
XP_011247047.1 250 623 0.707321390374331 PF04849.13:HAP1_N:9:153 huntingtin-associated protein 1 isoform X3 623 145 25 374 0 Mus musculus XP_011247047.1 1 RefSeq GAPKPHPKAETAHRCPQLETLQQKLRLLEEENDHLREEASHLDNLEDEEQMLILECVEQFSEASQQMAELSEVLVLRLEGYERQQKEITQLQAEITKLQQRCQSYGAQTEKLQQMLASEKGIHSEQSLRAGSYMQDYGSRPRDRQEDGKSHRQRSSMPAGSVTHYGYSVPLDALPSFPETLAEELRTSLRKFITDPAYFMEREGRKKEQRAMPPPPAQDLKPPEDFEAPEELVPEEELGAIEEVGTAEDGQAEENEQASEETEAWEEVEPEVDETTRMNVVVSALEASGLGPSHLDMKYVLQQLSNWQDAHSKRQQKQKVVPKDSPTPQQQTNMGGGILEQQPRVPTQDSQRLEEDRATHSPSAREEEGPSGAT
XP_016860902.1 1 120 0.101065833333333 acylphosphatase-2 isoform X8 167 0 25 120 0 Homo sapiens XP_016860902.1 1 RefSeq MLLTQSLFGGLFPRTRETMRRSKGDPASCSHFRTQADGYLENTQQKCVYKVSLFYLGCSRTPNVKLSSCLGLPCCWDYSYKFIQLSEFGFGQVIIVSEEPWKENISCSSTTFIKSTICLS
XP_016866118.1 121 291 0.448841520467837 hairy/enhancer-of-split related with YRPW motif protein 2 isoform X1 291 0 25 171 0 Homo sapiens XP_016866118.1 1 RefSeq AAMTSSMAHHHHPLHPHHWAAAFHHLPAALLQPNGLHASESTPCRLSTTSEVPPAHGSALLTATFAHADSALRMPSTGSVAPCVPPLSTSLLSLSATVHAAAAAATAAAHSFPLSFAGAFPMLPPNAAAAVAAATAISPPLSVSATSSPQQTSSGTNNKPYRPWGTEVGAF
XP_016866334.1 1 67 0.430467164179104 PF15510.6:CENP-W:1:42 centromere protein W isoform X1 67 42 25 67 0 Homo sapiens XP_016866334.1 1 RefSeq MALSTIVSQRKQIKRKAPRGFLKRVFKRKKPQLRLEKSGDLLKSPGQTLVRVNVESLTRSMYWPQQR
XP_016868740.1 374 749 0.194913563829787 PF00651.31:BTB:34:119,PF00651.31:BTB:140:243 rho-related BTB domain-containing protein 2 isoform X1 749 190 25 376 0 Homo sapiens XP_016868740.1 1 RefSeq DEAGGSGPAGLRASTSDGILRGNGTGYLPGRGRVLSSWSRAFVSIQEEMAEDPLTYKSRLMVVVKMDSSIQPGPFRAVLKYLYTGELDENERDLMHIAHIAELLEVFDLRMMVANILNNEAFMNQEITKAFHVRRTNRVKECLAKGTFSDVTFILDDGTISAHKPLLISSCDWMAAMFGGPFVESSTREVVFPYTSKSCMRAVLEYLYTGMFTSSPDLDDMKLIILANRLCLPHLVALTEQYTVTGLMEATQMMVDIDGDVLVFLELAQFHCAYQLADWCLHHICTNYNNVCRKFPRDMKAMSPENQEYFEKHRWPPVWYLKEEDHYQRARKEREKEDYLHLKRQPKRRWLFWNSPSSPSSSAASSSSPSSSSAVV
XP_016874390.1 365 853 0.238395296523518 PF00609.19:DAGK_acc:275:455,PF00781.24:DAGK_cat:130:239,PF00130.22:C1_1:24:75 diacylglycerol kinase alpha isoform X2 853 343 25 489 0 Homo sapiens XP_016874390.1 1 RefSeq AMKALPCEVSTYAKSRKDIGVQSHVWVRGGCESGRCDRCQKKIRIYHSLTGLHCVWCHLEIHDDCLQAVGHECDCGLLRDHILPPSSIYPSVLASGPDRKNSKTSQKTMDDLNLSTSEALRIDPVPNTHPLLVFVNPKSGGKQGQRVLWKFQYILNPRQVFNLLKDGPEIGLRLFKDVPDSRILVCGGDGTVGWILETIDKANLPVLPPVAVLPLGTGNDLARCLRWGGGYEGQNLAKILKDLEMSKVVHMDRWSVEVIPQQTEEKSDPVPFQIINNYFSIGVDASIAHRFHIMREKYPEKFNSRMKNKLWYFEFATSESIFSTCKKLEESLTVEICGKPLDLSNLSLEGIAVLNIPSMHGGSNLWGDTRRPHGDIYGINQALGATAKVITDPDILKTCVPDLSDKRLEVVGLEGAIEMGQIYTKLKNAGRRLAKCSEITFHTTKTLPMQIDGEPWMQTPCTIKITHKNQMPMLMGPPPRSTNFFGFLS
XP_016883312.1 1 113 0.606278761061947 PF00446.17:GnRH:24:33 progonadoliberin-2 isoform X1 113 10 25 113 0 Homo sapiens XP_016883312.1 1 RefSeq MASSRRGLLLLLLLTAHLGPSEAQHWSHGWYPGGKRALSSAQDPQNALRPPAGSPVQTAHGLPSDALAPLDDSMPWEGRTTAQWSLHRKRHLARTLLTAAREPRPAPPSSNKV
XP_017172582.1 143 566 0.631736556603773 PF00651.31:BTB:4:54 Zinc finger and BTB domain-containing protein 20; BTB/POZ domain zinc finger factor HOF; Zinc finger protein 288 741 51 25 424 0 Mus musculus (Mouse) SwissProt::Q8K0L9 1 SwissProt PSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASILQIKTVIDECTRIVSQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSVDRIYSALYACSMQNGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMERYLSTTPETTHCRKQPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDTDQAEGTESEPKGESFDSGVSSSIGTEPDSVEQQFGAAAPRDGQAEPAQPEQAAEAPAESSAQPNQLEPGASSPERSNESEMDNTVITVSNSSDKGVLQQPSVNTSIGQPLPSTQLYLRQTETLTSNLRMPLTLTSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLTGQQTQFVTVSQPGLSTFTAQLPAPQPLASSAGH
YP_177758.1 144 338 0.222464102564103 PF03405.14:FA_desaturase_2:11:173 Putative acyl-[acyl-carrier-protein] desaturase DesA1; Putative acyl-ACP desaturase DesA1; EC 1.14.19.- 338 163 25 195 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNZ7 1 SwissProt GQNHQGHYFAESLTDSVLYVSFQELATRISHRNTGKACNDPVADQLMAKISADENLHMIFYRDVSEAAFDLVPNQAMKSLHLILSHFQMPGFQVPEFRRKAVVIAVGGVYDPRIHLDEVVMPVLKKWRIFEREDFTGEGAKLRDELALVIKDLELACDKFEVSKQRQLDREARTGKKVSAHELHKTAGKLAMSRR
NP_001003828.1 1 267 0.325255430711611 PF00307.31:CH:122:227 beta-parvin isoform a 397 106 24 267 0 Homo sapiens NP_001003828.1 1 RefSeq MHHVFKDHQRGEKRGFLSPENKNCRRLELRRGCSCSWGLCSQALMASLAGSLLPGSDRSGVETSEYAQGGVSDLQEEGKNAINSPMSPALVDVHPEDTQLEENEERTMIDPTSKEDPKFKELVKVLLDWINDVLVEERIIVKQLEEDLYDGQVLQKLLEKLAGCKLNVAEVTQSEIGQKQKLQTVLEAVHDLLRPRGWALRWSVDSIHGKNLVAILHLLVSLAMHFRAPIRLPEHVTVQVVVVRKREGLLHSSHISEELTTTTEMMM
NP_001021081.1 1 192 0.187689583333333 PF00110.19:wnt:67:181 Abnormal cell lineage protein 44; Wnt protein 348 115 24 192 0 Caenorhabditis elegans SwissProt::Q27886 1 SwissProt MRAAPFDFFFQSTALSTFFILCSLATNEIPTISGAPAGKIVQPPKPNILKQGCPSDLLHSRALRSIQLACRTHPATVISAFEGVQEGLQNCANRLRFQQWDCSEAGNIMHDPPLLRQGFRESSLIWALSSASAAWGVATACAQGWIDDCACNNQMGQNEYEFGGCTHGVQHGITASRKLLTKVGAVNTLLRK
NP_001035028.1 363 1514 0.638955208333334 PF13922.6:PHD_3:1107:1150 Polycomb group protein ASXL1; Additional sex combs-like protein 1 1514 44 24 1152 0 Mus musculus (Mouse) SwissProt::P59598 1 SwissProt LGLTKEESLQQKEVQEEAKVKSGLCVSGESVRPQRGPNTRQRDGHFKKRSRPDLRTRSRRNIYKKQEPEQAGVAKDASAAPDVSLSKDTKTDLAGVNSTPGPDVSSATSGQEGPKCPSEPVASQIQAERDNLACASASPDRIPTLPQDTVDQETKDQKRKSFEQEASASFPEKKPRLEDRQSFRNTIESVHTEKPQPTKEEPKVPPIRIQLSRIKPPWVAKGRPTYQICPRIVPITESSCRGWTGARTLADIKARALQARGARGYHCNRETATTAIGGGGGPGGGGSGAIDEGGGRDSSSGDGSEACGHPEPRGAPSTSGESASDLQRTQLLPPCPLNGEHTPAEAAMPRARREDSASLRKEESCLLKRVPGVLTSGLEDASQPPIAPTGDQPCQALPPLSSQTPVAEMLTEQPKLLLDDRTECESSREDQGPTIPSESSSGRFPLGDLLGGGSDQAFDNMKEPVSMTPTFISELSLANYLQDRPDDDGLGLGATGLLIRESSRQEALTEAFASGSPTSWVPILSNYEVIKTSDPESRENIPCPEPQDEKEWERAVPLIAATESVPQPESCISHWTPPPAAVGSTGSDSEQVDLERLEMNGISEAPSPHSESTDTASDSEGHLSEDSSEVDASEVTVVKGSLGGDEKQDWDPSASLSKVNNDLSVLTRTGGVAASQSWVSRVCSVPHKIPDSLLLSSTECQPRSVCPLRPGSSVEVTNPLVMHLLHGNLPLEKVLPPGHRSSRLESSQLPLREQSQDRGTLQGTGENNRLAARINPGSAQTLKESILAQSYGASAGLVRAMASKAPAMSQKIAKMVTSLDSQHPETELTPSSGNLEEIDSKEHLSSFLCEEQKEGHSLSQGSDPGAAPGQCLGDHTTSKVPCFSSTNVSLSFGSEQTDGTLSDQNNAGGHEKKLFGPGNTVTTLQCPRSEEQTPLPAEVPPVFPSRKIEPSKNSVSGGVQTTRENRMPKPPPVSADSIKTEQTFLRDPIKADAENRKAAGYSSLELVGHLQGMPFVVDLPFWKLPREPGKGFSQPLEPSSIPSQLNIKQALYGKLSKLQLSPTSFNYSSSSATFPKGLAGGVVQLSHKASFGTGHTASLSLQMFADSSAVESISLQCACSLKAMIMCQGCGAFCHDDCIGPSKLCVLCLVVR
NP_001128626.1 1 481 0.135774844074844 PF02535.22:Zip:152:448 zinc transporter ZIP14 isoform c precursor 481 297 24 376 5 Homo sapiens NP_001128626.1 1 RefSeq MKLLLLHPAFQSCLLLTLLGLWRTTPEAHASSLGAPAISAASFLQDLIHRYGEGDSLTLQQLKALLNHLDVGVGRGNVTQHVQGHRNLSTCFSSGDLFTAHNFSEQSRIGSSELQEFCPTILQQLDSRACTSENQENEENEQTEEGRPSAVEVWGYGLLCVTVISLCSLLGASVVPFMKKTFYKRLLLYFIALAIGTLYSNALFQLIPEAFGFNPLEDYYVSKSAVVFGGFYLFFFTEKILKILLKQKNEHHHGHSHYASESLPSKKDQEEGVMEKLQNGDLDHMIPQHCSSELDGKAPMVDEKVIVGSLSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSVFQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHFSANWIFALAGGMFLYISLADMMEFCSVAQAGVQWCHLSSLQPLPLGLKRLSCLSLPSN
NP_001158202.1 1 208 0.285150480769231 PF03134.19:TB2_DP1_HVA22:26:101 receptor expression-enhancing protein 1 isoform 1 208 76 24 185 1 Homo sapiens NP_001158202.1 1 RefSeq MQKVLSNGQTEEVRSGSRLIFGTLYPAYYSYKAVKSKDIKEYVKWMMYWIIFALFTTAETFTDIFLCWFPFYYELKIAFVAWLLSPYTKGSSLLYRKFVHPTLSSKEKEIDDCLVQAKDRSYDALVHFGKRGLNVAATAAVMAASKGQGALSERLRSFSMQDLTTIRGDGAPAPSGPPPPGSGRASGKHGQPKMSRSASESASSSGTA
NP_001286572.1 1 273 0.514778021978022 PF00531.22:Death:180:260 Protein immune deficiency 273 81 24 273 0 Drosophila melanogaster (Fruit fly) SwissProt::Q7K4Z4 1 SwissProt MSKLRNLLPTIFGGKEAQNPTPVEGRLEKDAAPVDDNEPDNNNSGALALPSTAGTPTASSDLTESVLRELSDPNYNSMDVVHSANIPGTLSNVQTNNTMNVHSAQQQVVMNFSNANNLHFGSVYNFNQNLSACSSRKGSTSTAEESVASPDGKPRASATRKTVSIVAMMQSQEEPDVRLLDVVSTHLGEGWKQVMRDLGMSEGQIDQAIIDHQMHGNIREVIYQLLLQWIRSSADGVATVGRLTTLLWESQHRDCVQRMKLVWKALEKRKTNS
NP_001292514.1 1 102 0.260179411764706 PF04711.13:ApoA-II:24:98 Apolipoprotein A-II; Apo-AII; ApoA-II; Apolipoprotein A2 102 75 24 102 0 Mus musculus (Mouse) SwissProt::P09813 1 SwissProt MKLLAMVALLVTICSLEGALVKRQADGPDMQSLFTQYFQSMTDYGKDLMEKAKTSEIQSQAKAYFEKTHEQLTPLVRSAGTSLVNFFSSLMNLEEKPAPAAK
NP_001308073.1 53 164 0.106882142857143 cell death activator CIDE-3 isoform 4 164 0 24 112 0 Homo sapiens NP_001308073.1 1 RefSeq PLSLSHKPAKKIDVARVTFDLYKLNPQDFIGCLNVKATFYDTYSLSYDLHCCGAKRIMKEAFRWALFSMQATGHVLLGTSCYLQQLLDATEEGQPPKGKASSLIPTCLKILQ
NP_001316.1 112 529 0.798130622009569 PF14327.6:CSTF2_hinge:2:80 Cleavage stimulation factor subunit 2; CF-1 64 kDa subunit; Cleavage stimulation factor 64 kDa subunit; CSTF 64 kDa subunit; CstF-64 577 79 24 418 0 Homo sapiens (Human) SwissProt::P33240 1 SwissProt ESPYGETISPEDAPESISKAVASLPPEQMFELMKQMKLCVQNSPQEARNMLLQNPQLAYALLQAQVVMRIVDPEIALKILHRQTNIPTLIAGNPQPVHGAGPGSGSNVSMNQQNPQAPQAQSLGGMHVNGAPPLMQASMQGGVPAPGQMPAAVTGPGPGSLAPGGGMQAQVGMPGSGPVSMERGQVPMQDPRAAMQRGSLPANVPTPRGLLGDAPNDPRGGTLLSVTGEVEPRGYLGPPHQGPPMHHVPGHESRGPPPHELRGGPLPEPRPLMAEPRGPMLDQRGPPLDGRGGRDPRGIDARGMEARAMEARGLDARGLEARAMEARAMEARAMEARAMEARAMEVRGMEARGMDTRGPVPGPRGPIPSGMQGPSPINMGAVVPQGSRQVPVMQGTGMQGASIQGGSQPGGFSPGQNQ
NP_001320037.1 73 219 0.52497074829932 PF01486.17:K-box:11:97 Agamous-like MADS-box protein AGL19 219 87 24 147 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82743 1 SwissProt IKEIGNNHKRNDNSQQARDETSGLTKKIEQLEISKRKLLGEGIDACSIEELQQLENQLDRSLSRIRAKKYQLLREEIEKLKAEERNLVKENKDLKEKWLGMGTATIASSQSTLSSSEVNIDDNMEVETGLFIGPPETRQSKKFPPQN
NP_001875.1 1 354 0.118775141242938 PF00193.17:Xlink:160:253,PF00193.17:Xlink:268:350,PF07686.17:V-set:50:143 Hyaluronan and proteoglycan link protein 1; Cartilage-linking protein 1; Cartilage-link protein; Proteoglycan link protein 354 271 24 354 0 Homo sapiens (Human) SwissProt::P10915 1 SwissProt MKSLLLLVLISICWADHLSDNYTLDHDRAIHIQAENGPHLLVEAEQAKVFSHRGGNVTLPCKFYRDPTAFGSGIHKIRIKWTKLTSDYLKEVDVFVSMGYHKKTYGGYQGRVFLKGGSDSDASLVITDLTLEDYGRYKCEVIEGLEDDTVVVALDLQGVVFPYFPRLGRYNLNFHEAQQACLDQDAVIASFDQLYDAWRGGLDWCNAGWLSDGSVQYPITKPREPCGGQNTVPGVRNYGFWDKDKSRYDVFCFTSNFNGRFYYLIHPTKLTYDEAVQACLNDGAQIAKVGQIFAAWKILGYDRCDAGWLADGSVRYPISRPRRRCSPTEAAVRFVGFPDKKHKLYGVYCFRAYN
NP_003954.2 1 197 0.0368187817258883 PF05805.12:L6_membrane:1:190 Transmembrane 4 L6 family member 5; Tetraspan transmembrane protein L6H 197 190 24 105 4 Homo sapiens (Human) SwissProt::O14894 0 SwissProt MCTGKCARCVGLSLITLCLVCIVANALLLVPNGETSWTNTNHLSLQVWLMGGFIGGGLMVLCPGIAAVRAGGKGCCGAGCCGNRCRMLRSVFSSAFGVLGAIYCLSVSGAGLRNGPRCLMNGEWGYHFEDTAGAYLLNRTLWDRCEAPPRVVPWNVTLFSLLVAASCLEIVLCGIQLVNATIGVFCGDCRKKQDTPH
NP_004173.1 1 157 0.163473248407643 PF02996.17:Prefoldin:29:146 Protein UXT; Androgen receptor trapped clone 27 protein; ART-27; Ubiquitously expressed transcript protein 157 118 24 157 0 Homo sapiens (Human) SwissProt::Q9UBK9 1 SwissProt MATPPKRRAVEATGEKVLRYETFISDVLQRDLRKVLDHRDKVYEQLAKYLQLRNVIERLQEAKHSELYMQVDLGCNFFVDTVVPDTSRIYVALGYGFFLELTLAEALKFIDRKSSLLTELSNSLTKDSMNIKAHIHMLLEGLRELQGLQNFPEKPHH
NP_009714.3 1 698 0.619123782234956 PF03941.15:INCENP_ARK-bind:630:680 Inner centromere protein-related protein SLI15; INCENP-related protein SLI15 698 51 24 698 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38283 1 SwissProt MDWAIKAARKKTQRKPGSTRSIIETLDDLNNLTTDAHSEINQRLYESSEWLRNNVYMNTLKYEDKKMEESLISPENTHNKMDVEFPKMKGEYELSNSQNDAAKDVTKTPRNGLHNDKSITPKSLRRKEVTEGMNRFSIHDTNKSPVEPLNSVKVDANESEKSSPWSPYKVEKVLRESSKTSESPINTKRFDNQTWAAKEEMENEPILQALKKAESVKVKPPPNSGIARSQRRSNMFVPLPNKDPLIIQHIPPTKSSGSIPKVRTVKESPIAFKKKSTINSPAIRAVENSDTAGSTKASSVFDRLSSIPTKSFENKISRGNVGHKYSSSSIDLTGSPMKKVSQKFKSINSTDTDMQEALRDIFSVKNKITKNNSPKGKNSRKSSIPRFDKTSLKLTTHKKLAIIAEQKKKSKHSSDVHKTGSRPHSISPTKISVDSSSPSKEVKNYYQSPVRGYLRPTKASISPNKNKNLTTSQTPHRLKIKEKTLRKLSPNIADISKPESRKSKNYRLTNLQLLPPAEAERDDLKKKFDKRLSGIMRSQQEHHRRKQEKQKRMSHLEQDLKKQTSFSNDYKDIRLKESLAPFDNHVRDTINKNTAFSTDNILATINTVDHREIIGNVTPKIASVNDSLPEINTDSEDEASVTLAAWAKSPYLQEQLIRQQDINPQTIFGPIPPLHTDEIFPNPRLNRLKPRQIVPKRS
NP_010444.1 1 852 0.177765375586854 PF00324.21:AA_permease:285:810,PF13520.6:AA_permease_2:288:782 SPS-sensor component SSY1; Amino-acid permease homolog SSY1 852 526 24 588 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03770 1 SwissProt MSSVNQIYDLFPNKHNIQFTDSHSQEHDTSSSLAKNDTDGTISIPGSIDTGILKSIIEEQGWNDAELYRSSIQNQRFFLTDKYTKKKHLTMEDMLSPEEEQIYQEPIQDFQTYNKRVQREYELRERMEEFFRQNTKNDLHILNEDSLNQQYSPLGPADYVLPLDRYSRMKHIASNFFRKKLGIPRKLKRRSHYNPNAEGHTKGNSSILSSTTDVIDNASYRNIAIDENVDITHKEHAIDEINEQGASGSESVVEGGSLLHDIEKVFNRSRATRKYHIQRKLKVRHIQMLSIGACFSVGLFLTSGKAFSIAGPFGTLLGFGLTGSIILATMLSFTELSTLIPVSSGFSGLASRFVEDAFGFALGWTYWISCMLALPAQVSSSTFYLSYYNNVNISKGVTAGFITLFSAFSIVVNLLDVSIMGEIVYVAGISKVIIAILMVFTMIILNAGHGNDIHEGVGFRYWDSSKSVRNLTYGLYRPTFDLADAGEGSKKGISGPKGRFLATASVMLISTFAFSGVEMTFLASGEAINPRKTIPSATKRTFSIVLISYVFLIFSVGINIYSGDPRLLSYFPGISEKRYEAIIKGTGMDWRLRTNCRGGIDYRQISVGTGYSSPWVVALQNFGLCTFASAFNAILIFFTATAGISSLFSCSRTLYAMSVQRKAPPVFEICSKRGVPYVSVIFSSLFSVIAYIAVDQTAIENFDVLANVSSASTSIIWMGLNLSFLRFYYALKQRKDIISRNDSSYPYKSPFQPYLAIYGLVGCSLFVIFMGYPNFIHHFWSTKAFFSAYGGLMFFFISYTAYKVLGTSKIQRLDQLDMDSGRREMDRTDWTEHSQYLGTYRERAKKLVTWLI
NP_010731.3 1 1420 0.332724507042254 PF11597.8:Med13_N:8:279,PF06333.12:Med13_C:1132:1257 Mediator of RNA polymerase II transcription subunit 13; Mediator complex subunit 13; Protein SCA1; Suppressor of RNA polymerase B SSN2 1420 398 24 1420 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38931 1 SwissProt MSSDASTYRLEDVLSSFYRVEKIKKINYHQYISKAQNDQWSIQMEFMLRKQDPKTLVALLSRDLWCFSINDDPVPTPPAIEHKPVSPDKIGTFTADYSKPNLPPHYALFLKALRRKIYINLALGSHNKLIQFGNACISLSGVPNYLVQLEPHLFVNGDLTVSLCAKNMGLVPMKEENLEESFLSKHALYLAPSGIRMHLAPASKQGYLITPPKHTELLLTTLSVSHGINLQNKKNLKWVAVVPDLGHLNGHTPTIASYLTPLLEAKKLVWPLHLIFAQPVADIENSTSGDPSEFHCLQDALDAIDDFIQLKQTAAYRTPGSSGVLSSNIAGTNPLSSDGAYTEQFQHYKNNSISSQPASYHSVQETNKISPKDFSPNFTGIDKLMLSPSDQFAPAFLNTPNNNINENELFNDRKQTTVSNDLENSPLKTELEANGRSLEKVNNSVSKTGSVDTLHNKEGTLEQREQNENLPSDKSDSMVDKELFGEDEDEDLFGDSNKSNSTNESNKSISDEITEDMFEMSDEEENNNNKSINKNNKEMHTDLGKDIPFFPSSEKPNIRTMSGTTKRLNGKRKYLDIPIDEMTLPTSPLYMDPGAPLPVETPRDRRKSVFAPLNFNPIIENNVDNKYKSGGKFSFSPLQKEEALNFDISMADLSSSEEEEDEEENGSSDEDLKSLNVRDDMKPSDNISTNTNIHEPQYINYSSIPSLQDSIIKQENFNSVNDANITSNKEGFNSIWKIPQNDIPQTESPLKTVDSSIQPIESNIKMTLEDNNVTSNPSEFTPNMVNSEISNLPKDKSGIPEFTPADPNLSFESSSSLPFLLRHMPLASIPDIFITPTPVVTISEKEQDILDLIAEQVVTDYNILGNLGIPKIAYRGVKDCQEGLITTTMLQLFSTFDRLNGNDTISKFYNMKQPYVFVKKHHELIKVKHDSQPFIKFLNFRPPNGIKNFKSLLLSSSFKEDCLSFAPTLSQTYINQELGFCELLKLTNEDPPGLMYLKAFDKNKLLLLAAQIVSYCSNNKNSIKNVPPILIILPLDNATLTELVDKANIFQVIKNEVCAKMPNIELYLKVIPMDFIRNVLVTVDQYVNVAISIYNMLPPKSVKFTHIAHTLPEKVNFRTMQQQQMQQQQQQQQQQQNNSTGSSSIIYYDSYIHLAYSRSVDKEWVFAALSDSYGQGSMTKTWYVGNSRGKFDDACNQIWNIALNLASKKFGKICLILTRLNGILPDDELMNWRRLSGRNIHLAVVCVDDNSKISFIDEDKLYPSFKPIYKDTRFGGRMDMTRLYDYEIRDIDQDIHGIVFQHPFPLAHSQHRCAIRSGALIKFKKCDGDTVWDKFAVNLLNCPHSDSTQLLETILEEFRNLAALNVWYGLSDGEDGHIPWHILAVKKMMNTLVHTRVKIANTSAATVHTATSSSIILSDK
NP_011625.3 1 445 0.178087640449438 PF00561.20:Abhydrolase_1:142:430,PF12697.7:Abhydrolase_6:143:434,PF12146.8:Hydrolase_4:142:265 Cardiolipin-specific deacylase 1, mitochondrial; EC 3.5.1.- 445 293 24 445 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53264 1 SwissProt MFKSTLNSIIRRPLKGFQLLRGADSSNTRPQSPRASARDVTEKQILRTPSAPTAIPLREIIYRVPSLFPRPLEDSVKDFRDFIKNEDAFQTELLKTLPFYPTPSESKTARLIRTVVDDEGNYINEFCIRPRKTSVPEADLKHLVFIHGYGAGLGFFIKNFEDIPLLDNEWCIHAIDLPGYGFSSRPKFPFEYPRDNIHSVQDWFHERIHTWFSKRNLLNRPEKNIVMAHSLGSYLMALYLQKYKESPSFKKLILCSPAGVSYRDFNNTASEVEKWKPPPWWYVKLWDRNISPFTLVRNFRQLGSKITSGWSYRRFKHILNGDPEQSKRFEALHRYAYAIFNKRGSGEYLLSFALKCGGEPRLSLEQQLFDGKKSDILKNSNCDWLWLYGDDDWMDVNGGLRVSRFLKEKLKQKSNVIIVPHSGHHLYLDNYKFFNNILTKEMQKI
NP_013367.1 1 342 0.259251754385965 PF09302.11:XLF:14:179 Non-homologous end-joining protein 1 342 166 24 342 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06148 1 SwissProt MDSELKGQQLSDAEWCVKKINGEGNCLLLFLPMSSPTTIVMIVLVSLERLVPYVFKLSQTQLSQQCQSQGFTDSISLNLIKLKLMDILQAPQEINQIGLVDSNLVFSFDVSADITVSINSVPSHVTKDMFYMILQSLCMLLLKLVNLSTQYHYVQRDILNEKQKCLDFLLISLRDLDGGSKVISQWAPENSKNYESLQQCTDDDIIKKLLHKGKFQHQEFLADSLKTLLSLRNKFQDVSRFEESGELNKKERVRFPAVNHFYNDDFELQADPTNEARPNSRGKIKPKTDFKPKSRESSTSSQLRLENFSESEATPEKTKSSSSLVEEYPQKKRKFGKVRIKN
NP_013975.1 425 1404 0.0897492857142858 E3 ubiquitin-protein ligase listerin; RING domain mutant killed by rtf1 deletion protein 1; RING-type E3 ubiquitin transferase listerin; EC 2.3.2.27 1562 0 24 980 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04781 1 SwissProt VESEIFNSLSCGKSLSEYTKLNQTLSGVFPPDKWEREIEDYFTSDEDIRKIKVSFEKNLFALLVTSPNNESAISRLFDFFVQLIETDPSNVFNKYDGVYDALNYFLDSDMIFLNGKIGKFINEIPTLVQESTYQNFAGIMAQYSNSKFFKMNTDAITSLEDFFIVALSFNLPKTIILATMNELDNDIYQQLMKSDSLELELYIEDFMKNYKFDDSGEIFKGNNKFLNQRTITTLYRSAVANGQVEQFCAVLSKLDETFFSTLLLNTDFLSCALYEVSEDTNEKLFKLSLQLAKGNSEIANKLAQVILQHAQVYFSPGAKEKYVTHAVELINGCNDTSQIFFPANAIEVFARYMPAIDYRSSLVSSLSTNTHLLLTDDKPINLKNMQKLIRYALFLDALLDALPERVNNHIVAFITVVSELVTDYNCLSEEPNDLYYDFGHTFFKHGKVNLNFSDIVGNVIQPANGGDAMLTFDIAESNSVYFFYYSRVLYKVLLNSIDTVSSTTLNGLLASVESFVTKTVRDQKSTDKDYLLCAILLLMFNRSNSKDEITKLRTLLASQLIGIREVELVDQEFKSLALLNNLLDIPQADKQFVPIAPQRLNMIFRSILKWLDSDLAYEPSFSTVRLLLLDFFTKLMRFEGVRDMGITAFELSERLLADSLSMCQIDDTLYLLELRSSCLNLYETLSQGVSKNGEEISEYGDEIQENLIELMFLNFNQERNNQVSTLFYQKLYKVISSMELKKLESQYKRIFEVVLNDKDIGSNINQSRLLTTLLGSLVVKTQQDIIIEYELRIQKQTGSDVDGSASDNDVNSKFKLPQKLLQKVTDEVPKEYLEYENKNSFIKYLWYWHLILMYFKDTSYNMRQIFIEQLKEAGLINRMFDFITDQIDLRDTEFWKQVDTKEISEYNIVGNNFSPYKEDIFEECKKLLGHTLYQLFNNVGCLTSIWWLNIKDRTLQNDIEKFVSEFISPILIKNEFDDIN
NP_014493.1 1 604 0.617497350993378 PF12090.8:Spt20:123:342 Transcription factor SPT20 604 220 24 604 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50875 1 SwissProt MSANSPTGNDPHVFGIPVNATPSNMGSPGSPVNVPPPMNPAVANVNHPVMRTNSNSNANEGTRTLTREQIQQLQQRQRLLLQQRLLEQQRKQQALQNYEAQFYQMLMTLNKRPKRLYNFVEDADSILKKYEQYLHSFEFHIYENNYKICAPANSRLQQQQKQPELTSDGLILTKNNETLKEFLEYVARGRIPDAIMEVLRDCNIQFYEGNLILQVYDHTNTVDVTPKENKPNLNSSSSPSNNNSTQDNSKIQQPSEPNSGVANTGANTANKKASFKRPRVYRTLLKPNDLTTYYDMMSYADNARFSDSIYQQFESEILTLTKRNLSLSVPLNPYEHRDMLEETAFSEPHWDSEKKSFIHEHRAESTREGTKGVVGHIEERDEFPQHSSNYEQLMLIMNERTTTITNSTFAVSLTKNAMEIASSSSNGVRGASSSTSNSASNTRNNSLANGNQVALAAAAAAAAVGSTMGNDNNQFSRLKFIEQWRINKEKRKQQALSANINPTPFNARISMTAPLTPQQQLLQRQQQALEQQQNGGAMKNANKRSGNNATSNNNNNNNNLDKPKVKRPRKNAKKSESGTPAPKKKRMTKKKQSASSTPSSTTMS
NP_014838.3 1 563 0.577162877442274 Kinetochore protein SLK19; Synthetic lethal KAR3 protein 19 821 0 24 563 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08581 1 SwissProt MNEVPTTPVRLILGQAQQREQNSENCSQERNPRTFNSEPDSSFNSPGSSQFVIHPHEPLEKEKDEKQDLDRSIDYGRSSALNNKNNANPLENIDINKMFDDKKSDSGTNDDKGGASTSDKHVLALNYSPIRVEMNSSEKRSDKNVDVDENDKEGSHINKKLKLQLESVPDLKQSSTKDIINDKEEIMSSPMAIDMIETNISPNKFIINDGVERNDSFNINTDTLKLENDINEKQQEEDFIKSNSNNVVNIDNAYKEKEDEENDITNSHINRLTPLYETSARESNSNEEGRNDYDDDNQLDIRHDNFQIVAKRNEELTDQIYHLNQMLNSLISKNESLSFQYEKLNKNHQLLIDLTNEKLDKLNTERESDIAKVEKFKKRIKELNTEIKVLNSNQKILQEKFDASITEVNHIKGEHENTVNTLQQNEKILNDKNVELENMKAELKGNNDKLSEYETTLNDLNSRIVQLNDKIESTDIVLKSKENELDNLKLSLKETLSISKDFNDSDLIGQINELISTKNNLQQKMDDLNNLNDDNLKVVQDKLIKNEETLKLKEAEIDSLNSE
NP_015475.1 1 173 0.0678508670520231 PF01284.23:MARVEL:6:139 Non-classical export protein 2 173 134 24 85 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12207 0 SwissProt MLALADNILRIINFLFLVISIGLISSLLNTQHRHSSRVNYCMFACAYGIFTDSLYGVFANFIEPLAWPLVLFTLDFLNFVFTFTAGTVLAVGIRAHSCNNSSYVDSNKITQGSGTRCRQAQAAVAFLYFSCAIFLAKTLMSVFNMISNGAFGSGSFSKRRRTGQVGVPTISQV
NP_032417.3 116 349 0.60412735042735 interferon regulatory factor 2 349 0 24 234 0 Mus musculus NP_032417.3 1 RefSeq ERPSKKGKKPKTEKEERVKHIKQEPVESSLGLSNGVSGFSPEYAVLTSAIKNEVDSTVNIIVVGQSHLDSNIEDQEIVTNPPDICQVVEVTTESDDQPVSMSELYPLQISPVSSYAESETTDSVASDEENAEGRPHWRKRSIEGKQYLSNMGTRNTYLLPSMATFVTSNKPDLQVTIKEDSCPMPYNSSWPPFTDLPLPAPVTPTPSSSRPDRETRASVIKKTSDITQARVKSC
NP_032662.3 319 675 0.625964425770308 Metal regulatory transcription factor 1; MRE-binding transcription factor; Transcription factor MTF-1 675 0 24 357 0 Mus musculus (Mouse) SwissProt::Q07243 1 SwissProt YSALPQHNGSEDTNHSLYLSELGLLSTDSELQENSSSTQDQDLSTISPAIIFESMFQNSDDPGIQDDPLQTAALIDSFNGDAESVIDVPPPAGNSASLSLPLVLQSGISEPPQPLLPATAPSAPPPAPSLGPGSQPAAFGSPPALLQPPEVPVPHSTQFAANHQEFLPHPQAPPQTIVPGLSVVAGAPASAATVASAVAAPAPPQSTTEPLPAMVQTLPLGANSVLTNNPTITITPTPNTAILQSSLVMGEQNLQWILNGATSSPQNQEQIQQASKVEQVYFATAVPVASGTGSSVQQIGLSVPVIIIKQEEACQCQCACRDSAKERAAGRRKGCSSPPPPEPNPQPPDGPSLQLPP
NP_032713.3 1 420 0.493918333333333 PF00859.18:CTF_NFI:209:415,PF10524.9:NfI_DNAbd_pre-N:10:47,PF03165.16:MH1:70:171 nuclear factor 1 B-type isoform 3 420 347 24 420 0 Mus musculus NP_032713.3 1 RefSeq MMYSPICLTQDEFHPFIEALLPHVRAIAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLSEKPEIKQKWASRLLAKLRKDIRQEYREDFVLTVTGKKHPCCVLSNPDQKGKIRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLMKSPHCTNPALCVQPHHITVSVKELDLFLAYYVQEQDSGQSGSPSHSDPAKNPPGYLEDSFVKSGVFNVSELVRVSRTPITQGTGVNFPIGEIPSQPYYHDMNSGVNLQRSLSSPPSSKRPKTISIDENMEPSPTGDFYPSPNSPAAGSRTWHERDQDMSSPTTMKKPEKPLFSSTSPQDSSPRLSTFPQHHHPGIPGVAHSVISTRTPPPPSPLPFPTQAILPPAPSSYFSHPTIRYPPHLNPQDTLKNYVPSYDPSSPQTSQSWYLG
NP_033427.1 1 498 0.483437951807229 PF00020.18:TNFR_c6:69:105 Tumor necrosis factor receptor superfamily member 8; CD30L receptor; Lymphocyte activation antigen CD30; CD30 antigen 498 37 24 475 1 Mus musculus (Mouse) SwissProt::Q60846 1 SwissProt MSALLTAAGLLFLGMLQAFPTDRPLKTTCAGDLSHYPGEAARNCCYQCPSGLSPTQPCPRGPAHCRKQCAPDYYVNEDGKCTACVTCLPGLVEKAPCSGNSPRICECQPGMHCCTPAVNSCARCKLHCSGEEVVKSPGTAKKDTICELPSSGSGPNCSNPGDRKTLTSHATPQAMPTLESPANDSARSLLPMRVTNLVQEDATELVKVPESSSSKAREPSPDPGNAEKNMTLELPSPGTLPDISTSENSKEPASTASTLSLVVDAWTSSRMQPTSPLSTGTPFLDPGPVLFWVAMVVLLVGSGSFLLCYWKACRRRFQQKFHLDYLVQTFQPKMEQTDSCPTEKLTQPQRSGSVTDPSTGHKLSPVSPPPAVETCASVGATYLENLPLLDDSPAGNPFSPREPPEPRVSTEHTNNRIEKIYIMKADTVIVGSVKTEVPEGRAPAGSTESELEAELEVDHAPHYPEQETEPPLGSCTEVMFSVEEGGKEDHGPTTVSEK
NP_033972.2 1 253 0.0680644268774703 PF00335.20:Tetraspanin:16:246 CD151 antigen 253 231 24 161 4 Mus musculus NP_033972.2 1 RefSeq MGEFNEKKATCGTVCLKYLLFTYNCCFWLAGLAVMAVGIWTLALKSDYISLLASSTYLATAYILVVAGVVVMVTGVLGCCATFKERRNLLRLYFILLLIIFLLEIIAGILAYVYYQQLNTELKENLKDTMVKRYHQSGHEGVSSAVDKLQQEFHCCGSNNSQDWQDSEWIRSGEADSRVVPDSCCKTMVAGCGKRDHASNIYKVEGGCITKLETFIQEHLRVIGAVGIGIACVQVFGMIFTCCLYRSLKLEHY
NP_035266.1 45 256 0.440342452830189 PF09310.10:PD-C2-AF1:3:211 POU domain class 2-associating factor 1 256 209 24 212 0 Mus musculus NP_035266.1 1 RefSeq GPPTAVVLPHQPLATYSTVGPSCLDMEVSASTVTEEGTLCAGWLSQPAPATLQPLAPWTPYTEYVSHEAVSCPYSTDMYVQPVCPSYTVVGPSSVLTYASPPLITNVTPRSTATPAVGPQLEGPEHQAPLTYFPWPQPLSTLPTSSLQYQPPAPTLSGPQFVQLPISIPEPVLQDMDDPRRAISSLTIDKLLLEEEESNTYELNHTLSVEGF
NP_036119.1 284 643 0.176835277777778 PF00501.28:AMP-binding:2:228,PF13193.6:AMP-binding_C:237:312 Long-chain fatty acid transport protein 4; FATP-4; Fatty acid transport protein 4; Solute carrier family 27 member 4; EC 6.2.1.- 643 303 24 360 0 Mus musculus (Mouse) SwissProt::Q91VE0 1 SwissProt DCLPLYHSAGNIVGIGQCLLHGMTVVIRKKFSASRFWDDCIKYNCTIVQYIGELCRYLLNQPPREAESRHKVRMALGNGLRQSIWTDFSSRFHIPQVAEFYGATECNCSLGNFDSRVGACGFNSRILSFVYPIRLVRVNEDTMELIRGPDGVCIPCQPGQPGQLVGRIIQQDPLRRFDGYLNQGANNKKIANDVFKKGDQAYLTGDVLVMDELGYLYFRDRTGDTFRWKGENVSTTEVEGTLSRLLHMADVAVYGVEVPGTEGRAGMAAVASPISNCDLESFAQTLKKELPLYARPIFLRFLPELHKTGTFKFQKTELRKEGFDPSVVKDPLFYLDARKGCYVALDQEAYTRIQAGEEKL
NP_045725.1 1 281 0.354580071174377 outer membrane protein 281 0 24 261 1 Borreliella burgdorferi B31 NP_045725.1 1 RefSeq MIKFKLILIFFLFIVSTTYASVARPFDFRKWNFKKDIDLAYVLMHDLDNGILIKSQDKAGSIKSQEVLTKLNALNAYCNNLQRIIKAKLVRGRFQKEVELPLLKIIRKYKYLTRNYKNKSLIENPEYTKLIAERIIKKALFLENYFQSNRLKNVKSGENIKKRISDNQSKLKSLRSKPNKSVGSKFSKNSRPSKSPQGVKKCNKRRILDKYDLNGAESEFLDDPSQESDELEREYQDDELESEDPDDGEREYQDDRESRDDTFNEDQSEDEFFDSLEDQFI
NP_055067.1 1 301 0.0679039867109634 PF00153.27:Mito_carr:8:94,PF00153.27:Mito_carr:107:199,PF00153.27:Mito_carr:207:296 Mitochondrial ornithine transporter 1; Solute carrier family 25 member 15 301 270 24 301 0 Homo sapiens (Human) SwissProt::Q9Y619 1 SwissProt MKSNPAIQAAIDLTAGAAGGTACVLTGQPFDTMKVKMQTFPDLYRGLTDCCLKTYSQVGFRGFYKGTSPALIANIAENSVLFMCYGFCQQVVRKVAGLDKQAKLSDLQNAAAGSFASAFAALVLCPTELVKCRLQTMYEMETSGKIAKSQNTVWSVIKSILRKDGPLGFYHGLSSTLLREVPGYFFFFGGYELSRSFFASGRSKDELGPVPLMLSGGVGGICLWLAVYPVDCIKSRIQVLSMSGKQAGFIRTFINVVKNEGITALYSGLKPTMIRAFPANGALFLAYEYSRKLMMNQLEAY
NP_055134.2 1 652 0.534797699386503 PF03020.15:LEM:10:47 Inner nuclear membrane protein Man1; LEM domain-containing protein 3 911 38 24 606 2 Homo sapiens (Human) SwissProt::Q9Y2U8 1 SwissProt MAAAAASAPQQLSDEELFSQLRRYGLSPGPVTESTRPVYLKKLKKLREEEQQQHRSGGRGNKTRNSNNNNTAAATVAAAGPAAAAAAGMGVRPVSGDLSYLRTPGGLCRISASGPESLLGGPGGASAAPAAGSKVLLGFSSDESDVEASPRDQAGGGGRKDRASLQYRGLKAPPAPLAASEVTNSNSAERRKPHSWWGARRPAGPELQTPPGKDGAVEDEEGEGEDGEERDPETEEPLWASRTVNGSRLVPYSCRENYSDSEEEDDDDVASSRQVLKDDSLSRHRPRRTHSKPLPPLTAKSAGGRLETSVQGGGGLAMNDRAAAAGSLDRSRNLEEAAAAEQGGGCDQVDSSPVPRYRVNAKKLTPLLPPPLTDMDSTLDSSTGSLLKTNNHIGGGAFSVDSPRIYSNSLPPSAAVAASSSLRINHANHTGSNHTYLKNTYNKPKLSEPEEELLQQFKREEVSPTGSFSAHYLSMFLLTAACLFFLILGLTYLGMRGTGVSEDGELSIENPFGETFGKIQESEKTLMMNTLYKLHDRLAQLAGDHECGSSSQRTLSVQEAAAYLKDLGPEYEGIFNTSLQWILENGKDVGIRCVGFGPEEELTNITDVQFLQSTRPLMSFWCRFRRAFVTVTHRLLLLCLGVVMVCVVLRYM
NP_057651.1 111 322 0.314767452830189 PF13862.6:BCIP:7:146 BRCA2 and CDKN1A-interacting protein isoform BCCIPalpha 322 140 24 212 0 Homo sapiens NP_057651.1 1 RefSeq VSEDSNDDMDEDEVFGFISLLNLTERKGTQCVEQIQELVLRFCEKNCEKSMVEQLDKFLNDTTKPVGLLLSERFINVPPQIALPMYQQLQKELAGAHRTNKPCGKCYFYLLISKTFVEAGKNNSKKKPSNKKKAALMFANAEEEFFYEEQGKPEVLGGPDTRRGLEPVPIQHNGGSRGQVTALVSLKAGLIQSRSTLSDFQGTFMTVGIALS
NP_060658.3 1 371 0.462171698113207 PF12037.8:DUF3523:41:95,PF12037.8:DUF3523:142:334 ATPase family AAA domain-containing protein 3A 634 248 24 371 0 Homo sapiens (Human) SwissProt::Q9NVI7 1 SwissProt MSWLFGINKGPKGEGAGPPPPLPPAQPGAEGGGDRGLGDRPAPKDKWSNFDPTGLERAAKAARELEHSRYAKDALNLAQMQEQTLQLEQQSKLKMRLEALSLLHTLVWAWSLCRAGAVQTQERLSGSASPEQVPAGECCALQEYEAAVEQLKSEQIRAQAEERRKTLSEETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEAMRRATVEREMELRHKNEMLRVEAEARARAKAERENADIIREQIRLKAAEHRQTVLESIRTAGTLFGEGFRAFVTDWDKVTATVAGLTLLAVGVYSAKNATLVAGRFIEARLGKPSLVRETSRITVLEALRHPIQVSRRLLSRPQDALEGVVLSPS
NP_060883.1 1 352 0.207644602272727 PF03567.14:Sulfotransfer_2:109:344 Carbohydrate sulfotransferase 11; Chondroitin 4-O-sulfotransferase 1; Chondroitin 4-sulfotransferase 1; C4S-1; C4ST-1; C4ST1; EC 2.8.2.5 352 236 24 329 1 Homo sapiens (Human) SwissProt::Q9NPF2 1 SwissProt MKPALLEVMRMNRICRMVLATCLGSFILVIFYFQSMLHPVMRRNPFGVDICCRKGSRSPLQELYNPIQLELSNTAVLHQMRRDQVTDTCRANSATSRKRRVLTPNDLKHLVVDEDHELIYCYVPKVACTNWKRLMMVLTGRGKYSDPMEIPANEAHVSANLKTLNQYSIPEINHRLKSYMKFLFVREPFERLVSAYRNKFTQKYNISFHKRYGTKIIKRQRKNATQEALRKGDDVKFEEFVAYLIDPHTQREEPFNEHWQTVYSLCHPCHIHYDLVGKYETLEEDSNYVLQLAGVGSYLKFPTYAKSTRTTDEMTTEFFQNISSEHQTQLYEVYKLDFLMFNYSVPSYLKLE
NP_063919.1 1 168 0.516922619047619 Transcription factor Spi-B 267 0 24 168 0 Mus musculus (Mouse) SwissProt::O35906 1 SwissProt MLALEAAQLDGPHLSCLYPEGVFYDLDSCKPFSYPDSDGGLDSTWGWTEAPPAPAIAPYEAFDPATAAFSHSQTVQLCYSHGPNPSTYSPMGTLDPAPSLEAPGPGLQVYPPEDFTSQTLGSLAYAPYPSPVLSEEEDIMLDSPALEVSDSESDEALLAGSEGRGSEA
NP_065188.4 105 1221 0.144680662488809 PF00002.24:7tm_2:759:1006,PF01825.21:GPS:697:742,PF00354.17:Pentaxin:55:237 adhesion G-protein coupled receptor G6 isoform alpha 1 precursor 1221 477 24 956 7 Homo sapiens NP_065188.4 1 RefSeq ESQTKFCGATAKGLSFNSSANEMHVSFSSDFSIQKKGFNASYIRVAVSLRNQKVILPQTSDAYQVSVAKSISIPELSAFTLCFEATKVGHEDSDWTAFSYSNASFTQLLSFGKAKSGYFLSISDSKCLLNNALPVKEKEDIFAESFEQLCLVWNNSLGSIGVNFKRNYETVPCDSTISKVIPGNGKLLLGSNQNEIVSLKGDIYNFRLWNFTMNAKILSNLSCNVKGNVVDWQNDFWNIPNLALKAESNLSCGSYLIPLPAAELASCADLGTLCQATVNSPSTTPPTVTTNMPVTNRIDKQRNDGIIYRISVVIQNILRHPEVKVQSKVAEWLNSTFQNWNYTVYVVNISFHLSAGEDKIKVKRSLEDEPRLVLWALLVYNATNNTNLEGKIIQQKLLKNNESLDEGLRLHTVNVRQLGHCLAMEEPKGYYWPSIQPSEYVLPCPDKPGFSASRICFYNATNPLVTYWGPVDISNCLKEANEVANQILNLTADGQNLTSANITNIVEQVKRIVNKEENIDITLGSTLMNIFSNILSSSDSDLLESSSEALKTIDELAFKIDLNSTSHVNITTRNLALSVSSLLPGTNAISNFSIGLPSNNESYFQMDFESGQVDPLASVILPPNLLENLSPEDSVLVRRAQFTFFNKTGLFQDVGPQRKTLVSYVMACSIGNITIQNLKDPVQIKIKHTRTQEVHHPICAFWDLNKNKSFGGWNTSGCVAHRDSDASETVCLCNHFTHFGVLMDLPRSASQLDARNTKVLTFISYIGCGISAIFSAATLLTYVAFEKLRRDYPSKILMNLSTALLFLNLLFLLDGWITSFNVDGLCIAVAVLLHFFLLATFTWMGLEAIHMYIALVKVFNTYIRRYILKFCIIGWGLPALVVSVVLASRNNNEVYGKESYGKEKGDEFCWIQDPVIFYVTCAGYFGVMFFLNIAMFIVVMVQICGRNGKRSNRTLREEVLRNLRSVVSLTFLLGMTWGFAFFAWGPLNIPFMYLFSIFNSLQGLFIFIFHCAMKENVQKQWRRHLCCGRFRLADNSDWSKTATNIIKKSSDNLGKSLSSSSIGSNSTYLTSKSKSSSTTYFKRNSHTDNVSYEHSFNKSGSLRQCFHGQVLVKTGPC
NP_066959.1 1 638 0.718461755485893 PF02453.17:Reticulon:590:637 Reticulon-1; Neuroendocrine-specific protein 776 48 24 615 1 Homo sapiens (Human) SwissProt::Q16799 1 SwissProt MAAPGDPQDELLPLAGPGSQWLRHRGEGENEAVTPKGATPAPQAGEPSPGLGARAREAASREAGSGPARQSPVAMETASTGVAGVSSAMDHTFSTTSKDGEGSCYTSLISDICYPPQEDSTYFTGILQKENGHVTISESPEELGTPGPSLPDVPGIESRGLFSSDSGIEMTPAESTEVNKILADPLDQMKAEAYKYIDITRPEEVKHQEQHHPELEDKDLDFKNKDTDISIKPEGVREPDKPAPVEGKIIKDHLLEESTFAPYIDDLSEEQRRAPQITTPVKITLTEIEPSVETTTQEKTPEKQDICLKPSPDTVPTVTVSEPEDDSPGSITPPSSGTEPSAAESQGKGSISEDELITAIKEAKGLSYETAENPRPVGQLADRPEVKARSGPPTIPSPLDHEASSAESGDSEIELVSEDPMAAEDALPSGYVSFGHVGGPPPSPASPSIQYSILREEREAELDSELIIESCDASSASEESPKREQDSPPMKPSALDAIREETGVRAEERAPSRRGLAEPGSFLDYPSTEPQPGPELPPGDGALEPETPMLPRKPEEDSSSNQSPAATKGPGPLGPGAPPPLLFLNKQKAIDLLYWRDIKQTGIVFGSFLLLLFSLTQFSVVSVVAYLALAALSATISF
NP_067265.1 1 80 0.393805 Urocortin 122 0 24 80 0 Mus musculus (Mouse) SwissProt::P81615 1 SwissProt MIQRGRATLLVALLLLAQLRPESSQWSPAAAAATGVQDPNLRWSPGVRNQGGGVRALLLLLAERFPRRAGSEPAGERQRR
NP_068602.2 1 684 0.277194444444445 PF13481.6:AAA_25:386:563,PF03796.15:DnaB_C:393:628,PF06745.13:ATPase:397:610 Twinkle protein, mitochondrial; Progressive external ophthalmoplegia 1 protein; T7 gp4-like protein with intramitochondrial nucleoid localization; T7-like mitochondrial DNA helicase; Twinkle mtDNA helicase; EC 3.6.4.12 684 243 24 684 0 Homo sapiens (Human) SwissProt::Q96RR1 1 SwissProt MWVLLRSGYPLRILLPLRGEWMGRRGLPRNLAPGPPRRRYRKETLQALDMPVLPVTATEIRQYLRGHGIPFQDGHSCLRALSPFAESSQLKGQTGVTTSFSLFIDKTTGHFLCMTSLAEGSWEDFQASVEGRGDGAREGFLLSKAPEFEDSEEVRRIWNRAIPLWELPDQEEVQLADTMFGLTKVTDDTLKRFSVRYLRPARSLVFPWFSPGGSGLRGLKLLEAKCQGDGVSYEETTIPRPSAYHNLFGLPLISRRDAEVVLTSRELDSLALNQSTGLPTLTLPRGTTCLPPALLPYLEQFRRIVFWLGDDLRSWEAAKLFARKLNPKRCFLVRPGDQQPRPLEALNGGFNLSRILRTALPAWHKSIVSFRQLREEVLGELSNVEQAAGLRWSRFPDLNRILKGHRKGELTVFTGPTGSGKTTFISEYALDLCSQGVNTLWGSFEISNVRLARVMLTQFAEGRLEDQLDKYDHWADRFEDLPLYFMTFHGQQSIRTVIDTMQHAVYVYDICHVIIDNLQFMMGHEQLSTDRIAAQDYIIGVFRKFATDNNCHVTLVIHPRKEDDDKELQTASIFGSAKASQEADNVLILQDRKLVTGPGKRYLQVSKNRFDGDVGVFPLEFNKNSLTFSIPPKNKARLKKIKDDTGPVAKKPSSGKKGATTQNSEICSGQAPTPDQPDTSKRSK
NP_071909.1 1 461 0.257848590021692 Nucleotide exchange factor SIL1; BiP-associated protein; BAP 461 0 24 438 1 Homo sapiens (Human) SwissProt::Q9H173 1 SwissProt MAPQSLPSSRMAPLGMLLGLLMAACFTFCLSHQNLKEFALTNPEKSSTKETERKETKAEEELDAEVLEVFHPTHEWQALQPGQAVPAGSHVRLNLQTGEREAKLQYEDKFRNNLKGKRLDINTNTYTSQDLKSALAKFKEGAEMESSKEDKARQAEVKRLFRPIEELKKDFDELNVVIETDMQIMVRLINKFNSSSSSLEEKIAALFDLEYYVHQMDNAQDLLSFGGLQVVINGLNSTEPLVKEYAAFVLGAAFSSNPKVQVEAIEGGALQKLLVILATEQPLTAKKKVLFALCSLLRHFPYAQRQFLKLGGLQVLRTLVQEKGTEVLAVRVVTLLYDLVTEKMFAEEEAELTQEMSPEKLQQYRQVHLLPGLWEQGWCEITAHLLALPEHDAREKVLQTLGVLLTTCRDRYRQDPQLGRTLASLQAEYQVLASLELQDGEDEGYFQELLGSVNSLLKELR
NP_073606.3 70 619 0.661174181818181 PF12885.7:TORC_M:90:252,PF12886.7:TORC_C:476:550 CREB-regulated transcription coactivator 3; Transducer of regulated cAMP response element-binding protein 3; TORC-3; Transducer of CREB protein 3 619 238 24 550 0 Homo sapiens (Human) SwissProt::Q6UUV7 1 SwissProt RSSASEFQPSFHQADNVRGTRHHGLVERPSRNRFHPLHRRSGDKPGRQFDGSAFGANYSSQPLDESWPRQQPPWKDEKHPGFRLTSALNRTNSDSALHTSALSTKPQDPYGGGGQSAWPAPYMGFCDGENNGHGEVASFPGPLKEENLLNVPKPLPKQLWETKEIQSLSGRPRSCDVGGGNAFPHNGQNLGLSPFLGTLNTGGSLPDLTNLHYSTPLPASLDTTDHHFGSMSVGNSVNNIPAAMTHLGIRSSSGLQSSRSNPSIQATLNKTVLSSSLNNHPQTSVPNASALHPSLRLFSLSNPSLSTTNLSGPSRRRQPPVSPLTLSPGPEAHQGFSRQLSSTSPLAPYPTSQMVSSDRSQLSFLPTEAQAQVSPPPPYPAPQELTQPLLQQPRAPEAPAQQPQAASSLPQSDFQLLPAQGSSLTNFFPDVGFDQQSMRPGPAFPQQVPLVQQGSRELQDSFHLRPSPYSNCGSLPNTILPEDSSTSLFKDLNSALAGLPEVSLNVDTPFPLEEELQIEPLSLDGLNMLSDSSMGLLDPSVEETFRADRL
NP_075540.1 1 222 0.11946981981982 PF04668.12:Tsg:87:221 Twisted gastrulation protein homolog 1 222 135 24 222 0 Mus musculus (Mouse) SwissProt::Q9EP52 1 SwissProt MKSHYIVLALASLTFLLCLPVSQSCNKALCASDVSKCLIQELCQCRPGEGNCPCCKECMLCLGALWDECCDCVGMCNPRNYSDTPPTSKSTVEELHEPIPSLFRALTEGDTQLNWNIVSFPVAEELSHHENLVSFLETVNQLHHQNVSVPSNNVHAPFPSDKERMCTVVYFDDCMSIHQCKISCESMGASKYRWFHNACCECIGPECIDYGSKTVKCMNCMF
NP_082178.2 1 459 0.17940522875817 PF01390.20:SEA:88:185 Transmembrane protease serine 6; Matriptase-2; EC 3.4.21.- 811 98 24 436 1 Mus musculus (Mouse) SwissProt::Q9DBI0 1 SwissProt MPRCFQLPCSTRMPTTEVPQAADGQGDAGDGEEAAEPEGKFKPPKNTKRKNRDYVRFTPLLLVLAALVSAGVMLWYFLGYKAEVTVSQVYSGSLRVLNRHFSQDLGRRESIAFRSESAKAQKMLQELVASTRLGTYYNSSSVYSFGEGPLTCFFWFILDIPEYQRLTLSPEVVRELLVDELLSNSSTLASYKTEYEVDPEGLVILEASVNDIVVLNSTLGCYRYSYVNPGQVLPLKGPDQQTTSCLWHLQGPEDLMIKVRLEWTRVDCRDRVAMYDAAGPLEKRLITSVYGCSRQEPVMEVLASGSVMAVVWKKGMHSYYDPFLLSVKSVAFQDCQVNLTLEGRLDTQGFLRTPYYPSYYSPSTHCSWHLTVPSLDYGLALWFDAYALRRQKYNRLCTQGQWMIQNRRLCGFRTLQPYAERIPMVASDGVTINFTSQISLTGPGVQVYYSLYNQSDPCP 1
NP_082993.4 598 1651 0.191447628083492 PF02493.20:MORN:446:467,PF02493.20:MORN:469:488,PF02493.20:MORN:497:518,PF02493.20:MORN:520:536,PF02493.20:MORN:548:563,PF02493.20:MORN:572:586,PF02493.20:MORN:595:614,PF02204.18:VPS9:951:1050,PF00621.20:RhoGEF:92:276 alsin isoform 1 1651 417 24 1054 0 Mus musculus NP_082993.4 1 RefSeq SKVSSENGVWSVAAGQDYSLFLVDTEDFQPGLYYSGRQDRAEGDTLPENPSGTKTPVLLSCSKLGYISRVTAGKDSYLALVDKNIMGYIASLHELASTERRFYSKLSEIKSQILRPLLSLENLGTVTTVQLLQEVASRFSKLCYLIGQHGASLSSYLQGMKEASSLVIMKHSSLFLDSYTEYCTSVSNFLVMGGFQLLAKPAIDFLNKNQELLQDLSEVNDENTQLMEILNMLFFLPIRRLHNYAKVLLKLATCFEVTSPEYQKLQDSSSCYESLALHLGKKRKEAEYTLSFWKTFPGKMTDSLRKPERRLLCESSNRALSLQHAGRFSVNWFILFNDALVHAQFSTHHVFPLATLWAEPLSEEAGSVNGLKITTPEEQFTLISSTPQEKTKWLRAISQAVDQALRGTSDFPLYGGGSSVQRQEPPISRSAKYTFYKDTRLKDATYDGRWLSGKPHGRGVLKWPDGKMYSGMFRNGLEDGYGEYRIPNKALNKEDHYVGHWKEGKMCGQGVYSYASGEVFEGCFQDNMRHGHGLLRSGKLTSSSPSMFIGQWVMDKKAGYGVFDDITRGEKYMGMWQDDVCQGNGVVVTQFGLYYEGNFHLNKMMGNGVLLSEDDTIYEGEFSDDWTLSGKGTLTMPHGDYIEGYFSGEWGSGIKITGTYFKPSLYESDKDKPKAFRKLGNLAVAADEKWRAVFEECWRQLGCESPGQGEVWKAWDNIAVALTTNRRQHKDSPEILSRSQTQTLESLEYIPQHIGAFSVEKYDDIKKYLIKACDTPLHPLGRLVETLVAVYRMTYVGVGANRRLLQEAVKEIKSYLKRIFQLVRFLFPELPEEGSTIPLSAPLPTGRRSFCTGKSDSRSESPEPGYVVTSSGLLLPVLLPRLYPPLFMLYALDNDREEDIYWECVLRLNKQPDIALLGFLGVQKKFWPATLSILGESKKVLSTTKDACFASAVECLQQISTTFTPSDKLKVIQQTFEEISQSVLASLQEDFLWSMDDLFPVFLYVVLRARIRNLGSEVHLIEDLMDPFLQHGEQGIMFTTLKACYFQIQREKLN
NP_114105.1 213 440 0.841765789473685 Golgi reassembly-stacking protein 1; Golgi peripheral membrane protein p65; Golgi phosphoprotein 5; GOLPH5; Golgi reassembly-stacking protein of 65 kDa; GRASP65 440 0 24 228 0 Homo sapiens (Human) SwissProt::Q9BQQ3 1 SwissProt PPGTPPPSALPLGAPPPDALPPGPTPEDSPSLETGSRQSDYMEALLQAPGSSMEDPLPGPGSPSHSAPDPDGLPHFMETPLQPPPPVQRVMDPGFLDVSGISLLDNSNASVWPSLPSSTELTTTAVSTSGPEDICSSSSSHERGGEATWSGSEFEVSFLDSPGAQAQADHLPQLTLPDSLTSAASPEDGLSAELLEAQAEEEPASTEGLDTGTEAEGLDSQAQISTTE
NP_149038.3 1 512 0.4042560546875 PF01390.20:SEA:214:317 Mucin-13; MUC-13; Down-regulated in colon cancer 1 512 104 24 489 1 Homo sapiens (Human) SwissProt::Q9H3R2 1 SwissProt MKAIIHLTLLALLSVNTATNQGNSADAVTTTETATSGPTVAAADTTETNFPETASTTANTPSFPTATSPAPPIISTHSSSTIPTPAPPIISTHSSSTIPIPTAADSESTTNVNSLATSDIITASSPNDGLITMVPSETQSNNEMSPTTEDNQSSGPPTGTALLETSTLNSTGPSNPCQDDPCADNSLCVKLHNTSFCLCLEGYYYNSSTCKKGKVFPGKISVTVSETFDPEEKHSMAYQDLHSEITSLFKDVFGTSVYGQTVILTVSTSLSPRSEMRADDKFVNVTIVTILAETTSDNEKTVTEKINKAIRSSSSNFLNYDLTLRCDYYGCNQTADDCLNGLACDCKSDLQRPNPQSPFCVASSLKCPDACNAQHKQCLIKKSGGAPECACVPGYQEDANGNCQKCAFGYSGLDCKDKFQLILTIVGTIAGIVILSMIIALIVTARSNNKTKHIEEENLIDEDFQNLKLRSTGFTNLGAEGSVFPKVRITASRDSQMQNPYSRHSSMPRPDY
NP_173226.2 324 703 0.0697392105263158 PF01061.24:ABC2_membrane:60:266 ABC transporter G family member 11; ABC transporter ABCG.11; AtABCG11; Protein CUTICULAR DEFECT AND ORGAN FUSION 1; Protein DESPERADO; Protein PERMEABLE LEAVES 1; White-brown complex homolog protein 11; AtWBC11 703 207 24 243 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RXN0 1 SwissProt FEASDDPLEKITTAEAIRLLVDYYHTSDYYYTAKAKVEEISQFKGTILDSGGSQASFLLQTYTLTKRSFINMSRDFGYYWLRLLIYILVTVCIGTIYLNVGTSYSAILARGSCASFVFGFVTFMSIGGFPSFVEDMKVFQRERLNGHYGVAAFVIANTLSATPFLIMITFISGTICYFMVGLHPGFTHYLFFVLCLYASVTVVESLMMAIASIVPNFLMGIIIGAGIQGIFMLVSGFFRLPNDIPKPFWRYPMSYISFHFWALQGQYQNDLRGLTFDSQGSAFKIPGEYVLENVFQIDLHRSKWINLSVILSMIIIYRIIFFIMIKTNEDVTPWVRGYIARRRMKQKNGTQNTTVAPDGLTQSPSLRNYIATRTDGARRW
NP_175530.2 1 568 0.473520422535211 PF00847.20:AP2:190:248,PF00847.20:AP2:293:342 AP2-like ethylene-responsive transcription factor PLT2; Protein AINTEGUMENTA-LIKE 4; Protein PLETHORA 2 568 109 24 568 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5YGP7 1 SwissProt MNSNNWLAFPLSPTHSSLPPHIHSSQNSHFNLGLVNDNIDNPFQNQGWNMINPHGGGGEGGEVPKVADFLGVSKSGDHHTDHNLVPYNDIHQTNASDYYFQTNSLLPTVVTCASNAPNNYELQESAHNLQSLTLSMGSTGAAAAEVATVKASPAETSADNSSSTTNTSGGAIVEATPRRTLETFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEEKAARAYDLAALKYWGPSTTTNFPITNYEKEVEEMKNMTRQEFVASIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKAILESNTLPIGGGAAKRLKEAQALESSRKREEMIALGSNFHQYGAASGSSSVASSSRLQLQPYPLSIQQPFEHLHHHQPLLTLQNNNDISQYHDSFSYIQTQLHLHQQQTNNYLQSSSHTSQLYNAYLQSNPGLLHGFVSDNNNTSGFLGNNGIGIGSSSTVGSSAEEEFPAVKVDYDMPPSGGATGYGGWNSGESAQGSNPGGVFTMWNE
NP_176132.1 1 485 0.0801917525773195 PF01490.18:Aa_trans:37:471 Amino acid permease 1; Amino acid transporter AAP1; Neutral amino acid transporter II 485 435 24 281 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42400 1 SwissProt MKSFNTEGHNHSTAESGDAYTVSDPTKNVDEDGREKRTGTWLTASAHIITAVIGSGVLSLAWAIAQLGWIAGTSILLIFSFITYFTSTMLADCYRAPDPVTGKRNYTYMDVVRSYLGGRKVQLCGVAQYGNLIGVTVGYTITASISLVAVGKSNCFHDKGHTADCTISNYPYMAVFGIIQVILSQIPNFHKLSFLSIMAAVMSFTYATIGIGLAIATVAGGKVGKTSMTGTAVGVDVTAAQKIWRSFQAVGDIAFAYAYATVLIEIQDTLRSSPAENKAMKRASLVGVSTTTFFYILCGCIGYAAFGNNAPGDFLTDFGFFEPFWLIDFANACIAVHLIGAYQVFAQPIFQFVEKKCNRNYPDNKFITSEYSVNVPFLGKFNISLFRLVWRTAYVVITTVVAMIFPFFNAILGLIGAASFWPLTVYFPVEMHIAQTKIKKYSARWIALKTMCYVCLIVSLLAAAGSIAGLISSVKTYKPFRTMHE
NP_179913.1 1 226 0.505109734513274 PF10533.9:Plant_zn_clust:190:226 Probable WRKY transcription factor 15; WRKY DNA-binding protein 15 317 37 24 226 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22176 1 SwissProt MAVELMTRNYISGVGADSFAVQEAAASGLKSIENFIGLMSRDSFNSDQPSSSSASASASAAADLESARNTTADAAVSKFKRVISLLDRTRTGHARFRRAPVHVISPVLLQEEPKTTPFQSPLPPPPQMIRKGSFSSSMKTIDFSSLSSVTTESDNQKKIHHHQRPSETAPFASQTQSLSTTVSSFSKSTKRKCNSENLLTGKCASASSSGRCHCSKKRKIKQRRII
NP_181500.1 104 257 0.232985714285714 PF01357.21:Pollen_allerg_1:61:138,PF03330.18:DPBB_1:1:50 Expansin-A4; AtEXPA4; Alpha-expansin-4; At-EXP4; AtEx4; Ath-ExpAlpha-1.6 257 128 24 154 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48818 1 SwissProt ITATNFCPPNLAQPSDNGGWCNPPREHFDLAMPVFLKIAQYRAGIVPVSYRRVPCRKRGGIRFTINGHRYFNLVLITNVAGAGDIVRASVKGSRTGWMSLSRNWGQNWQSNAVLVGQALSFRVTGSDRRTSTSWNMVPSNWQFGQTFVGKNFRV
NP_181668.1 1 258 0.231493410852713 PF00651.31:BTB:17:117,PF11900.8:DUF3420:204:258 Regulatory protein NPR5; BTB/POZ domain-containing protein NPR5; Protein BLADE ON PETIOLE 2 491 156 24 258 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVC2 1 SwissProt MSNLEESLRSLSLDFLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCGTDSPQPVTGIDPTQHGSVPASPTRGSTAPAGIIPVNSVGYEVFLLLLQFLYSGQVSIVPQKHEPRPNCGERGCWHTHCSAAVDLALDTLAASRYFGVEQLALLTQKQLASMVEKASIEDVMKVLIASRKQDMHQLWTTCSHLVAKSGLPPEILAKHLPIDVVTKIEELRLKSSIARRSLMPHNHHHDLSVAQDLEDQKIRR
NP_188457.1 1 1072 0.23067322761194 PF01814.23:Hemerythrin:59:185,PF01814.23:Hemerythrin:313:447,PF01814.23:Hemerythrin:662:825,PF05495.12:zf-CHY:1006:1063 Zinc finger protein BRUTUS; Protein EMBRYO DEFECTIVE 2454 1254 484 24 1072 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LPQ5 1 SwissProt MATPLPDFETARGGGAVASSSTTVLPSSVSSSSSSSRPLPVANSFSDDAEEISPILIFLFFHKAVCSELEALHRLALEFATGHHVDLRLLRERYRFLRSIYKHHCNAEDEVIFSALDIRVKNVAQTYSLEHKGESNLFDHLFELLNSATETDESYRRELARSTGALQTSVSQHLAKEQKQVFPLLIEKFKYEEQAYIVWRFLCSIPVNMLAVFLPWISSSISVDESKEMQTCLKKIVPGEKLLQQVIFTWLGGKSNTVASCRIEDSMFQCCLDSSSSMLPCKASREQCACEGSKIGKRKYPELTNFGSSDTLHPVDEIKLWHKSINKEMKEIADEARKIQLSGDFSDLSAFDERLQYIAEVCIFHSLAEDKIIFPAVDGEFSFSEEHDEEENQFNEFRCLIENIKSAGASSTSAAEFYTKLCSHADQIMETIQRHFHNEEIQVLPLARKNFSFKRQQELLYQSLCIMPLRLIERVLPWLTASLTEDEAKNFLKNLQAGAPKSDVALVTLFSGWACKGRKAGECLSPNGNGLCPVKTLSNIKEVNLQSCNACASVPCTSRSTKSCCQHQDKRPAKRTAVLSCEKKTTPHSTEVANGCKPSGNGRSCCVPDLGVNNNCLELGSLPAAKAMRSSSLNSAAPALNSSLFIWEMDSNSFGTGHAERPVATIFKFHKAISKDLEFLDVESGKLIDCDGTFIRQFIGRFHLLWGFYKAHSNAEDDILFPALESKETLHNVSHSYTLDHKQEEKLFGDIYSVLTELSILHEKLQSDSMMEDIAQTDTVRTDIDNGDCNKKYNELATKLQGMCKSIKITLDQHIFLEELELWPLFDKHFSIQEQDKIVGRIIGTTGAEVLQSMLPWVTSALSEDEQNRMMDTWKQATKNTMFDEWLNECWKGSPDSSSTETSKPSPQKDNDHQEILDQSGELFKPGWKDIFRMNQNELEAEIRKVYQDSTLDPRRKDYLVQNWRTSRWIAAQQKLPKEAETAVNGDVELGCSPSFRDPEKQIYGCEHYKRNCKLRAACCDQLFTCRFCHDKVSDHSMDRKLVTEMLCMRCLKVQPVGPICTTPSCDGFPMA
NP_191820.1 117 343 0.517310572687225 Transcription factor MYB11; Myb-related protein 11; AtMYB11; Protein PRODUCTION OF FLAVONOL GLYCOSIDES 2 343 0 24 227 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZK4 1 SwissProt HGYFRKPTVANTVENAPPPPKRRPGRTSRSAMKPKFILNPKNHKTPNSFKANKSDIVLPTTTIENGEGDKEDALMVLSSSSLSGAEEPGLGPCGYGDDGDCNPSINGDDGALCLNDDIFDSCFLLDDSHAVHVSSCESNNVKNSEPYGGMSVGHKNIETMADDFVDWDFVWREGQTLWDEKEDLDSVLSRLLDGEEMESEIRQRDSNDFGEPLDIDEENKMAAWLLS
NP_195179.2 1 335 0.45983671641791 PF00010.26:HLH:182:229 Transcription factor bHLH63; Basic helix-loop-helix protein 63; AtbHLH63; bHLH 63; Protein CRYPTOCHROME INTERACTING BASIC-HELIX-LOOP-HELIX 1; Transcription factor EN 84; bHLH transcription factor bHLH063 335 48 24 335 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GY61 1 SwissProt MNGAIGGDLLLNFPDMSVLERQRAHLKYLNPTFDSPLAGFFADSSMITGGEMDSYLSTAGLNLPMMYGETTVEGDSRLSISPETTLGTGNFKKRKFDTETKDCNEKKKKMTMNRDDLVEEGEEEKSKITEQNNGSTKSIKKMKHKAKKEENNFSNDSSKVTKELEKTDYIHVRARRGQATDSHSIAERVRREKISERMKFLQDLVPGCDKITGKAGMLDEIINYVQSLQRQIEFLSMKLAIVNPRPDFDMDDIFAKEVASTPMTVVPSPEMVLSGYSHEMVHSGYSSEMVNSGYLHVNPMQQVNTSSDPLSCFNNGEAPSMWDSHVQNLYGNLGV
NP_207319.1 1 169 0.109108284023669 PF01464.20:SLT:36:148 cag pathogenicity island protein cag4 169 113 24 169 0 Helicobacter pylori 26695 NP_207319.1 1 RefSeq MFEKWIGLTLLLNSLAYPCQKVTISFKQYENLLHIHQKGCDNEVMCRTLISIALLESSLGLNNRREKSLKDTSYSMFHITLNTAKKFYPTYSKTLLKFKLLNDVGFAIQLAKQILKENFDYYKQKHPNKSVYQLVEMAIGAYNGGMKHNPNGAYVKKFRCIYSQVRYNE
NP_207320.1 1 748 0.146886497326203 PF02534.14:T4SS-DNA_transf:177:713,PF12696.7:TraG-D_C:545:668,PF10412.9:TrwB_AAD_bind:510:670 type IV secretion system protein 748 537 24 657 4 Helicobacter pylori 26695 NP_207320.1 1 RefSeq MEDFLYNTLYFIEDYKLVVIFSFIGLIALFFLYKFIKAQKKAFKDKANQPQKKKSFKEIIIDGLKERVKTFGFWLQAILLLSYSFITSGLFFLILLGNFYDDNRSPESDDDLFDIWIYAIQDFPNYYFKALGFSSLKIYGFNISLVVYGSILCSYIFITFFVWFLKYLTRTRDIGANKKVDDLFGSASWETEEKMIKAKLITPNNKKRAFDKREVIVGRRGLGDFIAYAGQAFIGLIAPTRSGKGVGFIMPNMINYPQNIVVFDPKADTMETCGKIREKRFNQKVFIYEPFSLKTHRFNPFAYVDFGNDVVLTEDILSQIDTRLKGHGMVASGGDFSTQIFGLAKLVFPERPNEKDPFFSNQARNLFVINCNIYRDLMWTKKGLEFVKRKKIIMPETPTMFFIGSMASGINLIDEDTNMEKVVSLMEFFGGEEDKSGDNLRVLSPATRNMWNSFKTMGGARETYSSVQGVYTSAFAPYNNAMIRNFTSANDFDFRRLRIDEVSIGVIANPKESTIVGPILELFFNVMIYSNLILPIHDPQCKRSCLMLMDEFTLCGYLETFVKAVGIMAEYNMRPAFVFQSKAQLENDPPLGYGRNGAKTILDNLSLNMYYGINNDNYYEHFEKLSKVLGKYTRQDVSRSIDDNTGKTNTSISNKERFLMTPDELMTMGDELIILENTLKPIKCHKALYYDDPFFTDELIKVSPSLSKKYKLGKVPNQATFYDDLQAAKTRGELSYDKSLVPVGSSEL
NP_216026.1 1 432 0.0653243055555556 hypothetical protein 432 0 24 208 10 Mycobacterium tuberculosis H37Rv NP_216026.1 1 RefSeq MYERRHERGMCDRAVEMTDVGATAAPTGPIARGSVARVGAATALAVACVYTVIYLAARDLPPACFSIFAVFWGALGIATGATHGLLQETTREVRWVRSTQIVAGHRTHPLRVAGMIGTVAAVVIAGSSPLWSRQLFVEGRWLSVGLLSVGVAGFCAQATLLGALAGVDRWTQYGSLMVTDAVIRLAVAAAAVVIGWGLAGYLWAATAGAVAWLLMLMASPTARSAASLLTPGGIATFVRGAAHSITAAGASAILVMGFPVLLKVTSDQLGAKGGAVILAVTLTRAPLLVPLSAMQGNLIAHFVDRRTQRLRALIAPALVVGGIGAVGMLAAGLTGPWLLRVGFGPDYQTGGALLAWLTAAAVAIAMLTLTGAAAVAAALHRAYLLGWVSATVASTLLLLLPMPLETRTVIALLFGPTVGIAIHVAALARRPD
NP_218004.3 123 277 0.371768387096774 PF07859.13:Abhydrolase_3:28:100 Carboxylesterase LipF; EC 3.1.1.1 277 73 24 155 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O06350 1 SwissProt AKGPKQDHPNIGTDAMFPARAFDALAAWVRAAAAKNMVDGRPEDLYEPLDHIESSLPPTLIHVSGSEVLLHDAQLGAGKLAAAGVCAEVRVWPGQAHLFQLATPLVPEATRSLRQIGQFIRDATADSSLSPVHRSRYVAGSPRAASRGAFGQSPI
NP_218394.1 110 511 0.0599808457711442 ESX-1 secretion system protein EccD1; ESX conserved component D1; Snm4 secretory protein; Type VII secretion system protein EccD1; T7SS protein EccD1 511 0 24 157 11 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNQ7 1 SwissProt VSRTERYRPLVEDVIDAIAVLDESPEFDRTALNRFVGAAIPLLTAPVIGMAMRAWWETGRSLWWPLAIGILGIAVLVGSFVANRFYQSGHLAECLLVTTYLLIATAAALAVPLPRGVNSLGAPQVAGAATAVLFLTLMTRGGPRKRHELASFAVITAIAVIAAAAAFGYGYQDWVPAGGIAFGLFIVTNAAKLTVAVARIALPPIPVPGETVDNEELLDPVATPEATSEETPTWQAIIASVPASAVRLTERSKLAKQLLIGYVTSGTLILAAGAIAVVVRGHFFVHSLVVAGLITTVCGFRSRLYAERWCAWALLAATVAIPTGLTAKLIIWYPHYAWLLLSVYLTVALVALVVVGSMAHVRRVSPVVKRTLELIDGAMIAAIIPMLLWITGVYDTVRNIRF
NP_220139.1 1 348 0.537102586206897 hypothetical protein 647 0 24 348 0 Chlamydia trachomatis D/UW-3/CX NP_220139.1 1 RefSeq MESGPESVSSNQSSMNPIINGQIASNSETKESTKESEASPSASSSVSSWSFLSSAKHALISLRDAILNKNSSPTDSLSQLEASTSTSTVTRVAARDYNEAKSNFDTAKSGLENATTLAEYETKMADLMAALQDMERLAKQKAEVTRIKEALQEKQEVIDKLNQLVKLEKQNQTLKETLTTTDSADQIPAINSQLEINKNSADQIIKDLEGQNISYEAVLTNAGEVIKASSEAGIKLGQALQSIVDAGDQSQAAVLQAQQNNSPDNIAATKKLIDAAETKVNELKQEHTGLTDSPLVKKAEEQISQAQKDIQEIKPSGSDIPIVGPSGSAASAGSAVGALKSSNNSGRI
NP_253465.1 1 239 0.0988548117154811 two-component regulator system signal sensor kinase PmrB 477 0 24 193 2 Pseudomonas aeruginosa PAO1 NP_253465.1 1 RefSeq MSRAAVPSVRRRLLVNLLVGFVLCWLSVAALTYHLSLKQVNRLFDDDMVDFGEAALRLLDLATEDQAGEDGSITEIIERSREAIQGLPLLRRESALGYALWRDGQPLLSSLNLPPEITAQGPGFSTVEAQGTHWRVLQLNIDGFQIWISENLIYRQHTMNLLLFYSLFPLLLALPLLGGLVWFGVARGLAPLREVQAEVQQRSARHLQPIAVEAVPLEIRGLIDELNLLLERLRTALEA
NP_444277.2 1 119 0.456447058823529 Urocortin-3; Stresscopin; Urocortin III; Ucn III 161 0 24 119 0 Homo sapiens (Human) SwissProt::Q969E3 1 SwissProt MLMPVHFLLLLLLLLGGPRTGLPHKFYKAKPIFSCLNTALSEAEKGQWEDASLLSKRSFHYLRSRDASSGEEEEGKEKKTFPISGARGGARGTRYRYVSQAQPRGKPRQDTAKSPHRTK
NP_444282.3 1 481 0.733469438669438 Cation channel sperm-associated protein 1; CatSper1; hCatSper 780 0 24 481 0 Homo sapiens (Human) SwissProt::Q8NEC5 1 SwissProt MDQNSVPEKAQNEADTNNADRFFRSHSSPPHHRPGHSRALHHYELHHHGVPHQRGESHHPPEFQDFHDQALSSHVHQSHHHSEARNHGRAHGPTGFGLAPSQGAVPSHRSYGEDYHDELQRDGRRHHDGSQYGGFHQQSDSHYHRGSHHGRPQYLGENLSHYSSGVPHHGEASHHGGSYLPHGPNPYSESFHHSEASHLSGLQHDESQHHQVPHRGWPHHHQVHHHGRSRHHEAHQHGKSPHHGETISPHSSVGSYQRGISDYHSEYHQGDHHPSEYHHGDHPHHTQHHYHQTHRHRDYHQHQDHHGAYHSSYLHGDYVQSTSQLSIPHTSRSLIHDAPGPAASRTGVFPYHVAHPRGSAHSMTRSSSTIRSRVTQMSKKVHTQDISTKHSEDWGKEEGQFQKRKTGRLQRTRKKGHSTNLFQWLWEKLTFLIQGFREMIRNLTQSLAFETFIFFVVCLNTVMLVAQTFAEVEIRGEWYFM
NP_444323.1 1 128 0.33847109375 Tachykinin-4; Preprotachykinin-C; PPT-C 128 0 24 128 0 Mus musculus (Mouse) SwissProt::Q99N14 1 SwissProt MLPLLALLLLIGPSVCTTAGDREELAFGAEAESWVTVNLKGIPVPSIELKLQELKRSRTRQFYGLMGKRVGGYQLGRIVQDLLGTRGLSIEGTCRQAASQQRARPGAVTRESLQSREEDEAPLTTSNV
NP_523723.1 1 453 0.555447019867549 PF07545.14:Vg_Tdu:282:311 Protein vestigial 453 30 24 453 0 Drosophila melanogaster (Fruit fly) SwissProt::Q26366 1 SwissProt MAVSCPEVMYGAYYPYLYGRAGTSRSFYQYERFNQDLYSSSGVNLAASSSASGSSHSPCSPILPPSVSANAAAAVAAAAHNSAAAAVAVAANQASSSGGIGGGGLGGLGGLGGGPASGLLGSNVVPGSSSVGSVGLGMSPVLSGAAGHSLHSSHRTHAHSLAHAHTHPHSHTHTHTHQTKEEDLIVPRSEAEARLVGSQQHQHHNESSCSSGPDSPRHAHSHSHPLHGGGGATGGPSSAGGTGSGGGDGGGTGAIPKNLPALETPMGSGGGGLAGSGQGQAQYLSASCVVFTNYSGDTASQVDEHFSRALNYNNKDSKESSSPMSNRNFPPSFWNSNYVHPIPAPTHHQVSDLYGTATDTGYATDPWVPHAAHYGSYAHAAHAHAAHAHAYHHNMAQYGSLLRLPQQYASHGSRLHHDQQTAHALEYSSYPTMAGLEAQVAQVQESSKDLYWF
NP_524881.2 1 1587 0.427832892249529 PF03104.19:DNA_pol_B_exo1:55:189,PF03104.19:DNA_pol_B_exo1:1363:1478 mutagen-sensitive 205 2130 251 24 1587 0 Drosophila melanogaster NP_524881.2 1 RefSeq MAAAGEAIDGVYSVRLVIADFYMEKPQFGMDPCYSELRGKEIKRVPVIRVFGGNSRGQKTCMHVHGVFPYLYIPYDKKDFESLERGILQMAMHLDKAINISLGQGSSNAQHVFKIQLVKGIPFYGYHRVEHQFLKIYMFNPRFVRRAANLLQSGAILSKNFSPHESHVPYILQFMIDYNLYGMSYVHVPLEVLKFRRNHDDDVIPYANVKQAQLLDITTAKKVACSALEVDVSSNFILNRFQLVAKSKSNHTNPGIEAIWNDEKLRRQKLVEKHTDAGDEEKAEAVPVLELPPTQERHQIEIAESDIFYRTALESKLMTLEQSTLSEQTLSDQTILPQATMQTTMPGTKAQKRRFNLQKLLANAVYPEECSQDQQQLLVNASFIQNHVTCGYSSSVSLSTSKDESDDLDETVVDEELILSLTQPHGAIPHDATLREEDLELLDALQLLEEQNESESHVDLDSSLAPLSQHKKFELTPELLDKETAATAALFDEDVDSDEDADQETRHDFSTVLDDVDELLLKLTQSQPAESKELKASSKLPQIDGADDRLQRTPIKSISSKSKSSPSKTPTTPIGQKSLPKSPRTPKTSAAKKYAPLALTIGSSSSKKSNDEFAGRPSNPRLSLQLDQGTGTGTLRPEISLRKKLAMSEMRRKSFEDSFVLLKNDCTPVRSTRRSTSNLDKTHIICSLTPRDRNPGLSDMFETEDGKQLPPKKVVRKTRWSTRNQDIESLPKAGCEIERPHRSEGSALDELKPRRSARHKVNSANPDECSSEIQTTGPRVTTTSLDRPQKKARLSQSPKENTKTSMNGTVALEKATKDSSSNSESPHQQENSVSEQIEYLESKPKKSDETARSCDEKLQRELIPQEPAGISPGDSANSTEEITFSPCHDEAIESDTESDYIVTKLRKTPNLKRLRWSIRSELLNKQFTPSSGIRPPETETTPQLSPKSNESNTPELMRSFYEHSLIVNSPSVFSDFLDSPEIHMDSPRSAPPSPDSNSFVIAPLELPPSYDEVVSGSRKMDIPEYEFQKPYYSNPSDVSKVTEVGFLVLHIPGNKLNDCDPFQSILGNDRGLASWRRRQLIAIGGLAMLQRHRGEQKVREYFSTQQRIAIEPAQLAPTWQEAKIWLKAKELLRQREEPKKSSDDIDSPIKIKRQKITMMLQAEEGDGGSGDEDAGEELDCSLSLTPLSQAKDKCKATPTSSKARETGKSRLKRGTRLSFIGSQDEEPPSSQSSEQSVSSSAAQAELDRSSFLRQLEGSSQDRQHDLSFGLSHATLDNTFGFKVNLENLQQAKADIDCNHLTIITLEVFVSTRGDLQPDPMHDEIRCLFYAIEHSLPDEKLPSKACGYIMVNTVQDLLSEGPFHGIDRDIEVQVVTSEAEAFEALLALCERWDADIYAGYEIEMSSWGYVIDRAKHLCFNIAPLLSRVPTQKVRDFVDEDREQFTDLDVEMKLCGRILLDVWRLMRSEIALTSYTFENVMYHILHKRCPWHTAKSLTEWFGSPCTRWIVMEYYLERVRGTLTLLDQLDLLGRTSEMAKLIGIQFYEVLSRGSQFRVESMMLRIAKPKNLVPLSPSVQARAHMRAPEYL
NP_563667.1 1 230 0.206980869565218 E3 ubiquitin-protein ligase BAH1; Protein BENZOIC ACID HYPERSENSITIVE 1; Protein NITROGEN LIMITATION ADAPTATION; RING-type E3 ubiquitin transferase BAH1; EC 2.3.2.27 335 0 24 230 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRX9 1 SwissProt MKFCKKYEEYMQGQKEKKNLPGVGFKKLKKILKRCRRNHVPSRISFTDAINHNCSRECPVCDGTFFPELLKEMEDVVGWFNEHAQKLLELHLASGFTKCLTWLRGNSRKKDHHGLIQEGKDLVNYALINAVAIRKILKKYDKIHESRQGQAFKTQVQKMRIEILQSPWLCELMAFHINLKESKKESGATITSPPPPVHALFDGCALTFDDGKPLLSCELSDSVKVDIDLT
NP_567493.5 1 737 0.045248303934871 PF03169.15:OPT:41:700 oligopeptide transporter 737 660 24 382 16 Arabidopsis thaliana NP_567493.5 1 RefSeq MDAEKATDKTNVHLSSDHERCPVEEVALVVPETDDPSLPVMTFRAWFLGLTSCVLLIFLNTFFTYRTQPLTISAILMQIAVLPIGKFMARTLPTTSHNLLGWSFSLNPGPFNIKEHVIITIFANCGVAYGGGDAYSIGAITVMKAYYKQSLSFICGLFIVLTTQILGYGWAGILRRYLVDPVDMWWPSNLAQVSLFRALHEKENKSKGLTRMKFFLVALGASFIYYALPGYLFPILTFFSWVCWAWPNSITAQQVGSGYHGLGVGAFTLDWAGISAYHGSPLVAPWSSILNVGVGFIMFIYIIVPVCYWKFNTFDARKFPIFSNQLFTTSGQKYDTTKILTPQFDLDIGAYNNYGKLYLSPLFALSIGSGFARFTATLTHVALFNGRDIWKQTWSAVNTTKLDIHGKLMQSYKKVPEWWFYILLAGSVAMSLLMSFVWKESVQLPWWGMLFAFALAFIVTLPIGVIQATTNQQPGYDIIGQFIIGYILPGKPIANLIFKIYGRISTVHALSFLADLKLGHYMKIPPRCMYTAQLVGTVVAGVVNLGVAWWMLESIQDICDIEGDHPNSPWTCPKYRVTFDASVIWGLIGPRRLFGPGGMYRNLVGLFLIGAVLPVPVWALSKIFPNKKWIPLINIPVISYGFAGMPPATPTNIASWLVTGTIFNYFVFNYHKRWWQKYNYVLSAALDAGTAFMGVLLFFALQNAGHDLKWWGTEVDHCPLASCPTAPGIKAKGCPVF
NP_567517.1 1 440 0.297102272727273 PF09733.9:VEFS-Box:251:386 Polycomb group protein VERNALIZATION 2 440 136 24 440 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W5B1 1 SwissProt MCRQNCRAKSSPEEVISTDENLLIYCKPVRLYNIFHLRSLGNPSFLPRCLNYKIGAKRKRKSRSTGMVVFNYKDCNNTLQRTEVREDCSCPFCSMLCGSFKGLQFHLNSSHDLFEFEFKLLEEYQTVNVSVKLNSFIFEEEGSDDDKFEPFSLCSKPRKRRQRGGRNNTRRLKVCFLPLDSPSLANGTENGIALLNDGNRGLGYPEATELAGQFEMTSNIPPAIAHSSLDAGAKVILTTEAVVPATKTRKLSAERSEARSHLLLQKRQFYHSHRVQPMALEQVMSDRDSEDEVDDDVADFEDRQMLDDFVDVNKDEKQFMHLWNSFVRKQRVIADGHISWACEVFSRFYEKELHCYSSLFWCWRLFLIKLWNHGLVDSATINNCNTILENCRNTSVTNNNNNSVDHPSDSNTNNNNIVDHPNDIKNKNNVDNKDNNSRDK
NP_567722.1 105 686 0.238300859106529 PF01852.19:START:112:332 Homeobox-leucine zipper protein HDG6; HD-ZIP protein HDG6; Homeobox protein FWA; Homeodomain GLABRA 2-like protein 6; Homeodomain transcription factor HDG6; Protein HOMEODOMAIN GLABROUS 6 686 221 24 582 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FVI6 1 SwissProt VTLREEHDRLLATQDQLRSAMLRSLCNICGKATNCGDTEYEVQKLMAENANLEREIDQFNSRYLSHPKQRMVSTSEQAPSSSSNPGINATPVLDFSGGTRTSEKETSIFLNLAITALRELITLGEVDCPFWMIDPIVRSKGVSKIYEKYRSSFNNVTKPPGQIVEASRAKGLVPMTCVTLVKTLMDTGKWVNVFAPIVPVASTHKVLSTGSGGTKSGSLQQIQAEFQVISPLVPKRKVTFIRYCKEIRQGLWVVVDVTPTQNPTLLPYGCSKRLPSGLIIDDLSNGYSQVTWIEQAEYNESHIHQLYQPLIGYGIGLGAKRWLATLQRHCESLSTLSSTNLTEISPGLSAKGATEIVKLAQRMTLNYYRGITSPSVDKWQKIQVENVAQNMSFMIRKNVNEPGELTGIVLSASTSVWLPVNQHTLFAFISHLSFRHEWDILTNDTTMEETIRIQKAKRHGNIISLLKIVNNGMLVLQEIWNDASGAMVVYAPVETNSIELVKRGENSDSVKFLPSGFSIVPDGVNGSYHRGNTGGGCLLTFGLQILVGINPTAALIQGTVKSVETLMAHTIVKIKSALDLQT
NP_567906.1 1 488 0.277749385245902 PF14249.6:Tocopherol_cycl:89:445 Tocopherol cyclase, chloroplastic; Sucrose export defective 1; Vitamin E pathway gene 1 protein; EC 5.5.1.24 488 357 24 488 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94FY7 1 SwissProt MEIRSLIVSMNPNLSSFELSRPVSPLTRSLVPFRSTKLVPRSISRVSASISTPNSETDKISVKPVYVPTSPNRELRTPHSGYHFDGTPRKFFEGWYFRVSIPEKRESFCFMYSVENPAFRQSLSPLEVALYGPRFTGVGAQILGANDKYLCQYEQDSHNFWGDRHELVLGNTFSAVPGAKAPNKEVPPEEFNRRVSEGFQATPFWHQGHICDDGRTDYAETVKSARWEYSTRPVYGWGDVGAKQKSTAGWPAAFPVFEPHWQICMAGGLSTGWIEWGGERFEFRDAPSYSEKNWGGGFPRKWFWVQCNVFEGATGEVALTAGGGLRQLPGLTETYENAALVCVHYDGKMYEFVPWNGVVRWEMSPWGYWYITAENENHVVELEARTNEAGTPLRAPTTEVGLATACRDSCYGELKLQIWERLYDGSKGKVILETKSSMAAVEIGGGPWFGTWKGDTSNTPELLKQALQVPLDLESALGLVPFFKPPGL
NP_610216.1 1 1688 0.430032642180095 PF01388.21:ARID:67:152,PF02257.15:RFX_DNA_binding:678:736 brahma associated protein 170kD 1688 145 24 1688 0 Drosophila melanogaster NP_610216.1 1 RefSeq MSATTIDNVRVLLQSLPAAAVNSATQPGDLQTPGKIAAPATPLRAKNPAQLQIMPEKVEEMPPSPPEEFWRDLQQFHERRGTPLTQPARISGKHVDLYKLYNEVTERGGFNKVTMRDEWDEVYSAMETLRERCVNGTASIKHIYRRYLDKYERLNFFGEDPDKMEALEAAIEIVEMGGGRSRSRFLAGAGGGLGSIFGSAHSTLPAVPMAYNQRQHSVNVERRRQYKMSTQLHRHSSYEKLLLSLLSPLPNEQDFAINVCTLMANEARHTLQLSECPKLLDVLLAHLGVYADFTMRQLFQHSYTEVRHHSQLSFWRDLLHDKPQVLELYTDEQAWLDNGLISKEDGENSAARSELLAACDELHFLNLRRSNGTDERMGQRVLQIVQLVRTLSFHQENHALLASNRTLWRYLVMGANVRWSNIHIQALETAGNLAQQFELLDPTTDELSRNLLATLCEGIDSNDRAVIMSCLEILYKLCGREGNSQHINRCLGLDFYQRAMLLLSLTDVMMIIFTLEAVYALSALGARPCSMLMQVRGLIDQLVTLITVEAQSYGTGGCILMRVVEVFPGNMAASLAQNMPAILAPGPTSLTTLPTSLQVAVKPPAVLPTPTPAQTQVLPHGEQTPLVTALPGLPMPSLPQVQLPVPSLPQVQLPPIAATVTLPSLPSASQSFTHEDEQYALAWLGATYERAGNGNDLRVEQAELYRIYLSHCQKAGKLSVVNHMQFPRLVRLIFNQSVGPVIVRHLDGIELPGTYYVGIRMRAQPLAMQQRPTPSIVPVKKDTPILPKQPFSDPVQTEVASEESVSSAVTPPPSSSLIKSLLANKVTERQQKQKAQAQTPQPPALVATTASGTNATQPIKVTSTAISAFVNNPLMQHTPVKVGQTTIKPLHPQMAIEKKPITDSAPPPLAPLSGANVVTKDASGRTLIIASAAAKRKLTIDEEQNKRLALDAAASSSSSSSSGGKEEAQVTPSKNAANLYADLAASILEDEDMDDVPPLAKPSQEQSQQHPQQAQQLQLIPAKVQPTQRQLVFPGSNVVSPAPHSQLKLATTATIKTDQGLQTVPVILQPKTAMDSTPAPQTQYVLATNQQGQTYLVAQQPTIPTSTQSAPPTLLVTQTPQQQTKTIIILQQPGGVSAANVAGTQKMIMTTAQGQQVLVTTTTAPQLAQPRTSTPQQQIFIAPQRPVPALGAGQMSPSLLSQLNQIPATIKLHQPQPQPQLVSPQLAPQQRLVAAKPGASLPIPQLQQHQSIIQQHIISGPSTPTSTVGGTVGGEKRQVILGGIKETTLITQTSATPAPLQQSQLNSQTIITQSPTSTAIVGGVALQQQHHIRSVLDQQQQHHPSIIQQKITMPAISEATKPKIAPVPVSPTVTLLAKKPAPPQPAQVSSPKPSVTQAVVVTTSGTSDSTEGGKTVVLATSEAKPAESEVVAQTVVPPAVVTTGPSSSSLVVSQSVYAASGPNANPTPSPMPVDANWLYICDWRNCPRKKFKSLNELQYHVCSVHCPDHLDSDADIYCQWGSGPNFCDNRARKRYSLMTHLIDRHLTTENLRASVQRRLATGIHNVAPTQAPVTIVRNEGHAQRLAGGATGSPSGPASAVPVVGSAAMQAMNRHTTDYTNAKELMDENEGPVTKSIRLTAALIIRNLVTYSATAKRSLKRYESRLANVAFSNVEASGVLSHIMYELSQ
NP_690000.3 58 680 0.728882664526484 TGF-beta-activated kinase 1 and MAP3K7-binding protein 3; Mitogen-activated protein kinase kinase kinase 7-interacting protein 3; NF-kappa-B-activating protein 1; TAK1-binding protein 3; TAB-3; TGF-beta-activated kinase 1-binding protein 3 712 0 24 623 0 Homo sapiens (Human) SwissProt::Q8N5C8 1 SwissProt YHSPDDNRMNRNRLLHINLGIHSPSSYHPGDGAQLNGGRTLVHSSSDGHIDPQHAAGKQLICLVQEPHSAPAVVAATPNYNPFFMNEQNRSAATPPSQPPQQPSSMQTGMNPSAMQGPSPPPPPPSYMHIPRYSTNPITVTVSQNLPSGQTVPRALQILPQIPSNLYGSPGSIYIRQTSQSSSGRQTPQSTPWQSSPQGPVPHYSQRPLPVYPHQQNYQPSQYSPKQQQIPQSAYHSPPPSQCPSPFSSPQHQVQPSQLGHIFMPPSPSTTPPHPYQQGPPSYQKQGSHSVAYLPYTASSLSKGSMKKIEITVEPSQRPGTAINRSPSPISNQPSPRNQHSLYTATTPPSSSPSRGISSQPKPPFSVNPVYITYTQPTGPSCTPSPSPRVIPNPTTVFKITVGRATTENLLNLVDQEERSAAPEPIQPISVIPGSGGEKGSHKYQRSSSSGSDDYAYTQALLLHQRARMERLAKQLKLEKEELERLKSEVNGMEHDLMQRRLRRVSCTTAIPTPEEMTRLRSMNRQLQINVDCTLKEVDLLQSRGNFDPKAMNNFYDNIEPGPVVPPKPSKKDSSDPCTIERKARRISVTSKVQADIHDTQAAAADEHRTGSTQSPRTQPRDE
NP_740753.1 1 79 0.165967088607595 DNA-directed RNA polymerase I subunit RPA12 126 0 24 79 0 Homo sapiens NP_740753.1 1 RefSeq MSVMDLANTCSSFQSDLDFCSDCGSVLPLPGAQDTVTCIRCGFNINVRDFEGKVVKTSVVFHQLGTAMPMSVEEGPECQ
NP_775924.1 251 645 0.190485316455696 PF00999.21:Na_H_Exchanger:14:236 Sodium/hydrogen exchanger 9; Na(+)/H(+) exchanger 9; NHE-9; Solute carrier family 9 member 9 645 223 24 280 5 Homo sapiens (Human) SwissProt::Q8IVB4 1 SwissProt TYSISIYSPKENPNAFDAAAFFQSVGNFLGIFAGSFAMGSAYAIITALLTKFTKLCEFPMLETGLFFLLSWSAFLSAEAAGLTGIVAVLFCGVTQAHYTYNNLSSDSKIRTKQLFEFMNFLAENVIFCYMGLALFTFQNHIFNALFILGAFLAIFVARACNIYPLSFLLNLGRKQKIPWNFQHMMMFSGLRGAIAFALAIRNTESQPKQMMFTTTLLLVFFTVWVFGGGTTPMLTWLQIRVGVDLDENLKEDPSSQHQEANNLDKNMTKAESARLFRMWYSFDHKYLKPILTHSGPPLTTTLPEWCGPISRLLTSPQAYGEQLKEDDVECIVNQDELAINYQEQASSPCSPPARLGLDQKASPQTPGKENIYEGDLGLGGYELKLEQTLGQSQLN
NP_848521.1 1 94 0.131231914893617 PF14672.6:LCE:19:94 Late cornified envelope protein 3C; Late envelope protein 15; Small proline-rich-like epidermal differentiation complex protein 3A 94 76 24 94 0 Homo sapiens (Human) SwissProt::Q5T5A8 1 SwissProt MSCQQNQQQCQPPPSCPSPKCPPKSPAQCLPPPSSDCALSSGGCGPSSESGCCLSHHRHFRSHQCRRQRSNSCDRGSGQQGGGSCRGHGSGGCC
NP_954640.1 1 237 0.599335864978903 protein TMEPAI isoform c precursor 237 0 24 237 0 Homo sapiens NP_954640.1 1 RefSeq MMVMVVVITCLLSHYKLSARSFISRHSQGRRREDALSSEGCLWPSESTVSGNGIPEPQVYAPPRPTDRLAVPPFAQRERFHRFQPTYPYLQHEIDLPPTISLSDGEEPPPYQGPCTLQLRDPEQQLELNRESVRAPPNRTIFDSDLMDSARLGGPCPPSSNSGISATCYGSGGRMEGPPPTYSEVIGHYPGSSFQHQQSSGPPSLLEGTRLHHTHIAPLESAAIWSKEKDKQKGHPL
O14863 1 429 0.159624708624709 PF01545.21:Cation_efflux:114:332 Zinc transporter 4; ZnT-4; Solute carrier family 30 member 4 429 219 24 294 6 Homo sapiens (Human) SwissProt::O14863 1 SwissProt MAGSGAWKRLKSMLRKDDAPLFLNDTSAFDFSDEAGDEGLSRFNKLRVVVADDGSEAPERPVNGAHPTLQADDDSLLDQDLPLTNSQLSLKVDSCDNCSKQREILKQRKVKARLTIAAVLYLLFMIGELVGGYIANSLAIMTDALHMLTDLSAIILTLLALWLSSKSPTKRFTFGFHRLEVLSAMISVLLVYILMGFLLYEAVQRTIHMNYEINGDIMLITAAVGVAVNVIMGFLLNQSGHRHSHSHSLPSNSPTRGSGCERNHGQDSLAVRAAFVHALGDLVQSVGVLIAAYIIRFKPEYKIADPICTYVFSLLVAFTTFRIIWDTVVIILEGVPSHLNVDYIKEALMKIEDVYSVEDLNIWSLTSGKSTAIVHIQLIPGSSSKWEEVQSKANHLLLNTFGMYRCTIQLQSYRQEVDRTCANCQSSSP
O60635 110 241 0.128465151515151 PF00335.20:Tetraspanin:16:129 Tetraspanin-1; Tspan-1; Tetraspan NET-1; Tetraspanin TM4-C 241 114 24 109 1 Homo sapiens (Human) SwissProt::O60635 1 SwissProt YTTMAEHFLTLLVVPAIKKDYGSQEDFTQVWNTTMKGLKCCGFTNYTDFEDSPYFKENSAFPPFCCNDNVTNTANETCTKQKAHDQKVEGCFNQLLYDIRTNAVTVGGVAAGIGGLELAAMIVSMYLYCNLQ
O80837 1 190 0.572281052631579 PF03763.13:Remorin_C:80:184,PF03766.13:Remorin_N:30:76 Remorin; DNA-binding protein 190 152 24 190 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80837 1 SwissProt MAEEQKTSKVDVESPAVLAPAKEPTPAPVEVADEKIHNPPPVESKALAVVEKPIEEHTPKKASSGSADRDVILADLEKEKKTSFIKAWEESEKSKAENRAQKKISDVHAWENSKKAAVEAQLRKIEEKLEKKKAQYGEKMKNKVAAIHKLAEEKRAMVEAKKGEELLKAEEMGAKYRATGVVPKATCGCF
O82132 136 335 0.5017325 Dehydration-responsive element-binding protein 2A; Protein DREB2A 335 0 24 200 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82132 1 SwissProt RSDASEVTSTSSQSEVCTVETPGCVHVKTEDPDCESKPFSGGVEPMYCLENGAEEMKRGVKADKHWLSEFEHNYWSDILKEKEKQKEQGIVETCQQQQQDSLSVADYGWPNDVDQSHLDSSDMFDVDELLRDLNGDDVFAGLNQDRYPGNSVANGSYRPESQQSGFDPLQSLNYGIPPFQLEGKDGNGFFDDLSYLDLEN
P07261 1 785 0.417558216560509 PF12550.8:GCR1_C:638:709 Glycolytic genes transcriptional activator GCR1 785 72 24 785 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P07261 1 SwissProt MVCTSTSSNFYSIAQYILQSYFKVNVDSLNSLKLVDLIVDQTYPDSLTLRKLNEGATGQPYDYFNTVSRDADISKCPIFALTIFFVIRWSHPNPPISIENFTTVPLLDSNFISLNSNPLLYIQNQNPNSNSSVKVSRSQTFEPSKELIDLVFPWLSYLKQDMLLIDRTNYKLYSLCELFEFMGRVAIQDLRYLSQHPLLLPNIVTFISKFIPELFQNEEFKGIGSIKNSNNNALNNVTGIETQFLNPSTEEVSQKVDSYFMELSKKLTTENIRLSQEITQLKADMNSVGNVCNQILLLQRQLLSGNQAIGSKSENIVSSTGGGILILDKNSINSNVLSNLVQSIDPNHSKPNGQAQTHQRGPKGQSHAQVQSTNSPALAPINMFPSLSNSIQPMLGTLAPQPQDIVQKRKLPLPGSIASAATGSPFSPSPVGESPYSKRFKLDDKPTPSQTALDSLLTKSISSPRLPLSTLANTAVTESFRSPQQFQHSPDFVVGGSSSSTTENNSKKVNEDSPSSSSKLAERPRLPNNDSTTSMPESPTEVAGDDVDREKPPESSKSEPNDNSPESKDPEKNGKNSNPLGTDADKPVPISNIHNSTEAANSSGTVTKTAPSFPQSSSKFEIINKKDTKAGPNEAIKYKLSRENKTIWDLYAEWYIGLNGKSSIKKLIENYGWRRWKVSEDSHFFPTRRIIMDYIETECDRGIKLGRFTNPQQPREDIRKILVGDLEKFRINNGLTLNSLSLYFRNLTKNNKEICIFENFKNWNVRSMTEEEKLKYCKRRHNTPS
P20823 293 631 0.61727994100295 PF04812.13:HNF-1B_C:5:248,PF04813.12:HNF-1A_C:250:338 Hepatocyte nuclear factor 1-alpha; HNF-1-alpha; HNF-1A; Liver-specific transcription factor LF-B1; LFB1; Transcription factor 1; TCF-1 631 333 24 339 0 Homo sapiens (Human) SwissProt::P20823 1 SwissProt PGPGPALPAHSSPGLPPPALSPSKVHGVRYGQPATSETAEVPSSSGGPLVTVSTPLHQVSPTGLEPSHSLLSTEAKLVSAAGGPLPPVSTLTALHSLEQTSPGLNQQPQNLIMASLPGVMTIGPGEPASLGPTFTNTGASTLVIGLASTQAQSVPVINSMGSSLTTLQPVQFSQPLHPSYQQPLMPPVQSHVTQSPFMATMAQLQSPHALYSHKPEVAQYTHTGLLPQTMLITDTTNLSALASLTPTKQVFTSDTEASSESGLHTPASQATTLHVPSQDPAGIQHLQPAHRLSASPTVSSSSLVLYQSSDSSNGQSHLLPSNHSVIETFISTQMASSSQ
P38616 1 354 0.223365254237288 Protein YGP1; GP38 354 0 24 354 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38616 1 SwissProt MKFQVVLSALLACSSAVVASPIENLFKYRAVKASHSKNINSTLPAWNGSNSSNVTYANGTNSTTNTTTAESSQLQIIVTGGQVPITNSSLTHTNYTRLFNSSSALNITELYNVARVVNETIQDKSSAGAVVVANAKSLEAVSFFFSIIFDTEKPIVVTEDSAYAIPVANNKNATKRGVLSVTSDKLVYSGVFTPPTACSYGAGLPVAIVDDQDEVKWFFDASKPTLISSDSIIRKEYSNFTTPYGLLENGVPIVPIVYDGGYSSSLIDSLSSAVQGLVVVSSGSTNSTSSTIESTEIPVVYAQANTPLNFIDNKDVPKNAVGAGYLSPIKAQILLSIAAVNGVTSKSALESIFP
P39678 129 833 0.502907092198581 PF13637.6:Ank_4:269:326 Transcription factor MBP1; MBF subunit p120 833 58 24 705 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39678 1 SwissProt IRSASTSAIMETKRNNKKAEENQFQSSKILGNPTAAPRKRGRPVGSTRGSRRKLGVNLQRSQSDMGFPRPAIPNSSISTTQLPSIRSTMGPQSPTLGILEEERHDSRQQQPQQNNSAQFKEIDLEDGLSSDVEPSQQLQQVFNQNTGFVPQQQSSLIQTQQTESMATSVSSSPSLPTSPGDFADSNPFEERFPGGGTSPIISMIPRYPVTSRPQTSDINDKVNKYLSKLVDYFISNEMKSNKSLPQVLLHPPPHSAPYIDAPIDPELHTAFHWACSMGNLPIAEALYEAGTSIRSTNSQGQTPLMRSSLFHNSYTRRTFPRIFQLLHETVFDIDSQSQTVIHHIVKRKSTTPSAVYYLDVVLSKIKDFSPQYRIELLLNTQDKNGDTALHIASKNGDVVFFNTLVKMGALTTISNKEGLTANEIMNQQYEQMMIQNGTNQHVNSSNTDLNIHVNTNNIETKNDVNSMVIMSPVSPSDYITYPSQIATNISRNIPNVVNSMKQMASIYNDLHEQHDNEIKSLQKTLKSISKTKIQVSLKTLEVLKESSKDENGEAQTNDDFEILSRLQEQNTKKLRKRLIRYKRLIKQKLEYRQTVLLNKLIEDETQATTNNTVEKDNNTLERLELAQELTMLQLQRKNKLSSLVKKFEDNAKIHKYRRIIREGTEMNIEEVDSSLDVILQTLIANNNKNKGAEQIITISNANSHA
P40015 1 477 0.156192243186583 PF03372.23:Exo_endo_phos:42:322 Inositol phosphosphingolipids phospholipase C; IPS phospholipase C; IPS-PLC; Neutral sphingomyelinase; N-SMase; nSMase; EC 3.1.4.- 477 281 24 431 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40015 1 SwissProt MYNRKDRDVHERKEDGQSEFEALNGTNAIMSDNSKAYSIKFLTFNTWGLKYVSKHRKERLRAIADKLAGHSMLTPISDELLPNGGDSNENEDYDVIALQEIWCVEDWKYLASACASKYPYQRLFHSGILTGPGLAILSKVPIESTFLYRFPINGRPSAVFRGDWYVGKSIAITVLNTGTRPIAIMNSHMHAPYAKQGDAAYLCHRSCQAWDFSRLIKLYRQAGYAVIVVGDLNSRPGSLPHKFLTQEAGLVDSWEQLHGKQDLAVIARLSPLQQLLKGCTTCDSLLNTWRAQRQPDEACRLDYALIDPDFLQTVDAGVRFTERIPHLDCSVSDHFAYSCTLNIVPQGTESRPSTSVKRAKTHDRELILQRYSNYETMIECIHTYLKTAQRQKFFRGLHFWASILLLIASLVVTTFTANKAGWSSIFWVLFAIAVSISGTIDGAISFLFGRSEIRALIEVEQEVLDAEHHLQTFLSEK
P41832 1 1225 0.481259428571429 PF06367.16:Drf_FH3:512:707,PF06371.13:Drf_GBD:176:246,PF06371.13:Drf_GBD:297:457 Protein BNI1; Pointed projection formation protein 3; Sensitive to high expression protein 5; Synthetic lethal 39 1953 428 24 1225 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41832 1 SwissProt MLKNSGSKHSNSKESHSNSSSGIFQNLKRLANSNATNSNTGSPTYASQQQHSPVGNEVSTSPASSSSFRKLNAPSRSTSTEARPLNKKSTLNTQNLSQYMNGKLSGDVPVSSQHARSHSMQSKYSYSKRNSSQASNKLTRQHTGQSHSASSLLSQGSLTNLSKFTTPDGKIYLEMPSDPYEVEVLFEDIMYKRNIFQSLSEDKQEALMGYSIEKKWLIVKQDLQNELKKMRANTTSSSTASRTSMASDHHPILTANSSLSSPKSVLMTSASSPTSTVYSNSLNHSTTLSSVGTSTSKGKKLVSGSLKKQPSLNNIYRGGAENNTSASTLPGDRTNRPPIHYVQRILADKLTSDEMKDLWVTLRTEQLDWVDAFIDHQGHIAMANVLMNSIYKTAPRENLTKELLEKENSFFKCFRVLSMLSQGLYEFSTHRLMTDTVAEGLFSTKLATRKMATEIFVCMLEKKNKSRFEAVLTSLDKKFRIGQNLHMIQNFKKMPQYFSHLTLESHLKIIQAWLFAVEQTLDGRGKMGSLVGASDEFKNGGGENAILEYCQWTMVFINHLCSCSDNINQRMLLRTKLENCGILRIMNKIKLLDYDKVIDQIELYDNNKLDDFNVKLEANNKAFNVDLHDPLSLLKNLWDICKGTENEKLLVSLVQHLFLSSSKLIEENQNSSKLTKQLKLMDSLVTNVSVASTSDEETNMNMAIQRLYDAMQTDEVARRAILESRALTKKLEEIQAERDSLSEKLSKAEHGLVGQLEDELHERDRILAKNQRVMQQLEAELEELKKKHLLEKHQQEVELRKMLTILNSRPEESFNKNEGTRGMNSSLNSSEKANIQKVLQDGLSRAKKDYKDDSKKFGMTLQPNKRLKMLRMQMENIENEARQLEMTNFAEFEKDRLEPPIHIKKPKVKKMKNKDRKPLVKPQEADVNKLNDLRRALAEIQMESNDISKFNVEERVNELFNEKKSLALKRLKELETKYKGFGIDFNVDEIMDSPKKNTGDVETEEDANYASLDPKTYQKKLDEINRITDQLLDIQTQTEHEIQVEEDGESDLSSSSSDDESEEIYQDASPTQELRSEHSELSSGSGPGSFLDALSQKYGTGQNVTASAAFGENNNGSGIGPLHSKVEKTFMNRLRKSTVSSAPYLEELTQKVNKVEPYEQNEDEGLDKKSLPENSTASAASAFDKAEKDMRQHVENGKQGRVVNHEEDKTADFSAVSKLNNTDGA
P43026 1 381 0.51906062992126 PF00688.18:TGFb_propeptide:152:329 Growth/differentiation factor 5; GDF-5; Bone morphogenetic protein 14; BMP-14; Cartilage-derived morphogenetic protein 1; CDMP-1; Lipopolysaccharide-associated protein 4; LAP-4; LPS-associated protein 4; Radotermin 501 178 24 381 0 Homo sapiens (Human) SwissProt::P43026 1 SwissProt MRLPKLLTFLLWYLAWLDLEFICTVLGAPDLGQRPQGTRPGLAKAEAKERPPLARNVFRPGGHSYGGGATNANARAKGGTGQTGGLTQPKKDEPKKLPPRPGGPEPKPGHPPQTRQATARTVTPKGQLPGGKAPPKAGSVPSSFLLKKAREPGPPREPKEPFRPPPITPHEYMLSLYRTLSDADRKGGNSSVKLEAGLANTITSFIDKGQDDRGPVVRKQRYVFDISALEKDGLLGAELRILRKKPSDTAKPAAPGGGRAAQLKLSSCPSGRQPASLLDVRSVPGLDGSGWEVFDIWKLFRNFKNSAQLCLELEAWERGRAVDLRGLGFDRAARQVHEKALFLVFGRTKKRDLFFNEIKARSGQDDKTVYEYLFSQRRKRR
P94077 1 189 0.301465608465608 PF06943.12:zf-LSD1:12:36,PF06943.12:zf-LSD1:53:76,PF06943.12:zf-LSD1:100:124 Protein LSD1; Protein CHILLING SENSITIVE 4; Protein LESION SIMULATING DISEASE 1; AtLSD1; Putative zinc finger LSD1 189 74 24 189 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P94077 1 SwissProt MKVADMQDQLVCHGCRNLLMYPRGASNVRCALCNTINMVPPPPPPHDMAHIICGGCRTMLMYTRGASSVRCSCCQTTNLVPAHSNQVAHAPSSQVAQINCGHCRTTLMYPYGASSVKCAVCQFVTNVNMSNGRVPLPTNRPNGTACPPSTSTSTPPSQTQTVVVENPMSVDESGKLVSNVVVGVTTDKK
Q13219 1 1627 0.247088813767671 PF00084.20:Sushi:1285:1339,PF00084.20:Sushi:1346:1408,PF00084.20:Sushi:1415:1471,PF13385.6:Laminin_G_3:103:268,PF05572.13:Peptidase_M43:523:659 Pappalysin-1; Insulin-like growth factor-dependent IGF-binding protein 4 protease; IGF-dependent IGFBP-4 protease; IGFBP-4ase; Pregnancy-associated plasma protein A; PAPP-A; EC 3.4.24.79 1627 478 24 1627 0 Homo sapiens (Human) SwissProt::Q13219 1 SwissProt MRLWSWVLHLGLLSAALGCGLAERPRRARRDPRAGRPPRPAAGPATCATRAARGRRASPPPPPPPGGAWEAVRVPRRRQQREARGATEEPSPPSRALYFSGRGEQLRLRADLELPRDAFTLQVWLRAEGGQRSPAVITGLYDKCSYISRDRGWVVGIHTISDQDNKDPRYFFSLKTDRARQVTTINAHRSYLPGQWVYLAATYDGQFMKLYVNGAQVATSGEQVGGIFSPLTQKCKVLMLGGSALNHNYRGYIEHFSLWKVARTQREILSDMETHGAHTALPQLLLQENWDNVKHAWSPMKDGSSPKVEFSNAHGFLLDTSLEPPLCGQTLCDNTEVIASYNQLSSFRQPKVVRYRVVNLYEDDHKNPTVTREQVDFQHHQLAEAFKQYNISWELDVLEVSNSSLRRRLILANCDISKIGDENCDPECNHTLTGHDGGDCRHLRHPAFVKKQHNGVCDMDCNYERFNFDGGECCDPEITNVTQTCFDPDSPHRAYLDVNELKNILKLDGSTHLNIFFAKSSEEELAGVATWPWDKEALMHLGGIVLNPSFYGMPGHTHTMIHEIGHSLGLYHVFRGISEIQSCSDPCMETEPSFETGDLCNDTNPAPKHKSCGDPGPGNDTCGFHSFFNTPYNNFMSYADDDCTDSFTPNQVARMHCYLDLVYQGWQPSRKPAPVALAPQVLGHTTDSVTLEWFPPIDGHFFERELGSACHLCLEGRILVQYASNASSPMPCSPSGHWSPREAEGHPDVEQPCKSSVRTWSPNSAVNPHTVPPACPEPQGCYLELEFLYPLVPESLTIWVTFVSTDWDSSGAVNDIKLLAVSGKNISLGPQNVFCDVPLTIRLWDVGEEVYGIQIYTLDEHLEIDAAMLTSTADTPLCLQCKPLKYKVVRDPPLQMDVASILHLNRKFVDMDLNLGSVYQYWVITISGTEESEPSPAVTYIHGSGYCGDGIIQKDQGEQCDDMNKINGDGCSLFCRQEVSFNCIDEPSRCYFHDGDGVCEEFEQKTSIKDCGVYTPQGFLDQWASNASVSHQDQQCPGWVIIGQPAASQVCRTKVIDLSEGISQHAWYPCTISYPYSQLAQTTFWLRAYFSQPMVAAAVIVHLVTDGTYYGDQKQETISVQLLDTKDQSHDLGLHVLSCRNNPLIIPVVHDLSQPFYHSQAVRVSFSSPLVAISGVALRSFDNFDPVTLSSCQRGETYSPAEQSCVHFACEKTDCPELAVENASLNCSSSDRYHGAQCTVSCRTGYVLQIRRDDELIKSQTGPSVTVTCTEGKWNKQVACEPVDCSIPDHHQVYAASFSCPEGTTFGSQCSFQCRHPAQLKGNNSLLTCMEDGLWSFPEALCELMCLAPPPVPNADLQTARCRENKHKVGSFCKYKCKPGYHVPGSSRKSKKRAFKTQCTQDGSWQEGACVPVTCDPPPPKFHGLYQCTNGFQFNSECRIKCEDSDASQGLGSNVIHCRKDGTWNGSFHVCQEMQGQCSVPNELNSNLKLQCPDGYAIGSECATSCLDHNSESIILPMNVTVRDIPHWLNPTRVERVVCTAGLKWYPHPALIHCVKGCEPFMGDNYCDAINNRAFCNYDGGDCCTSTVKTKKVTPFPMSCDLQGDCACRDPQAQEHSRKDLRGYSHG
Q15464 1 395 0.594949367088608 SH2 domain-containing adapter protein B 509 0 24 395 0 Homo sapiens (Human) SwissProt::Q15464 1 SwissProt MAKWLNKYFSLGNSKTKSPPQPPRPDYREQRRRGERPSQPPQAVPQASSAASASCGPATASCFSASSGSLPDDSGSTSDLIRAYRAQKERDFEDPYNGPGSSLRKLRAMCRLDYCGGSGEPGGVQRAFSASSASGAAGCCCASSGAGAAASSSSSSGSPHLYRSSSERRPATPAEVRYISPKHRLIKVESAAGGGAGDPLGGACAGGRTWSPTACGGKKLLNKCAASAAEESGAGKKDKVTIADDYSDPFDAKNDLKSKAGKGESAGYMEPYEAQRIMTEFQRQESVRSQHKGIQLYDTPYEPEGQSVDSDSESTVSPRLRESKLPQDDDRPADEYDQPWEWNRVTIPALAAQFNGNEKRQSSPSPSRDRRRQLRAPGGGFKPIKHGSPEFCGIL
Q16572 1 532 0.147334398496241 PF07690.16:MFS_1:94:398,PF00083.24:Sugar_tr:104:261 Vesicular acetylcholine transporter; VAChT; Solute carrier family 18 member 3 532 305 24 309 10 Homo sapiens (Human) SwissProt::Q16572 1 SwissProt MESAEPAGQARAAATKLSEAVGAALQEPRRQRRLVLVIVCVALLLDNMLYMVIVPIVPDYIAHMRGGGEGPTRTPEVWEPTLPLPTPANASAYTANTSASPTAAWPAGSALRPRYPTESEDVKIGVLFASKAILQLLVNPLSGPFIDRMSYDVPLLIGLGVMFASTVLFAFAEDYATLFAARSLQGLGSAFADTSGIAMIADKYPEEPERSRALGVALAFISFGSLVAPPFGGILYEFAGKRVPFLVLAAVSLFDALLLLAVAKPFSAAARARANLPVGTPIHRLMLDPYIAVVAGALTTCNIPLAFLEPTIATWMKHTMAASEWEMGMAWLPAFVPHVLGVYLTVRLAARYPHLQWLYGALGLAVIGASSCIVPACRSFAPLVVSLCGLCFGIALVDTALLPTLAFLVDVRHVSVYGSVYAIADISYSVAYALGPIVAGHIVHSLGFEQLSLGMGLANLLYAPVLLLLRNVGLLTRSRSERDVLLDEPPQGLYDAVRLRERPVSGQDGEPRSPPGPFDACEDDYNYYYTRS
VIMSS1 1 591 0.460361759729272 hypothetical protein (NCBI) 591 0 24 591 0 Chlamydia trachomatis D/UW-3/CX VIMSS1 1 MicrobesOnline MSIRGVGGNGNSRIPSHNGDGSNRRSQNTKGNNKVEDRVCSLYSSRSNENRESPYAVVDVSSMIESTPTSGETTRASRGVFSRFQRGLVRVADKVRRAVQCAWSSVSTRRSSATRAAESGSSSRTARGASSGYREYSPSAARGLRLMFTDFWRTRVLRQTSPMAGVFGNLDVNEARLMAAYTSECADHLEANKLAGPDGVAAAREIAKRWEQRVRDLQDKGAARKLLNDPLGRRTPNYQSKNPGEYTVGNSMFYDGPQVANLQNVDTGFWLDMSNLSDVVLSREIQTGLRARATLEESMPMLENLEERFRRLQETCDAARTEIEESGWTRESASRMEGDEAQGPSRAQQAFQSFVNECNSIEFSFGSFGEHVRVLCARVSRGLAAAGEAIRRCFSCCKGSTHRYAPRDDLSPEGASLAETLARFADDMGIERGADGTYDIPLVDDWRRGVPSIEGEGSDSIYEIMMPIYEVMDMDLETRRSFAVQQGHYQDPRASDYDLPRASDYDLPRSPYPTPPLPPRYQLQNMDVEAGFREAVYASFVAGMYNYVVTQPQERIPNSQQVEGILRDMLTNGSQTFRDLMRRWNREVDRE
VIMSS10082032 1 309 0.222502265372168 PF04674.12:Phi_1:33:308 Protein EXORDIUM-like 1; PHOSPHATE-INDUCED 1 309 276 24 309 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C6E4 1 SwissProt MASFVMGYFLLFAVAFMCLDARTDKTQDYTSFQYHKGALLTGDVSINLIWYGKFKPSQRAIVTDFVASLSSSRRSTMAQNPSVATWWKTVEKYYQFRKMTTTRGLSLSLGEQILDQGYSMGKSLTEKNLKDLAAKGGQSYAVNVVLTSADVTVQGFCMNRCGSHGTGSGSGKKGSRFAYIWVGNSETQCPGQCAWPFHAPVYGPQSPPLVAPNNDVGLDGMVINLASLMAATATNPFGDGYYQGPKTAPLEAGSACTGVYGKGSYPGYAGELLVDATTGGSYNVKGLNGRKYLLPALFDPKTDSCSTLF
VIMSS10084532 1 259 0.380742857142857 PF00743.19:FMO-like:11:236,PF13738.6:Pyr_redox_3:79:247,PF07992.14:Pyr_redox_2:12:238,PF13450.6:NAD_binding_8:15:48,PF13454.6:NAD_binding_9:14:172,PF00890.24:FAD_binding_2:13:47,PF13434.6:K_oxygenase:104:247,PF03486.14:HI0933_like:12:46,PF01593.24:Amino_oxidase:21:144,PF01494.19:FAD_binding_3:12:43 Flavin-containing monooxygenase FMO GS-OX4; Flavin-monooxygenase glucosinolate S-oxygenase 4; EC 1.14.13.237 461 237 24 259 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93Y23 1 SwissProt MAPAPSPINSQHVAVIGAGAAGLVAARELRREGHTVVVLDREKQVGGLWVYTPETESDELGLDPTRPIVHSSVYKSLRTNLPRECMGYKDFPFVPRGDDPSRDSRRYPSHREVLAYLQDFATEFNIEEMIRFETEVLRVEPVNGKWRVQSKTGGGFSNDEIYDAVVMCCGHFAEPNIAQIPGIESWPGRQTHSHSYRVPDPFKDEVVVVIGNFASGADISRDISKVAKEVHIASRASKSNTFEKRPVPNNNLWMHSEID
VIMSS10085239 1 452 0.338748008849557 PF14144.6:DOG1:251:324,PF00170.21:bZIP_1:166:206,PF07716.15:bZIP_2:163:211 Transcription factor PERIANTHIA; bZIP transcription factor 46; AtbZIP46 452 123 24 452 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SX27 1 SwissProt MQSSFKTVPFTPDFYSQSSYFFRGDSCLEEFHQPVNGFHHEEAIDLSPNVTIASANLHYTTFDTVMDCGGGGGGGLRERLEGGEEECLDTGQLVYQKGTRLVGGGVGEVNSSWCDSVSAMADNSQHTDTSTDIDTDDKTQLNGGHQGMLLATNCSDQSNVKSSDQRTLRRLAQNREAARKSRLRKKAYVQQLENSRIRLAQLEEELKRARQQGSLVERGVSADHTHLAAGNGVFSFELEYTRWKEEHQRMINDLRSGVNSQLGDNDLRVLVDAVMSHYDEIFRLKGIGTKVDVFHMLSGMWKTPAERFFMWLGGFRSSELLKILGNHVDPLTDQQLIGICNLQQSSQQAEDALSQGMEALQQSLLETLSSASMGPNSSANVADYMGHMAMAMGKLGTLENFLRQADLLRQQTLQQLHRILTTRQAARAFLVIHDYISRLRALSSLWLARPRD
VIMSS10085304 198 405 0.452165384615385 Squamosa promoter-binding-like protein 6 405 0 24 208 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94JW8 1 SwissProt PAFYFLPGKRHKLLRTSQDVVGNKFLENSSLVLPESFPGSLLYRVIDEDDHRTSRLVSFKDEPTCSMFPTNEQNSSRTYESKPAIYSTEVSSIWDLHETAASRSTRALSLLSAQSQQHLSKFPNTTFSITQPNQNLNHSSSTDYHQMEQPLWIDPGKTNSAGSSSCKGKGTSTVDLLQLSSHLQRIEQQRNYTGDVKQEYNELYFPGS
VIMSS10085481 1 193 0.371250259067357 PF06200.14:tify:116:147 TIFY7 (RefSeq) 243 32 24 193 0 Arabidopsis thaliana VIMSS10085481 1 MicrobesOnline MERDFLGLSDKQYLSNNVKHEVNDDAVEERGLSTKAAREWGKSKVFATSSFMPSSDFQEAKAFPGAYQWGSVSAANVFRRCQFGGAFQNATPLLLGGSVPLPTHPSLVPRVASSGSSPQLTIFYGGTISVFNDISPDKAQAIMLCAGNGLKGETGDSKPVREAERMCRDTPVAATNAMSMIESFNAAPRNMIP
VIMSS10086168 69 192 0.493653225806452 PF01486.17:K-box:23:92 MAF1 (MADS AFFECTING FLOWERING 1); transcription factor (RefSeq) 192 70 24 124 0 Arabidopsis thaliana VIMSS10086168 1 MicrobesOnline PEKPQCFELDLEEKIQNYLPHKELLETVQSKLEEPNVDNVSVDSLISLEEQLETALSVSRARKAELMMEYIESLKEKEKLLREENQVLASQMGKNTLLATDDERGMFPGSSSGNKIPETLPLLN
VIMSS10088471 1 307 0.376969055374593 PF14215.6:bHLH-MYC_N:10:148 Transcription factor ABORTED MICROSPORES; Basic helix-loop-helix protein 21; AtbHLH21; bHLH 21; Transcription factor EN 48; bHLH transcription factor bHLH021 571 139 24 307 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVX2 1 SwissProt MESNMQNLLEKLRPLVGARAWDYCVLWRLNEDQRFVKWMGCCCGGTELIAENGTEEFSYGGCRDVMFHHPRTKSCEFLSHLPASIPLDSGIYAETLLTNQTGWLSESSEPSFMQETICTRVLIPIPGGLVELFATRHVAEDQNVVDFVMGHCNMLMDDSVTINMMVADEVESKPYGMLSGDIQQKGSKEEDMMNLPSSYDISADQIRLNFLPQMSDYETQHLKMKSDYHHQALGYLPENGNKEMMGMNPFNTVEEDGIPVIGEPSLLVNEQQVVNDKDMNENGRVDSGSDCSDQIDDEDDPKYKKKS
VIMSS10089583 1 550 0.186051818181819 PF08392.12:FAE1_CUT1_RppA:113:400,PF08541.10:ACP_syn_III_C:445:525,PF02797.15:Chal_sti_synt_C:448:525 3-ketoacyl-CoA synthase 10; KCS-10; Protein FIDDLEHEAD; Very long-chain fatty acid condensing enzyme 10; VLCFA condensing enzyme 10; EC 2.3.1.199 550 369 24 481 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q570B4 1 SwissProt MGRSNEQDLLSTEIVNRGIEPSGPNAGSPTFSVRVRRRLPDFLQSVNLKYVKLGYHYLINHAVYLATIPVLVLVFSAEVGSLSREEIWKKLWDYDLATVIGFFGVFVLTACVYFMSRPRSVYLIDFACYKPSDEHKVTKEEFIELARKSGKFDEETLGFKKRILQASGIGDETYVPRSISSSENITTMKEGREEASTVIFGALDELFEKTRVKPKDVGVLVVNCSIFNPTPSLSAMVINHYKMRGNILSYNLGGMGCSAGIIAIDLARDMLQSNPNSYAVVVSTEMVGYNWYVGSDKSMVIPNCFFRMGCSAVMLSNRRRDFRHAKYRLEHIVRTHKAADDRSFRSVYQEEDEQGFKGLKISRDLMEVGGEALKTNITTLGPLVLPFSEQLLFFAALLRRTFSPAAKTSTTTSFSTSATAKTNGIKSSSSDLSKPYIPDYKLAFEHFCFHAASKVVLEELQKNLGLSEENMEASRMTLHRFGNTSSSGIWYELAYMEAKESVRRGDRVWQIAFGSGFKCNSVVWKAMRKVKKPTRNNPWVDCINRYPVPL
VIMSS10090292 122 490 0.51759674796748 Transcription factor MYB101; Myb-related protein 101; AtM1; AtMYB101; Protein ABNORMAL SHOOT 7 490 0 24 369 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80883 1 SwissProt QRAGLPLYPHEIQHQGIDIDDEFEFDLTSFQFQNQDLDHNHQNMIQYTNSSNTSSSSSSFSSSSSQPSKRLRPDPLVSTNPGLNPIPDSSMDFQMFSLYNNSLENDNNQFGFSVPLSSSSSSNEVCNPNHILEYISENSDTRNTNKKDIDAMSYSSLLMGDLEIRSSSFPLGLDNSVLELPSNQRPTHSFSSSPIIDNGVHLEPPSGNSGLLDALLEESQALSRGGLFKDVRVSSSDLCEVQDKRVKMDFENLLIDHLNSSNHSSLGANPNIHNKYNEPTMVKVTVDDDDELLTSLLNNFPSTTTPLPDWYRVTEMQNEASYLAPPSGILMGNHQGNGRVEPPTVPPSSSVDPMASLGSCYWSNMPSIC
VIMSS10090456 1 106 0.690875471698113 PF05564.12:Auxin_repressed:1:106 dormancy/auxin associated family protein (RefSeq) 106 106 24 106 0 Arabidopsis thaliana VIMSS10090456 1 MicrobesOnline MWDETVAGPKPEHGLGRLRNKITTQPLDIKGEGSSSKTVAAVAGSPGTPTTPGSARKENVWRSVFHPGSNIATRGMGTNLFDKPSHPNSPTVYDWLYSDDTRSKHR
VIMSS10090962 1 501 0.154556686626747 PF12710.7:HAD:27:208,PF01553.21:Acyltransferase:305:396 Glycerol-3-phosphate 2-O-acyltransferase 6; AtGPAT6; Glycerol-3-phosphate acyltransferase 6; EC 2.3.1.198 501 274 24 432 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80437 1 SwissProt MGAQEKRRRFEQISKCDVKDRSNHTVAADLDGTLLISRSAFPYYFLVALEAGSLLRALILLVSVPFVYLTYLTISETLAINVFVFITFAGLKIRDVELVVRSVLPRFYAEDVRPDTWRIFNTFGKRYIITASPRIMVEPFVKTFLGVDKVLGTELEVSKSGRATGFTRKPGILVGQYKRDVVLREFGGLASDLPDLGLGDSKTDHDFMSICKEGYMVPRTKCEPLPRNKLLSPIIFHEGRLVQRPTPLVALLTFLWLPVGFVLSIIRVYTNIPLPERIARYNYKLTGIKLVVNGHPPPPPKPGQPGHLLVCNHRTVLDPVVTAVALGRKISCVTYSISKFSELISPIKAVALTRQREKDAANIKRLLEEGDLVICPEGTTCREPFLLRFSALFAELTDRIVPVAINTKQSMFNGTTTRGYKLLDPYFAFMNPRPTYEITFLKQIPAELTCKGGKSPIEVANYIQRVLGGTLGFECTNFTRKDKYAMLAGTDGRVPVKKEKT
VIMSS10091175 1 435 0.18344459770115 PF07014.12:Hs1pro-1_C:177:433,PF07231.12:Hs1pro-1_N:1:174 Nematode resistance protein-like HSPRO2; AKINbetagamma-interacting protein 2; Ortholog of sugar beet HS1 PRO-1 protein 2; Protein Hs1pro-2 435 431 24 435 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04203 1 SwissProt MVDMDWKRKMVSSDLPNSPKLSSKLHVTIPSPFKIVPVSSPISCSAPALCSAYELYLRLPELRKLWSSRDFPQWTSEPILKPALQALEISFRLVFAVCSDTRPYINHREWNRRLDSLITKQIQLVAAICEDEEEEGISAEAPVGGGRSSLSLLPQLATWRRSEALGKKILYTIDNEMSRCKYTLGLGEQNIAGKPNLRYDAICRPNEIYSLKDNPYADHIDNHENQTLYIIHQILESWIYASGNLLNRIVSSIEEEKFGKASNDVYLLEKIWKILAEIEDLHMLMDPEDFLKLKKQLQIKSTGKNDAFCFRSKGLVEMMKMSKDLRQKVPAVLAVEVDPTGGPRLQEAAMKLYARKTECDKIHLLQGMQAVEAAAKSFFFGYRQLVAAMMGSAEMNATASQESCDSLSQIFMEPTYFPSLDAAKTFLGEFWSHLG
VIMSS10091195 1 597 0.416294472361809 PF13637.6:Ank_4:77:129,PF00023.30:Ank:78:106,PF00023.30:Ank:113:145,PF12796.7:Ank_2:76:144,PF18044.1:zf-CCCH_4:258:278,PF00642.24:zf-CCCH:259:279 Zinc finger CCCH domain-containing protein 29; AtC3H29; AtSZF2 597 92 24 597 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XEE6 1 SwissProt MCGAKSNLCSSKTLTEVEFMRQKSEDGASATCLLEFAACDDLSSFKREIEENPSVEIDESGFWYCRRVGSKKMGFEERTPLMVAAMYGSMEVLNYIIATGRSDVNRVCSDEKVTALHCAVSGCSVSIVEIIKILLDASASPNCVDANGNKPVDLLAKDSRFVPNQSRKAVEVLLTGIHGSVMEEEEEELKSVVTKYPADASLPDINEGVYGTDDFRMFSFKVKPCSRAYSHDWTECPFVHPGENARRRDPRKYPYTCVPCPEFRKGSCPKGDSCEYAHGVFESWLHPAQYRTRLCKDETGCARRVCFFAHRRDELRPVNASTGSAMVSPRSSNQSPEMSVMSPLTLGSSPMNSPMANGVPLSPRNGGLWQNRVNSLTPPPLQLNGSRLKSTLSARDMDMEMELRFRGLDNRRLGDLKPSNLEETFGSYDSASVMQLQSPSRHSQMNHYPSSPVRQPPPHGFESSAAMAAAVMNARSSAFAKRSLSFKPAPVASNVSDWGSPNGKLEWGMQRDELNKLRRSASFGIHGNNNNSVSRPARDYSDEPDVSWVNSLVKENAPERVNERVGNTVNGAASRDKFKLPSWAEQMYIDHEQQIVA
VIMSS10091247 106 253 0.283866891891892 PF01357.21:Pollen_allerg_1:56:134,PF03330.18:DPBB_1:2:44 ATEXPA8 (ARABIDOPSIS THALIANA EXPANSIN A8) (RefSeq) 253 122 24 148 0 Arabidopsis thaliana VIMSS10091247 1 MicrobesOnline FCPPNPGLSNDNGGWCNPPLQHFDLAEPAFLQIAQYRAGIVPVSFRRVPCMKKGGIRFTINGHSYFNLVLISNVGGAGDVHAVSIKGSKTQSWQAMSRNWGQNWQSNSYMNDQSLSFQVTTSDGRTLVSNDVAPSNWQFGQTYQGGQF
VIMSS10092133 1 285 0.201316842105263 PF01145.25:Band_7:10:182 Hypersensitive-induced response protein 3; AtHIR3 285 173 24 285 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRH6 1 SwissProt MGNLFCCVLVKQSDVAVKERFGKFQKVLNPGLQFVPWVIGDYVAGTLTLRLQQLDVQCETKTKDNVFVTVVASIQYRVLADKASDAFYRLSNPTTQIKAYVFDVIRACVPKLNLDDVFEQKNEIAKSVEEELDKAMTAYGYEILQTLIIDIEPDQQVKRAMNEINAAARMRVAASEKAEAEKIIQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFAGNVPGTSAKDVLDMVMMTQYFDTMRDIGATSKSSAVFIPHGPGAVSDVAAQIRNGLLQANNAS
VIMSS10093327 1 212 0.508571226415094 PF00804.25:Syntaxin:44:209 Syntaxin-121; AtSYP121; Syntaxin-related protein At-Syr1 346 166 24 212 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZSD4 1 SwissProt MNDLFSSSFSRFRSGEPSPRRDVAGGGDGVQMANPAGSTGGVNLDKFFEDVESVKEELKELDRLNETLSSCHEQSKTLHNAKAVKDLRSKMDGDVGVALKKAKMIKVKLEALDRANAANRSLPGCGPGSSSDRTRTSVLNGLRKKLMDSMDSFNRLRELISSEYRETVQRRYFTVTGENPDERTLDRLISTGESERFLQKAIQEQGRGRVLD
VIMSS10094033 1 87 0.824112643678161 HYH (HY5-HOMOLOG); DNA binding / transcription factor (RefSeq) 135 0 24 87 0 Arabidopsis thaliana VIMSS10094033 1 MicrobesOnline MSLQRPNGNSSSSSSHKKHKTAAGSTCVLSSSADDGVNNPELDQTQNGVSTAKRRRGRNPVDKEYRSLKRLLRNRVSAQQARERKKV
VIMSS10094413 1 574 0.497950871080138 PF00847.20:AP2:181:239,PF00847.20:AP2:284:333 AP2-like ethylene-responsive transcription factor PLT1; Protein AINTEGUMENTA-LIKE 3; Protein PLETHORA 1 574 109 24 574 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5YGP8 1 SwissProt MNSNNWLGFPLSPNNSSLPPHEYNLGLVSDHMDNPFQTQEWNMINPHGGGGDEGGEVPKVADFLGVSKPDENQSNHLVAYNDSDYYFHTNSLMPSVQSNDVVVAACDSNTPNNSSYHELQESAHNLQSLTLSMGTTAGNNVVDKASPSETTGDNASGGALAVVETATPRRALDTFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARSYDLAALKYWGPSTTTNFPITNYEKEVEEMKHMTRQEFVAAIRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTEEEAAEAYDIAAIKFRGLNAVTNFEINRYDVKAILESSTLPIGGGAAKRLKEAQALESSRKREAEMIALGSSFQYGGGSSTGSGSTSSRLQLQPYPLSIQQPLEPFLSLQNNDISHYNNNNAHDSSSFNHHSYIQTQLHLHQQTNNYLQQQSSQNSQQLYNAYLHSNPALLHGLVSTSIVDNNNNNGGSSGSYNTAAFLGNHGIGIGSSSTVGSTEEFPTVKTDYDMPSSDGTGGYSGWTSESVQGSNPGGVFTMWNE
VIMSS10094638 1 170 0.375062352941177 PF14368.6:LTP_2:17:105,PF00234.22:Tryp_alpha_amyl:28:105 protease inhibitor/seed storage/lipid transfer protein (LTP) family protein (RefSeq) 170 89 24 170 0 Arabidopsis thaliana VIMSS10094638 1 MicrobesOnline MKMEMGLVFLTVFMAVMSSTMVSAQSSCTNALISMSPCLNYITGNSTSPNQQCCNQLSRVVQSSPDCLCQVLNGGGSQLGINVNQTQALGLPRACNVQTPPVSRCNTGGGGGGSTSDSPAESPNSSGPGNGSKTVPVGEGDGPPSSDGSSIKFSFPLIAFFSAVSYMAIF
VIMSS10095750 1 59 0.226742372881356 Protein QQS; Qua-quine starch 59 0 24 59 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q3E7K4 1 SwissProt MKTNREQEIYVERSFKPNNSTIQNLMDIERFILPHTSTSGVARLKMRVISWVGLQFYNY
VIMSS10097970 154 580 0.449806323185012 PF18044.1:zf-CCCH_4:102:122,PF00642.24:zf-CCCH:103:123 Zinc finger CCCH domain-containing protein 47; AtC3H47; AtSZF1 580 22 24 427 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93ZS9 1 SwissProt VSRFVASPRRKAVELLLRGGGVGGLIDEAVEEEIKIVSKYPADASLPDINEGVYGSDEFRMYSFKVKPCSRAYSHDWTECAFVHPGENARRRDPRKYPYTCVPCPEFRKGSCPKGDSCEYAHGVFESWLHPAQYKTRLCKDETGCARKVCFFAHKREEMRPVNASTGSAVAQSPFSSLEMMPGLSPLAYSSGVSTPPVSPMANGVPSSPRNGGSWQNRVNTLTPPALQLNGGSRLKSTLSARDIDMEMEMELRLRGFGNNVEETFGSYVSSPSRNSQMGQNMNQHYPSSPVRQPPSQHGFESSAAAAVAVMKARSTAFAKRSLSFKPATQAAPQSNLSDWGSPNGKLEWGMKGEELNKMRRSVSFGIHGNNNNNAARDYRDEPDVSWVNSLVKDSTVVSERSFGMNERVRIMSWAEQMYREKEQTVV
VIMSS10098063 1 226 0.613693362831858 ABSCISIC ACID-INSENSITIVE 5-like protein 2; ABA-responsive element-binding protein 3; Dc3 promoter-binding factor 3; AtDPBF3; bZIP transcription factor 66; AtbZIP66 297 0 24 226 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LES3 1 SwissProt MDSQRGIVEQAKSQSLNRQSSLYSLTLDEVQNHLGSSGKALGSMNLDELLKSVCSVEANQPSSMAVNGGAAAQEGLSRQGSLTLPRDLSKKTVDEVWKDIQQNKNGGSAHERRDKQPTLGEMTLEDLLLKAGVVTETIPGSNHDGPVGGGSAGSGAGLGQNITQVGPWIQYHQLPSMPQPQAFMPYPVSDMQAMVSQSSLMGGLSDTQTPGRKRVASGEVVEKTVE
VIMSS10101369 140 445 0.565351960784314 Heat stress transcription factor A-1a; AtHsfA1a; AtHsf-13; Heat shock factor protein 1; HSF 1; Heat shock transcription factor 1; HSTF 1 495 0 24 306 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P41151 1 SwissProt SRRKSVQGHGSSSSNPQSQQLSQGQGSMAALSSCVEVGKFGLEEEVEQLKRDKNVLMQELVKLRQQQQTTDNKLQVLVKHLQVMEQRQQQIMSFLAKAVQNPTFLSQFIQKQTDSNMHVTEANKKRRLREDSTAATESNSHSHSLEASDGQIVKYQPLRNDSMMWNMMKTDDKYPFLDGFSSPNQVSGVTLQEVLPITSGQSQAYASVPSGQPLSYLPSTSTSLPDTIMPETSQIPQLTRESINDFPTENFMDTEKNVPEAFISPSPFLDGGSVPIQLEGIPEDPEIDELMSNFEFLEEYMPESPV
VIMSS10101962 117 266 0.544339333333333 MYB85 (myb domain protein 85); DNA binding / transcription activator/ transcription factor (RefSeq) 266 0 24 150 0 Arabidopsis thaliana VIMSS10101962 1 MicrobesOnline LKMGIDPMTHQPLNQEPSNIDNSKTIPSNPDDVSVEPKTTNTKYVEISVTTTEEESSSTVTDQNSSMDNENHLIDNIYDDDELFSYLWSDETTKDEASWSDSNFGVGGTLYDHNISGADADFPIWSPERINDEKMFLDYCQDFGVHDFGF
VIMSS10103633 120 320 0.592234328358209 Transcription factor MYB73; Myb-related protein 73; AtMYB73 320 0 24 201 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23160 1 SwissProt SCDFGGNGGYDGNLGEEQPLKRTASGGGGVSTGLYMSPGSPSGSDVSEQSSGGAHVFKPTVRSEVTASSSGEDPPTYLSLSLPWTDETVRVNEPVQLNQNTVMDGGYTAELFPVRKEEQVEVEEEEAKGISGGFGGEFMTVVQEMIRTEVRSYMADLQRGNVGGSSSGGGGGGSCMPQSVNSRRVGFREFIVNQIGIGKME
VIMSS10103806 1 89 0.201450561797753 PF02519.14:Auxin_inducible:16:86 Auxin-responsive protein SAUR15; Protein SMALL AUXIN UP RNA 15; Protein SMALL AUXIN UP RNA FROM ARABIDOPSIS COLUMBIA 1 89 71 24 89 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q41220 1 SwissProt MAFLRSFLGAKQIIRRESSSTPRGFMAVYVGENDQKKKRYVVPVSYLNQPLFQQLLSKSEEEFGYDHPMGGLTIPCHESLFFTVTSQIQ
VIMSS10105110 1 1096 0.57016003649635 Protein EMBRYONIC FLOWER 1 1096 0 24 1096 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LYD9 1 SwissProt MGSSIKINSISIDLAGAANEIDMVKCDHFSMRGFVAETRERDLRKCWPFSEESVSLVDQQSYTLPTLSVPKFRWWHCMSCIKDIDAHGPKDCGLHSNSKAIGNSSVIESKSKFNSLTIIDHEKEKKTDIADNAIEEKVGVNCENDDQTATTFLKKARGRPMGASNVRSKSRKLVSPEQVGNNRSKEKLNKPSMDISSWKEKQNVDQAVTTFGSSEIAGVVEDTPPKATKNHKGIRGLMECDNGSSESINLAMSGLQRRKSRKVRLLSELLGNTKTSGGSNIRKEESALKKESVRGRKRKLLPENNYVSRILSTMGATSENASKSCDSDQGNSESTDSGFDRTPFKGKQRNRRFQVVDEFVPSLPCETSQEGIKEHDADPSKRSTPAHSLFTGNDSVPCPPGTQRTERKLSLPKKKTKKPVIDNGKSTVISFSNGIDGSQVNSHTGPSMNTVSQTRDLLNGKRVGGLFDNRLASDGYFRKYLSQVNDKPITSLHLQDNDYVRSRDAEPNCLRDFSSSSKSSSGGWLRTGVDIVDFRNNNHNTNRSSFSNLKLRYPPSSTEVADLSRVLQKDASGADRKGKTVMVQEHHGAPRSQSHDRKETTTEEQNNDDIPMEIVELMAKNQYERCLPDKEEDVSNKQPSQETAHKSKNALLIDLNETYDNGISLEDNNTSRPPKPCSSNARREEHFPMGRQQNSHDFFPISQPYVPSPFGIFPPTQENRASSIRFSGHNCQWLGNLPTVGNQNPSPSSFRVLRACDTCQSVPNQYREASHPIWPSSMIPPQSQYKPVSLNINQSTNPGTLSQASNNENTWNLNFVAANGKQKCGPNPEFSFGCKHAAGVSSSSSRPIDNFSSESSIPALHLLSLLDPRLRSTTPADQHGNTKFTKRHFPPANQSKEFIELQTGDSSKSAYSTKQIPFDLYSKRFTQEPSRKSFPITPPIGTSSLSFQNASWSPHHQEKKTKRKDTFAPVYNTHEKPVFASSNDQAKFQLLGASNSMMLPLKFHMTDKEKKQKRKAESCNNNASAGPVKNSSGPIVCSVNRNPADFTIPEPGNVYMLTGEHLKVRKRTTFKKKPAVCKQDAMKQTKKPVCPPTQNA
VIMSS10105486 1 615 0.231634796747967 PF10408.9:Ufd2P_core:265:611 U-box domain-containing protein 1038 347 24 615 0 Arabidopsis thaliana NP_568313.2 1 RefSeq MATSKPQRSPAEIEDIILRKIFYVTLTESTDSDPRIVYLEMTAAEILSEGKELLLSRDLMERVLIDRLSGDFSDAEPPFPYLIGCHRRAYDESKKIQSMKDKNLRSEMEIVTKQAKKLAVSYCRIHLGNPDMFGNSDKPSGGLDNRLKKRNVSPVLPLIFAEVGSGSLDMFGASSSGVQAPPGFLDEFFKDSDFDSLDSILKELYEDLRSTVINVSVLGDFQPPLRALKYLVSLPVGAKSLVSHEWWVPRGAYMNGRAMELTSILGPFFHISALPDNTLFKSQPDVGQQCFSEASERRPADLLSSFSTIKNFMNILYSGLHDVLMILLKSTDTRERVLQFLAEVINANASRAHIQVDPVSCASSGMFVNLSAVMLRLCEPFLDPHLTKRDKIDPKYAFCGHRLKLSDLTALHASSEEVTEWIGKDAMANANDAGRENGNESRLLQSKEATSSSSNASGQNAKSATKYTFICECFFMTARVLNLGLLKALSDFKHLAQDISRGEDNLATLKAMRDQAPSPQLELDISRMEKELELSSQEKLCHEAQILRDGDFIQRALSFYRLMVVWLVGLVGGFKMPLPSTCPMEFSCMPEHFVEDAMELLIFASRIPKALDGVP
VIMSS10105518 1 448 0.169587723214286 PF00646.33:F-box:104:145 F-box family protein (RefSeq) 448 42 24 448 0 Arabidopsis thaliana VIMSS10105518 1 MicrobesOnline MERLGFWGLLMGSVEKSLDSGNSLACSASAKNGDEESSTSSKQVSPLKGSGSRNTSPLGRVGSRNTSPSRQKVVKTKPRGLEEETVASFGKQVVADVQMEDGIWAMLPEDLLNEILARVPPFMIFRIRSVCKKWNLILQDNSFLKFHSNVSSHGPCLLTFWKNSPQIPQCSVFSLPLKTWYKIPFTFLPPWAFWLVGSSGGLVCFSGLDGLTFRTLVCNPLMQSWRTLPSMHYNQQRQLIMVVDRSDKSFKVIATSDIYGDKSLPTEVYDSKTDKWSLHQIMPAVNLCSSKMAYCDSRLYLETLSPLGLMMYRLDSGQWEHIPAKFPRSLLDGYLVAGTQKRLFLVGRIGLYSTLQSMRIWELDHTKVSWVEISRMPPKYFRALLRLSAERFECFGQDNLICFTSWNQGKGLLYNVDKKIWSWISGCALQSCNSQVCFYEPRFDASVL
VIMSS10105619 1 351 0.0176296296296296 PF03547.18:Mem_trans:10:187,PF03547.18:Mem_trans:188:344 Auxin efflux carrier component 5; AtPIN5 351 335 24 157 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FFD0 0 SwissProt MINCGDVYKVIEAMVPLYVALILGYGSVKWWHIFTRDQCDAINRLVCYFTLPLFTIEFTAHVDPFNMNYRFIAADVLSKVIIVTVLALWAKYSNKGSYCWSITSFSLCTLTNSLVVGVPLAKAMYGQQAVDLVVQSSVFQAIVWLTLLLFVLEFRKAGFSSNNISDVQVDNINIESGKRETVVVGEKSFLEVMSLVWLKLATNPNCYSCILGIAWAFISNRWHLELPGILEGSILIMSKAGTGTAMFNMGIFMALQEKLIVCGTSLTVMGMVLKFIAGPAAMAIGSIVLGLHGDVLRVAIIQAALPQSITSFIFAKEYGLHADVLSTAVIFGMLVSLPVLVAYYAALEFIH
VIMSS10105702 101 387 0.628564459930314 Protein REVEILLE 1 387 0 24 287 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4KGY6 1 SwissProt SKVAREATGGDGSSVEPIVIPPPRPKRKPAHPYPRKFGNEADQTSRSVSPSERDTQSPTSVLSTVGSEALCSLDSSSPNRSLSPVSSASPPAALTTTANAPEELETLKLELFPSERLLNRESSIKEPTKQSLKLFGKTVLVSDSGMSSSLTTSTYCKSPIQPLPRKLSSSKTLPIIRNSQEELLSCWIQVPLKQEDVENRCLDSGKAVQNEGSSTGSNTGSVDDTGHTEKTTEPETMLCQWEFKPSERSAFSELRRTNSESNSRGFGPYKKRKMVTEEEEHEIHLHL
VIMSS10108162 1 119 0.366806722689076 PF05008.15:V-SNARE:12:90 Vesicle transport v-SNARE 11; AtVTI11; Protein SHOOT GRAVITROPISM 4; Vesicle soluble NSF attachment protein receptor VTI1a; AtVTI1a; Vesicle transport v-SNARE protein VTI1a 221 79 24 119 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SEL6 1 SwissProt MSDVFDGYERQYCELSASLSKKCSSAISLDGEQKKQKLSEIKSGLENAEVLIRKMDLEARTLPPNLKSSLLVKLREFKSDLNNFKTEVKRITSGQLNAAARDELLEAGMADTKTASADQ
VIMSS10108271 1 783 0.166727713920818 PF05691.12:Raffinose_syn:22:767 Probable galactinol--sucrose galactosyltransferase 5; Protein SEED IMBIBITION 1-LIKE; Raffinose synthase 5; EC 2.4.1.82 783 746 24 783 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FND9 1 SwissProt MASPCLTKSDSGINGVDFTEKFRLEDSTLLANGQVVLTDVPVNVTLTSSPYLVDKDGVPLDVSAGSFIGFNLDGEPKSHHVASIGKLKNIRFMSIFRFKVWWTTHWVGSNGRDIENETQIIILDQSGSDSGPGSGSGRPYVLLLPLLEGSFRSSFQSGEDDDVAVCVESGSTEVTGSEFRQIVYVHAGDDPFKLVKDAMKVIRVHMNTFKLLEEKSPPGIVDKFGWCTWDAFYLTVNPDGVHKGVKCLVDGGCPPGLVLIDDGWQSIGHDSDGIDVEGMNITVAGEQMPCRLLKFEENHKFKDYVSPKDQNDVGMKAFVRDLKDEFSTVDYIYVWHALCGYWGGLRPEAPALPPSTIIRPELSPGLKLTMEDLAVDKIIETGIGFASPDLAKEFYEGLHSHLQNAGIDGVKVDVIHILEMLCQKYGGRVDLAKAYFKALTSSVNKHFNGNGVIASMEHCNDFMFLGTEAISLGRVGDDFWCTDPSGDPNGTFWLQGCHMVHCAYNSLWMGNFIQPDWDMFQSTHPCAEFHAASRAISGGPIYISDCVGKHDFDLLKRLVLPNGSILRCEYYALPTRDRLFEDPLHDGKTMLKIWNLNKYTGVIGAFNCQGGGWCRETRRNQCFSECVNTLTATTSPKDVEWNSGSSPISIANVEEFALFLSQSKKLLLSGLNDDLELTLEPFKFELITVSPVVTIEGNSVRFAPIGLVNMLNTSGAIRSLVYNDESVEVGVFGAGEFRVYASKKPVSCLIDGEVVEFGYEDSMVMVQVPWSGPDGLSSIQYLF
VIMSS10108389 1 392 0.670550255102041 PF07526.11:POX:194:336 Homeobox protein BEL1 homolog 611 143 24 392 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38897 1 SwissProt MARDQFYGHNNHHHQEQQHQMINQIQGFDETNQNPTDHHHYNHQIFGSNSNMGMMIDFSKQQQIRMTSGSDHHHHHHQTSGGTDQNQLLEDSSSAMRLCNVNNDFPSEVNDERPPQRPSQGLSLSLSSSNPTSISLQSFELRPQQQQQQGYSGNKSTQHQNLQHTQMMMMMMNSHHQNNNNNNHQHHNHHQFQIGSSKYLSPAQELLSEFCSLGVKESDEEVMMMKHKKKQKGKQQEEWDTSHHSNNDQHDQSATTSSKKHVPPLHSLEFMELQKRKAKLLSMLEELKRRYGHYREQMRVAAAAFEAAVGLGGAEIYTALASRAMSRHFRCLKDGLVGQIQATSQALGEREEDNRAVSIAARGETPRLRLLDQALRQQKSYRQMTLVDAHPW 2
VIMSS10110294 178 626 0.220912694877506 PF13418.6:Kelch_4:116:166,PF13418.6:Kelch_4:167:219,PF13418.6:Kelch_4:219:266,PF13415.6:Kelch_3:125:174,PF13415.6:Kelch_3:180:227,PF13415.6:Kelch_3:232:281,PF07646.15:Kelch_2:273:324,PF01344.25:Kelch_1:115:152,PF12937.7:F-box-like:28:66,PF00646.33:F-box:20:65 Galactose oxidase/kelch repeat superfamily protein 626 257 24 449 0 Arabidopsis thaliana NP_001154783.1 1 RefSeq GIYSALAAGERNVSRGMCGLFQLSDEVVSMKILSRLTPRDVASVSSVCRRLYVLTKNEDLWRRVCQNAWGSETTRVLETVPGAKRLGWGRLARELTTLEAAAWRKLSVGGSVEPSRCNFSACAVGNRVVLFGGEGVNMQPMNDTFVLDLNSDYPEWQHVKVSSPPPGRWGHTLTCVNGSNLVVFGGCGQQGLLNDVFVLNLDAKPPTWREISGLAPPLPRSWHSSCTLDGTKLIVSGGCADSGVLLSDTFLLDLSIEKPVWREIPAAWTPPSRLGHTLSVYGGRKILMFGGLAKSGPLKFRSSDVFTMDLSEEEPCWRCVTGSGMPGAGNPGGVAPPPRLDHVAVNLPGGRILIFGGSVAGLHSASQLYLLDPTEDKPTWRILNIPGRPPRFAWGHGTCVVGGTRAIVLGGQTGEEWMLRYWSFRGERLSGGTLVLLIFFKSFFFFLPH
VIMSS10110854 1 298 0.471146308724832 PF02701.15:zf-Dof:52:108 Cyclic dof factor 1; Dof zinc finger protein DOF5.5; AtDOF5.5 298 57 24 298 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W1E3 1 SwissProt MLETKDPAIKLFGMKIPFPTVLEVADEEEEKNQNKTLTDQSEKDKTLKKPTKILPCPRCNSMETKFCYYNNYNVNQPRHFCKACQRYWTSGGTMRSVPIGAGRRKNKNNSPTSHYHHVTISETNGPVLSFSLGDDQKVSSNRFGNQKLVARIENNDERSNNNTSNGLNCFPGVSWPYTWNPAFYPVYPYWSMPVLSSPVSSSPTSTLGKHSRDEDETVKQKQRNGSVLVPKTLRIDDPNEAAKSSIWTTLGIKNEVMFNGFGSKKEVKLSNKEETETSLVLCANPAALSRSINFHEQM
VIMSS10111152 67 205 0.431406474820144 PF01486.17:K-box:35:104 MAF5 (MADS AFFECTING FLOWERING 5); transcription factor (RefSeq) 205 70 24 139 0 Arabidopsis thaliana VIMSS10111152 1 MicrobesOnline GDSMAKIISRFKIQQADDPETLDLEDKTQDYLSHKELLEIVQRKIEEAKGDNVSIESLISMEEQLKSALSVIRARKTELLMELVKNLQDKEKLLKEKNKVLASEVGKLKKILETGDERAVMSPENSSGHSPPETLPLLK
VIMSS103 1 153 0.00577450980392157 hypothetical protein (NCBI ptt file) 153 0 24 112 2 Chlamydia trachomatis D/UW-3/CX VIMSS103 1 MicrobesOnline MISMIPRFSIACIPLAVWLFSGAKLLVKSLYLALHYQFSLVLSAELFAIAWILASIKHRFILSKSMLKQHELDAQLLIKKISPWTFIKRSFLSKRMFVIISMSVISSLLHRSTTIPLLSFLMCSSVSYALFKTSLAHWKSLKELKSKYSVYYY
VIMSS109074 1 346 0.196437283236994 PF00823.19:PPE:6:159,PF12484.8:PE_PPE_C:270:337 Uncharacterized PPE family protein PPE17 346 222 24 346 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WI27 1 SwissProt MDFTIFPPEFNSLNIQGSARPFLVAANAWKNLSNELSYAASRFESEINGLITSWRGPSSTIMAAAVAPFRAWIVTTASLAELVADHISVVAGAYEAAHAAHVPLPVIETNRLTRLALATTNIFGIHTPAIFALDALYAQYWSQDGEAMNLYATMAAAAARLTPFSPPAPIANPGALARLYELIGSVSETVGSFAAPATKNLPSKLWTLLTKGTYPLTAARISSIPVEYVLAFVEGSNMGQMMGNLAMRSLTPTLKGPLELLPNAVRPAVSATLGNADTIGGLSVPPSWVADKSITPLAKAVPTSAPGGPSGTSWAQLGLASLAGGAVGAVAARTRSGVILRSPAAG
VIMSS109266 35 575 0.189324584103512 PF04954.13:SIP:97:207,PF00664.23:ABC_membrane:260:519,PF08021.11:FAD_binding_9:26:79 Iron import ATP-binding/permease protein IrtA; Iron-regulated transporter A; EC 7.2.2.- 859 425 24 403 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WQJ9 1 SwissProt VRMVSPTLFQDAEAEPAAWLRFWFPDPNGSNTEFQRAYTISEADPAAGRFAVDVVLHDPAGPASSWARTVKPGATIAVMSLMGSSRFDVPEEQPAGYLLIGDSASIPGMNGIIETVPNDVPIEMYLEQHDDNDTLIPLAKHPRLRVRWVMRRDEKSLAEAIENRDWSDWYAWATPEAAALKCVRVRLRDEFGFPKSEIHAQAYWNAGRAMGTHRATEPAATEPEVGAAPQPESAVPAPARGSWRAQAASRLLAPLKLPLVLSGVLAALVTLAQLAPFVLLVELSRLLVSGAGAHRLFTVGFAAVGLLGTGALLAAALTLWLHVIDARFARALRLRLLSKLSRLPLGWFTSRGSGSIKKLVTDDTLALHYLVTHAVPDAVAAVVAPVGVLVYLFVVDWRVALVLFGPVLVYLTITSSLTIQSGPRIVQAQRWAEKMNGEAGSYLEGQPVIRVFGAASSSFRRRLDEYIGFLVAWQRPLAGKKTLMDLATRPATFLWLIAATGTLLVATHRMDPVNLLPFMFLGTTFGARLLGIAYGLGGLRT
VIMSS120 1 167 0.236909580838323 Inclusion membrane protein G 167 0 24 121 2 Chlamydia trachomatis (strain D/UW-3/Cx) SwissProt::P0DPS6 1 SwissProt MICCDKVLSSVQSMPVIDKCSVTKCLQTAKQAAVLALSLFAVFASGSLSILSAAVLFSGTAAVLPYLLILTTALLGFVCAVIVLLRNLSAVVQSCKKRSPEEIEGAARPSDQQESGGRLSEESASPQASPTSSTFGLESALRSIGDSVSGAFDDINKDNSRSRSHSF
VIMSS122632 1 74 0.118255405405405 hypothetical protein (NCBI ptt file) 74 0 24 74 0 Clostridium perfringens str. 13 VIMSS122632 1 MicrobesOnline MKDYIIYKQFGKEDIKEGDLLRVDLIDGFKIKDIKELKDFNLVYETKGHEDFCTKKGKKVKRSVRYIRVFKKKN
VIMSS12368 1 643 0.287614463452566 unknown protein (NCBI ptt file) 643 0 24 643 0 Synechocystis sp. PCC 6803 VIMSS12368 1 MicrobesOnline MTIQYTPLADRLLAYLAADRLNLSAKSSSLNTSILLSSDLFNQEGGIVTANYGFDGYMGIPGMDGTDAESQQIAFDNNVAWNNLGDLSTTTQRAYTSAISTDTVQSVYGVNLEKNDNIPIVFAWPIFPTTLNPTDFQVMLNTGEIVTPVIASLIPNSEYNERQTVVITGNFGNRLTPGTEGAIYPVSVGTVLDSTPLEMVGPNGPVSAVGITIDSLNPYVAGNGPKIVAAKLDRFSDLGEGAPLWLATNQNNSGGDLYGDQAQFRLRIYTSAGFSPDGIASLLPTEFERYFQLQAEDITGRTVILTQTGVDYEIPGFGLVQVLGLADLAGVQDSYDLTYIEDHDNYYDIILKGDEAAVRQIKRVALPSEGDYSAVYNPGGPGNDPENGPPGPFTVSSSPQVIKVTDTIGQPTKVSYVEVDGPVLRNPFSGTPIGQEVGLAVKDLATGHEIYQYTDPDGKVFYASFAAADDQATDLTTAIANPTAIDLINARGFTAGSSVTVSGSYSREAFFDGSMGFYRLLDDNGAVLDPLTGGVINPGQVGYQEAALADSNRLQATGSTLTAEDLETRAFSFNILGGELYAPFLTVNDSLSGINQTYFAFGSANPDGISHSTNLGPNVIGFEDFLGGGDLDFDDIIVRFTLT
VIMSS1291298 1 63 0.208020634920635 hypothetical protein 63 0 24 45 1 Staphylococcus aureus subsp. aureus USA300_TCH1516 WP_001221404.1 0 RefSeq MRILITGTVAILIILGLVKTIQDYEMTNDTSRQLSDNKDDDKVIHLNNFKNLHAKEFNPSDFF
VIMSS1292205 1 238 0.191841596638656 PF00512.25:HisKA:123:182 Histidine protein kinase SaeS; Sensor protein SaeS; Staphylococcus exoprotein expression protein S; EC 2.7.13.3 351 60 24 192 2 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2G2U1 1 SwissProt MVLSIRSQIIIGVVSSILLTSTILAIAYILMWFNGHMTLTLTLTTIITSCLTLLICSIFINPLIQKIKQFNIKTKQFANGNYASNDKTFNSPKEIYELNQSFNKMASEITQQMNQIKSEQQEKTELIQNLAHDLKTPLASIISYSEGLRDGIITKDHEIKESYDILIKQANRLSTLFDDMTHIITLNTGKTYPPELIQLDQLLVSILQPYEQRIKHENRTLEVNFCNEIDAFYQYRTP
VIMSS158308 1 562 0.392819928825622 PF17210.3:SdrD_B:210:321,PF17802.1:SpaA:334:399,PF17802.1:SpaA:426:491,PF00746.21:Gram_pos_anchor:526:561 putative peptidoglycan bound protein (LPXTG motif) (NCBI ptt file) 562 280 24 526 2 Listeria monocytogenes EGD-e VIMSS158308 1 MicrobesOnline MQRKLIGSFFILMVLLIIGSTSEKVQASPTSSNGWQLKWAIKNNDFEDVDITDYGQNAGTTNVWMVNQAGVDAWGTTNPTGNIEVWQNGNGYNVPAFSGNNFIELNSDGIGPVYQDIRTIPGSNLTWKFSHRGRTGVDTADLLIGSPESQTEVSRVSNGETWGSFEGNYTVPAGQTITRLTFNPISTANGSLTSGNFLDDVQLYINVNGAKIGDVVWYDFNGDGIQQDSEEPAPGVKVDLLTKDGTFKESATTNNIGSYLFTDVLPGDYQVKFSLPNNDFIFSKANQGNDKSLNSKPDKTGIASVNVPNLKSENFDIDAGITTNGKVEIQKLSGDKALSGAVYAIKDNSQSEVAKITTNQNGTGTAEGLPPGNYTATEVTAPLGYQKNTTPKKFTITYGDTNPVKLTFQNAEKTGSITIFKQDEANKKGLANAVFDVKSIDGTTLKKVTTNSKGYALAENLQPGTYVITEVTAPPGYEKSANEIRVTIPFNPQKTINITFSDNKIMVPLKPTPTKGSTVVKVSGETTKITALPQTGDSSSSSTIFTGLLIVVASGLFVYRRY
VIMSS18725 1 184 0.136944565217391 PF04264.13:YceI:20:179 hypothetical protein (NCBI ptt file) 184 160 24 184 0 Helicobacter pylori 26695 VIMSS18725 1 MicrobesOnline MKKMVLVSVLLAGFLQAVNLDLSSAKLTWTAFKTKAKTPVNGSFESITYKLGKSQDSLKTLLEGASASMDSLKVNLGDELKNKNVKEAFFALFKNTNIKVTFRNVIEGDHAGSLTAYVRMNEKLVKVPMQYTIAEDKIVVKGVLDLLNFGLKNELASLAKRCESFHEGLTWSQVEIQFESMIKG
VIMSS18939 1 115 0.337133043478261 cag pathogenicity island protein cag1 115 0 24 83 1 Helicobacter pylori 26695 NP_207317.1 0 RefSeq MADTINTTEATHETKKPNAFVNFFKNNLTDKRYDSLGLIGAGVLCCVLSGAMGIVGIIFVAIGIFLSFSNINLVKLVEKLSKKQSKVPTTVNNETQKSQATSVTNEPTEAKETKD
VIMSS18947 1 535 0.0368147663551402 cag pathogenicity island protein (cag9) (NCBI ptt file) 535 0 24 361 8 Helicobacter pylori 26695 VIMSS18947 1 MicrobesOnline MFNIKRNFLITIISFFLIVPNWLKAIDLPIVSNLKIYQTVYCMLIPSYVLTNKSFADILTGYTSIGASGSGKSSGQGVIEALSTPLATSLAASNLVKYLNTLGPLWGSAWASVATAIQGFALTPSSGCNFGWNALINKNIDVSMDSVLDNLSNKIQNFTKGGVEDNVKGNILLQIIGSITAQASTNITADGLIWLIGKEFTANKLQNNTTAMLAFAALESVVKGADAAVLPAYGVVNLPDIIIGQGSYLDFVSYLIYIVFGIFVFISFMKLRDISSNIQINIGFEYMRFVGGTLFKMAMVSFIAYAGFGYLYKISYSIYFGLAGAFGLNQVLFWALDLVLNYTVNSILPAVRAVFSNVGNNAPSLLQGLQVAGISLFAIFMQVTIIMRISTVVVKPLIAGAFSGIVFPIAVSLIVLDWFKDSMKNILIWFINNLFILVLAIPILLFGVLALLAFNLTITPSVAIQNINQGGLGIDSTIASLITLFILKGFIETIIESVNAIVNTIFSSVSMDGSRMDRERDALMVGRVGGSMFKG
VIMSS18958 1 381 0.152271128608924 cag pathogenicity island protein cag19 381 0 24 381 0 Helicobacter pylori 26695 NP_207336.1 1 RefSeq MKCFLSIFSFLTFCGLSLNGTEVVITLEPALKAIQADAQAKQKTAQAELKAIEAQSSAKEKAIQAQIEGELRTQLATMSAMLKGANGVINGVNGMTGGFFAGSDILLGVMEGYSSALSALGGNVKMIVEKQKINTQTEIQNMQIALQKNNEIIKLKMNQQNALLEALKNSFEPSVTLKTQMEMLSQALGSSSDNAQYIAYNTIGIKAFEETLKGFETWLKVAMQKATLIDYNSLTGQALFQSAIYAPALSFFSSMGAPFGIIETFTLAPTKCPYLDGLKISACLMEQVIQNYRMIVALIQNKLSDADFQNIAYLNGINGEIKTLKGSVDLNALIEVAILNAENHLNYIENLEKKADLWEEQLKLERETTARNIASSKVIVK
VIMSS18964 1 115 0.0548765217391304 PF16943.5:T4SS_CagC:13:100 cag pathogenicity island protein (cag25) (NCBI ptt file) 115 88 24 55 3 Helicobacter pylori 26695 VIMSS18964 0 MicrobesOnline MKFFTRITDSYKKVVVTLGLVVTTNPLMAVTSPAEGVTETKTLVIQIISVLAIVGGCALGVKGIADIWKISDDIKRGQATVFAYAQPIAMLAVAGGIIYLSTKFGFNIGESGGAS
VIMSS19054 1 305 0.131466557377049 PF01856.17:HP_OMP:101:301 outer membrane protein (omp13) (NCBI ptt file) 305 201 24 305 0 Helicobacter pylori 26695 VIMSS19054 1 MicrobesOnline MKKALLLTLSLSFWLHAERNGFYLGLNFLEGSYIKGQGSIGKKASAENALNEAINNAKNSLFPNTKAIRDAQNALNAVKDSNKIASRFAGNGGSGGLFNELSFGYKYFLGKKRIIGFRHSLFFGYQLGGVGSVPGSGLIVFLPYGFNTDLLINWTNDKRASQKYVERRVKGLSIFYKDMTGRTLDANTLKKASRHVFRKSSGLVIGMELGGSTWFASNNLTPFNQVKSRTIFQLQGKFGVRWNNDEYDIDRYGDEIYLGGSSVELGVKVPAFKVNYYSDDYGDKLDYKRVVSVYLNYTYNFKNKH 3
VIMSS2099507 1 431 0.047153596287703 PF09594.10:GT87:103:334 Polyprenol-phosphate-mannose-dependent alpha-(1-2)-phosphatidylinositol pentamannoside mannosyltransferase; Alpha-mannosyltransferase; Alpha-ManT; PPM-dependent mannosyltransferase; Polyprenol-phosphate-mannose alpha-mannosyltransferase; PPM alpha-mannosyltransferase; EC 2.4.1.- 431 232 24 214 10 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WN01 0 SwissProt MCRTLIDGPVRSAIAKVRQIDTTSSTPAAARRVTSPPARETRAAVLLLVLSVGARLAWTYLAPNGANFVDLHVYVSGAASLDHPGTLYGYVYADQTPDFPLPFTYPPFAAVVFYPLHLVPFGLIALLWQVVTMAALYGAVRISQRLMGGTAETGHFAAMLWTAIAIWIEPLRSTFDYGQINVLLMLAALWAVYTPRWWLSGLLVGVASGVKLTPAITAVYLVGVRRLHAAAFSVVVFLATVGVSLLVVGDEARYYFTDLLGDAGRVGPIATSFNQSWRGAISRILGHDAGFGPLVLAAIASTAVLAILAWRALDRSDRLGKLLVVELFGLLLSPISWTHHWVWLVPLMIWLIDGPARERPGARILGWGWLVLTIVGVPWLLSFAQPSIWQIGRPWYLAWAGLVYVVATLATLGWIAASERYVRIRPRRMAN
VIMSS2100067 1 80 0.11593875 PF00198.23:2-oxoacid_dh:2:71 Uncharacterized protein MT1774.1 80 70 24 80 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WLS6 1 SwissProt MTNVGDQGVDAVFGVIYPPQVALVSFGKPAQRVCAVDGAIHVMTTVLATLPADHGCSDDHRGALFFLSINELTRCAAVTG
VIMSS2133153 1 95 0.00272842105263158 hypothetical protein (NCBI) 95 0 24 72 1 Escherichia coli O157:H7 EDL933 VIMSS2133153 1 MicrobesOnline MLNKHPFLGVFLIFLGFKFLSIKIITRISVLFMYIYIYFCDEFKPQCSHSPCCVMKHFRNGYRVCINCFSHVVANTFSGNFRYFIVIHFISYKII
VIMSS2177478 1 149 0.329351006711409 PF06558.12:SecM:24:148 SecA translation regulator 170 125 24 126 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11087-MONOMER 1 ecocyc MSGILTRWRQFGKRYFWPHLLLGMVAASLGLPALSNAAEPNAPAKATTRNHEPSAKVNFGQLALLEANTRRPNSNYSVDYWHQHAIRTVIRHLSFAMAPQTLPVAEESLPLQAQHLALLDTLSALLTQEGTPSEKGYRIDYAHFTPQAK
VIMSS2198361 1 175 0.391085714285714 PF08281.12:Sigma70_r4_2:115:165,PF04542.14:Sigma70_r2:13:78,PF04545.16:Sigma70_r4:118:166,PF07638.11:Sigma70_ECF:59:166 putative sigma-70 factor, ECF subfamily (NCBI) 175 154 24 175 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2198361 1 MicrobesOnline MDERFRGSVSHAYQAFHGELLRFLRKHLGSSADAADLAQDTFAQWLKWPGRQSVEQPRAFLFQIARNLLRDHWRRQQSRGQDLDRPAANDEPAALEGEAAGPGERFEQQQRLSHLAAALAELPPRRREAFVLHKFDGLSQVEVAERMGISLSMVEKHIASALLHCKRRLGQGGEG
VIMSS227 1 270 0.179711111111111 inclusion membrane protein 270 0 24 224 2 Chlamydia trachomatis D/UW-3/CX NP_219728.1 1 RefSeq MVSLALGTSNGVEANNGINDLSPAPEAKKTGSGLCYKISAVAALVLGLLAAAGGAVVLALFCTFAPPLFFYAGVALVALGAVILGVGVSNTCSCCLRSRKIEAHKQLILQQKEEISQLEQQLAKALKELNTKYPASLLERRDLRENLKAWQSCCLNLEKEVRDLLTKLGGYQERLKVLPAKEKQIEELKAMLEHYSRICHERGELINLLKTANKKLSKESEKLLFNYKAHRDVCLGEKVLVKSVNLIDLDPKSDSSDGDDDDGFNYGSRV
VIMSS233 1 215 0.183695813953488 hypothetical protein (NCBI ptt file) 215 0 24 169 2 Chlamydia trachomatis D/UW-3/CX VIMSS233 1 MicrobesOnline MSCSNINSGAPATLFAKGAAAISSRVDTMRQMCSARVGAKTCGIALTIIGLLVATAGVVIAAVGIGTPASLAAGMILVMVGSLLLGLGLARARSRRVEVERHLEVVSMQIEGLKEEYKALFEVYQVNLEARRAVSKHCQDLEEQILDLCKRYAETVCSIEEDAEQEIRHQTEGFKQRLQQSQNTCSQLTAELCKLRSENKTLSERLQVQASRRKK
VIMSS26305 1 374 0.134452139037433 T. pallidum predicted coding region TP0483 (NCBI ptt file) 374 0 24 374 0 Treponema pallidum subsp. pallidum str. Nichols VIMSS26305 1 MicrobesOnline MSIISRVCIPCAVLLFAQLHAKELVHVSQLKEQEARISWQEVPGAVSYRVLIRNAHGRIVTNAVATTRSYSFSRLRPGSYQYQIIAYDVLHRASASAWTSLSIEKVHKPKTGGITPSTIEHVVGGEAYTVVXDRXWTYEKGWTIALFPDDKADEAGAYVKPLSVSKNKEGNWMVAIPNAALKTGSYTLRAITPRNIYAEVRGILHVVLWRRPIFFYYDLSVGYAPVYRPQDHAATINGVSDFFKICSPIGFVGTFEMCFFKRNSSTISAGFNAQMHSDSKQVDVKLDGNFAYLYELYPRIEVGGMLGLGYSLPFGQRKEDDSMYSYVTGTMKYFFTNSIYLRVQQQHMLTVKPSFTGVSLQQTPSLSFGYRFHN
VIMSS26439 1 609 0.203421510673235 PF02707.16:MOSP_N:84:278,PF02722.15:MOSP_C:411:609 hypothetical protein 609 394 24 609 0 Treponema pallidum subsp. pallidum str. Nichols WP_010882066.1 1 RefSeq MGRQVMQAGVLAGMVCAASGYAGVLTPQVSGTAQLQWGIAFQKNPRTGPGKHTHGFRTTNSLTISLPLVSKHTHTRRGEARSGVWAQLQLKDLAVELASSKSSTALSFTKXTASFQATLHCYGAYLTVGTSPSCVVNFAQLWKPFVTRAYSEKDTRYAPGFSGSGAKLGYQAHNVGNSGVDVDIGFLSFLSNGAWDSTDTTHSKYGFGADATLSYGVDRQRLLTLELAGNATLEQHYRKGTEDSTNENKTALLWGVGGRLTLEPGAGFRFSFALDAGNQHQDPADAGNRLLATGSSREKFDSAFDALRVEQYRVKDKYLEFLLGQMAESSILERVGLALTLQDGTLVSTLTKVATDSGDRFIQMALVKLLPQRAQAEQRLQEIVAPSQSDIVLIMLLTWLERARLDRFNADALLTAQWTYVSAGLYGATAGTNVFGKRVLPALRSWHFDFAGFLKLETKSGDPYTHLLTGLNAGVEARVYIPLTYIRYRNNGGYELNGAVPPGTINMPILGKAWCSYRIPLGSHAWLTPHTSVLGTTNRFNVINPAYTLLNERALQYQVGLTFSPFEKVELSAQWEQGVLADAPYMGIAESMWSERYFGTFICGVKVVW 1
VIMSS292 1 563 0.215879040852576 hypothetical protein 563 0 24 471 4 Chlamydia trachomatis D/UW-3/CX NP_219793.1 1 RefSeq MVYFRAHQPRHTPKTFPLEVHHSFSDKHPQIAKAMRITGIALAALSLLAVVACVIAVSAGGAAIPLAVISGIAVMSGLLSAATIICSAKKALAQRKQKQLEESLPLDNATEHVSYLTSDTSYFNQWESLGALNKQLSQIDLTIQAPEKKLLKEVLGSRYDSINHSIEEISDRFTKMLSLLRLREHFYRGEERYAPYLSPPLLNKNRLLTQITSNMIRMLPKSGGVFSLKANTLSHASRTLYTVLKVALSLGVLAGVAALIIFLPPSLPFIAVIGVSSLALGMASFLMIRGIKYLLEHSPLNRKQLAKDIQKTIGPDVLASMVHYQHQLLSHLHETLLDEAITARWSEPFFIEHANLKAKIEDLTKQYDILNAAFNKSLQQDEALRSQLEKRAYLFPIPNNDENAKTKESQLLDSENDSNSEFQEIINKGLEAANKRRADAKSKFYTEDETSDKIFSIWKPTKNLALEDLWRVHEACNEEQQALLLEDYMSYKTSECQAALQKVSQELKAAQKSFAVLEKHALDRSYESSVATMDLARANQETHRLLNILSELQQLAQYLLDNH
VIMSS29614 1 229 0.450914410480349 ErpL (NCBI ptt file) 229 0 24 229 0 Borrelia burgdorferi B31 VIMSS29614 1 MicrobesOnline MNKKMKMFIICAVFALMISCKNYASGENLKNSEQNLESSEQNVKKTEQEIKKQVEGFLEILETKDLSKLDEKDTKEIEKQIQELKNKIEKLDSKKTSIETYSEYEEKINKIKEKLKGKGLEDKFKELEESLAKKKGERKKALQEAKQKFEEYKKQVDTSTGKTQGDRSKNRGGVGVQAWQCANELGLGVSYSNGGSDNSNTDELANKVIDDSLKKIEEELKGIEEDKKE
VIMSS32112 1 479 0.345911899791232 Isoniazid-induced protein IniB 479 0 24 479 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJ97 1 SwissProt MTSLIDYILSLFRSEDAARSFVAAPGRAMTSAGLIDIAPHQISSVAANVVPGLNLGAGDPMSGLRQAVAARHGFAQDVANVGFAGDAGAGVASVITTDVGAGLASGLGAGFLGQGGLALAASSGGFGGQVGLAAQVGLGFTAVIEAEVGAQVGAGLGIGTGLGAQAGMGFGGGVGLGLGGQAGGVIGGSAAGAIGAGVGGRLGGNGQIGVAGQGAVGAGVGAGVGGQAGIASQIGVSAGGGLGGVGNVSGLTGVSSNAVLASNASGQAGLIASEGAALNGAAMPHLSGPLAGVGVGGQAGAAGGAGLGFGAVGHPTPQPAALGAAGVVAKTEAAAGVVGGVGGATAAGVGGAHGDILGHEGAALGSVDTVNAGVTPVEHGLVLPSGPLIHGGTGGYGGMNPPVTDAPAPQVPARAQPMTTAAEHTPAVTQPQHTPVEPPVHDKPPSHSVFDVGHEPPVTHTPPAPIELPSYGLFGLPGF
VIMSS3253675 1 86 0.552853488372093 JM109 (NCBI) 86 0 24 86 0 Macaca fuscata rhadinovirus VIMSS3253675 1 MicrobesOnline MLRSSRFFTQIPDSTITQIRPLSHVFCRACKSALANSHGTNCADGRKRVNGLSAQHRCPGRLGSGRPHIRTPQPERKISTPTFSPK
VIMSS32621 1 419 0.0319140811455847 PF07690.16:MFS_1:18:314 PROBABLE CONSERVED INTEGRAL MEMBRANE TRANSPORT PROTEIN (NCBI) 419 297 24 161 12 Mycobacterium tuberculosis H37Rv VIMSS32621 0 MicrobesOnline MGARAIFRGFNRPSRVLMINQFGINIGFYMLMPYLADYLAGPLGLAAWAVGLVMGVRNFSQQGMFFVGGTLADRFGYKPLIIAGCLIRTGGFALLVVAQSLPSVLIAAAATGFAGALFNPAVRGYLAAEAGERKIEAFAMFNVFYQSGILLGPLVGLVLLALDFRITVLAAAGVFGLLTVAQLVALPQHRADSEREKTSILQDWRVVVRNRPFLTLAAAMTGCYALSFQIYLALPMQASILMPRNQYLLIAAMFAVSGLVAVGGQLRITRWFAVRWGAERSLVVGATILAASFIPVAVIPNGQRFGVAVAVMALVLSASLLAVASAALFPFEMRAVVALSGDRLVATHYGFYSTIVGVGVLVGNLAIGSLMSAARRLNTDEIVWGGLILVGIVAVAGLRRLDTFTSGSQNMTGRWAAPR
VIMSS32903 1 526 0.269369771863118 PF03972.14:MmgE_PrpD:43:496 2-methylcitrate dehydratase; 2-MC dehydratase; Aconitate hydratase; ACN; Aconitase; EC 4.2.1.79; EC 4.2.1.3 526 454 24 526 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O06582 1 SwissProt MPDQDTKVRFFRVFCWCPVLRMVRIMLMHAVRAWRSADDFPCTEHMAYKIAQVAADPVDVDPEVADMVCNRIIDNAAVSAASMVRRPVTVARHQALAHPVRHGAKVFGVEGSYSADWAAWANGVAARELDFHDTFLAADYSHPADNIPPLVAVAQQLGVCGAELIRGLVTAYEIHIDLTRGICLHEHKIDHVAHLGPAVAAGIGTMLRLDQETIYHAIGQALHLTTSTRQSRKGAISSWKAFAPAHAGKVGIEAVDRAMRGEGSPAPIWEGEDGVIAWLLAGPEHTYRVPLPAPGEPKRAILDSYTKQHSAEYQSQAPIDLACRLRERIGDLDQIASIVLHTSHHTHVVIGTGSGDPQKFDPDASRETLDHSLPYIFAVALQDGCWHHERSYAPERARRSDTVALWHKISTVEDPEWTRRYHCADPAKKAFGARAEVTLHSGEVIVDELAVADAHPLGTRPFERKQYVEKFTELADGVVEPVEQQRFLAVVESLADLESGAVGGLNVLVDPRVLDKAPVIPPGIFR
VIMSS33023 1 579 0.14019274611399 PF07690.16:MFS_1:25:415,PF00083.24:Sugar_tr:56:190,PF03209.15:PUCC:100:170,PF06609.13:TRI12:39:300 PROBABLE DRUG-TRANSPORT INTEGRAL MEMBRANE PROTEIN (NCBI) 579 391 24 314 12 Mycobacterium tuberculosis H37Rv VIMSS33023 1 MicrobesOnline MTTAIRRAAGSSYFRNPWPALWAMMVGFFMIMLDSTVVAIANPTIMAQLRIGYATVVWVTSAYLLAYAVPMLVAGRLGDRFGPKNLYLIGLGVFTVASLGCGLSSGAGMLIAARVVQGVGAGLLTPQTLSTITRIFPAHRRGVALGAWGTVASVASLVGPLAGGALVDSMGWEWIFFVNVPVGVIGLILAAYLIPALPHHPHRFDWFGVGLSGAGMFLIVFGLQQGQSANWQPWIWAVIVGGIGFMSLFVYWQARNAREPLIPLEVFNDRNFSLSNLRIAIIAFAGTGMMLPVTFYAQAVCGLSPTHTAVLFAPTAIVGGVLAPFVGMIIDRSHPLCVLGFGFSVLAIAMTWLLCEMAPGTPIWRLVLPFIALGVAGAFVWSPLTVTATRNLRPHLAGASSGVFNAVRQLGAVLGSASMAAFMTSRIAAEMPGGVDALTGPAGQDATVLQLPEFVREPFAAAMSQSMLLPAFVALFGIVAALFLVDFTGAAVAKEPLPESDGDADDDDYVEYILRREPEEDCDTQPLRASRPAAAAASRSGAGGPLAVSWSTSAQGMPPGPPGRRAWQADTESTAPSAL
VIMSS33407 1 471 0.048547770700637 PF07690.16:MFS_1:27:423 Probable multidrug-efflux transporter Rv1634 471 397 24 153 14 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJX3 0 SwissProt MTETASETGSWRELLSRYLGTSIVLAGGVALYATNEFLTISLLPSTIADIGGSRLYAWVTTLYLVGSVVAATTVNTMLLRVGARSSYLMGLAVFGLASLVCAAAPSMQILVAGRTLQGIAGGLLAGLGYALINSTLPKSLWTRGSALVSAMWGVATLIGPATGGLFAQLGLWRWAFGVMTLLTALMAMLVPVALGAGGVGPGGETPVGSTHKVPVWSLLLMGAAALAISVAALPNYLVQTAGLLAAAALLVAVFVVVDWRIHAAVLPPSVFGSGPLKWIYLTMSVQMIAAMVDTYVPLFGQRLGHLTPVAAGFLGAALAVGWTVGEVASASLNSARVIGHVVAAAPLVMASGLALGAVTQRADAPVGIIALWALALLIIGTGIGIAWPHLTVRAMDSVADPAESSAAAAAINVVQLISGAFGAGLAGVVVNTAKGGEVAAARGLYMAFTVLAAAGVIASYQATHRDRRLPR
VIMSS33408 1 556 0.118294784172662 PF13231.6:PMT_2:114:265 mannosyltransferase 556 152 24 336 10 Mycobacterium tuberculosis H37Rv NP_216151.1 1 RefSeq MHASRPGAPPHAGLPSRRTAGDQDHRADPKVTRIMSASTLEQPAAAHVDELVARMRGRLLDPLAIAVLAAVISGAWASRPSLWFDEGATISASASRTLPELWSLLGHIDAVHGLYYLLMHGWFAIFPPTELWSRLPSCLAIGAAAAGVVVFAKQFSGRTTAVCAGAVFAILPRVTWAGIEARSSALSVAAAVWLTVLLVAAVRCNTQRRWLLYALVLMLSILVSINLALLVPAYATMVPLLASGKSRKSPVIWWTVVTAAALGAMTPFILFAHGQVWQVGWIAGLNRNIILDVIHRQYFDHSVPFAILAGLIVAAGIAAHLAGARGPGGDTHRLVLVSAAWIVVPTAVVLIYSATVEPIYYPRYLILTAPAAAVILAVCVVTIARKPWLIAGVVFLLAAAAFPNYFFTQRGPYAKEGWDYSQVADVISAHAKPGDCLLVDNTAGWRPGPIRALLATRPAAFRSLIDVERGTYGPKVGTLWDGHVAVWLTTAKIDKCPTLWTIANRDKSLPDHQVGEMLSPGTGFGRTPVYRFPSYLGFRIVERWQFHYSQVVKSTR
VIMSS33585 1 400 0.2305635 PF07992.14:Pyr_redox_2:3:312,PF00070.27:Pyr_redox:153:231 NADH dehydrogenase-like protein Rv1812c; EC 1.6.-.- 400 310 24 400 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJJ1 1 SwissProt MTRVVVIGSGFAGLWAALGAARRLDELAVLAGTVDVMVVSNKPFHDIRVRNYEADLSACRIPLGDVLGPAGVAHVTAEVTAIDADGRRVTTSTGASYSYDRLVLASGSHVVKPALPGLAEFGFDVDTYDGAVRLQQHLQGLAGGPLTSAAATVVVVGAGLTGIETACELPGRLHALFARGDGVTPRVVLIDHNPFVGSDMGLSARPVIEQALLDNGVETRTGVSVAAVSPGGVTLSSGERLAAATVVWCAGMRASRLTEQLPVARDRLGRLQVDDYLRVIGVPAMFAAGDVAAARMDDEHLSVMSCQHGRPMGRYAGCNVINDLFDQPLLALRIPWYVTVLDLGSAGAVYTEGWERKVVSQGAPAKTTKQSINTRRIYPPLNGSRADLLAAAAPRVQPRP
VIMSS34149 1 168 0.337420833333333 low molecular weight antigen MTB12 168 0 24 145 1 Mycobacterium tuberculosis H37Rv NP_216892.1 1 RefSeq MKMVKSIAAGLTAAAAIGAAAAGVTSIMAGGPVVYQMQPVVFGAPLPLDPASAPDVPTAAQLTSLLNSLADPNVSFANKGSLVEGGIGGTEARIADHKLKKAAEHGDLPLSFSVTNIQPAAAGSATADVSVSGPKLSSPVTQNVTFVNQGGWMLSRASAMELLQAAGN
VIMSS342042 1 497 0.444435613682092 hypothetical protein (NCBI ptt file) 497 0 24 497 0 Vibrio parahaemolyticus RIMD 2210633 VIMSS342042 1 MicrobesOnline MSTIQINSQHRGNLDLADIQNIKTNAKEGDTVKFGSVFGKEYSVTKSNDGEISLKQKENRSFFNRFFSKTDSSKNSDLKLNLMNQQLHKKENNGNVKVLTLTYNQANQKMPAETKNYFQNLIQKGDYDVVLFAEQESKLLANDLELDGMNLLSQNKMKVMTKGLGEGISYTSMSVFAKDGVDINVKNESEYRHGIGGRNMEFFMGITGNKGGVKTALEINGQPLNVISAHLDSNKEVKREFEGNKLMEGINPNEEVLITGDLNEREKRVAEGSDVLYDPIAHDDTHLAKHGFKFKPLDSHTYMQLDKHTGNIKQKEGRDRPDFGELDNTGLTNKTGNLQNHQTSVITDGFENVSDHKPVQSTFEVRSFSQKLIENAFTQNANDFKNDAAYLKPGTNPTNATFDDVTSANQARLGLENLNPNEQAFVKENFASFIIGKDAIFSQLTSGFMEEMSQLHASDLAKNPTHLQAQQIALSEKYEQLSDKVNAEFNKQFVANL
VIMSS34432 1 375 0.369329066666667 PF00589.22:Phage_integrase:182:356,PF14659.6:Phage_int_SAM_3:77:128 Putative prophage phiRv2 integrase 375 227 24 375 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMB3 1 SwissProt MTQTGKRQRRKFGRIRQFNSGRWQASYTGPDGRVYIAPKTFNAKIDAEAWLTDRRREIDRQLWSPASGQEDRPGAPFGEYAEGWLKQRGIKDRTRAHYRKLLDNHILATFADTDLRDITPAAVRRWYATTAVGTPTMRAHSYSLLRAIMQTALADDLIDSNPCRISGASTARRVHKIRPATLDELETITKAMPDPYQAFVLMAAWLAMRYGELTELRRKDIDLHGEVARVRRAVVRVGEGFKVTTPKSDAGVRDISIPPHLIPAIEDHLHKHVNPGRESLLFPSVNDPNRHLAPSALYRMFYKARKAAGRPDLRVHDLRHSGAVLAASTGATLAELMQRLGHSTAGAALRYQHAAKGRDREIAALLSKLAENQEM
VIMSS34904 104 309 0.318588349514563 PF00881.24:Nitroreductase:133:198 NAD(P)H nitroreductase 332 66 24 206 0 Mycobacterium tuberculosis H37Rv NP_217647.3 1 RefSeq VPDQADVALAAAIPRRRTDRRAYSCWPVPGGDIALMAARAARGGVMLRQVSALDRMKAIVAQAVLDHVTDEEYLRELTIWSGRYGSVAGVPARNEPPSDPSAPIPGRLFAGPGLSQPSDVLPADDGAAILALGTETDDRLARLRAGEAASIVLLTATAMGLACCPITEPLEIAKTRDAVRAEVFGAGGYPQMLLRVGWAPINADPL
VIMSS35553 1 666 0.0549566066066066 PROBABLE CONSERVED TRANSMEMBRANE PROTEIN ALANINE AND LEUCINE RICH (NCBI) 666 0 24 373 13 Mycobacterium tuberculosis H37Rv VIMSS35553 1 MicrobesOnline MGLWFGTLIALILLIAPGAMVARIAQLRWPVAIAVGPALTYGVVALAIIPYGALGIPWNGWTALAALAVTCAVATGLQLLLARFRDLDAEALAVSRWPAVTVAAGVLLGALLIGWAAYRGIPHWQSIPSTWDAVWHANTVRFILDTGQASSTHMGELRNVETHAPLYYPSVFHGLVAVFCQLTGAAPTTGYTLSSLAASVWLFPVSAAVLTWRAVRSHPGALWSASCASAEWRAAGAAGTAAALSASFTAVPYVEFDTAAMPNLAAYGIAVPTMVLITSTLRHRDRIPVAVLALVGVFSLHITGGIVVALLVSAWWLFEALRHPVRSRLADLLTLAGVAAMAGLVMLPQFLSVRQQEDIIAGHAFPTYLSKKRGLFDAVFQHSRHLNDFPVQYALIVLAAIGGLILLVKKIWWPLAVWLLLIVMNVDAGTPLGGPIGGVAGALGEFFYHDPRRIAAATTLLLMLMAGVALFATVMLLVAAAKRLTDRFRPQPVSVWASATATLLIGATLVSAWHYFPRHRFLFGDKYDSVMIDQKDLDAMAYLASLPGARDTLIGNANTDGTAWMYAVAGLHPLWTHYDYPLQQGPGYHRFIFWAYGRNGESDPRVLEAIQVLRIRYILTSTPTVRGFAVPDGLVSLETSRSWAKIYDNGEARIYEWRGTAAATHS
VIMSS35638 1 402 0.272727611940299 ESX-1 secretion-associated protein EspE 402 0 24 379 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJD3 1 SwissProt MASGSGLCKTTSNFIWGQLLLLGEGIPDPGDIFNTGSSLFKQISDKMGLAIPGTNWIGQAAEAYLNQNIAQQLRAQVMGDLDKLTGNMISNQAKYVSDTRDVLRAMKKMIDGVYKVCKGLEKIPLLGHLWSWELAIPMSGIAMAVVGGALLYLTIMTLMNATNLRGILGRLIEMLTTLPKFPGLPGLPSLPDIIDGLWPPKLPDIPIPGLPDIPGLPDFKWPPTPGSPLFPDLPSFPGFPGFPEFPAIPGFPALPGLPSIPNLFPGLPGLGDLLPGVGDLGKLPTWTELAALPDFLGGFAGLPSLGFGNLLSFASLPTVGQVTATMGQLQQLVAAGGGPSQLASMGSQQAQLISSQAQQGGQQHATLVSDKKEDEEGVAEAERAPIDAGTAASQRGQEGTVL
VIMSS3693270 1 284 0.63403838028169 PF01744.20:GLTT:93:120 cell surface protein 284 28 24 261 1 Mycobacterium tuberculosis H37Rv NP_218327.1 1 RefSeq MPNRRRRKLSTAMSAVAALAVASPCAYFLVYESTETTERPEHHEFKQAAVLTDLPGELMSALSQGLSQFGINIPPVPSLTGSGDASTGLTGPGLTSPGLTSPGLTSPGLTDPALTSPGLTPTLPGSLAAPGTTLAPTPGVGANPALTNPALTSPTGATPGLTSPTGLDPALGGANEIPITTPVGLDPGADGTYPILGDPTLGTIPSSPATTSTGGGGLVNDVMQVANELGASQAIDLLKGVLMPSIMQAVQNGGAAAPAASPPVPPIPAAAAVPPTDPITVPVA
VIMSS44486 1 323 0.324182662538699 lipoprotein 323 0 24 323 0 Borreliella burgdorferi B31 NP_212978.1 1 RefSeq MKKKNLSIYMIMLISLLSCNTSDPNELTRKKMQDKNVKILGFLEKIQADNKEIVEKHIEKKEKQMVQAASVAPINVESNFPYYLQEEIEIKEEELVPNTDEEKKAEKAISDGSLEFAKLVDDENKLKNESAQLESSFNNVYKEILELADLIQAEVHVAGRINSYIKKRKTTKEKEYKKREIKNKIEKQALIKLFNQLLEKRGDIENLHTQLNSGLSERASAKYFFEKAKETLKAAITERLNNKRKNRPWWARRTHSNLAIQAKNEAEDALNQLSTSSFRILEAMKIKEDVKQLLEEVKSFLDSSKSKIFSSGDRLYDFLETSK
VIMSS44602 1 332 0.444898795180723 PF06780.11:Erp_C:197:328 hypothetical protein 332 132 24 332 0 Borreliella burgdorferi B31 YP_004940409.1 1 RefSeq MKLLKIFMCAFLLLNLVNCKFDSLNLSTKSVDDKNNSIAKLLQHLSKSEDQANKTSTSEDQKELEITENKEQEHEKLSQVAQHAPNSKIEKVKSDGKPVPGDKILSSNKDIYNSYIPEVKEEIVYEILEEVIIPETKIPEITEEVIMPIPQTIDFYIEPRPISSFLTQGTSPSITSTIKSYKELAKEKINNGLNIVQKITQNIDNITENLNSKETPKEISGKEVEEKITHPIFDHITGSGNNPGQDSISNTWGEGLEIGGDSNFFTNLEEVRSSIRTKIKVSDGTEQTKDKVEIDEIIEDLQKLKEFLEKLKKYLKDTNNLSAIEESVKGLS
VIMSS44786 1 332 0.500178313253012 immunogenic protein P37 (NCBI ptt file) 332 0 24 332 0 Borrelia burgdorferi B31 VIMSS44786 1 MicrobesOnline MNLIIKVMLISSLFSSFISCKLYEKLTNKSQQALAKAFVYDKDIADNKSTNSTSKLDNSSLDSIKDNNRSGRTSRALDDAEEIGVKESNQNRNDQQQNNESKVKESEKNNSSGIQADDSVLDTAHSDASEVENKKHDTSRQPQLLNKDSSEAREASKIIQKASTSLEEAEKVNAALKETRSKLDKIKRLADSAKSYLNNARKNSRTNGSILEILPNLDKAIEKAISSYASLNVCYTDAIAALAKAKNDFEHAKRKANDALEEALKDIPHFRGYNYLYHYRINNANDAMESAKSLLEVAKNKQKELNENMTKTNKDFQELNDIYKKLQDMDSR
VIMSS44841 1 169 0.369856804733728 outer membrane protein (NCBI ptt file) 169 0 24 169 0 Borrelia burgdorferi B31 VIMSS44841 1 MicrobesOnline MKKTIIVFIILAFMLNCKNKSNDAEPNNDLDEKSQAKSNLVDEDRIEFSKATPLEKLVSRLNLNNTEKETLTFLTNLLKEKLVDPNIGLHFKNSGGDESKIEESVQKFLSELKEDEIKDLLAKIKENKDKKEKDPEELNTYKSILASGFDGIFNQADSKTTLNKLKDTI
VIMSS44845 1 162 0.178835185185185 chpAI protein, putative (NCBI ptt file) 162 0 24 162 0 Borrelia burgdorferi B31 VIMSS44845 1 MicrobesOnline MCGRRMKNILLFVILLFFSCKEFNYSDLRRRPSKVLNASNGASNKELKISFVDSLNDDQKEALFFLEQVVLDSNPDKFNQIFNLNEEKVKEMLVTVVKCLKAKRKAKMALESSNVANVANAKQQLLQVEKTYIDNLRQSFMTTKNIEEACNLVKNYDASASF 2
VIMSS44874 1 213 0.210848356807512 PF03304.13:Mlp:50:151 lipoprotein (NCBI ptt file) 213 102 24 213 0 Borrelia burgdorferi B31 VIMSS44874 1 MicrobesOnline MMQRISILLMLLAVFSCKQFGDVKSLTEIDSGNGIPLVVSDVVKDLIPKEISLTPEEAEKLESLKVFLKDAMSVNGREEALKAEYEKSYKEFFDWLSKDVNRQKEFISSFDNISSIVSKAVDASKKRRPTEQQSLGFKEYVCYKIKNSKGEALSLFFQKVVDAFGADPYKKDNDESVQKPVKCNEEIFKVIKKVLTESESNNELKNLKNYGNV
VIMSS538652 1 126 0.341813492063492 PF13411.6:MerR_1:15:80,PF00376.23:MerR:15:50,PF13591.6:MerR_2:20:95 heat shock protein transcriptional repressor HspR 126 81 24 126 0 Mycobacterium tuberculosis H37Rv NP_214867.1 1 RefSeq MAKNPKDGESRTFLISVAAELAGMHAQTLRTYDRLGLVSPRRTSGGGRRYSLHDVELLRQVQHLSQDEGVNLAGIKRIIELTSQVEALQSRLQEMAEELAVLRANQRREVAVVPKSTALVVWKPRR
VIMSS539518 1 359 0.383641504178273 PF08237.11:PE-PPE:79:316 Diacyltrehalose acyltransferase Chp2; EC 2.3.1.- 359 238 24 336 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O50440 1 SwissProt MKRVIAGAFAVWLVGWAGGFGTAIAASEPAYPWAPGPPPSPSPVGDASTAKVVYALGGARMPGIPWYEYTNQAGSQYFPNAKHDLIDYPAGAAFSWWPTMLLPPGSHQDNMTVGVAVKDGTNSLDNAIHHGTDPAAAVGLSQGSLVLDQEQARLANDPTAPAPDKLQFTTFGDPTGRHAFGASFLARIFPPGSHIPIPFIEYTMPQQVDSQYDTNHVVTAYDGFSDFPDRPDNLLAVANAAIGAAIAHTPIGFTGPGDVPPQNIRTTVNSRGATTTTYLVPVNHLPLTLPLRYLGMSDAEVDQIDSVLQPQIDAAYARNDNWFTRPVSVDPVRGLDPLTAPGSIVEGARGLLGSPAFGG
VIMSS57558 1 203 0.0208354679802956 diguanylate cyclase (EC 2.7.7.65) 398 0 24 96 5 Pseudomonas aeruginosa BRENDA::Q9I4M8 0 BRENDA MARTTLESIQHAIEVNSSLALPIALENLSRLTHLALLTVPFNLIHILVFSLKDFRPDLGHQLWRQEIMYAHGAMALLFGGIGLLALWLRRQPPKLWRMRLLILLGGAGIIGFGVAIACIDQRITSNITPLLLACFACAMFILIRPAYAVPFYGLAMLAFEVAMDHAQADPQLRLSNQANGLTAFGLGLLLSLILWHGHVRNLR
VIMSS58348 1 255 0.0994803921568628 PF04116.13:FA_hydroxylase:76:206 hypothetical protein 255 131 24 166 4 Pseudomonas aeruginosa PAO1 NP_250588.1 1 RefSeq MIYYLIGVALFIFMLEQLVPGWKLPKVSTWVARVIFLNIVQVSIALLAGITWNKWMMGHSLLHTSDALPPLLAGFAAYFVNTFVTYWWHRARHANDTLWRLFHQLHHAPQRIEVFTSFYKHPTEMVFNSLLGSFVAYVVMGISIEAGAYYIMFAALGEMFYHSNLRTPHVLGYLFQRPEMHRIHHQRDRHECNYSDFPIWDMLFGTYENPRRIDEPQGFAGDKEQQFVDMLLFRDVHSLPGKTQPAPVLVKPDVR
VIMSS59759 1 92 0.237280434782609 PF00582.26:Usp:1:79 hypothetical protein 151 79 24 92 0 Pseudomonas aeruginosa PAO1 NP_251999.1 1 RefSeq MYDRILVAVDGSPVSDRALEEAIKLAGLSGGKLRVITIVDSPLRHLPDYAVYYNPEPLREAALKAADDVLAKAREKVEASNVEGATFDRVCQ
VIMSS60 1 367 0.203060217983652 hypothetical protein (NCBI ptt file) 367 0 24 321 2 Chlamydia trachomatis D/UW-3/CX VIMSS60 1 MicrobesOnline MFTSLSAIQNAIRPSCQLPVLTPRRALITSLASGIILGLAGCVVGVLASFPALIAVSAVILGVSLFASGLFLCRYVCPPKIVSRRPSTELPAEPTPELPEIKRPKPIAPPPPDFIPPRPLRRTIGEMLFGWNCIGSIRQMPFFLANDKTPLSFRNPSARFRAWNIPSTHTIFVSTSGQFSSLRMQSNLPAAIANATQSAAFAKRGQGGLGVNDAFPAVLTDKCWEESKPDSGILLPGECSSATWEDKNHLVPCWDEETKTYNKPLLFIQMLAPKASMYQDDSKSCYEITLRAYTACFEEAIRCGCRIIQIPLIAAFGDFVPRALSKQPKWIESAKLSLLHAVEKTAKKHASKDLVIVLTNIPQPVNL
VIMSS60655 1 370 0.27218 PF16576.5:HlyD_D23:66:267,PF13437.6:HlyD_3:165:259,PF13533.6:Biotin_lipoyl_2:68:115 probable Resistance-Nodulation-Cell Division (RND) efflux membrane fusion protein precursor (NCBI) 370 202 24 347 1 Pseudomonas aeruginosa PAO1 VIMSS60655 1 MicrobesOnline MQKPVLIASAALICAAVIGIAVYATGSAKKDAGGFAGYPPVKVALASVERRVVPRVFDGVGELEAGRQVQVAAEAAGRITRIAFESGQQVQQGQLLVQLNDAVEQAELIRLKAQLRNAEILHARARKLVERNVASQEQLDNAVAARDMALGAVRQTQALIDQKAIRAPFSGQLGIRRVHLGQYLGVAEPVASLVDARTLKSNFSLDESTSPELKLGQPLEVLVDAYPGRSFPARISAIDPLIGKSRTVQVQALLDNPEGLLAAGMFASIRVSRKADAPSLSVPETAVTYTAYGDTVFVAHQDGDRPLSAKRVSVRIGERWDGRVEILQGLAEGDRVVTSGQINLSDGMAVEPVKEDTLSSAAPPVPVAGR
VIMSS6575409 171 469 0.686114381270903 DNA repair and recombination protein rad22 469 0 24 299 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P36592 1 SwissProt KPPTYHFDSGDLFRKTDPAARESFIKKQKTLNSTRTVNNQPLVNKGEQLAPRRAAELNDEQTREIEMYADEELDNIFVEDDIIAHLAVAEDTAHPAANNHHSEKAGTQINNKDKGSHNSAKPVQRSHTYPVAVPQNTSDSVGNAVTDTSPKTLFDPLKPNTGTPSPKFISARAAAAAEGVVSAPFTNNFNPRLDSPSIRKTSIIDHSKSLPVQRASVLPIIKQSSQTSPVSNNSMIRDSESIINERKENIGLIGVKRSLHDSTTSHNKSDLMRTNSDPQSAMRSRENYDATVDKKAKKG
VIMSS6580651 1 783 0.164738952745849 PF06011.12:TRP:183:628,PF14558.6:TRP_N:38:177 Flc2p (RefSeq) 783 586 24 582 9 Saccharomyces cerevisiae VIMSS6580651 1 MicrobesOnline MIFLNTFARCLLTCFVLCSGTARSSDTNDTTPASAKHLQTTSLLTCMDNSQLTASFFDVKFYPDNNTVIFDIDATTTLNGNVTVKAELLTYGLKVLDKTFDLCSLGQVSLSPLSAGRIDVMSTQVIESSITKQFPGIAYTIPDLDAQVRVVAYAQNDTEFETPLACVQAILSNGKTVQTKYAAWPIAAISGVGVLTSGFVSVIGYSATAAHIASNSISLFIYFQNLAITAMMGVSRVPPIAAAWTQNFQWSMGIINTNFMQKIFDWYVQATNGVSNVVVANKDVLSISVQKRAISMASSSDYNFDTILDDSDLYTTSEKDPSNYSAKILVLRGIERVAYLANIELSNFFLTGIVFFLFFLFVVVVSLIFFKALLEVLTRARILKETSNFFQYRKNWGSIIKGTLFRLSIIAFPQVSLLAIWEFTQVNSPAIVVDAVVILLIITGLLVYGTIRVFIKGRESLRLYKNPAYLLYSDTYFLNKFGFLYVQFKADKFWWLLPLLSYAFLRSLFVAVLQNQGKAQAMIIFVIELAYFVCLCWIRPYLDKRTNVFNIAIHLVNLINAFFFLFFSNLFKQPAVVSSVMAVILFVLNAVFALFLLLFTIVTCTLALLHRNPDVRYQPMKDDRVSFIPKIQNDFDGKNKIDPELFELRKAVMDTNENEEEKMFRDDTFGKNLNANTNTARLFDDETSSSSFKQNSSPFDASEVTEQPVQPTSAVMGTGGSFLSPQYQRASSASRTNLAPNNTSTSSLMKPESSLYLGNSNKSYSHFNNNGSNENARNNNPYL
VIMSS6580932 1 468 0.200027777777778 Ecm33p (RefSeq) 468 0 24 422 2 Saccharomyces cerevisiae VIMSS6580932 1 MicrobesOnline MQFKNALTATAILSASALAANSTTSIPSSCSIGTSATATAQADLDKISGCSTIVGNLTITGDLGSAALASIQEIDGSLTIFNSSSLSSFSADSIKKITGDLNMQELIILTSASFGSLQEVDSINMVTLPAISTFSTDLQNANNIIVSDTTLESVEGFSTLKKVNVFNINNNRYLNSFQSSLESVSDSLQFSSNGDNTTLAFDNLVWANNITLRDVNSISFGSLQTVNASLGFINNTLPSLNLTQLSKVGQSLSIVSNDELSKAAFSNLTTVGGGFIIANNTQLKVIDGFNKVQTVGGAIEVTGNFSTLDLSSLKSVRGGANFDSSSSNFSCNALKKLQSNGAIQGDSFVCKNGATSTSVKLSSTSTESSKSSATSSASSSGDASNAQANVSASASSSSSSSKKSKGAAPELVPATSFMGVVAAVGVAYYKIKATICVSIITLISSLMISLPFLFYYETVGSSLNFICR
VIMSS6581305 1 442 0.245560859728507 PF00481.21:PP2C:110:401 [Pyruvate dehydrogenase [acetyl-transferring]]-phosphatase 2, mitochondrial; PDP 2; Autophagy-related protein phosphatase 1; Phosphatase two C protein 6; Protein phosphatase 2C homolog 6; PP2C-6; Protein phosphatase of PDH protein 2; Pyruvate dehydrogenase complex phosphatase 2; PDC phosphatase 2; EC 3.1.3.16; EC 3.1.3.43 442 292 24 442 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25646 1 SwissProt MRLGNAYAYCKPSQNVGLKLDLLRGLPGYVGHATSRINRLENQDNYSIKMMRSWPNAYGSALNCSVFDGHGEKGAQLSQLLADKLCSSLDFPEPSWDKQDLKKLVQEYARRFPEGNYWKHKLSTFEKFYNKFIKNCNSKQELLLMKEGDSAILGQNGGRMIFDKMGNIIDKIALLTELDRLRLFYGFARFDLDQCCGLGTAAGSTASSIFLYPYDDPNAPIDEGKDDDSWIISHSGLLKLIVTQVGDSKIILCDQDGIAHALTTTHHINSSRERHRLSIDPSRLDPDAFGETRFLNNFANTRSFGDVAGKPYGISSEPDIFSFLVGNTLHLPRSERSKLPFNGDECFLALVTDGITNKLADQEVVDLITSTVNSWGLKKATPQFVAEETIKFIQAIATKHSDNATCVVVRLSNWGNWPNVDRTGPQRETKLMNAQSNETKLN
VIMSS6581358 1 309 0.207115533980583 PF06687.12:SUR7:9:205 SUR7 family protein FMP45 309 197 24 217 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07651 1 SwissProt MIFKRFVNLLVFLFLLGAGLLTFFLILSGGRESGTLKNFYWLQADTNGFNSAPSTTRWYNYNWCGYEDGQLANCSSRAPAKPFSPRDNFGNSVNLPSSFRNNRDTYYYLSRVGWAMLLISLFFIVLALVPGFLATFLPFKAVPVLYCVLSWLAFFFIILAACLYTGCYVKARKTFRNSGRSARLGPKNFAFIWTSVFLMLVNAIWSTIFSATHKAHSTYSDHDMYAQYESPSVDTGAQMEKSTYNSGATDGAGPITAAPVVGQPQPTTTTTPAGNGKFFQKLKTRKQVPSAELEPAGDGGLAGPVTVRD
VIMSS6581596 1 1014 0.674147928994083 PF08550.10:DUF1752:173:204 Resistance to glucose repression protein 1; Protein HEX2; Second-site suppressor of the rna1-1 mutation 1 1014 32 24 1014 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q00816 1 SwissProt MSTNLANYFAGKKDIENEHVNRNASHESNSKSDVKISGNDNDNDEDMGPSVSMAVQAKNDDDFHKSTFNLKRTRSMGLLDEYIDPTKKLLGRSDDLYDNDNEYYDNSSNNSSSNSSDDDYDDGYQEHSTSVSPPPADNDSYLIPQDDNDVVVEPERHVDYLSHEWKESEISNSWKYIILKKKKRDVDLVNAARLENASWRTWAKARNNLKTVSPEVVNWSKDSDVTWLYGPIVRDSEGNAQSEEEHDLERGYGSDDENSKRISMPTKNSKSIAAAPKPILKKRTVTEIIEDNALWKLNEARKHMTEMKHASVIMDPNGNKNVHDDFDALAAQVNAQYYHYPKESNSSVSLKSQHSDKKDNSTIPNPVGENSNGGGDKGEEDLHLKSALHVQNNRSTAQSNKSILENSTNDRKANLDQNLNSPDNNRFPSSTSSSNRDNENNSMGLSSILTSNPSEKSNKPTKNRHIHFNDRVEQCMALRYPASQSEDDESDDENKQYVDVNNNANVTTINNNRTPLLAIQHKSIPINSATEHLNKNTSDDDTSSQSSSSSHSDDEEHGGLYINARFSRRSDSGVHSPITDNSSVASSTTSRAHVRPIIKLLPDTTLNYGSDEESDNGEFNGYGNAVSHNVNTSRGYDYIYDYNSVYTGDTSSFLPVDSCDIVDVPEGMDLQTAIADDNASNYEFNNAVESKEKHVPQLHKASANNTTRQHGSHMLLYDDDNYSSSSDSEQQFIEDSQYNSSDDEEEEDDDDQEVDDNHDEGLSLRRTLSLGKSGSTNSLYDLAQPSLSSATPQQKNPTNFTGGKTDVDKDAQLAVRPYPLKRNSSSGNFIFNSDSEEESSSEEEQRPLPANSQLVNRSVLKGSVTPANISSQKKKALPKQPKASDSSQSFRIVNNTPSPAEVGASDVAIEGYFSPRNESIKSVVSGGNMMDHQDHSEMDTLAKGFENCHINNASKLKDKKVDSVQTTRKEASLTDSSNESLHKVVQNARGMASKYLHSWKKSDVKPQENGNDSS
VIMSS6581721 1 531 0.57183220338983 Transcriptional factor SWI5 709 0 24 531 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P08153 1 SwissProt MDTSNSWFDASKVQSLNFDLQTNSYYSNARGSDPSSYAIEGEYKTLATDDLGNILNLNYGETNEVIMNEINDLNLPLGPLSDEKSVKVSTFSELIGNDWQSMNFDLENNSREVTLNATSLLNENRLNQDSGMTVYQKTMSDKPHDEKKISMADNLLSTINKSEINKGFDRNLGELLLQQQQELREQLRAQQEANKKLELELKQTQYKQQQLQATLENSDGPQFLSPKRKISPASENVEDVYANSLSPMISPPMSNTSFTGSPSRRNNRQKYCLQRKNSSGTVGPLCFQELNEGFNDSLISPKKIRSNPNENLSSKTKFITPFTPKSRVSSATSNSANITPNNLRLDFKINVEDQESEYSEKPLGLGIELLGKPGPSPTKSVSLKSASVDIMPTIPGSVNNTPSVNKVSLSSSYIDQYTPRGKQLHFSSISENALGINAATPHLKPPSQQARHREGVFNDLDPNVLTKNTDNEGDDNEENEPESRFVISETPSPVLKSQSKYEGRSPQFGTHIKEINTYTTNSPSKITRKLT
VIMSS6581888 1 1062 0.577643785310735 Suppressor of mar1-1 protein; SUM1-1 protein 1062 0 24 1062 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46676 1 SwissProt MSENTTAPSDNITNEQRLPSGPKDDVDTLALTSAQNQANSLRKLDTDANAKALPSITDIPVSDDSDIKRQVGSGFGSNPLHIKDSEAFPHSSIEALKEGMDKVTKQCNDLKTALLSKDTSLTDSVQDLFNSLKVLSHNQSVLENKLDDVMKNQVNTDILVNNLNERLNKLSTMLQNTSKVNHSNLLIENSSNNTSSQHNTSSSRRGPGRPRKDASTSTMNKLVSNAASVNLKSASNQGAPFSPVNITLPTAVVQTSKSKRYFVEPSTKQESLLLSAPSSSRDDADMSLTSVPQRTNNENGKERPSTANSSSITPTPVTPNNLIQIKRKRGRPPKKRTVETMISNSTDTIDKSDASNRIKNEIPINSLLPSSKFHQIPSSPSNPVSQPAPVRTSRSATQEIDIKSLELASLISTNGDPNAEDSNTTDTVHNNVEGKVNVEENKTEKEKIITIKSSSENSGNNTTNNNNTDNVIKFSANSDINSDIRRLMVNDQFSLSYDASGNITVKLPPVSSPAAATAAAAAAVTSEMNRQQRELDKRRDSREKMLVNMKYNDRDKAKSFMESNKKLLKAMKEEERRKRMTSIIHDNHLNLNLNEISTRSKIKSAEKPTTKGSSMSPKPRSASISGISDHQQEGYQPLEQEKLVDIDNEGSNANSDSLKMGLTISAADTVHKVGIQSMLNSGEEAITKENAEYERKTPGDEETTTFVPLENSQPSDTIRKRTAGDDGALDQTENTSISPKKRRTEDHTKGEEDEGERGVGNSGTLATVENVSGDISADLSKGTSSIHNDTESANDSSNGNGNLGLGTESRNTLLTATPIELICREGFFYRRDIPDVPITTGAYLEFKFKAKEEELINSSINEEDYAAKSKHEKMNAHFFKPDIQEETELAFEILSKTTLTEKYVNSLEYFLMEFRWENKLVGLGLKLRESKRTWQRRKALFALFEFWRDQSRDKRRFHNYTILHAVKEMENYRIFINRSVSWFYNHITLLKMILYDLCDNVTTQWREWMFPHNETLPALGQDGINEDNLNETIDNMLIFDFLDDGSENNQVKYSRIIPPDIR
VIMSS6582442 1 465 0.100463010752688 PF00172.18:Zn_clus:6:42 Respiratory transcription factor ZNF1; Zinc finger protein 1 465 37 24 465 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43551 1 SwissProt MARNRQACDCCCIRRVKCDRKKPCKCCLQHNLQCTYLRPLKKRGPKPVKVRNLKKVDDVQVFSKSSSGGIMKVPKALIDQCLRLYNDKLYVIWPLLCYDDLYELLEKRYDETCVYWFLVSLSAATLSDLQTEIESEGGVTFTGIQLSSFCMSSRQEFDDFNGSDIFKIMTYYCLNRCYAQMSNSRTSYRLSCEAVGLIKLAGFHREETLKLLPFDEQQLGRKVYYLLLLTERYFSVYTHCATSLDTTIAPPQPENVTDPRLSLDSFLEMIRVFTVPGKCFFDALATDSANVTCTEDSLKKIWRELHTVPLEIEPWSYGYVDISFSRHWIRTLAWKLVLQISGMRISFLSNSKNTHIPVEIARDMLEDTFLIPKNLYAVHGPGISVKALEIADALVDVVNQYDQNAESEAWNFLFDISKFVFSLKHCDSTLVDKFTTKCQCALITLPLSNPLESTDGSKEDVDALP
VIMSS6582778 1 542 0.405392435424354 PF00339.29:Arrestin_N:39:200,PF02752.22:Arrestin_C:261:390 pH-response regulator protein palF/RIM8; Regulator of IME2 protein 8 542 292 24 542 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53179 1 SwissProt MSLLRLWNKESRAPSKIKSHGIVGSYGNSMLAHNNVKQFRIDIDEPHRVWKPNESITGEAVIDIKRDITNVAIKLSLVCEVRVKTGNSPTSKNKRIEKTLEKSTFLYGQDYVKTAFSAKEKKPHVDKTTILNGLSKGEHRFPFRIRIPRGRGMLSSIKFERGSITYFLSCTLESLNNINGLKKPEARCEREFAVIVPLDVSRLPKPKTKTVVLQSASMVQNKKNKSTEDESSSYTQLTQKSTTSNSSSSSVNSKTSPLPNKTVTISVDIPQAGFMIGEIIPIDVKIDHYKPFYAPAGLTTTLVRICRVGGAGKDDPMETFRKDICQSISPIYINPETLQFQSRVYLKVPLDAFSTLTTVGKFFSFQYYIEVMVNLSKKNVVYTESNRIIGTPIGEQNGLGVENNINRIQRKMLRMVNPETLENDSEGYESSIFFKDMVNVEKLKRLRNVTGMSIETVIGTTRSEQQQSDASIPSQSSITAPQNSPSNLRDWLAPLNAYDSDDVPVPKYSPNDKVSVPSEDKQELEQKRLQQLESDPPPCDDY
VIMSS6582836 1 1306 0.465710872894335 Signaling mucin MSB2; Multicopy suppressor of bud emergence 2; Osmosensor MSB2 1306 0 24 1283 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32334 1 SwissProt MQFPFACLLSTLVISGSLARASPFDFIFGNGTQQAQSQSESQGQVSFTNEASQDSSTTSLVTAYSQGVHSHQSATIVSATISSLPSTWYDASSTSQTSVSYASQESDYAVNQNSWSASTNQLPSTSTTSYYAPTFSTSADFAASSVNAASDVSTASVPIDTSANSIPFTTTSNIETTTSAPLTSDTPLISTSTMSAADNVFSSANPISASLTTTDSSESFDQTSTAGAIPVQSSADFSSSSEILVQSSADFSSPSSPTTTDISLSAAPLQTSESSSFTTASAALPVSSTDVDGSSASPVVSMSAAGQIASSSSTDNPTMSETFSLTSTEVDGSDVSSTVSALLSAPFLQTSTSNSFSIVSPSVSFVPSQSSSDVASSSTANVVSSSFSDIPPQTSTSGSVVSVAQSASALAFQSSTEVYGASASSTMSSLLSTTSLQSTTLDSSSLASSSASSSDLTDYGVSSTASIPLLSASEQASTSSSFSVVSPSVSFVPSQSSSDVASTSAPSVVSSSFSYTSLQAGGSSMTNPSSSTIVYSSSTGSSEESAASTASATLSGSSSTYMAGNLQSQPPSTSSLLSESQATSTSAVLASSSVSTTSPYTTAGGASTEASSLISSTSAETSQVSYSQSTTALQTSSFASSSTTEGSETSSQGFSTSSVLVQMPSSISSEFSPSQTTTQMNSASSSSQYTISSTGILSQVSDTSVSYTTSSSSVSQVSDTPVSYTTSSSSVSQVSDTPVSYTTSSSSVSQVSDTPVSYTTSSSSVSQVSDTPVSYTTSSSSVSQVSDTSVPSTSSRSSVSQVSDTPVPSTSSRSSVSQTSSSLQPTTTSSQRFTISTHGALSESSSVSQQASEITSSINATASEYHSIQTTAATQSTTLSFTDANSSSASAPLEVATSTPTPSSKASSLLLTPSTSSLSQVATNTNVQTSLTTESTTVLEPSTTNSSSTFSLVTSSDNNWWIPTELITQAPEAASTASSTVGGTQTMTLPHAIAAATQVPEPEGYTLITIGFKKALNYEFVVSEPKSSAQIFGYLPEALNTPFKNVFTNITVLQIVPLQDDSLNYLVSVAEVYFPTAEIEELSNLITNSSSAFYTDGMGTAKSMAAMVDSSIPLTGLLHDSNSNSGGSSDGSSSSNSNSGSSGSGSNSNSGVSSSSGNSYQDAGTLEYSSKSNSNVSTSSKSKKKIIGLVIGVVVGGCLYILFMIFAFKYIIRRRIQSQEIIKNPEISSISSSEFGGEKNYNNEKRMSVQESITQSMRIQNWMDDSYYGHGLTNNDSTPTRHNTSSSIPKISRPIASQNSLGWNEV
VIMSS6582950 1 402 0.131978358208955 PF17306.2:DUF5355:77:402 Putative protein of unknown function; deletion mutants do not properly process Rim101p and have decreased resistance to rapamycin; green fluorescent protein (GFP)-fusion protein localizes to the cytoplasm (RefSeq) 402 326 24 402 0 Saccharomyces cerevisiae VIMSS6582950 1 MicrobesOnline MRENAMSTKKLPYKLSGSSKITTSIPNDLIILRNNCINSLNSSSSKADSITCIDTWLKYTEGLLTHRYEANNDAALIEEEIAIALINVAVFYQDIGIETLYRAYESSQASNNLWTTSGTYLKRGLGLICFLGKNFQINTANDCQKMQVLNVLNQLSLEFQLLQQLGIVVLALSKLRSKISKDAVADLEPQELEELGKSSVFYAKLCIGSYSTASQCQGGRIVDALFMNYLQSLTYLFLSINQYNNDECGIAIGMLQESIKKLLNIVPNSQLKELDILSSTDITKKRDLIKMSFKRKIHGSTLKNQRIFEKKVPFSSKAYMMPLLKSSLDDFVIPLTILLRYRYQTTNENYSFKTVETDVSKLKELFPRGKSSDIEGTVWSFQDGHLTFADSNNATHNCGNYF
VIMSS6583136 1 637 0.0919839874411303 PF07690.16:MFS_1:77:500,PF06609.13:TRI12:28:515 siderophore iron transporter ARN2 637 488 24 335 14 Saccharomyces cerevisiae CharProtDB::CH_091688 1 CharProtDB MIEVPEDNRSSQTKRKNTEKNCNELMVDEKMDDDSSPRDEMKDKLKGTKSLIIRKSELMAKKYDTWQLKAIFLFSAFICTFAYGLDSSIRGTYMTYAMNSYSAHSLISTVSVIVLMISAVSQVIFGGLSDIFGRLTLFLVSIVLYIVGTIIQSQAYDVQRYAAGAVFYYVGLVGVMLQVVLMLSDNSSLKWRLFYTLIPSWPSIITTWVSGSVVEAANPLENWSWNIAMWAFIFPLCCIPLILCMLHMRWKVRNDVEWKELQDEKSYYQTHGLVQMLVQLFWKLDVVGVLLFTAGVGCILVPLTLAGGVSTNWRNSKIIGPFVLGFVLVPGFIYWESRLALVPFAPFKLLKDRGVWAPLGIMFFICFVYQMAAGYLYTILVVAVDESASSATRIINLYSFVTAVVAPFLGLIVTRSSRLKSYIIFGGSLYFITMGLFYRYRSGQDADGGIIAGMVIWGLSSCLFDYPTIVSIQSVTSHENMATVTALNYTVFRIGGAVAAAISGAIWTQSLYPKLLHYMGDADLATAAYGSPLTFILSNPWGTPVRSAMVEAYRHVQKYEVIVALVFSAPMFLLTFCVRDPRLTEDFAQKLPDREYVQTKEDDPINDWIAKRFAKALGGHKKDLQNPNRICVRKNDL
VIMSS6583188 1 237 0.420664556962025 Nuclear envelope morphology protein 1; EC 3.1.3.16 446 0 24 214 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38757 1 SwissProt MNALKYFSNHLITTKKQKKINVEVTKNQDLLGPSKEVSNKYTSHSENDCVSEVDQQYDHSSSHLKESDQNQERKNSVPKKPKALRSILIEKIASILWALLLFLPYYLIIKPLMSLWFVFTFPLSVIERRVKHTDKRNRGSNASENELPVSSSNINDSSEKTNPKNCNLNTIPEAVEDDLNASDEIILQRDNVKGSLLRAQSVKSRPRSYSKSELSLSNHSSSNTVFGTKRMGRFLFP
VIMSS6583714 1 830 0.280184216867469 Cyclin-dependent kinase inhibitor FAR1; CKI FAR1; Factor arrest protein 830 0 24 830 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21268 1 SwissProt MKTPTRVSFEKKIHTPPSGDRDAERSPPKKFLRGLSGKVFRKTPEFKKQQMPTFGYIEESQFTPNLGLMMSKRGNIPKPLNLSKPISPPPSLKKTAGSVASGFSKTGQLSALQSPVNITSSNKYNIKATNLTTSLLRESISDSTTMCDTLSDINLTVMDEDYRIDGDSYYEEDSPTFMISLERNIKKCNSQFSPKRYIGEKCLICEESISSTFTGEKVVESTCSHTSHYNCYLMLFETLYFQGKFPECKICGEVSKPKDKDIVPEMVSKLLTGAGAHDDGPSSNMQQQWIDLKTARSFTGEFPQFTPQEQLIRTADISCDGFRTPRLSNSNQFEAVSYLDSPFLNSPFVNKMATTDPFDLSDDEKLDCDDEIDESAAEVWFSKTGGEHVMVSVKFQEMRTSDDLGVLQDVNHVDHEELEEREKEWKKKIDQYIETNVDKDSEFGSLILFDKLMYSDDGEQWVDNNLVILFSKFLVLFDFEEMKILGKIPRDQFYQVIKFNEDVLLCSLKSTNIPEIYLRFNENCEKWLLPKWKYCLENSSLETLPLSEIVSTVKELSHVNIIGALGAPPDVISAQSHDSRLPWKRLHSDTPLKLIVCLNLSHADGELYRKRVLKSVHQILDGLNTDDLLGIVVVGRDGSGVVGPFGTFIGMINKNWDGWTTFLDNLEVVNPNVFRDEKQQYKVTLQTCERLASTSAYVDTDDHIATGYAKQILVLNGSDVVDIEHDQKLKKAFDQLSYHWRYEISQRRMTPLNASIKQFLEELHTKRYLDVTLRLPQATFEQVYLGDMAAGEQKTRLIMDEHPHSSLIEIEYFDLVKQQRIHQTLEVPNL
VIMSS6584285 33 164 0.591638636363636 PF08700.11:Vps51:53:128 Vacuolar protein sorting-associated protein 51; Apical bud growth protein 3 164 76 24 132 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36116 1 SwissProt EPNKGRQEARIGEKASEAHSGEEQVTDVNIDTEANTEKPVKDDELSATEEDLKEGSEDAEEEIKNLPFKRLVQIHNKLLGKETETNNSIKNTIYENYYDLIKVNDLLKEITNANEDQINKLKQTVESLIKEL
VIMSS6584883 1 675 0.177425037037037 PF06687.12:SUR7:9:628 Cell membrane protein YLR413W 675 620 24 583 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06689 1 SwissProt MNFKILLPICALLTLTTFLLTIIATAGSTSNYKPITNIYIGDADISKINVTKVMPQVGPILTVLGSALTAPNTTVDTIFGALKAIASTEALSPLLHLLSNAANTSATLSSLTQLAPMALLGTNTATTTTFSALDELLTTSKNTTELLDGFSTLMSSMSTNTSSTSASLENTVLTLLVDSTNPIGTTESLITLNNMTTEEKTKLSPVFELFASSKNITATCDALETIMNSTIPTSTVSSLFSSLKTSLAEGGNATETIMQLGSLVPSSLKPAVQAVVTLFDETTSQNVTLSVLSTMIAENITQSSSAKAAMGALTDLLNYTTNQTELLTSVESLALSKEAASSTNQLVALDEILSASANASTVVSIIPTLESQLANNTVLLKYVPYLFSLLAASSDPVSSFSSLVNITKWAETNAATFMPMLKILNSAVNMTTITPEQLKEMTPSILEYLHIPVIYRLSIFTMCRAHLNRTMYSCSKSHAVQNMDFRSIVYNNIEGSDFKPYMDALNIGKDDLHLDGELQDRQHMYVPAVKAALAMNLMCIITSFFLMVFLLLLSRRSVVSQKLWLALGFISCWICIFSGLGSTIFSVILNMMKSGSKKDNYDVIISGSSPFYGLMWSGFVFAVLVFLCIAYCWWSSRKGAAIVEAEKAVQESDSTTSRIIEEHESPIDAEKNFAR
VIMSS6585273 1 154 0.412944805194805 Uncharacterized protein YMR181C 154 0 24 154 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03231 1 SwissProt MTPLLQAEAKMNTSLYLTESIQQHEFNLTSPQSFYSSPSVPNSKNNSGIFSYNTANNSRVSSSDEFTTQQDGMNTIMYKNNISKTFEDDIFYCPRSLLTPEEQVVYQEIDKYYMEQALLTQLQISQTYSSTPKEEKIVKFNPYTSKSFSPASSE
VIMSS6585556 1 199 0.833878894472362 PF05433.15:Rick_17kDa_Anti:47:85 Uncharacterized protein YNL208W 199 39 24 199 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40159 1 SwissProt MSANEFYSSGQQGQYNQQNNQERTGAPNNGQYGADNGNPNGERGLFSTIVGGSAGAYAGSKVSNNHSKLSGVLGAIGGAFLANKISDERKEHKQQEQYGNSNFGGAPQGGHNNHHRQDNNNNNGGFGGPGGPGGQGFGRQGPQGFGGPGPQEFGGPGGQGFGGPNPQEFGGPGGQGFGGPNPQEFGGQGRQGFNGGSRW
VIMSS6585571 1 204 0.631850490196079 Hydrophilin YNL190W 204 0 24 204 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53872 1 SwissProt MKFSSVTAITLATVATVATAKKGEHDFTTTLTLSSDGSLTTTTSTHTTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTSKSKTPNHTGTHKYGKFNKTKHDTTTYGPGEKARKNNAAPGPSNFNSIKLFGVTAGSAAVAGALLLL
VIMSS6585658 1 274 0.619353284671533 PF12998.7:ING:10:110 Transcriptional regulatory protein PHO23 330 101 24 274 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50947 1 SwissProt MSSPANLFPGLNDITDVLEEFPLATSRYLTLLHEIDAKCVHSMPNLNERIDKFLKKDFNKDHQTQVRLLNNINKIYEELMPSLEEKMHVSSIMLDNLDRLTSRLELAYEVAIKNTEIPRGLRLGVDNHPAMHLHHELMEKIESKSNSKSSQALKSESRREAMAANRRQGEHYSASTHQQDDSKNDANYGGSRHESQDHTGNNTNSRKRANAANTNNADPETKKRKRRVATTAVSPSTISTATAVNNGRIGTSTASRGVSSVGNSNNSRISRPKT
VIMSS6586860 1 1407 0.159217910447761 E3 ubiquitin-protein ligase linker protein MMS1; Methyl methanesulfonate-sensitivity protein 1; Regulator of Ty1 transposition protein 108; Synthetically lethal with MCM10 protein 6 1407 0 24 1407 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06211 1 SwissProt MLGLRTHGLDRYEHYIRRPSDFGKLELQDWLNHKSFRVSPNLLIDSSTTREWNEPELFYQNTEDETWVRPCVGPKLEPSMMMLRYHDSNIGQMPQFCYPISSPINFKPVLKYILQERSELSDGFPQKYNTLIGSLFDIDKNPETLDDSDIEALDDIEMSSDSGNVKEPKIELQALEEIQQKHFSLIVSNNGIFQTGSTSITYIQSGISGSIAIKPNNVAILILLTQPSGHLLSILPLDDGKETYLLQYWNLGQKGQWNIIKHQNEKQFVLIHKELGICKFFEFHLPFTFQLVNNLTLTDSVIMNGSFFPTNYTDLDPYFIIFITAIRYERIVYFVIEWNNNEIKKKEVYQLTVFDGEKTNMTIPIGLNACLVETPLKFSLVSANQIMSGETEFHSFQLKALKGIKSFFPAPLLLLKLQELHPHTFKKFQYCTIISSSTGNICFCVTERSTIVNGNLKFYELTRFKGLKSISPLPSNPINLDSRSSSYVLVVISFSRTLELTLSLEDLRCLDKKDVIKPLKNITFKHTIDSSTEENSQILAFTSSKFYNTHTGSNINDTRNSQVWLTSPNAITQPCIDYKLRKTHQLIHLKQFQIFRHLRIWKCKNLDIALLQRLGINQSNTESSLIFATDAVSNNRIFLLDLTMTTTIDNDDPVQGLINIEDLLCDTENETILLNFTKNNLIQVTRDTIYIDPIGGDKELRKISPGWEFENVTYNDGILIVWNAGLGCVSYIENIDAVDESGALVSNLSSSKGMSKFFKQLGTVTSVNFQIKESTDDPTKYDIWILLPDCVIRTPFSDWISDSLDFSDVYILSVQQALINGPYFCSLDYESYFEVHTLQNNCFKKGSRCTSRVNFQGKDIKFRSFGVNQCLAFSAFEIFVINLTPIHDSRELDFYKLKLPHLGNNNSILEVCPDIENNQLFILYSDGLRILELSYLTSNNGNFLLKSTRSKNKKFLYLDKINRMLVLNQDLREWECIRLSDGKAVGLDSQLLKDDSEEILEIKELPIATEDNPLEKKTVLLISFTSSLKLVLLTAAKNKISNQIIDSYKLDNSRLLNHLVITPRGEIFFLDYKVMGTDNEMSFNKLKVTKHCIDQEERNNTTLRLTLETRFTFKSWSTVKTFTVVGDNIIATTNMGEKLYLIKDFSSSSDESRRVYPLEMYPDSKVQKIIPLNECCFVVAAYCGNRNDLDSRLIFYSLPTIKVGLNNETGSLPDEYGNGRVDDIFEVDFPEGFQFGTMALYDVLHGERHVNRYSEGIRSENDEAEVALRQRRNLLLFWRNHSSTPKPSLRRAATIVYEDHVSSRYFEDISSILGSTAMRTKRLSPYNAVALDKPIQDISYDPAVQTLYVLMADQTIHKFGKDRLPCQDEYEPRWNSGYLVSRRSIVKSDLICEVGLWNLSDNCKNTV
VIMSS846604 1 500 0.2958186 PF13486.6:Dehalogenase:49:348,PF13484.6:Fer4_16:361:432,PF10518.9:TAT_signal:7:30 reductive dehalogenase, putative (NCBI) 500 396 24 477 1 Dehalococcoides ethenogenes 195 VIMSS846604 1 MicrobesOnline MSKFHSMVSRRDFMKGLGMAGAGIGAVAASAPVFHDIDELIASDTAVQPRPWWVKERPIDDPTIEVDFDMMERHDGRNQGQSARVRAMYYGADRVLGAAALSAAELAERTASNYPGYTYRSRALAGSFKRVSPGTAPGWAETKDPAPVKTPEERGEPKWTGTPEEASRMLRAAMRAYGASLVGYTELTQEHRDHVIFSYEKGDSNNEKYIGTDVPVTAARPIVFENVAKAYETTEKLVIPNVPLWEIALSTQGSNELWRSSGTLLGGFANSNTFYNCGNLHASTYNFLRYLGYQLIGTIGNDARYVGSEGGAAIMAGLGEASRQKLYTLTPEYGAPGRLYGVLTDLPLEPTHPIDAGIYRFCHSCQKCADHCPPQVISKEKEPSWDIPLTEGKETIFSVKGTKAFYNNLPLCRQYSNETSHGCRICWGECTFTVNRGSLVHQIIKGTVANVSLFNTYFYKLGEAFGYGADAEKAETWWDLSLPTLGQDSTITAADGGYGK
XP_001699701.1 1 111 0.189882882882883 flagellar associated protein 191 0 24 111 0 Chlamydomonas reinhardtii XP_001699701.1 1 RefSeq LVVTGVSWSSTGQTIAASFGRYDVVGWCTYPGMLCTWNLGREEVNATRPDTRIDTDTCLMSCAFHPAHPVSAGCARVRVSVCTARKRLARARWPTRLPLSPSTVPTLHFCT
XP_005247123.1 1 396 0.666495202020202 PF00564.24:PB1:13:91 protein TFG isoform X2 396 79 24 396 0 Homo sapiens XP_005247123.1 1 RefSeq MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKDEDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELIELRNKVNRLLDSLEPPGEPGPSTNIPENDTVDGREEKSASDSSGKQSTQVMAASMSAFDPLKNQDEINKNVMSAFGLTDDQVSGPPSAPAEDRSGTPDSIASSSSAAHPPGVQPQQPPYTGAQTQAGQMYQQYQQQAGYGAQQPQAPPQQPQQYGIQYSASYSQQTGPQQPQQFQGYGQQPTSQAPAPAFSGQPQQLPAQPPQQYQASNYPAQTYTAQTSQPTNYTVAPASQPGMAPSQPGAYQPRPGFTSLPGSTMTPPPSGPNPYARNRPPFGQGYTQPGPGYR
XP_006525949.1 1 146 0.466702054794521 PF02044.17:Bombesin:43:56 Gastrin-releasing peptide; GRP 146 14 24 146 0 Mus musculus (Mouse) SwissProt::Q8R1I2 1 SwissProt MRGSELSLLLLALVLCQAPRGPAAPVSTGAGGGTVLAKMYPRGSHWAVGHLMGKKSTDESPSLYAADRDGLKEQLRGYVRWEEAARDLLDLLEAAGNQSHQPPQHPPLSLQPTWDPEDGSYFNDVQTAKLVDSLLQVLKEKGGTAS
XP_011240019.1 1 286 0.660694405594406 leukosialin isoform X1 410 0 24 240 2 Mus musculus XP_011240019.1 1 RefSeq MDSRSQLLLLPVPLEMALHLLLLFGACWVQVASPDSLQRTTMLPSTPHITAPSTSEAQNASPSVSVGSGTVDSKETISPWGQTTIPVSLTPLETTELSSLETSAGASMSTPVPEPTASQEVSSKTSALLPEPSNVASDPPVTAANPVTDGPAANPVTDGTAASTSISKGTSAPPTTVTTSSNETSGPSVATTVSSKTSGPPVTTATGSLGPSSEMHGLPATTATSSVESSSVARGTSVSSRKTSTTSTQDPITTRSPSQESSGMLLVPMLIALVVVLALVALLLLW 2
XP_011395125.1 1 989 0.703852376137512 PF09421.10:FRQ:13:984 Frequency clock protein 989 972 24 989 0 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) SwissProt::P19970 1 SwissProt MADSGDKSQGMRPPPFDSRGHPLPRRASPDKSITLENHRLARDTSSRVTSSSALGVTESQPQLKSSPTRRNSSGESEPTNWFNQSNRNPAAAFHDESHIMEVDPPFYQKETDSSNEESRYPPGRNPVHPPGGVQLPGFRPVAAHSSAADDYRSVIDDLTVENKRLKEELKRYKQFGSDVMRKEKLFEIKVHGLPRRKKRELEATLRDFAASLGDSSESTSQRRKTGRHGTAVHSSGVSLSKHDSSSSSRSRPVDSAYNSMSTGRSSHAPHSSGPSLGRPSLTRAKSVGTQKVENYLRDTPDGLLPHHIVMTDKEKKKLVVRRLEQLFTGKISGRNMQRNQSMPSMDAPLAPEGTNMAPPRPPPEGLREACIQLQDGDNPRKNRSSKDNGSASNSGGDQTELGGTGTGSGDGSGSGGRTGNNTSPPGAIAPDQRPTRPRDLDPDRVQIPSENMDYIRHLGLVSPEFLQGSRTSYQDVAPDAEGWVYLNLLCNLAQLHMVNVTPSFIRQAVSEKSTKFQLSADGRKIRWRGGTDGTKFSSDSSEDKSQQSPMTEDTEDGSDKNGRRKKRKTQQASSEIGRFGPSRSPSDTFHYKPMFVHRNSSSIETSLEESMSQGSEDAVDESNMGNSKWDFSGSGTTQQRRKRRYDGAIVYYTGAPFCTDLSGDPGDMSPTAQMTAGREVEGSGSGDEVEHVLQRTLSGSSLPIRPLSDDRARVAEVLDFDPGNPPELVADDGSSPNDEDFVFPWCEDPAKVRIQPIAKEVMEPSGLGGVLPDDHFVMLVTTRRVVRPILQRQLSRSTTSEDTAEFIAERLAAIRTSSPLPPRSHRLTVAPLQVEYVSGQFRRLNPAPLPPPAIFYPPFSTDSSWDDGDDLASDDEEVEEVEEDSYSEGQISRRANPHFSDNNTYMRKDDLAFDTETDVRMDSDDNRLSDSGHNMRAMMPRAEAVDGDDSPLAAVTGKEVDMLHTGSSVATAGGAESGYSSSMEDVSSS
XP_011534662.1 1 166 0.19948734939759 PF05458.12:Siva:1:158 apoptosis regulatory protein Siva isoform X1 166 158 24 166 0 Homo sapiens XP_011534662.1 1 RefSeq MPKRSCPFADVAPLQLKVRVSQRELSRGVCAERYSQEVFEKTKRLLFLGAQAYLDHVWDEGCAVVHLPESPKPGPTGAPRAARGQMLIGPDGRLIRSLGQASEADPSGVASIACSSCVRAVDGKAVCGQCERALCGQCVRTCWGCGSVACTLCGLVDLFRTLVVLP
XP_011536081.1 1 276 0.327357608695652 ras-related protein Rab-35 isoform X1 276 0 24 276 0 Homo sapiens XP_011536081.1 1 RefSeq MAPSPLQRLHCGPSPSPRPTEVPRGTVSVSCRYLKNSLHVFVSGGAIGTSSPALLECQEGVGPARPSLLVPPPPRPRRLDLARTLPAERTDSQSLYIVYIALPGRTPRPALAFAFLMPACCNRPSPRPSPAHLTASSVLRRQRHVLAASAASPCQWSGLRVAHSLRQVVSLCPRCTGSCPFSGACASSLPSPLSCPHSHSGSWGTWSQGRPCSSTEVAGLALWPTDFLSCLLDASELQTQGSHGFSFTPTGFSSNRKVGVGSCRDGAGRGAMGGLF
XP_015138921.1 1 276 0.343005434782609 PF00688.18:TGFb_propeptide:50:263 bone morphogenetic protein 2 isoform X1 392 214 24 253 1 Gallus gallus XP_015138921.1 1 RefSeq MVAATRSLLALLLCRVLLGGAAGLMPEVGRRRFSEPGRAASAAQRPEDLLGEFELRLLHMFGLKRRPSPGKDVVIPPYMLDLYRLHAGQQLGYPLERAASRANTVRSFHHEEVLEELPETSGKTARRFFFNLTSIPNEESVTSAELQIFREQVHEAFESNSSYHHRINIYEIMKPATATSKDPVTRLLDTRLVHHNASKWESFDVTPAVLRWIAHGQPNHGFVVEVVHLDKENSASKRHVRISRSLHQDEDSWSQLRPLLVTFGHDGKGHPLHKRE
XP_016869958.1 1 458 0.0927593886462882 PF04991.13:LicD:290:328 fukutin isoform X6 458 39 24 436 1 Homo sapiens XP_016869958.1 1 RefSeq MSRINKNVVLALLTLTSSAFLLFQLYYYKHYLSTKNGAGLSKSKGSRIGFDSTQWRAVKKFIMLTSNQNVPVFLIDPLILELINKNFEQVKNTSHGSTSQCKFFCVPRDFTAFALQYHLWKNEEGWFRIAENMGFQCLKIESKDPRLDGIDSLSGTEIPLHYICKLATHAIHLVVFHERSGNYLWHGHLRLKEHIDRKFVPFRKLQFGRYPGAFDRPELQQVTVDGLEVLIPKDPMHFVEEVPHSRFIECRYKEARAFFQQYLDDNTVEAVAFRKSAKELLQLAAKTLNKLGVPFWLSSGTCLGWYRQCNIIPYSKDVDLGIFIQDYKSDIILAFQDAGLPLKHKFGKVEDSLELSFQGKDDVKLDVFFFYEETDHMWNGGTQAKTGKKFKYLFPKFTLCWTEFVDMKVHVPCETLEYIEANYDWYFRSLLGRGPILSTGVKKRPWPQEHRWRLTGAS
XP_016873421.1 45 157 0.300226548672566 PF09310.10:PD-C2-AF1:2:109 POU domain class 2-associating factor 1 isoform X4 157 108 24 113 0 Homo sapiens XP_016873421.1 1 RefSeq PAPTAVVLPHQPLATYTTVGPSCLDMEGSVSAVTEEAALCAGWLSQPTPATLQPLAPWTPYTEYVPHEAVSCPYSADMYVQPVCPSYTVVGPSSVLTYASPPLITNVTGPVSS
XP_016882035.1 1 490 0.227527959183674 PF13918.6:PLDc_3:226:402,PF13091.6:PLDc_2:112:252,PF13091.6:PLDc_2:345:468,PF00614.22:PLDc:198:223 Phospholipase D3; PLD 3; Choline phosphatase 3; HindIII K4L homolog; Hu-K4; Phosphatidylcholine-hydrolyzing phospholipase D3; EC 3.1.4.4 490 357 24 467 1 Homo sapiens (Human) SwissProt::Q8IV08 1 SwissProt MKPKLMYQELKVPAEEPANELPMNEIEAWKAAEKKARWVLLVLILAVVGFGALMTQLFLWEYGDLHLFGPNQRPAPCYDPCEAVLVESIPEGLDFPNASTGNPSTSQAWLGLLAGAHSSLDIASFYWTLTNNDTHTQEPSAQQGEEVLRQLQTLAPKGVNVRIAVSKPSGPQPQADLQALLQSGAQVRMVDMQKLTHGVLHTKFWVVDQTHFYLGSANMDWRSLTQVKELGVVMYNCSCLARDLTKIFEAYWFLGQAGSSIPSTWPRFYDTRYNQETPMEICLNGTPALAYLASAPPPLCPSGRTPDLKALLNVVDNARSFIYVAVMNYLPTLEFSHPHRFWPAIDDGLRRATYERGVKVRLLISCWGHSEPSMRAFLLSLAALRDNHTHSDIQVKLFVVPADEAQARIPYARVNHNKYMVTERATYIGTSNWSGNYFTETAGTSLLVTQNGRGGLRSQLEAIFLRDWDSPYSHDLDTSADSVGNACRLL
XP_017175522.1 413 1121 0.517910437235543 PF12114.8:Period_C:529:673 period circadian protein homolog 3 isoform X3 1121 145 24 709 0 Mus musculus XP_017175522.1 1 RefSeq ASASSGYGSLGSSGSQEQHVSITSSSESSGHCPEEGQHEQMTLQQVYASVNKIKNVGQQLYIESMARSSVKPVAETCVEPQGGDEQKDFSSSQTLKNKSTTDTGSGGNLQQEQPSSSYQQMNCIDSVIRYLTSYSLPALKRKCISCTNTSSSSEEAKPIPEVDSSQRDTEQLLDIRKQETTGPSTDIEGGAARTLSTAALSVASGISQCSCSSTSGHAPPLQSAESVAVACKPWALRTKASHLAAGGFKHVGLTAAVLSAHTQKEEQNYVDRFREKILTSPYGCYLQQESRNRAQYSCVQGSTAKHSRCAGSERQKHKRKKLPAPVDTSSPGAHLCPHVTGLLPDEQHWGPSASPSPLGAGLAFPSALVVPSQTPYLLPSFPLQDMASQGVGVSAAWGAAAGCPPLSAGPQAVAAFPSAYVDTLMTIFLHNAPLFPLWPPSFSPYPSLGAAGSSELAPLVPAMAPNPEPTTSGHSQRRVEENWEAHSEELPFISSRSSSPLQLNLLQEEMPAPSESADAVRRGAGPDAKHHCVTGPSGSRSRHCTSGELATATAQQESAAASGSSASSIYFSSTDYASEVSENRQRPQDRQRDEALPGAAEESIWRMIERTPECVLMTYQVPERGREEVLKQDLEKLQSMEQQQPLFSPAQREELAKVRSWIHSHTAPQEGHLQLNLNRTLSQKESKLGCLFGPQLQPPLCLIKPFRCI
XP_715047.1 310 661 0.608249431818182 pH-response transcription factor pacC/RIM101; pH-response regulator protein 2 661 0 24 352 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q9UW14 1 SwissProt ELMKQQQKEAKQQQKLANKRANSMNATTASDLQLNYYSGNPADGLNYDDTSRKRRYENNSQHNMYVVNSILNDFNFQQMAQAPQQPGVVGTAGSAEFTTKRMKAGTEYNIDVFNKLNHLDDHLHHHHPQQQHPQQQYGGNIYEAEKFFNSLSNSIDMQYQNMSTQYQQQHAGSTFAQQKPTQQASGQLYPSLPTIGNGSYTTSGSSHKEGLVNNHNGYLPSYPQINRSLPYSSGVAQQPPSALEFGGVSTYQKSAQSYEEDSSDSSEEDDYSTSSEDELDTLFDKLNIDDNKVEEVTIDGFNLKDVAKHREMIHAVLGYLRNQIEQQEKEKSKEQKEVDVNETKLYPTITAF 2
NP_001007533.1 1 128 0.31257734375 Saitohin 128 0 23 128 0 Homo sapiens (Human) SwissProt::Q8IWL8 1 SwissProt MSEGGGQVSCIFAAPTRLCRWPALIECGVNLTQPLCEWMIQVARDRTLSLAWEVASLLTLSSSEVGLEGVGTIWPSSYSSEESSRNGAEQGRQLSIEGPFQGQNCPSHPAAALPLPMRGESQATSCQV
NP_001020787.2 321 748 0.683150934579439 Rho GTPase-activating protein 24; Filamin-A-associated RhoGAP; FilGAP; RAC1- and CDC42-specific GTPase-activating protein of 72 kDa; RC-GAP72; Rho-type GTPase-activating protein 24; RhoGAP of 73 kDa; Sarcoma antigen NY-SAR-88; p73RhoGAP 748 0 23 428 0 Homo sapiens (Human) SwissProt::Q8N264 1 SwissProt MISKHDCLFPKDAELQSKPQDGVSNNNEIQKKATMGQLQNKENNNTKDSPSRQCSWDKSESPQRSSMNNGSPTALSGSKTNSPKNSVHKLDVSRSPPLMVKKNPAFNKGSGIVTNGSFSSSNAEGLEKTQTTPNGSLQARRSSSLKVSGTKMGTHSVQNGTVRMGILNSDTLGNPTNVRNMSWLPNGYVTLRDNKQKEQAGELGQHNRLSTYDNVHQQFSMMNLDDKQSIDSATWSTSSCEISLPENSNSCRSSTTTCPEQDFFGGNFEDPVLDGPPQDDLSHPRDYESKSDHRSVGGRSSRATSSSDNSETFVGNSSSNHSALHSLVSSLKQEMTKQKIEYESRIKSLEQRNLTLETEMMSLHDELDQERKKFTMIEIKMRNAERAKEDAEKRNDMLQKEMEQFFSTFGELTVEPRRTERGNTIWIQ
NP_001025483.1 1 419 0.252076849642005 ceramide kinase-like protein isoform 3 419 0 23 419 0 Homo sapiens NP_001025483.1 1 RefSeq MPWRRRRNRVSALEGGREEEAPPEAAAVPPALLTSPQQTEAAAERILLRGIFEIGRDSCDVVLSERALRWRPIQPERPAGDSKYDLLCKEEFIELKDIFSVKLKRRCSVKQQRSGTLLGITLFICLKKEQNKLKNSTLDLINLSEDHCDIWFRQFKKILAGSTNVLAHSLHGVPHVITATLHIIMGHVQLVDVCTFSTAGKLLRFGFSAMFGFGGRTLALAEKYRWMSPNQRRDFAVVKALAKLKAEDCEISFLPFNSSDDVQERRAQGSPKSDCNDQWQMIQGQFLNVSIMAIPCLCSVAPRGLAPNTRLNNGSMALIIARNTSRPEFIKHLKRYASVKNQFNFPFVETYTVEEVKVHPRNNTGGYNPEEEEDETASENCFPWNVDGDLMEVASEVHIRLHPRLISLYGGSMEEMIPK
NP_001031781.1 390 816 0.117949180327869 ADR1-like 1 816 0 23 427 0 Arabidopsis thaliana NP_001031781.1 1 RefSeq GEPADDSHESRLLRQMEASLDNLDQTTKDCFLDLGAFPEDRKIPLDVLINIWIELHDIDEGNAFAILVDLSHKNLLTLGKDPRLGSLYASHYDIFVTQHDVLRDLALHLSNAGKVNRRKRLLMPKRELDLPGDWERNNDEHYIAQIVSIHTGEMNEMQWFDMEFPKAEILILNFSSDKYVLPPFISKMSRLKVLVIINNGMSPAVLHDFSIFAHLSKLRSLWLERVHVPQLSNSTTPLKNLHKMSLILCKINKSFDQTGLDVADIFPKLGDLTIDHCDDLVALPSSICGLTSLSCLSITNCPRLGELPKNLSKLQALEILRLYACPELKTLPGEICELPGLKYLDISQCVSLSCLPEEIGKLKKLEKIDMRECCFSDRPSSAVSLKSLRHVICDTDVAFMWEEVEKAVPGLKIEAAEKCFSLDWLDE
NP_001034015.1 1 318 0.429121383647799 PF00651.31:BTB:26:120 Trithorax-like, isoform I 567 95 23 318 0 Drosophila melanogaster NP_001034015.1 1 RefSeq MSLPMNSLYSLTWGDYGTSLVSAIQLLRCHGDLVDCTLAAGGRSFPAHKIVLCAASPFLLDLLKNTPCKHPVVMLAGVNANDLEALLEFVYRGEVSVDHAQLPSLLQAAQCLNIQGLAPQTVTKDDYTTHSIQLQHMIPQHHDQDQLIATIATAPQQTVHAQVVEDIHHQGQILQATTQTNAAGQQQTIVTTDAAKHDQAVIQAFLPARKRKPRVKKMSPTAPKISKVEGMDTIMGTPTSSHGSGSVQQVLGENGAEGQLLSSTPIIKSEGQKVETIVTMDPNNMIPVTSANAATGEITPAQGATGSSGGNTSGVLST
NP_001100164.1 1 257 0.368092607003891 serine/threonine-protein kinase PINK1, mitochondrial 257 0 23 257 0 Rattus norvegicus NP_001100164.1 1 RefSeq MAVRQALGRGLQLGRALLLRFAPKPGPVSGWGKPGPGAAWGRGERPGRVSSPGAQPRPLGLPLPDRYRFFRQSVAGLAARIQRQFVVRARGGAGPCGRAVFLAFGLGLGLIEEKQAESRRAASACQEIQAIFTQKNKQVSDPLDTRRWQGFRLEDYLIGQAIGKGCNAAVYEATMPTLPQHLEKAKHLGLLGKGPDVVSKGADGEQAPGAPAFPFAIKMMWNISAGSSSEAILSKMSQELEALGSANRKGTLQQFRR
NP_001104545.1 1 340 0.536088823529412 PF06617.13:M-inducer_phosp:111:200,PF06617.13:M-inducer_phosp:202:337 M-phase inducer phosphatase 2 isoform b 550 226 23 340 0 Mus musculus NP_001104545.1 1 RefSeq MEVPLQKSAPGSALSPARVLGGIQRPRHLSVFEFESDGFLGSPEPTASSSPVTTLTQTMHNLAGLGSEPPKAQVGSLSFQNRLADLSLSRRTSECSLSSESSESSDAGLCMDSPSPVDPQMAERTFEQAIQAASRVIQNEQFTIKRFRSLPVRLLEHSPVLQSITNSRALDSWRKTEAGYRAAANSPGEDKENVRFQRPRWRSSRERRCLTTEWKMEVEELSPVAQSSSLTPVERASEEDDGFVDILESDLKDDEKVPAGMENLISAPLVKKLDKEEEQDLIMFSKCQRLFRSPSMPCSVIRPILKRLERPQDRDVPVQSKRRKSVTPLEEQQLEEPKAR
NP_001123237.1 151 373 0.157788340807175 PF01073.19:3Beta_HSD:3:142,PF01370.21:Epimerase:9:107 Sterol-4-alpha-carboxylate 3-dehydrogenase, decarboxylating; Protein H105e3; EC 1.1.1.170 373 140 23 200 1 Homo sapiens (Human) SwissProt::Q15738 1 SwissProt EGVDIKNGTEDLPYAMKPIDYYTETKILQERAVLGANDPEKNFLTTAIRPHGIFGPRDPQLVPILIEAARNGKMKFVIGNGKNLVDFTFVENVVHGHILAAEQLSRDSTLGGKAFHITNDEPIPFWTFLSRILTGLNYEAPKYHIPYWVAYYLALLLSLLVMVISPVIQLQPTFTPMRVALAGTFHYYSCERAKKAMGYQPLVTMDDAMERTVQSFRHLRRVK
NP_001139120.1 1 936 0.321121153846154 PF00621.20:RhoGEF:245:421,PF00169.29:PH:25:128,PF00169.29:PH:477:583,PF00618.20:RasGEF_N:634:741 ras-specific guanine nucleotide-releasing factor 1 isoform 3 1257 496 23 936 0 Homo sapiens NP_001139120.1 1 RefSeq MQKGIRLNDGHVASLGLLARKDGTRKGYLSKRSSDNTKWQTKWFALLQNLLFYFESDSSSRPSGLYLLEGCVCDRAPSPKPALSAKEPLEKQHYFTVNFSHENQKALELRTEDAKDCDEWVAAIAHASYRTLATEHEALMQKYLHLLQIVETEKTVAKQLRQQIEDGEIEIERLKAEITSLLKDNERIQSTQTVAPNDEDSDIKKIKKVQSFLRGWLCRRKWKTIIQDYIRSPHADSMRKRNQVVFSMLEAEAEYVQQLHILVNNFLRPLRMAASSKKPPITHDDVSSIFLNSETIMFLHQIFYQGLKARISSWPTLVLADLFDILLPMLNIYQEFVRNHQYSLQILAHCKQNRDFDKLLKHYEAKPDCEERTLETFLTYPMFQIPRYILTLHELLAHTPHEHVERNSLDYAKSKLEELSRIMHDEVSETENIRKNLAIERMIIEGCEILLDTSQTFVRQGSLIQVPMSEKGKITRGRLGSLSLKKEGERQCFLFSKHLIICTRGSGGKLHLTKNGVISLIDCTLLEEPESTEEEAKGSGQDIDHLDFKIGVEPKDSPPFTVILVASSRQEKAAWTSDISQCVDNIRCNGLMMNAFEENSKVTVPQMIKSDASLYCDDVDIRFSKTMNSCKVLQIRYASVERLLERLTDLRFLSIDFLNTFLHSYRVFTTAIVVLDKLITIYKKPISAIPARSLELLFASGQNNKLLYGEPPKSPRATRKFSSPPPLSITKTSSPSRRRKLSLNIPIITGGKALDLAALSCNSNGYTSMYSAMSPFSKATLDTSKLYVSSSFTNKIPDEGDTTPEKPEDPSALSKQSSEVSMREESDIDQNQSDDGDTETSPTKSPTTPKSVKNKNSSEFPLFSYNNGVVMTSCRELDNNRSALSAASAFAIATAGANEGTPNKEKYRRMSLASAGFPPDQRNGDKEFVIRRAATN
NP_001153125.1 1 256 0.80382578125 PF06390.12:NESP55:1:256 SCG6 (secretogranin VI) isoform SCG6 precursor 256 256 23 256 0 Rattus norvegicus NP_001153125.1 1 RefSeq MDRRSRAHQWRRARHNYNDLCPPIGRRAATALLWLSCSIALLRALASSNARAQQRAAQRRSFLNAHHRSAAAAAAAQVLPESSESESDHEHEEAEPELARPECLEYDQDDYETETDSETEPESDIQSETEFETEPETEPETAPTTEPETEPEDERGPRGATFNQSLTQRLHALKLQSADASPRRAQPTTQEPESASEGEEPQREPLDEDPRDPEESEELREANRQPRRCKTRRPARRRDQSPESPPRKGPIPIRRH
NP_001166599.1 1 382 0.622849476439791 YTH domain-containing family protein 2; CLL-associated antigen KW-14; High-glucose-regulated protein 8; Renal carcinoma antigen NY-REN-2 579 0 23 382 0 Homo sapiens (Human) SwissProt::Q9Y5A9 1 SwissProt MSASSLLEQRPKGQGNKVQNGSVHQKDGLNDDDFEPYLSPQARPNNAYTAMSDSYLPSYYSPSIGFSYSLGEAAWSTGGDTAMPYLTSYGQLSNGEPHFLPDAMFGQPGALGSTPFLGQHGFNFFPSGIDFSAWGNNSSQGQSTQSSGYSSNYAYAPSSLGGAMIDGQSAFANETLNKAPGMNTIDQGMAALKLGSTEVASNVPKVVGSAVGSGSITSNIVASNSLPPATIAPPKPASWADIASKPAKQQPKLKTKNGIAGSSLPPPPIKHNMDIGTWDNKGPVAKAPSQALVQNIGQPTQGSPQPVGQQANNSPPVAQASVGQQTQPLPPPPPQPAQLSVQQQAAQPTRWVAPRNRGSGFGHNGVDGNGVGQSQAGSGSTP
NP_001229716.1 1 562 0.492392882562277 PF00382.19:TFIIB:3:46,PF00382.19:TFIIB:72:145,PF07741.13:BRF1:335:432 transcription factor IIIB 90 kDa subunit isoform 5 562 216 23 562 0 Homo sapiens NP_001229716.1 1 RefSeq MAVSRHLTRGRKMAHVIAACLYLVCRTEGTPHMLLDLSDLLQVNVYVLGKTFLLLARELCINAPAIDPCLYIPRFAHLLEFGEKNHEVSMTALRLLQRMKRDWMHTGRRPSGLCGAALLVAARMHDFRRTVKEVISVVKVCESTLRKRLTEFEDTPTSQLTIDEFMKIDLEEECDPPSYTAGQRKLRMKQLEQVLSKKLEEVEGEISSYQDAIEIELENSRPKAKGGLASLAKDGSTEDTASSLCGEEDTEDEELEAAASHLNKDLYRELLGGAPGSSEAAGSPEWGGRPPALGSLLDPLPTAASLGISDSIRECISSQSSDPKDASGDGELDLSGIDDLEIDRYILNESEARVKAELWMRENAEYLREQREKEARIAKEKELGIYKEHKPKKSCKRREPIQASTAREAIEKMLEQKKISSKINYSVLRGLSSAGGGSPHREDAQPEHSASARKLSRRRTPASRSGADPVTSVGKRLRPLVSTQPAKKVATGEALLPSSPTLGAEPARPQAVLVESGPVSYHADEEADEEEPDEEDGEPCVSALQMMGSNDYGCDGDEDDGY
NP_001239219.1 1 164 0.204634756097561 tumor necrosis factor ligand superfamily member 8 isoform 2 164 0 23 141 1 Homo sapiens NP_001239219.1 1 RefSeq MDPGLQQALNGMAPPGDTAMHVPAGSVASHLGTTSRSYFYLTTATLALCLVFTVATIMVLVVQRTDSIPNSPDNVPLKGGNCSEDLLCILKRAPFKKSWAYLQVAKHLNKTKLSWNKDGILHGVRYQDGNLVIQFPDYCGMILHHSHSTLDSGKGHCCLETLQP
NP_001239222.1 105 326 0.0888513513513513 mesoderm-specific transcript protein isoform 1 precursor 326 0 23 222 0 Mus musculus NP_001239222.1 1 RefSeq RPHQYSIFEQASIVESLLRHLGLQNRRINLLSHDYGDIVAQELLYRYKQNRSGRLTIKSLCLSNGGIFPETHRPLLLQKLLKDGGVLSPILTRLMNFFVFSRGLTPVFGPYTRPTESELWDMWAVIRNNDGNLVIDSLLQYINQRKKFRRRWVGALASVSIPIHFIYGPLDPINPYPEFLELYRKTLPRSTVSILDDHISHYPQLEDPMGFLNAYMGFINSF
NP_001277224.2 1 503 0.667370178926441 PF02208.16:Sorb:215:256 sorbin and SH3 domain-containing protein 1 isoform 9 749 42 23 503 0 Homo sapiens NP_001277224.2 1 RefSeq MSSECDGGSKAVMNGLAPGSNGQDKDMDLTKICTGKGAVTLRASSSYRETPSSSPASPQETRQHESKPGLEPEPSSADEWRLSSSADANGNAQPSSLAAKGYRSVHPNLPSDKSQDSSPLLNEVSSSLIGTDSQAFPSVSKPSSAYPSTTIVNPTIVLLQHNREQQKRLSSLSDPVSERRVGEQDSAPTQEKPTSPGKAIEKRAKDDSRRVVKSTQDLSDVSMDEVGIPLRNTERSKDWYKTMFKQIHKLNRDDDSDLYSPRYSFSEDTKSPLSVPRSKSEMSYIDGEKVVKRSATLPLPARSSSLKSSSERNDWEPPDKKVDTRKYRAEPKSIYEYQPGKSSVLTNEKMSSAISPTPEISSETPGYIYSSNFHAVKRESDGAPGDLTSLENERQIYKSVLEGGDIPLQGLSGLKRPSSSASTKDSESPRHFIPADYLESTEEFIRRRHDDKEKLLADQRRLKREQEEADIAARRHTGVIPTHHQFITNERFGDLLNIDDTAK
NP_001278796.1 1 1651 0.64700635978195 PF15273.6:NHS:440:1064 Nance-Horan syndrome protein; Congenital cataracts and dental anomalies protein 1651 625 23 1651 0 Homo sapiens (Human) SwissProt::Q6T4R5 1 SwissProt MPFAKRIVEPQWLCRQRRPAPGPAVDASGGSAEPPPPLQPPGRRDLDEVEAPGPEEPARAVPAPSGLPPPPPPLPAPADQTQPPHGEASVAGEESTAGIPEAAPAAGEASSAAAAAAVLLMLDLCAVSNAALARVLRQLSDVARHACSLFQELESDIQLTHRRVWALQGKLGGVQRVLSTLDPKQEAVPVSNLDIESKLSVYYRAPWHQQRNIFLPATRPPCVEELHRHARQSLQALRREHRSRSDRREQRAAAPLSIAAPPLPAYPPAHSQRRREFKDRHFLTFNSTRSPSPTECCHMTPWSRKSHPPEDEDTDVMLGQRPKNPIHNIPSTLDKQTNWSKALPLPTPEEKMKQDAQVISSCIIPINVTGVGFDREASIRCSLVHSQSVLQRRRKLRRRKTISGIPRRVQQEIDSDESPVARERNVIVHTNPDPSNTVNRISGTRDSECQTEDILIAAPSRRRIRAQRGQSIAASLSHSAGNISALADKGDTMFTPAVSSRTRSRSLPREGNRGGDAEPKVGAKPSAYEEGESFVGDHERTPNDFSEAPSSPSAQDHQPTLGLACSQHLHSPQHKLSERGRSRLSRMAADSGSCDISSNSDTFGSPIHCISTAGVLLSSHMDQKDDHQSSSGNWSGSSSTCPSQTSETIPPAASPPLTGSSHCDSELSLNTAPHANEDASVFVTEQYNDHLDKVRGHRANSFTSTVADLLDDPNNSNTSDSEWNYLHHHHDASCRQDFSPERPKADSLGCPSFTSMATYDSFLEKSPSDKADTSSHFSVDTEGYYTSMHFDCGLKGNKSYVCHYAALGPENGQGVGASPGLPDCAWQDYLDHKRQGRPSISFRKPKAKPTPPKRSSSLRKSDGNADISEKKEPKISSGQHLPHSSREMKLPLDFANTPSRMENANLPTKQEPSWINQSEQGIKEPQLDASDIPPFKDEVAESTHYADLWLLNDLKTNDPYRSLSNSSTATGTTVIECIKSPESSESQTSQSESRATTPSLPSVDNEFKLASPEKLAGLASPSSGYSSQSETPTSSFPTAFFSGPLSPGGSKRKPKVPERKSSLQQPSLKDGTISLSKDLELPIIPPTHLDLSALHNVLNKPFHHRHPLHVFTHNKQNTVGETLRSNPPPSLAITPTILKSVNLRSINKSEEVKQKEENNTDLPYLEESTLTTAALSPSKIRPHTANKSVSRQYSTEDTILSFLDSSAVEMGPDKLHLEKNSTFDVKNRCDPETITSAGSSLLDSNVTKDQVRTETEPIPENTPTKNCAFPTEGFQRVSAARPNDLDGKIIQYGPGPDETLEQVQKAPSAGLEEVAQPESVDVITSQSDSPTRATDVSNQFKHQFVMSRHHDKVPGTISYESEITSVNSFPEKCSKQENIASGISAKSASDNSKAEETQGNVDEASLKESSPSDDSIISPLSEDSQAEAEGVFVSPNKPRTTEDLFAVIHRSKRKVLGRKDSGDMSVRSKSRAPLSSSSSSASSITSPSSNVTTPNSQRSPGLIYRNAKKSNTSNEEFKLLLLKKGSRSDSSYRMSATEILKSPILPKPPGELTAESPQSTDDAHQGSQGAEALSPLSPCSPRVNAEGFSSKSFATSASARVGRSRAPPAASSSRYSVRCRLYNTPMQAISEGETENSDGSPHDDRSSQSST
NP_001289270.1 1 213 0.606440845070423 transcription factor NF-E2 45 kDa subunit 373 0 23 213 0 Mus musculus NP_001289270.1 1 RefSeq MPPCPPQQNRNRLSQLPVGELGEMELTWQEIMSITELQGLNVPSETSFEPQAPTPYPGPLPPPTYCPCSIHPDAGFSLPPPSYELPASTPHVPELPYSYGNVAIPVSKPLTLSGLLNEPLPDHLALLDIGLPVGQPKPQEDPESDSGLSLNYSDAESLELEGMEAGRRRSEYADMYPVEYPYSLMPNSLAHPNYTLPPTETPLALESSSGPVR
NP_001563.2 135 503 0.478759349593496 PF10401.9:IRF-3:155:329 Interferon regulatory factor 7; IRF-7 503 175 23 369 0 Homo sapiens (Human) SwissProt::Q92985 1 SwissProt GTDQTEAEAPAAVPPPQGGPPGPFLAHTHAGLQAPGPLPAPAGDKGDLLLQAVQQSCLADHLLTASWGADPVPTKAPGEGQEGLPLTGACAGGPGLPAGELYGWAVETTPSPGPQPAALTTGEAAAPESPHQAEPYLSPSPSACTAVQEPSPGALDVTIMYKGRTVLQKVVGHPSCTFLYGPPDPAVRATDPQQVAFPSPAELPDQKQLRYTEELLRHVAPGLHLELRGPQLWARRMGKCKVYWEVGGPPGSASPSTPACLLPRNCDTPIFDFRVFFQELVEFRARQRRGSPRYTIYLGFGQDLSAGRPKEKSLVLVKLEPWLCRVHLEGTQREGVSSLDSSSLSLCLSSANSLYDDIECFLMELEQPA
NP_002390.1 1 262 0.524956106870229 PF16493.5:Meis_PKNOX_N:97:180 homeobox protein Meis2 isoform f 381 84 23 262 0 Homo sapiens NP_002390.1 1 RefSeq MDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNVMPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFNEDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGHASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKK
NP_002892.1 1 331 0.403220845921451 PF13499.6:EF-hand_7:84:144,PF13202.6:EF-hand_5:90:106,PF13202.6:EF-hand_5:211:228,PF13202.6:EF-hand_5:257:270 Reticulocalbin-1 331 93 23 331 0 Homo sapiens (Human) SwissProt::Q15293 1 SwissProt MARGGRGRRLGLALGLLLALVLAPRVLRAKPTVRKERVVRPDSELGERPPEDNQSFQYDHEAFLGKEDSKTFDQLTPDESKERLGKIVDRIDNDGDGFVTTEELKTWIKRVQKRYIFDNVAKVWKDYDRDKDDKISWEEYKQATYGYYLGNPAEFHDSSDHHTFKKMLPRDERRFKAADLNGDLTATREEFTAFLHPEEFEHMKEIVVLETLEDIDKNGDGFVDQDEYIADMFSHEENGPEPDWVLSEREQFNEFRDLNKDGKLDKDEIRHWILPQDYDHAQAEARHLVYESDKNKDEKLTKEEILENWNMFVGSQATNYGEDLTKNHDEL
NP_004184.1 897 1859 0.329634475597092 Golgi-specific brefeldin A-resistance guanine nucleotide exchange factor 1; BFA-resistant GEF 1 1859 0 23 963 0 Homo sapiens (Human) SwissProt::Q92538 1 SwissProt NYVWNVLLHRGATPEGIFLRVPTASYDLDLFTMTWGPTIAALSYVFDKSLEETIIQKAISGFRKCAMISAHYGLSDVFDNLIISLCKFTALSSESIENLPSVFGSNPKAHIAAKTVFHLAHRHGDILREGWKNIMEAMLQLFRAQLLPKAMIEVEDFVDPNGKISLQREETPSNRGESTVLSFVSWLTLSGPEQSSVRGPSTENQEAKRVALECIKQCDPEKMITESKFLQLESLQELMKALVSVTPDEETYDEEDAAFCLEMLLRIVLENRDRVGCVWQTVRDHLYHLCVQAQDFCFLVERAVVGLLRLAIRLLRREEISAQVLLSLRILLLMKPSVLSRVSHQVAYGLHELLKTNAANIHSGDDWATLFTLLECIGSGVKPPAALQATARADAPDAGAQSDSELPSYHQNDVSLDRGYTSDSEVYTDHGRPGKIHRSATDADVVNSGWLVVGKDDVDNSKPGPSRPGPSPLINQYSLTVGLDLGPHDTKSLLKCVESLSFIVRDAAHITPDNFELCVKTLRIFVEASLNGGCKSQEKRGKSHKYDSKGNRFKKKSKEGSMLRRPRTSSQHASRGGQSDDDEDEGVPASYHTVSLQVSQDLLDLMHTLHTRAASIYSSWAEEQRHLETGGQKIEADSRTLWAHCWCPLLQGIACLCCDARRQVRMQALTYLQRALLVHDLQKLDALEWESCFNKVLFPLLTKLLENISPADVGGMEETRMRASTLLSKVFLQHLSPLLSLSTFAALWLTILDFMDKYMHAGSSDLLSEAIPESLKNMLLVMDTAEIFHSADARGGGPSALWEITWERIDCFLPHLRDELFKQTVIQDPMPMEPQGQKPLASAHLTSAAGDTRTPGHPPPPEIPSELGACDFEKPESPRAASSSSPGSPVASSPSRLSPTPDGPPPLAQPPLILQPLASPLQVGVPPMTLPIILNPALIEATSPVPLLATPRPTDPIPTSEVN
NP_004322.1 1 169 0.806099408284023 PF06553.12:BNIP3:29:169 BCL2/adenovirus E1B 19 kDa protein-interacting protein 3-like; Adenovirus E1B19K-binding protein B5; BCL2/adenovirus E1B 19 kDa protein-interacting protein 3A; NIP3-like protein X; NIP3L 219 141 23 169 0 Homo sapiens (Human) SwissProt::O60238 1 SwissProt MSSHLVEPPPPLHNNNNNCEENEQSLPPPAGLNSSWVELPMNSSNGNDNGNGKNGGLEHVPSSSSIHNGDMEKILLDAQHESGQSSSRGSSHCDSPSPQEDGQIMFDVEMHTSRDHSSQSEEEVVEGEKEVEALKKSADWVSDWSSRPENIPPKEFHFRHPKRSVSLSM
NP_004743.1 180 506 0.472796024464831 Chorion-specific transcription factor GCMb; hGCMb; GCM motif protein 2; Glial cells missing homolog 2 506 0 23 327 0 Homo sapiens (Human) SwissProt::O75603 1 SwissProt ASFYQPQKKRIRESEAEENQDSSGHFSNIPPLENPEDFDIVTETSFPIPGQPCPSFPKSDVYKATCDLATFQGDKMPPFQKYSSPRIYLPRPPCSYELANPGYTNSSPYPTLYKDSTSIPNDTDWVHLNTLQCNVNSYSSYERSFDFTNKQHGWKPALGKPSLVERTNHGQFQAMATRPYYNPELPCRYLTTPPPGAPALQTVITTTTKVSYQAYQPPAMKYSDSVREVKSLSSCNYAPEDTGMSVYPEPWGPPVTVTRAASPSGPPPMKIAGDCRAIRPTVAIPHEPVSSRTDEAETWDVCLSGLGSAVSYSDRVGPFFTYNNEDF
NP_005453.2 1 897 0.636358193979933 melanoma-associated antigen C1 1142 0 23 897 0 Homo sapiens NP_005453.2 1 RefSeq MGDKDMPTAGMPSLLQSSSESPQSCPEGEDSQSPLQIPQSSPESDDTLYPLQSPQSRSEGEDSSDPLQRPPEGKDSQSPLQIPQSSPEGDDTQSPLQNSQSSPEGKDSLSPLEISQSPPEGEDVQSPLQNPASSFFSSALLSIFQSSPESTQSPFEGFPQSVLQIPVSAASSSTLVSIFQSSPESTQSPFEGFPQSPLQIPVSRSFSSTLLSIFQSSPERTQSTFEGFAQSPLQIPVSPSSSSTLLSLFQSFSERTQSTFEGFAQSSLQIPVSPSFSSTLVSLFQSSPERTQSTFEGFPQSPLQIPVSSSSSSTLLSLFQSSPERTHSTFEGFPQSLLQIPMTSSFSSTLLSIFQSSPESAQSTFEGFPQSPLQIPGSPSFSSTLLSLFQSSPERTHSTFEGFPQSPLQIPMTSSFSSTLLSILQSSPESAQSAFEGFPQSPLQIPVSSSFSYTLLSLFQSSPERTHSTFEGFPQSPLQIPVSSSSSSSTLLSLFQSSPECTQSTFEGFPQSPLQIPQSPPEGENTHSPLQIVPSLPEWEDSLSPHYFPQSPPQGEDSLSPHYFPQSPPQGEDSLSPHYFPQSPQGEDSLSPHYFPQSPPQGEDSMSPLYFPQSPLQGEEFQSSLQSPVSICSSSTPSSLPQSFPESSQSPPEGPVQSPLHSPQSPPEGMHSQSPLQSPESAPEGEDSLSPLQIPQSPLEGEDSLSSLHFPQSPPEWEDSLSPLHFPQFPPQGEDFQSSLQSPVSICSSSTSLSLPQSFPESPQSPPEGPAQSPLQRPVSSFFSYTLASLLQSSHESPQSPPEGPAQSPLQSPVSSFPSSTSSSLSQSSPVSSFPSSTSSSLSKSSPESPLQSPVISFSSSTSLSPFSEESSSPVDEYTSSSDTLLESDSLTDSESL
NP_005484.2 351 729 0.443882321899736 PF10607.9:CLTH:53:358,PF08513.11:LisH:18:42 Ran-binding protein 9; RanBP9; BPM-L; BPM90; Ran-binding protein M; RanBPM; RanBP7 729 331 23 379 0 Homo sapiens (Human) SwissProt::Q96S59 1 SwissProt AQIDRFPIGDREGEWQTMIQKMVSSYLVHHGYCATAEAFARSTDQTVLEELASIKNRQRIQKLVLAGRMGEAIETTQQLYPSLLERNPNLLFTLKVRQFIEMVNGTDSEVRCLGGRSPKSQDSYPVSPRPFSSPSMSPSHGMNIHNLASGKGSTAHFSGFESCSNGVISNKAHQSYCHSNKHQSSNLNVPELNSINMSRSQQVNNFTSNDVDMETDHYSNGVGETSSNGFLNGSSKHDHEMEDCDTEMEVDSSQLRRQLCGGSQAAIERMIHFGRELQAMSEQLRRDCGKNTANKKMLKDAFSLLAYSDPWNSPVGNQLDPIQREPVCSALNSAILETHNLPKQPPLALAMGQATQCLGLMARSGIGSCAFATVEDYLH
NP_009639.3 214 486 0.504246153846154 Ty transcription activator TEC1 486 0 23 273 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P18412 1 SwissProt LHLIEHGAEQTTENSNLFYDIFEEIIDSLPSVSDSGSLTPKNLYVSNNSSGLSVHSKLLTPITASNEKKIENFIKTNAASQAKTPLIYAKHIYENIDGYKCVPSKRPLEQLSPTELHQGDRPNKASFSNKKAILESAKKIEIEQRKIINKYQRISRIQEHESNPEFSSNSNSGSEYESEEEVVPRSATVTQLQSRPVPYYKNNGMPYSLSKVRGRPMYPRPAEDAYNANYIQGLPQYQTSYFSQLLLSSPQHYEHSPHQRNFTPSNQSHGNFY
NP_011833.1 1 1868 0.137433672376873 PF13001.7:Ecm29:14:538 Proteasome component ECM29; Extracellular mutant protein 29 1868 525 23 1868 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38737 1 SwissProt MSISSDEAKEKQLVEKAELRLAIADSPQKFETNLQTFLPPLLLKLASPHASVRTAVFSALKNLISRINTLPQVQLPVRALIVQAKEPNLAAQQDSTNVRLYSLLLASKGIDRLSLQDRQQLLPLVVSNISCLTGTVAARMFHILLKLILEWVAPQESSHEQEEFVQFLQLDNDGFSFLMRQFTRFFLLVPSKQVQVSQQPLSRGYTCPGLSLTDVAFFTYDAGVTFNKEQLNKFKKAIFQFVCRGMAATQTIEQSPRMIELMEFLCVVSTDSTNLSDDAAQFMKRFPMPYENEEFITFLQTLYIGNTANGRPPVKAILQEKILSILNRSHFATTKAECISLICSIGLHSSEYKLRSLTLSFIRHVAKLNYKNLNPASSSPSSTDFSTCIVSLIRNNLHAEGWPKLQLGPQTPAFNTAILQRQLQYETLGDILKRDFELVSDLSYIEFLFESLKNDLPQFRSSIQESLLSLVGHLSILPQQSKLKLKNLLRKNLSIDEQQREDNNDAVNSIMALKFVSIKFTNAAFPFHDPEARLFNIWGTVRTNRFDIIEESFKGLQPFWFRVNNASINTSATVKTSDLLGSHLSETEFPPFREFLQVLIDQLDSEAASITRKSLNNAVRFSKQCLISNAIYGKKTMVIQDEDWSVRIDKALELDDTVVSRVNEMVQGMNDDIFIRYLTLLSNEFTATNSKGEQIAIFPYQDPIFGSVLLTLLNFVSNNVLRRLEILVPDLYHLVIMKFQSLSDNDLAVCATIIGIISTAIADSTHVKRITKIAQSQTMAETYVASYVVPRLYLKDQTNHIESDSILNLLNILTTHLSHPGTNKDMILKLVCQVTKFGLLLQVSAQERKDFLKKVMDTIQDKLINDVTAIQTWSYLSLYSTDLENSSLFQEKLLETNVSKQNDFLFSVGESLSVVAGKWSSKYLIKQIDIPNFNVEIMQQKFPATNVTTILDEIFSGCDSTKPSLRKASCIWLLSYIQYLGHLPEVSSKCNDIHLRFMRFLADRDEFIQDSAARGLSLVYEIGGSDLKESMVKGLLKSFTESTAGSASTSATGISGSVSEETELFEPGVLNTGDGSISTYKDILNLASEVGDPALVYKFMSLAKSSALWSSRKGIAFGLGAIMSKSSLEELLLKDQQTAKKLIPKLYRYRFDPFQAVSRSMTDIWNTLIPESSLTISLYFNDILDELLCGMANKEWRVREASTSALLQLIQSQPQEKFSEKMLKIWTMAFRTMDDIKDSVREVGTKFTTVLAKILARSIDVEKGVNPTKSKEILDNILPFLWGPHGLNSDAEEVRNFALTTLIDLVKHSPGAIKPFTPKLIYDFITLFSSIEPQVINYLALNAANYNIDANVIDTQRKNGVTNSPLFQTIEKLINNSDDCMMEEIINVVIKASRKSVGLPSKVASSLVIIILVKRYSIEMKPYSGKLLKVCLTMFEDRNESVNIAFAISMGYLFKVSALDKCIKYSEKLITKYFEPTSTENNKKVVGTAIDSILNYAKSEFDNVASVFMPLIFIACNDEDKDLETLYNKIWTEASSSGAGTVKLYLPEILNVLCVNIKSNDFSIRKTCAKSVIQLCGGINDSIPYPQIVKLFDISREALSGRSWDGKEHIVAALVSLTEKFSQTVADNNDLQESINHVMYTEVSRKSMKYVKKILPLYARYINVNPQEETITFLIEKAKEMIRLLGSESDDSEGSIKQTSDESTIKRIKPNTEITQKSSKENIENEEYVINLLKVSVDICNNSKSRYPMNLLEFIIDEIAYLFHNDRIIHTWRTQLAASEIGISIVGRFSTISSADFIQNVGRLWDQTFPINCNKETIENVKLQMIKFGGLIIQKIPSLQNNIEENLRLLNSIDSTSRIELELKNIGL
NP_012408.1 1 640 0.42571484375 PF09337.10:zf-H2C2:351:389,PF17921.1:Integrase_H2C2:343:391,PF00583.25:Acetyltransf_1:154:219 Protein SPT10 640 115 23 640 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35208 1 SwissProt MLNQHTSSVPDDEHLQMAHQNSSSEVRNEAAVPDQLLTPLQPYTILLKDGETIATMYPIPAYPDLLPLGLLNFLLDEFNMEVEKGDSFPYYETLSLEEFKNVWFHNDGHVCIMVLGEIPELDYSMDTEADTNDNFGTEIETTKHTTQYKKRKERRNLNLSMQWEKQCLGIFDLKPAYPGRSAHVVTGTFLVNAGIRGKGIGKTLMETFIEWSKKLGFTSSFFPLIYGTNVGIRRILEGLNFRRIGKLPEAGILKGFDVPVDSFMYGKEFTHITKSIDLLRDPQKSIEIGKYERLKHFLETGKYPLHCDRNEKARLRVLSKTHSVLNGKLMTKGKEIIYDTDQQIQIALEIHLMEHLGINKVTSKIGEKYHWRGIKSTVSEVISRCQKCKMRYKDGTGVIIEQKRAVKQAHMLPTQHIETINNPRKSKKHDNALLGQAINFPQNIISSTLNDVEGEPTPPDTNIVQPTFQNATNSPATTAEANEANKRSEFLSSIQSTPLLDDEQSMNSFNRFVEEENSRKRRKYLDVASNGIVPHLTNNESQDHANPVNRDERDMNHSVPDLDRNDHTIMNDAMLSLEDNVMAALEMVQKEQQQKINHRGEDVTGQQIDLNNSEGNENSVTKIVNNESNTFTEHNSNIYY
NP_014445.1 1 466 0.768904506437768 Flippase kinase 1; EC 2.7.11.1 893 0 23 466 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53739 1 SwissProt MAGHHHEHEQERDHEQEHEHDSLQRPTTGSERTRSISFSKLLTRSWKRNASSSNNMSVSSVNLYSDPENSRESDHNNSGSEGQSSRFSKLKSMFQSGNSSKNASAHNSSQSSLEGDSASSSSKLRYVKPMTSVANASPASPPLSPTIPETDVLQTPKMVHIDQHEHEREHSNCGSPIMLSSSSFSPTVARTGTGRRRSPSTPIMPSQNSNNSSSTSAIRPNNYRHHSGSQGFSSNNPFRERAGTVRSSNPYFAYQGLPTHAMSSHDLDEGFQPYANGSGIHFLSTPTSKTNSLTNTKNLSNLSLNEIKENEEVQEFNNEDFFFHDIPKDLSLKDTLNGSPSRGSSKSPTITQTFPSIIVGFDNEYEEDNNNDKHDEKEEQQTTTDNKTRNLSPTKQNGKATHPRIKIPLRRAASEPNGLQLASATSPTSSSARKTSGSSNINDKIPGQSVPPPNSFFPQEPSPKIS
NP_014622.1 1 592 0.0824089527027027 PF00324.21:AA_permease:86:541,PF13520.6:AA_permease_2:84:497 Tryptophan permease; Tryptophan amino acid transporter 592 458 23 324 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38967 1 SwissProt MTEDFISSVKRSNEELKERKSNFGFVEYKSKQLTSSSSHNSNSSHHDDDNQHGKRNIFQRCVDSFKSPLDGSFDTSNLKRTLKPRHLIMIAIGGSIGTGLFVGSGKAIAEGGPLGVVIGWAIAGSQIIGTIHGLGEITVRFPVVGAFANYGTRFLDPSISFVVSTIYVLQWFFVLPLEIIAAAMTVQYWNSSIDPVIWVAIFYAVIVSINLFGVRGFGEAEFAFSTIKAITVCGFIILCVVLICGGGPDHEFIGAKYWHDPGCLANGFPGVLSVLVVASYSLGGIEMTCLASGETDPKGLPSAIKQVFWRILFFFLISLTLVGFLVPYTNQNLLGGSSVDNSPFVIAIKLHHIKALPSIVNAVILISVLSVGNSCIFASSRTLCSMAHQGLIPWWFGYIDRAGRPLVGIMANSLFGLLAFLVKSGSMSEVFNWLMAIAGLATCIVWLSINLSHIRFRLAMKAQGKSLDELEFVSAVGIWGSAYSALINCLILIAQFYCSLWPIGGWTSGKERAKIFFQNYLCALIMLFIFIVHKIYYKCQTGKWWGVKALKDIDLETDRKDIDIEIVKQEIAEKKMYLDSRPWYVRQFHFWC
NP_032112.1 1 384 0.29282734375 PF02351.16:GDNF:203:277 growth arrest-specific protein 1 384 75 23 384 0 Mus musculus NP_032112.1 1 RefSeq MDEDAHARSARNSDKLFQRPRGRHPSLVSAPHRVRRPLLPAMLAALLGGAGARTGTLPGALLCLMALLQLLCSAPRGSGLAHGRRLICWQALLQCQGEPDCSYAYSQYAEACAPVLAQRGGADAPGPAGAFPASAASSPRWRCPSHCISALIQLNHTRRGPALEDCDCAQDEHCRSTKRAIEPCLPRTSSVGPGAGAGSVMGCTEARRRCDRDSRCNLALSRYLAYCGKLFNGLRCTDECRAVIEDMLAVPKAALLNDCVCDGLERPICESVKENMARLCFGPDASNGPGSSGSDGGLDDYYDEEYDDEQRAGAAGGEQPLDDDDGLARPGGGAAAAGGRGDLPHGPGRRSSSSGSGGHWANRSAWTPFACLLLLLLLLLGSHL
NP_033262.2 159 377 0.447824200913242 PF12067.8:Sox17_18_mid:33:79 Transcription factor SOX-18 377 47 23 219 0 Mus musculus (Mouse) SwissProt::P43680 1 SwissProt VRRLEPGLLLPGLVQPSAPPEAFAAASGSARSFRELPTLGAEFDGLGLPTPERSPLDGLEPGEASFFPPPLAPEDCALRAFRAPYAPELARDPSFCYGAPLAEALRTAPPAAPLAGLYYGTLGTPGPFPNPLSPPPESPSLEGTEQLEPTADLWADVDLTEFDQYLNCSRTRPDATTLPYHVALAKLGPRAMSCPEESSLISALSDASSAVYYSACISG
NP_033666.1 1 77 0.254332467532468 lymphotoxin-beta isoform b 77 0 23 54 1 Homo sapiens NP_033666.1 0 RefSeq MGALGLEGRGGRLQGRGSLLLAVAGATSLVTLLLAVPITVLAVLALVPQDQGGLGFRSCQRRSQKQISAPGSQLPTS
NP_034768.2 1 131 0.617841221374045 Krueppel-like factor 9 244 0 23 131 0 Mus musculus NP_034768.2 1 RefSeq MSAAAYMDFVAAQCLVSISNRAAVPEHGGAPEAERLRLPEREVTKEHGDPGDTWKDYCTLVTIAKSLLDLNKYRPIQTPSVCSDSLESPDEDIGSDSDVTTESGSSPSHSPEERQDSGSAPSPLSLLHSGV
NP_034817.1 1 756 0.152023015873016 PF13896.6:Glyco_transf_49:473:537,PF13896.6:Glyco_transf_49:538:743,PF01501.20:Glyco_transf_8:153:386,PF18404.1:Glyco_transf_24:138:356 LARGE xylosyl- and glucuronyltransferase 1; Acetylglucosaminyltransferase-like 1A; Glycosyltransferase-like protein; EC 2.4.-.-; EC 2.4.2.-; EC 2.4.1.- 756 520 23 733 1 Mus musculus (Mouse) SwissProt::Q9Z1M7 1 SwissProt MLGICRGRRKFLAASLTLLCIPAITWIYLFAGSFEDGKPVSLSPLESQAHSPRYTASSQRERESLEVRVREVEEENRALRRQLSLAQGQSPAHHRGNHSKTYSMEEGTGDSENLRAGIVAGNSSECGQQPAVEKCETIHVAIVCAGYNASRDVVTLVKSVLFHRRNPLHFHLIADSIAEQILATLFQTWMVPAVRVDFYNADELKSEVSWIPNKHYSGIYGLMKLVLTKTLPANLERVIVLDTDITFATDIAELWAVFHKFKGQQVLGLVENQSDWYLGNLWKNHRPWPALGRGYNTGVILLLLDKLRKMKWEQMWRLTAERELMGMLSTSLADQDIFNAVIKQNPFLVYQLPCFWNVQLSDHTRSEQCYRDVSDLKVIHWNSPKKLRVKNKHVEFFRNLYLTFLEYDGNLLRRELFGCPSETDVNNENLQKQLSELDEDDLCYEFRRERFTVHRTHLYFLHYEFEPSADNTDVTLVAQLSMDRLQMLEAICKHWEGPISLALYLSDAEAQQFLRYAQGSEVLMSRQNVGYHIVYKEGQFYPVNLLRNVAMKHISTPYMFLSDIDFLPMYGLYEYLRKSVIQLDLANTKKAMIVPAFETLRYRLSFPKSKAELLSMLDMGTLFTFRYHVWTKGHAPTNFAKWRTATTPYQVEWEADFEPYVVVRRDCPEYDRRFVGFGWNKVAHIMELDAQEYEFTVLPNAYMIHMPHAPSFDITKFRSNKQYRICLKTLKEEFQQDMSRRYGFAALKYLTAENNS
NP_035576.1 125 380 0.62405234375 PF12067.8:Sox17_18_mid:51:103 Transcription factor SOX-7; mSOX7 380 53 23 256 0 Mus musculus (Mouse) SwissProt::P40646 1 SwissProt LCKRVDPGFLLSSLSRDQNTLPEKNGIGRGEKEDRGEYSPGATLPGLHSCYREGAAAAPGSVDTYPYGLPTPPEMSPLDALEPEQTFFSSSCQEEHGHPHHLPHLPGPPYSPEFTPSPLHCSHPLGSLALGQSPGVSMMSSVSGCPPSPAYYSHATYHPLHPNLQAHLGQLSPPPEHPGFDTLDQLSQVELLGDMDRNEFDQYLNTPGHPDSAAGVGTLTGHVPLSQGTPTGPTETSLISVLADATATYYNSYSVS
NP_038891.4 64 403 0.837896470588236 BAG family molecular chaperone regulator 3 577 0 23 340 0 Mus musculus NP_038891.4 1 RefSeq TASSANGPSRDGSRLLPIREGHPIYPQLRPGYIPIPVLHEGSENRQPHLFHAYSQPGVQRFRTEAAAATPQRSQSPLRGGMTEAAQTDKQCGQMPATATTAAAQPPTAHGPERSQSPAASDCSSSSSSASLPSSGRSSLGSHQLPRGYIPIPVIHEQNITRPAAQPSFHQAQKTHYPAQQGEYQPQQPVYHKIQGDDWEPRPLRAASPFRSPVRGASSREGSPARSGTPVHCPSPIRVHTVVDRPQPMTHREPPPVTQPENKPESKPGPAGPDLPPGHIPIQVIRREADSKPVSQKSPPPAEKVEVKVSSAPIPCPSPSPAPSAVPSPPKNVAAEQKAAP
NP_054772.1 1 555 0.157466846846847 PF07690.16:MFS_1:122:477 Feline leukemia virus subgroup C receptor-related protein 1; Feline leukemia virus subgroup C receptor; hFLVCR 555 356 23 333 10 Homo sapiens (Human) SwissProt::Q9Y5Y0 1 SwissProt MARPDDEEGAAVAPGHPLAKGYLPLPRGAPVGKESVELQNGPKAGTFPVNGAPRDSLAAASGVLGGPQTPLAPEEETQARLLPAGAGAETPGAESSPLPLTALSPRRFVVLLIFSLYSLVNAFQWIQYSIISNVFEGFYGVTLLHIDWLSMVYMLAYVPLIFPATWLLDTRGLRLTALLGSGLNCLGAWIKCGSVQQHLFWVTMLGQCLCSVAQVFILGLPSRIASVWFGPKEVSTACATAVLGNQLGTAVGFLLPPVLVPNTQNDTNLLACNISTMFYGTSAVATLLFILTAIAFKEKPRYPPSQAQAALQDSPPEEYSYKKSIRNLFKNIPFVLLLITYGIMTGAFYSVSTLLNQMILTYYEGEEVNAGRIGLTLVVAGMVGSILCGLWLDYTKTYKQTTLIVYILSFIGMVIFTFTLDLRYIIIVFVTGGVLGFFMTGYLPLGFEFAVEITYPESEGTSSGLLNASAQIFGILFTLAQGKLTSDYGPKAGNIFLCVWMFIGIILTALIKSDLRRHNINIGITNVDVKAIPADSPTDQEPKTVMLSKQSESAI
NP_055739.2 1 492 0.383999593495935 PF10186.9:Atg14:43:387 Beclin 1-associated autophagy-related key regulator; Barkor; Autophagy-related protein 14-like protein; Atg14L 492 345 23 492 0 Homo sapiens (Human) SwissProt::Q6ZNE5 1 SwissProt MASPSGKGARALEAPGCGPRPLARDLVDSVDDAEGLYVAVERCPLCNTTRRRLTCAKCVQSGDFVYFDGRDRERFIDKKERLSRLKSKQEEFQKEVLKAMEGKWITDQLRWKIMSCKMRIEQLKQTICKGNEEMEKNSEGLLKTKEKNQKLYSRAQRHQEKKEKIQRHNRKLGDLVEKKTIDLRSHYERLANLRRSHILELTSVIFPIEEVKTGVRDPADVSSESDSAMTSSTVSKLAEARRTTYLSGRWVCDDHNGDTSISITGPWISLPNNGDYSAYYSWVEEKKTTQGPDMEQSNPAYTISAALCYATQLVNILSHILDVNLPKKLCNSEFCGENLSKQKFTRAVKKLNANILYLCFSQHVNLDQLQPLHTLRNLMYLVSPSSEHLGRSGPFEVRADLEESMEFVDPGVAGESDESGDERVSDEETDLGTDWENLPSPRFCDIPSQSVEVSQSQSTQASPPIASSSAGGMISSAAASVTSWFKAYTGHR
NP_057443.2 1 441 0.691057596371882 PF16006.5:NUSAP:159:436 Nucleolar and spindle-associated protein 1; NuSAP 441 278 23 441 0 Homo sapiens (Human) SwissProt::Q9BXS6 1 SwissProt MIIPSLEELDSLKYSDLQNLAKSLGLRANLRATKLLKALKGYIKHEARKGNENQDESQTSASSCDETEIQISNQEEAERQPLGHVTKTRRRCKTVRVDPDSQQNHSEIKISNPTEFQNHEKQESQDLRATAKVPSPPDEHQEAENAVSSGNRDSKVPSEGKKSLYTDESSKPGKNKRTAITTPNFKKLHEAHFKEMESIDQYIERKKKHFEEHNSMNELKQQPINKGGVRTPVPPRGRLSVASTPISQRRSQGRSCGPASQSTLGLKGSLKRSAISAAKTGVRFSAATKDNEHKRSLTKTPARKSAHVTVSGGTPKGEAVLGTHKLKTITGNSAAVITPFKLTTEATQTPVSNKKPVFDLKASLSRPLNYEPHKGKLKPWGQSKENNYLNQHVNRINFYKKTYKQPHLQTKEEQRKKREQERKEKKAKVLGMRRGLILAED
NP_058664.2 1 260 0.41121076923077 PF00010.26:HLH:96:153 Oligodendrocyte transcription factor 1; Oligo1; Oligodendrocyte-specific bHLH transcription factor 1 260 58 23 260 0 Mus musculus (Mouse) SwissProt::Q9JKN5 1 SwissProt MYYAISQARVNAAPATMLRPQRPGDVQLGASLYELVGYRQPPISSSSSSSSSTASLLPKPAREKAEAPLAEPRGPAPESGGARADAKEEQQQQQLRRKINSRERKRMQDLNLAMDALREVILPYSAAHCQGAPGRKLSKIATLLLARNYILLLGSSLQELRRALGDGAGPAAPRLLLAGLPLLAAAPGSVLLAPGAVGPPETLRPTKYLSLALDEPPCGQFSLPAGGAGSPGLCSCAVCKFPHLVPAGLGLAAVQAQFSK
NP_058743.1 1 362 0.0943792817679558 PF01758.16:SBF:33:210 sodium/bile acid cotransporter 362 178 23 204 7 Rattus norvegicus NP_058743.1 1 RefSeq MEVHNVSAPFNFSLPPGFGHRATDKALSIILVLMLLLIMLSLGCTMEFSKIKAHLWKPKGVIVALVAQFGIMPLAAFLLGKIFHLSNIEALAILICGCSPGGNLSNLFTLAMKGDMNLSIVMTTCSSFSALGMMPLLLYVYSKGIYDGDLKDKVPYKGIMISLVIVLIPCTIGIVLKSKRPHYVPYILKGGMIITFLLSVAVTALSVINVGNSIMFVMTPHLLATSSLMPFSGFLMGYILSALFQLNPSCRRTISMETGFQNIQLCSTILNVTFPPEVIGPLFFFPLLYMIFQLAEGLLIIIIFRCYEKIKPPKDQTKITYKAAATEDATPAALEKGTHNGNIPPLQPGPSPNGLNSGQMAN
NP_059502.1 1 913 0.28370317634173 PF08434.11:CLCA:25:290,PF13519.6:VWA_2:309:415,PF00092.28:VWA:308:459 Calcium-activated chloride channel regulator 1; Calcium-activated chloride channel family member 3; mCLCA3; Protein gob-5; EC 3.4.-.- 913 418 23 913 0 Mus musculus (Mouse) SwissProt::Q9D7Z6 1 SwissProt MESLKSPVFLLILHLLEGVLSESLIQLNNNGYEGIVIAIDHDVPEDEALIQHIKDMVTQASPYLFEATGKRFYFKNVAILIPESWKAKPEYTRPKLETFKNADVLVSTTSPLGNDEPYTEHIGACGEKGIRIHLTPDFLAGKKLTQYGPQDRTFVHEWAHFRWGVFNEYNNDEKFYLSKGKPQAVRCSAAITGKNQVRRCQGGSCITNGKCVIDRVTGLYKDNCVFVPDPHQNEKASIMFNQNINSVVEFCTEKNHNQEAPNDQNQRCNLRSTWEVIQESEDFKQTTPMTAQPPAPTFSLLQIGQRIVCLVLDKSGSMLNDDRLNRMNQASRLFLLQTVEQGSWVGMVTFDSAAYVQSELKQLNSGADRDLLIKHLPTVSAGGTSICSGLRTAFTVIKKKYPTDGSEIVLLTDGEDNTISSCFDLVKQSGAIIHTVALGPAAAKELEQLSKMTGGLQTYSSDQVQNNGLVDAFAALSSGNAAIAQHSIQLESRGVNLQNNQWMNGSVIVDSSVGKDTLFLITWTTHPPTIFIWDPSGVEQNGFILDTTTKVAYLQVPGTAKVGFWKYSIQASSQTLTLTVTSRAASATLPPITVTPVVNKNTGKFPSPVTVYASIRQGASPILRASVTALIESVNGKTVTLELLDNGAGADATKNDGVYSRFFTAFDANGRYSVKIWALGGVTSDRQRAAPPKNRAMYIDGWIEDGEVRMNPPRPETSYVQDKQLCFSRTSSGGSFVATNVPAAAPIPDLFPPCQITDLKASIQGQNLVNLTWTAPGDDYDHGRASNYIIRMSTSIVDLRDHFNTSLQVNTTGLIPKEASSEEIFEFELGGNTFGNGTDIFIAIQAVDKSNLKSEISNIARVSVFIPAQEPPIPEDSTPPCPDISINSTIPGIHVLKIMWKWLGEMQVTLGLH
NP_060621.3 1 2472 0.430853802588996 PF12231.8:Rif1_N:22:361 Telomere-associated protein RIF1; Rap1-interacting factor 1 homolog 2472 340 23 2472 0 Homo sapiens (Human) SwissProt::Q5UIP0 1 SwissProt MTARGQSPLAPLLETLEDPSASHGGQTDAYLTLTSRMTGEEGKEVITEIEKKLPRLYKVLKTHISSQNSELSSAALQALGFCLYNPKITSELSEANALELLSKLNDTIKNSDKNVRTRALWVISKQTFPSEVVGKMVSSIIDSLEILFNKGETHSAVVDFEALNVIVRLIEQAPIQMGEEAVRWAKLVIPLVVHSAQKVHLRGATALEMGMPLLLQKQQEIASITEQLMTTKLISELQKLFMSKNETYVLKLWPLFVKLLGRTLHRSGSFINSLLQLEELGFRSGAPMIKKIAFIAWKSLIDNFALNPDILCSAKRLKLLMQPLSSIHVRTETLALTKLEVWWYLLMRLGPHLPANFEQVCVPLIQSTISIDSNASPQGNSCHVATSPGLNPMTPVHKGASSPYGAPGTPRMNLSSNLGGMATIPSIQLLGLEMLLHFLLGPEALSFAKQNKLVLSLEPLEHPLISSPSFFSKHANTLITAVHDSFVAVGKDAPDVVVSAIWKELISLVKSVTESGNKKEKPGSEVLTLLLKSLESIVKSEVFPVSKTLVLMEITIKGLPQKVLGSPAYQVANMDILNGTPALFLIQLIFNNFLECGVSDERFFLSLESLVGCVLSGPTSPLAFSDSVLNVINQNAKQLENKEHLWKMWSVIVTPLTELINQTNEVNQGDALEHNFSAIYGALTLPVNHIFSEQRFPVATMKTLLRTWSELYRAFARCAALVATAEENLCCEELSSKIMSSLEDEGFSNLLFVDRIIYIITVMVDCIDFSPYNIKYQPKVKSPQRPSDWSKKKNEPLGKLTSLFKLIVKVIYSFHTLSFKEAHSDTLFTIGNSITGIISSVLGHISLPSMIRKIFATLTRPLALFYENSKLDEVPKVYSCLNNKLEKLLGEIIACLQFSYTGTYDSELLEQLSPLLCIIFLHKNKQIRKQSAQFWNATFAKVMMLVYPEELKPVLTQAKQKFLLLLPGLETVEMMEESSGPYSDGTENSQLNVKISGMERKSNGKRDSFLAQTKNKKENMKPAAKLKLESSSLKVKGEILLEEEKSTDFVFIPPEGKDAKERILTDHQKEVLKTKRCDIPAMYNNLDVSQDTLFTQYSQEEPMEIPTLTRKPKEDSKMMITEEQMDSDIVIPQDVTEDCGMAEHLEKSSLSNNECGSLDKTSPEMSNSNNDERKKALISSRKTSTECASSTENSFVVSSSSVSNTTVAGTPPYPTSRRQTFITLEKFDGSENRPFSPSPLNNISSTVTVKNNQETMIKTDFLPKAKQREGTFSKSDSEKIVNGTKRSSRRAGKAEQTGNKRSKPLMRSEPEKNTEESVEGIVVLENNPPGLLNQTECVSDNQVHLSESTMEHDNTKLKAATVENAVLLETNTVEEKNVEINLESKENTPPVVISADQMVNEDSQVQITPNQKTLRRSSRRRSEVVESTTESQDKENSHQKKERRKEEEKPLQKSPLHIKDDVLPKQKLIAEQTLQENLIEKGSNLHEKTLGETSANAETEQNKKKADPENIKSEGDGTQDIVDKSSEKLVRGRTRYQTRRASQGLLSSIENSESDSSEAKEEGSRKKRSGKWKNKSNESVDIQDQEEKVVKQECIKAENQSHDYKATSEEDVSIKSPICEKQDESNTVICQDSTVTSDLLQVPDDLPNVCEEKNETSKYAEYSFTSLPVPESNLRTRNAIKRLHKRDSFDNCSLGESSKIGISDISSLSEKTFQTLECQHKRSRRVRRSKGCDCCGEKSQPQEKSLIGLKNTENNDVEISETKKADVQAPVSPSETSQANPYSEGQFLDEHHSVNFHLGLKEDNDTINDSLIVSETKSKENTMQESLPSGIVNFREEICDMDSSEAMSLESQESPNENFKTVGPCLGDSKNVSQESLETKEEKPEETPKMELSLENVTVEGNACKVTESNLEKAKTMELNVGNEASFHGQERTKTGISEEAAIEENKRNDDSEADTAKLNAKEVATEEFNSDISLSDNTTPVKLNAQTEISEQTAAGELDGGNDVSDLHSSEETNTKMKNNEEMMIGEAMAETGHDGETENEGITTKTSKPDEAETNMLTAEMDNFVCDTVEMSTEEGIIDANKTETNTEYSKSEEKLDNNQMVMESDILQEDHHTSQKVEEPSQCLASGTAISELIIEDNNASPQKLRELDPSLVSANDSPSGMQTRCVWSPLASPSTSILKRGLKRSQEDEISSPVNKVRRVSFADPIYQAGLADDIDRRCSIVRSHSSNSSPIGKSVKTSPTTQSKHNTTSAKGFLSPGSRSPKFKSSKKCLISEMAKESIPCPTESVYPPLVNCVAPVDIILPQITSNMWARGLGQLIRAKNIKTIGDLSTLTASEIKTLPIRSPKVSNVKKALRIYHEQQVKTRGLEEIPVFDISEKTVNGIENKSLSPDEERLVSDIIDPVALEIPLSKNLLAQISALALQLDSEDLHNYSGSQLFEMHEKLSCMANSVIKNLQSRWRSPSHENSI
NP_060708.1 153 422 0.370797407407407 Acylglycerol kinase, mitochondrial; hAGK; Multiple substrate lipid kinase; HsMuLK; MuLK; Multi-substrate lipid kinase; EC 2.7.1.107; EC 2.7.1.138; EC 2.7.1.94 422 0 23 270 0 Homo sapiens (Human) SwissProt::Q53H12 1 SwissProt PLGETSSLSHTLFAESGNKVQHITDATLAIVKGETVPLDVLQIKGEKEQPVFAMTGLRWGSFRDAGVKVSKYWYLGPLKIKAAHFFSTLKEWPQTHQASISYTGPTERPPNEPEETPVQRPSLYRRILRRLASYWAQPQDALSQEVSPEVWKDVQLSTIELSITTRNNQLDPTSKEDFLNICIEPDTISKGDFITIGSRKVRNPKLHVEGTECLQASQCTLLIPEGAGGSFSIDSEEYEAMPVEVKLLPRKLQFFCDPRKREQMLTSPTQ
NP_060718.3 287 605 0.363182131661442 PF06839.12:zf-GRF:220:263,PF06839.12:zf-GRF:266:309,PF00641.18:zf-RanBP:31:59 Endonuclease 8-like 3; DNA glycosylase FPG2; DNA glycosylase/AP lyase Neil3; Endonuclease VIII-like 3; Nei-like protein 3; EC 3.2.2.-; EC 4.2.99.18 605 117 23 319 0 Homo sapiens (Human) SwissProt::Q8TAT5 1 SwissProt VDICKLPTRNTIISWTSSRVDHVMDSVARKSEEHWTCVVCTLINKPSSKACDACLTSRPIDSVLKSEENSTVFSHLMKYPCNTFGKPHTEVKINRKTAFGTTTLVLTDFSNKSSTLERKTKQNQILDEEFQNSPPASVCLNDIQHPSKKTTNDITQPSSKVNISPTISSESKLFSPAHKKPKTAQYSSPELKSCNPGYSNSELQINMTDGPRTLNPDSPRCSKHNRLCILRVVGKDGENKGRQFYACPLPREAQCGFFEWADLSFPFCNHGKRSTMKTVLKIGPNNGKNFFVCPLGKEKQCNFFQWAENGPGIKIIPGC
NP_062765.1 1 461 0.772118221258134 Melanoma-associated antigen D1; Dlxin-1; MAGE-D1 antigen; Neurotrophin receptor-interacting MAGE homolog 775 0 23 461 0 Mus musculus (Mouse) SwissProt::Q9QYH6 1 SwissProt MAQKPDGGAGLRGFQAEASVEDSALLVQTLMEAIQISEAPPTSQATAAASGPNASPQSSQPPTANEKADTEVSAAAARPKTGFKAQNATTKGPNDYSQARNAKEMPKNQSKAAFKSQNGTPKGPHAASDFSQAAPTGKSAKKSEMAFKGQNSTKAGPGTTYNFPQSPSANEMTNNQPKTAKAWNDTTKVPGADAQTQNVNQAKMADVGTSAGISEADGAAAQTSADGSQTQNVESRTIIRGKRTRKVNNLNVEENNSGDQRRASLASGNWRSAPVPVTTQQNPPGAPPNVVWQTPLAWQNPSGWQNQTARQTPPAARQSPPARQTPSAWQNPVAWQNPVIWPNPVIWQNPVIWPNPIVWPGPIVWPNPMAWQSTPGWQSPPSWQAPPSWQSPQDWQGPPDWQVPPDWSMPPDWSFPSDWPFPPDWIPADWPIPPDWQNLRPSPNLRSSSNSRASQNQGPPQ
NP_065184.2 1 590 0.266988305084746 Selenoprotein N; SelN 590 0 23 590 0 Homo sapiens (Human) SwissProt::Q9NZV5 1 SwissProt MGRARPGQRGPPSPGPAAQPPAPPRRRARSLALLGALLAAAAAAAVRVCARHAEAQAAARQELALKTLGTDGLFLFSSLDTDGDMYISPEEFKPIAEKLTGSCSVTQTGVQWCSHSSLQPQLPWLNUSSCLSLLRSTPAASCEEEELPPDPSEETLTIEARFQPLLPETMTKSKDGFLGVSRLALSGLRNWTAAASPSAVFATRHFQPFLPPPGQELGEPWWIIPSELSMFTGYLSNNRFYPPPPKGKEVIIHRLLSMFHPRPFVKTRFAPQGAVACLTAISDFYYTVMFRIHAEFQLSEPPDFPFWFSPAQFTGHIILSKDATHVRDFRLFVPNHRSLNVDMEWLYGASESSNMEVDIGYIPQMELEATGPSVPSVILDEDGSMIDSHLPSGEPLQFVFEEIKWQQELSWEEAARRLEVAMYPFKKVSYLPFTEAFDRAKAENKLVHSILLWGALDDQSCUGSGRTLRETVLESSPILTLLNESFISTWSLVKELEELQNNQENSSHQKLAGLHLEKYSFPVEMMICLPNGTVVHHINANYFLDITSVKPEEIESNLFSFSSTFEDPSTATYMQFLKEGLRRGLPLLQP
NP_079588.1 1 206 0.34057427184466 PF05463.11:Sclerostin:6:206,PF03045.15:DAN:67:167,PF00007.22:Cys_knot:74:177 Sclerostin domain-containing protein 1; Ectodermal BMP inhibitor; Ectodin; Sclerostin-like protein; Uterine sensitization-associated gene 1 protein; USAG-1 206 201 23 206 0 Mus musculus (Mouse) SwissProt::Q9CQN4 1 SwissProt MLPPAIHLSLIPLLCILMRNCLAFKNDATEILYSHVVKPVPAHPSSNSTLNQARNGGRHFSSTGLDRNSRVQVGCRELRSTKYISDGQCTSISPLKELVCAGECLPLPVLPNWIGGGYGTKYWSRRSSQEWRCVNDKTRTQRIQLQCQDGSTRTYKITVVTACKCKRYTRQHNESSHNFESVSPAKPAQHHRERKRASKSSKHSLS
NP_112462.1 1 1684 0.793930285035632 PF03832.13:WSK:591:619,PF03832.13:WSK:738:766,PF03832.13:WSK:781:807,PF10522.9:RII_binding_1:1500:1518 A-kinase anchor protein 12; AKAP-12; Germ cell lineage protein gercelin; Src-suppressed C kinase substrate; SSeCKS 1684 104 23 1684 0 Mus musculus (Mouse) SwissProt::Q9WTQ5 1 SwissProt MGAGSSTEQRSPEQPAESDTPSELELSGHGPAAEASGAAGDPADADPATKLPQKNGQLSAVNGVAEQEDVHVQEESQDGQEEEVTVEDVGQRESEDVKEKDRAKEMAASSTVVEDITKDEQEETPEIIEQIPASESNVEEMAQAAESQANDVGFKKVFKFVGFKFTVKKDKNEKSDTVQLLTVKKDEGEGAEASVGAGDHQEPGVETVGESASKESELKQSTEKQEGTLKQAQSSTEIPLQAESGQGTEEEAAKDGEENREKEPTKPLESPTSPVSNETTSSFKKFFTHGWAGWRKKTSFKKPKEDDLETSEKRKEQEAEKVDEEEGEKTEPAPAEEQEPAEGTDQARLSADYEKVELPLEDQVGDLEALSEKCAPLATEVFDEKTEAHQEVVAEVHVSTVEKMTKGQGGAEVEGDVVVEGSGESLPPEKLAETQEVPQEAEPVEELMKTKEVCVSGGDHTQLTDLSPEEKMLPKHPEGIVSEVEMLSSQERIKVQGSPLKKLFSSSGLKKLSGKKQKGKRGGGGGDEEPGEYQHIQTESPESADEQKGESSASSPEEPEEIACLEKGPSEAPQEAEAEEGATSDGEKKREGITPWASFKKMVTPKKRVRRPSESDKEEELDKVKSATLSSTESTASGMQDEVRAVGEEQRSEEPKRRVDTSVSWEALICVGSSKKRARKASSSDDEGGPRTLGGDGHRAEEASKDKEADALPASTQEQDQAHGSSSPEPAGSPSEGEGVSTWESFKRLVTPRKKSKSKLEERAEDSGAEQLASEIEPSREESWVSIKKFIPGRRKKRADGKQEQAAVEDSGPGEINEDDPDVPAVVPLSEYDAVEREKLEAQRAQENVELPQLKGAVYVSEELSKTLVHTVSVAVIDGTRAVTSAEERSPSWISASMTEPLEHAEGVATPPVGEVTEKDITAEATPALAQTLPGGKDAHDDIVTSEVDFTSEAVTAAETTEALRAEELTEASGAEETTDMVSAVSQLSDSPDTTEEATPVQEVEGGMLDTEEQERQTQAVLQAVADKVKEDSQVPATQTLQRAGPKALEKVEEVEEDSEVLATEKEKDVVPEGPVQEAETEHLAQGSETVQATPESLEVPEVTEDVDRATTCQVIKHQQLMEQAVAPESSETLTDSETNGSTPLADSDTPNGTQQDETVDSQDSNAIAAVKQSQVTEEEAAAAQTEGPSTPSSFPAQEEHREKPGRDVLEPTQALAAGAVPILAKAEVGQEGEAGQFDGEKVKDGQCVKELEVPVHTGPNSQKTADLTRDSEVMEVARCQETESNEEQSISPEKREMGTDVEKEETETKTEQASEEHEQETAAPEHEGTHPKPVLTADMPHSERGKALGSLEGSPSLPDQDKADCIEVQVQSSDTPVTQTTEAVKKVEETVATSEMDESLECAGAQSLPAEKLSETGGYGTLQHGEDTVPQGPESQAESIPIIVTPAPESILHSDLQREVSASQKQRSDEDNKPDAGPDAAGKESAAREKILRAEPEILELESKSNKIVQSVIQTAVDQFARTETAPETHASDLQNQVPVMQADSQGAQQMLDKDESDLQVSPQDGTLSAVAQEGLAVSDSSEGMSKASEMITTLAVESASVKESVEKLPLQCKDEKEHAADGPQHQSLAKAEADASGNLTKESPDTNGPKLTEEGDALKEEMNKAQTEEDDLQEPKGDLTES
NP_175479.1 90 225 0.646566911764706 Ethylene-responsive transcription factor 3; AtERF3; Ethylene-responsive element-binding factor 3; EREBP-3 225 0 23 136 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80339 1 SwissProt PPPPNLRFNQIRNQNQNQVDPFMDHRLFTDHQQQFPIVNRPTSSSMSSTVESFSGPRPTTMKPATTKRYPRTPPVVPEDCHSDCDSSSSVIDDDDDIASSSRRRNPPFQFDLNFPPLDCVDLFNGADDLHCTDLRL
NP_177015.3 78 253 0.622813636363636 Zinc finger protein JAGGED 253 0 23 176 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6S591 1 SwissProt ETETLNQARQLVYRNDTITPPGISPFGYHHTTDPTIYRSVYSSPMIYPGSSSTNLVPQPPMPPPPPPYPYSSNQYSPHNHFNDYYLNPSFRGSRSISPSPNLPTTTTVDYMADSPVEPGYTCVGAPIGPTGFPIRGPSIVRAPLEPPQGRDGDASRQRLDHSLRFPINRFQDHHSL
NP_177631.1 79 195 0.363108547008547 Integrase-type DNA-binding superfamily protein 195 0 23 117 0 Arabidopsis thaliana NP_177631.1 1 RefSeq NPPSISVEKSLTPPEIQEAAARFANTFQDIVKGEEESGLVPGSEIRPESPSTSASVATSTVDYDFSFLDLLPMNFGFDSFSDDFSGFSGGDRFTEILPIEDYGGESLLDESLILWDF
NP_180161.1 1 315 0.364948571428572 PF18044.1:zf-CCCH_4:136:155,PF00642.24:zf-CCCH:135:155 Zinc finger C-x8-C-x5-C-x3-H type family protein 315 21 23 315 0 Arabidopsis thaliana NP_180161.1 1 RefSeq MMIGENKNRPHPTIHIPQWDQINDPTATISSPFSSVNLNSVNDYPHSPSPYLDSFASLFRYLPSNELTNDSDSSSGDESSPLTDSFSSDEFRIYEFKIRRCARGRSHDWTECPFAHPGEKARRRDPRKFHYSGTACPEFRKGSCRRGDSCEFSHGVFECWLHPSRYRTQPCKDGTSCRRRICFFAHTTEQLRVLPCSLDPDLGFFSGLATSPTSILVSPSFSPPSESPPLSPSTGELIASMRKMQLNGGGCSWSSPMRSAVRLPFSSSLRPIQAATWPRIREFEIEEAPAMEFVESGKELRAEMYARLSRENSLG
NP_187713.1 149 330 0.535660989010989 Dehydration-responsive element-binding protein 2B; Protein DREB2B 330 0 23 182 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82133 1 SwissProt EVCTVENKAVVCGDVCVKHEDTDCESNPFSQILDVREESCGTRPDSCTVGHQDMNSSLNYDLLLEFEQQYWGQVLQEKEKPKQEEEEIQQQQQEQQQQQLQPDLLTVADYGWPWSNDIVNDQTSWDPNECFDINELLGDLNEPGPHQSQDQNHVNSGSYDLHPLHLEPHDGHEFNGLSSLDI
NP_193558.3 1 532 0.400598496240601 Probable starch synthase 4, chloroplastic/amyloplastic; AtSS4; Soluble starch synthase IV; SSIV; EC 2.4.1.21 1040 0 23 532 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WVX5 1 SwissProt MTTKLSSFCFLTHGLAGISCEREHGSSRRFFYLPSRRLVSTSCKMRQQRGFDSSKRQEVKKGSPKPILSINSGLQSNNDEESDLENGSADSVPSLKSDAEKGSSIHGSIDMNHADENLEKKDDIQTTEVTRRKSKTAKKKGESIHATIDIGHDDGKNLDNITVPEVAKALSLNKSEGEQISDGQFGELMTMIRSAEKNILRLDEARATALDDLNKILSDKEALQGEINVLEMKLSETDERIKTAAQEKAHVELLEEQLEKLRHEMISPIESDGYVLALSKELETLKLENLSLRNDIEMLKSELDSVKDTGERVVVLEKECSGLESSVKDLESKLSVSQEDVSQLSTLKIECTDLWAKVETLQLLLDRATKQAEQAVIVLQQNQDLRNKVDKIEESLKEANVYKESSEKIQQYNELMQHKVTLLEERLEKSDAEIFSYVQLYQESIKEFQETLESLKEESKKKSRDEPVDDMPWDYWSRLLLTVDGWLLEKKIASNDADLLRDMVWKKDRRIHDTYIDVKDKNERDAISAFLK
NP_194345.1 1 352 0.479924715909091 PF00320.27:GATA:201:235 Putative GATA transcription factor 22; Protein CYTOKININ-RESPONSIVE GATA FACTOR 1; Protein GNC-LIKE; AtGNL 352 35 23 352 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZI6 1 SwissProt MGSNFHYTIDLNEDQNHQPFFASLGSSLHHHLQQQQQQQQHFHHQASSNPSSLMSPSLSYFPFLINSRQDQVYVGYNNNTFHDVLDTHISQPLETKNFVSDGGSSSSDQMVPKKETRLKLTIKKKDNHQDQTDLPQSPIKDMTGTNSLKWISSKVRLMKKKKAIITTSDSSKQHTNNDQSSNLSNSERQNGYNNDCVIRICSDCNTTKTPLWRSGPRGPKSLCNACGIRQRKARRAAMATATATAVSGVSPPVMKKKMQNKNKISNGVYKILSPLPLKVNTCKRMITLEETALAEDLETQSNSTMLSSSDNIYFDDLALLLSKSSAYQQVFPQDEKEAAILLMALSHGMVHG
NP_197165.1 1 385 0.564125714285714 Protein TIC 40, chloroplastic; Protein PIGMENT DEFECTIVE EMBRYO 120; Translocon at the inner envelope membrane of chloroplasts 40; AtTIC40 447 0 23 385 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMD5 1 SwissProt MENLTLVSCSASSPKLLIGCNFTSSLKNPTGFSRRTPNIVLRCSKISASAQSQSPSSRPENTGEIVVVKQRSKAFASIFSSSRDQQTTSVASPSVPVPPPSSSTIGSPLFWIGVGVGLSALFSYVTSNLKKYAMQTAMKTMMNQMNTQNSQFNNSGFPSGSPFPFPFPPQTSPASSPFQSQSQSSGATVDVTATKVETPPSTKPKPTPAKDIEVDKPSVVLEASKEKKEEKNYAFEDISPEETTKESPFSNYAEVSETNSPKETRLFEDVLQNGAGPANGATASEVFQSLGGGKGGPGLSVEALEKMMEDPTVQKMVYPYLPEEMRNPETFKWMLKNPQYRQQLQDMLNNMSGSGEWDKRMTDTLKNFDLNSPEVKQQFNQIGLT
NP_197791.1 1 635 0.32149874015748 PF03595.17:SLAC1:259:561 S-type anion channel SLAH3; SLAC1-homolog protein 3 635 303 23 425 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLV9 1 SwissProt MEEKPNYVIQVEEELPTLLRKATTEEMVGFDNYKENGHPFPHSISRFHPSHASTTTLNGQETSRSIDTMEAHHHNYNETTPWTHQRKPSISMPTSPNVLMISDPTTSLSSENHKNSGSTGKSVKFLSQPMTKVSSLYIESGNGDDDRRQSHDNHHHHLHRQHQSGHHQNQNQAANKLKDNRYNSFKTWSGKLERQFTRKPASVEPEAPNRNNQNLNTNEAMPVDRYYDALEGPELETLRPQEEIVLPNDKKWPFLLRYPISTFGMCLGVSSQAIMWKTLATAEPTKFLHVPLWINQGLWFISVALILTIATIYLLKIILFFEAVRREYYHPIRINFFFAPFISLLFLALGVPPSIITDLPHFLWYLLMFPFICLELKIYGQWMSGGQRRLSRVANPTNHLSVVGNFVGALLGASMGLREGPIFFYAVGMAHYLVLFVTLYQRLPTNETLPKDLHPVFFLFVAAPSVASMAWAKVTGSFDYGSKVCYFIAIFLYFSLAVRINFFRGIKFSLSWWAYTFPMTGAAIATIRYATVVKSTMTQIMCVVLCAIATLVVFALLVTTIIHAFVLRDLFPNDLAIAISNRPRPKQNSQHRWLDQLRNVSSENIENYLKFTDSDSSQSNDVEACNGKTQESDSS
NP_199550.1 1 365 0.613623561643836 PF00249.31:Myb_DNA-binding:97:141 Transcription factor KUA1; Myb-related protein H; AtMYBH; AtMYBS3; MYBS3-homolg protein; Protein KUODA1 365 45 23 365 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVS0 1 SwissProt MTRRCSHCNHNGHNSRTCPNRGVKLFGVRLTEGSIRKSASMGNLSHYTGSGSGGHGTGSNTPGSPGDVPDHVAGDGYASEDFVAGSSSSRERKKGTPWTEEEHRMFLLGLQKLGKGDWRGISRNYVTTRTPTQVASHAQKYFIRQSNVSRRKRRSSLFDMVPDEVGDIPMDLQEPEEDNIPVETEMQGADSIHQTLAPSSLHAPSILEIEECESMDSTNSTTGEPTATAAAASSSSRLEETTQLQSQLQPQPQLPGSFPILYPTYFSPYYPFPFPIWPAGYVPEPPKKEETHEILRPTAVHSKAPINVDELLGMSKLSLAESNKHGESDQSLSLKLGGGSSSRQSAFHPNPSSDSSDIKSVIHAL
NP_200422.1 118 320 0.525566995073892 Transcription factor MYB80; MYB-related protein 103; AtMYB103; Myb-related protein 80; AtMYB80; Protein MALE STERILE 188 320 0 23 203 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XHV0 1 SwissProt GMGIDPVTHKPFSHLMAEITTTLNPPQVSHLAEAALGCFKDEMLHLLTKKRVDLNQINFSNHNPNPNNFHEIADNEAGKIKMDGLDHGNGIMKLWDMGNGFSYGSSSSSFGNEERNDGSASPAVAAWRGHGGIRTAVAETAAAEEEERRKLKGEVVDQEEIGSEGGRGDGMTMMRNHHHHQHVFNVDNVLWDLQADDLINHMV
NP_207318.1 1 481 0.381725363825364 cag pathogenicity island protein cag3 481 0 23 481 0 Helicobacter pylori 26695 NP_207318.1 1 RefSeq MFRKLATAVSLIGLLTSNTLYAKEISEADKVIKATKETKETKKEAKRLKKEAKQRQQIPDHKKPQYVSVDDTKTQALFDIYDTLNVNDKSFGDWFGNSALKDKTYLYAMDLLDYNNYLSIENPIIKTRAMGTYADLIIITGSLEQVNGYYNILKALNKRNAKFVLKINENMPYAQATFLRVPKRSDPNAHTLDKGASIDENKLFEQQKKMYFNYANDVICRPDDEVCSPLRDEMVAMPTSDSVTQKPNIIAPYSLYRLKETNNANEAQPSPYATQTAPENSKEKLIEELIANSQLVANEEEREKKLLAEKEKQEAELAKYKLKDLENQKKLKALEAELKKKNAKKPRVVEVPVSPQTSNSDETMRVVKEKENYNGLLVDKETTIKRSYEGTLISENSYSKKTPLNPNDLRSLEEEIKSYYIKSNGLCYTNGINLYVKIKNDPYKEGMLCGYESVQNLLSPLKDKLKYDKQKLQKALLKDSK
NP_207340.1 1 983 0.123779348931841 PF03135.14:CagE_TrbE_VirB:326:531 CAG pathogenicity island protein 23 983 206 23 945 2 Helicobacter pylori (strain ATCC 700392 / 26695) Q48252 1 SwissProt/TReMBL MFVASKQADEQKKLVIEQEVQKRQFKKIEELKADMQKGVNPFFKVLFDGGNRLFGFPETFIYSSIFILFVTIVLSVILFQAYEPVLIVAIVIVLVALGFKKDYRLYQRMERAMKFKKPFLFKGVKNKAFMSIFSMKPSKEMANDIHLNPNREDRLVSAANSYLANNYECFLDDGVILTNNYSLLGTIKLGGIDFLTTSKKDLIELHASIYSVFRNFVTPEFKFYFHTVKKKIVIDETNRDYSLIFSNDFMRAYNEKQKRESFYDISFYLTIEQDLLDTLNEPVMNKKHFADNNFEEFQRIIRAKLENFKDRIELIEELLSKYHPIRLKEYTKDGVIYSKQCEFYNFLVGMNEAPFICNRKDLYLKEKMHGGVKEVYFANKHGKILNDDLSEKYFSAIEISEYAPKSQSDLFDKINALDSEFIFMHAYSPKNSQVLKDKLAFTSRRIIISGGSKEQGMTLGCLSELVGNGDITLGSYGNSLVLFADSFEKMKQSVKECVSSLNAKGFLANAATFSMENYFFAKHCSFITLPFIFDVTSNNFADFIAMRAMSFDGNQENNAWGNSVMTLKSEINSPFYLNFHMPTDFGSASAGHTLILGSTGSGKTVFMSMTLNAMGQFVHNFPANVSKDKQKLTMVYMDKDYGAYGNIVAMGGEYVKIELGTDTGLNPFAWAACVQKTNATMEQKQTAISVVKELVKNLATKSDEKDENGNSISFSLADSNTLAAAVTNLITGDMNLDYPITQLINAFGKDHNDPNGLVARLAPFCKSTNGEFQWLFDNKATDRLDFSKTIIGVDGSSFLDNNDVSPFICFYLFARIQEAMDGRRFVLDIDEAWKYLGDPKVAYFVRDMLKTARKRNAIVRLATQSITDLLACPIADTIREQCPTKIFLRNDGGNLSDYQRLANVTEKEFEIITKGLDRKILYKQDGSPSVIASFNLRGIPKEYLKILSTDTVFVKEIDKIIQNHSIIDKYQALRQMYQQIKEY
NP_250087.1 1 280 0.0938546428571429 PF00512.25:HisKA:180:244 two-component sensor 540 65 23 168 5 Pseudomonas aeruginosa PAO1 NP_250087.1 1 RefSeq MKFEKNTELDQANLRLIVATCAILYVVLIGLLPGLKVETYLPIVAYYGLFLIASILLRQAIVRWPGHYPARRIFCMLHDYAGTSFGLIVGGEAALPLYAVMVWINLGNGMRYGSRYLAIATALALLALLVIYRLTPAWQAQPFMVLMLMTTSTVIPFYAHLLLERTRKATEEALQANQEKSRLLAQASHDLRQPIHSIGLFTACLRDARLGDEERRLVDNIDRSLLNVSQLFRSILDLYTLDNGRLQPKQENVHLGELLRDLVRRNAEAARWAGVELRLR
NP_251262.1 163 447 0.19823298245614 PF13487.6:HD_5:101:160,PF01966.22:HD:68:163 two-component response regulator 447 96 23 285 0 Pseudomonas aeruginosa PAO1 NP_251262.1 1 RefSeq KRVQARTAELQQTADMLDLAYEELKRSYVVGTEVFSLLVNQRLPKDKQTNQQIIELVRACCASGLVDESDGRDLAMAAALYNIGKLSWNDTLLSSPSDLLYHHDRDRFRGYPELSESLLMSLEPMQDAARLIRHHQEHWDGSGYPDHLKGEAIPLGSRLLKLAVDFVELQRGLILERRMNRDEALMYIRKYAGRLYDPELIEPFIQVCATTLADVTLADPQVRAHGTRDLVPGMVLARNLTANNGMLLLNAGKVLNLALIEKLIAFEAIEGARYTLFVRLPESTT
NP_414709.1 1 890 0.20478415730337 PF08335.11:GlnD_UR_UTase:193:331,PF01842.25:ACT:709:759,PF01842.25:ACT:817:874,PF01966.22:HD:468:601,PF01909.23:NTP_transf_2:86:137,PF03445.13:DUF294:43:126 PII uridylyltransferase / uridylyl removing enzyme (EC 2.7.7.59) 890 477 23 890 0 Escherichia coli K-12 substr. MG1655 ecocyc::GLND-MONOMER 1 ecocyc MNTLPEQYANTALPTLPGQPQNPCVWPRDELTVGGIKAHIDTFQRWLGDAFDNGISAEQLIEARTEFIDQLLQRLWIEAGFSQIADLALVAVGGYGRGELHPLSDVDLLILSRKKLPDDQAQKVGELLTLLWDVKLEVGHSVRTLEECMLEGLSDLTVATNLIESRLLIGDVALFLELQKHIFSEGFWPSDKFYAAKVEEQNQRHQRYHGTSYNLEPDIKSSPGGLRDIHTLQWVARRHFGATSLDEMVGFGFLTSAERAELNECLHILWRIRFALHLVVSRYDNRLLFDRQLSVAQRLNYSGEGNEPVERMMKDYFRVTRRVSELNQMLLQLFDEAILALPADEKPRPIDDEFQLRGTLIDLRDETLFMRQPEAILRMFYTMVHNSAITGIYSTTLRQLRHARRHLQQPLCNIPEARKLFLSILRHPGAVRRGLLPMHRHSVLGAYMPQWSHIVGQMQFDLFHAYTVDEHTIRVMLKLESFASEETRQRHPLCVDVWPRLPSTELIFIAALFHDIAKGRGGDHSILGAQDVVHFAELHGLNSRETQLVAWLVRQHLLMSVTAQRRDIQDPEVIKQFAEEVQTENRLRYLVCLTVADICATNETLWNSWKQSLLRELYFATEKQLRRGMQNTPDMRERVRHHQLQALALLRMDNIDEEALHQIWSRCRANYFVRHSPNQLAWHARHLLQHDLSKPLVLLSPQATRGGTEIFIWSPDRPYLFAAVCAELDRRNLSVHDAQIFTTRDGMAMDTFIVLEPDGNPLSADRHEVIRFGLEQVLTQSSWQPPQPRRQPAKLRHFTVETEVTFLPTHTDRKSFLELIALDQPGLLARVGKIFADLGISLHGARITTIGERVEDLFIIATADRRALNNELQQEVHQRLTEALNPNDKG
NP_444412.2 1 287 0.569354006968641 PF15347.6:PAG:2:284 Phosphoprotein associated with glycosphingolipid-enriched microdomains 1; Csk-binding protein; Transmembrane phosphoprotein Cbp 429 283 23 264 1 Mus musculus (Mouse) SwissProt::Q3U1F9 1 SwissProt MGPAGSVLSSGQMQMQMVLWGSLAAVAMFFLITFLVLLCSTCDREKKPRQHSGDHENLMNVPSDKDMFSHSATSLTTDALASSEQNGVLTNGDILSEDSTLTCMQHYEEVQTSASDLLDSQDSTGKAKCHQSRELPRIPPENAVDEILTARAADTELGPGVEGPYEVLKDSSSQENMVEDCLYETVKEIKEVADKGQGGKSKSTSALKELQGAPMEGKADFAEYASVDRNKKCRHSANAESILGTCSDLDEESPPPVPVKLLDENANLPQEGGGQAEEQAAEGTGGH
NP_473371.1 99 330 0.0251560344827586 Mas-related G-protein coupled receptor member X2 330 0 23 121 5 Homo sapiens (Human) SwissProt::Q96LB1 0 SwissProt INFPSFFTTVMTCAYLAGLSMLSTVSTERCLSVLWPIWYRCRRPRHLSAVVCVLLWALSLLLSILEGKFCGFLFSDGDSGWCQTFDFITAAWLIFLFMVLCGSSLALLVRILCGSRGLPLTRLYLTILLTVLVFLLCGLPFGIQWFLILWIWKDSDVLFCHIHPVSVVLSSLNSSANPIIYFFVGSFRKQWRLQQPILKLALQRALQDIAEVDHSEGCFRQGTPEMSRSSLV
NP_564647.1 1 386 0.190802590673575 PF00657.22:Lipase_GDSL:37:338 Inactive GDSL esterase/lipase-like protein 23; GDSL-like lipase 23; Probable myrosinase-associated protein GLL23 386 302 23 363 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W4H8 1 SwissProt MMAKNCNLVSVLCVFLVLTLFNKPITVAGQNIPAVGLFTFGDSNFDAGNKQTLTKTLLPQTFWPYGKSRDDPNGKFSDGLIAPDFLAKFMRIPIVIPPALQPNVNVSRGASFAVADATLLGAPVESLTLNQQVRKFNQMKAANWNDDFVKKSVFMIYIGANDYLNFTKNNPNADASTQQAFVTSVTNKLKNDISLLYSSGASKFVIQTLAPLGCLPIVRQEFNTGMDQCYEKLNDLAKQHNEKIGPMLNELARTAPASAPFQFTVFDFYNAILTRTQRNQNFRFFVTNASCCGVGTHDAYGCGFPNVHSRLCEYQRSYLFFDGRHNTEKAQEMFGHLLFGADTNVIQPMNIRELVVYPADEPMRESWVPPTSATVQLRESRGYEYY
NP_565038.1 1 134 0.100313432835821 PF00321.17:Thionin:25:67 Thionin-2.1 134 43 23 134 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42596 1 SwissProt MKGRILILSLLIMSLVMAQVQVEAKICCPSNQARNGYSVCRIRFSKGRCMQVSGCQNSDTCPRGWVNAILENSADATNEHCKLGCETSVCGAMNTLQNSDASEIVNGASEQCAKGCSIFCTKSYVVPPGPPKLL
NP_565946.1 1 721 0.561143828016642 PF00170.21:bZIP_1:227:288,PF07716.15:bZIP_2:228:274 bZIP transcription factor 17; AtbZIP17 721 62 23 698 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22208 1 SwissProt MAEPITKEQPPPPAPDPNSTYPPPSDFDSISIPPLDDHFSDQTPIGELMSDLGFPDGEFELTFDGMDDLYFPAENESFLIPINTSNQEQFGDFTPESESSGISGDCIVPKDADKTITTSGCINRESPRDSDDRCSGADHNLDLPTPLSSQGSGNCGSDVSEATNESSPKSRNVAVDQKVKVEEAATTTTSITKRKKEIDEDLTDESRNSKYRRSGEDADASAVTGEEDEKKRARLMRNRESAQLSRQRKKHYVEELEEKVRNMHSTITDLNGKISYFMAENATLRQQLGGNGMCPPHLPPPPMGMYPPMAPMPYPWMPCPPYMVKQQGSQVPLIPIPRLKPQNTLGTSKAKKSESKKSEAKTKKVASISFLGLLFCLFLFGALAPIVNVNYGGISGAFYGNYRSNYITDQIYSQHRDRVLDTSRSGAGTGVSNSNGMHRGRDSDRGARKNISATESSVTPGNGSEPLVASLFVPRNDKLVKIDGNLIINSILASEKAVASRKASESKERKADLMISKDYTPALPLPDVGRTEELAKHLYRSKAEKQKALSSGSADTLKDQVKTKAANGEMQQWFREGVAGPMFSSGMCTEVFQFDVSSTSGAIIPAATNVSAEHGKNTTDTHKQQNRRILRGLPIPLPGSDFNLTKEHQRNSSSKEIKPASSMVVSVLVDPREGGDGDIDGMIGGPKSLSRVFVVVLLDSAKYVTYSCVLPRSGAPHLVTT
NP_567154.1 1 240 0.558896250000001 PF04690.13:YABBY:21:201 Axial regulator YABBY 3 240 181 23 240 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XFB1 1 SwissProt MSSMSMSSSSAPAFPPDHFSSTDQLCYVHCSFCDTVLAVSVPPSSLFKTVTVRCGHCSNLLSVTVSMRALLLPSVSNLGHSFLPPPPPPPPPNLLEEMRSGGQNINMNMMMSHHASAHHPNEHLVMATRNGRSVDHLQEMPRPPPANRPPEKRQRVPSAYNRFIKEEIQRIKAGNPDISHREAFSAAAKNWAHFPHIHFGLMADHPPTKKANVRQQEGEDGMMGREGFYGSAANVGVAHN
NP_569958.2 276 986 0.492430661040787 PF06584.13:DIRP:36:144 Myb-interacting protein 130 986 109 23 711 0 Drosophila melanogaster NP_569958.2 1 RefSeq EAAALANKRLGQSIGLRLRNLLKLPKAHKWAIAEWFYSYVDKPLFECRDEFMNHVNELAPRLGTRSLIRHEWVNIRRRMGRPRRCSAKFFSEERKELDRKRQVIRTLQSRKPGEFKDSVSMLSDMPEKIPMTLPLGTKVTARLRSPQDGIFAGTVAAYDSLNAMYRVTFERIGLGTHAIPDYEIVSENFHEMLPLHSFTKDFRPNLMSIYQTNNLGFTTNLGFTANLTNNYLQKKEKIDGGAGAAAGAGSLYFKPQKHLATNNAAARNALSMKLNKSDPLLGQDSVGVSPIRQQLTRNRGYSTSLLEHLVRLEKYIAVKADRIQRLNKMNGTAELAMGDMISHDENGDRHRRQIAVNFQRQYAFNIVTIERINAELMFELTKVQELSSSLTRNPNVQAMISPTYLREECRAKASQTVDDINKGMVKNTRMIKLLKDLTTLLIVTQNLGGDCEVSEVNEVLEGCLEEVRSNLICSENREVFQMSVQGRLEYIAMDISRRLEEKSLSRVADGDDDIKSLKSEDETDEDHVQDHKHGADEEPAADTSKTKAKGSPKQVEGKENSEVDKMDHSSHPMDTDTEKEEKLESGGSENAGYASQQRQDEDQDTEEQHLDLEEQHLDPEEHHLDLEEQHLDPEEQHLDLEEHHLELEEQHLDPEEQSLNPAVTEDTQITIESMKESDEEFQSAAETEEDDMVGLIMEEEEEEEFVYDKDL
NP_671723.1 136 392 0.0899521400778211 PF03798.16:TRAM_LAG1_CLN8:5:198 Ceramide synthase 5; CerS5; LAG1 longevity assurance homolog 5; Sphingosine N-acyltransferase CERS5; EC 2.3.1.-; EC 2.3.1.24 392 194 23 148 5 Homo sapiens (Human) SwissProt::Q8N5B7 1 SwissProt PTLTKFCESMWRFTFYLCIFCYGIRFLWSSPWFWDIRQCWHNYPFQPLSSGLYHYYIMELAFYWSLMFSQFTDIKRKDFLIMFVHHLVTIGLISFSYINNMVRVGTLIMCLHDVSDFLLEAAKLANYAKYQRLCDTLFVIFSAVFMVTRLGIYPFWILNTTLFESWEIIGPYASWWLLNGLLLTLQLLHVIWSYLIARIALKALIRGKVSKDDRSDVESSSEEEDVTTCTKSPCDSSSSNGANRVNGHMGGSYWAEE
NP_848520.1 1 95 0.0239715789473684 PF14672.6:LCE:19:95 Late cornified envelope protein 3B; Late envelope protein 14 95 77 23 95 0 Homo sapiens (Human) SwissProt::Q5TA77 1 SwissProt MSCQQNQQQCQPLPKCPSPKCPPKSSAQCLPPASSCCAPRPGCCGGPSSEGGCCLSHHRCCRSHRCRRQSSNSCDRGSGQQDGASDCGYGSGGCC
O05796 1 200 0.21692 PF13649.6:Methyltransf_25:51:140,PF08241.12:Methyltransf_11:52:138 Methyltransf_25 domain-containing protein 200 90 23 200 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O05796 1 SwissProt/TReMBL MSPSPSALLADHPDRIRWNAKYECADPTEAVFAPISWLGDVLQFGVPEGPVLELACGRSGTALGLAAAGRCVTAIDVSDTALVQLELEATRRELADRLTLVHADLCSWQSGDGRFALVLCRLFWHPPTFRQACEAVAPGGVVAWEAWRRPIDVARDTRRAEWCLKPGQPESELPAGFTVIRVVDTDGSEPSRRIIAQRSL
O25579 692 2529 0.233879815016322 PF03077.14:VacA2:123:181,PF03077.14:VacA2:789:845 Toxin-like outer membrane protein 2529 116 23 1838 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25579 1 SwissProt/TReMBL AIANAKPTSPTPSHAPTPTKHTAPNTPPNKVPPTPPTQNPPAESVWSGVYWLQNKTYSNKGIYYIDPNLSGQSGQSGNTLSTYTANLFGRSFSVNIQNGTLIIGNNTESVNSNGLIWIGHGGFGYITGTFSAANIYLTNNFKTGEGVSNSDGGGANITFKASDNITMDGLNYNDAETVTKMIQTGASQHSYATFDALNNISVTNSSFSDMTWGKFSFSAKNISFSNASFSGFTNPGGSSVISANATNSLSFINSRLNGGAVYNLQANSLIFNNTQAVFNVLYSRGTSNFNATTQLLGNTNFTLSSQSLLNFNGDTTLQNNANITLGNKSQAAFKNSLTLDNNSNLSLDNQSVLNANNTSAFNNQASLNIYNGSQATFNSLFFNGGTLSLNASSKLNASNASFSNNTTINLDDSVLSASNTSSLNANINFQGASQADFGGNTIIDTASFNFDSASSLNFNNLTANGALNFNGYTPSLTKALMSVSGQFVLGNNGDINLSDINIFDNITKSVTYNILNAQKGITGISGANGYEKILFYGMKIQNATYSDNNNIQTWSFINPLNSSQIIQESIKNGDLTIEVLNNPNSASNTIFNIAPELYNYQASKQNPTGYSYDYSDNQAGTYYLTSNIKGLFTPKGSQTPQAPGTYSPFNQPLSSLNIYNKGFSSENLKTLLGILSQNSATLKEMIESNQLDNITNINEVLQLLDKIKITQVQKQALLETINHLTDNINQTFNNGNLIIGATQDNVTNSTSSIWFGGNGYSSPCTLDSATCSSFRNTYLGQLLGSTSPYLGYINADFKAKSIYITGTIGSGNAFESGGSADVTFQSANNLVLNKANIEAQATDNIFNLLGQKGIEKIFNQGNLANVLSQVAMEKIKQAGGLGNFIENALSPLSKELPASLQNETLGQLIGQNNLDDLLNNSGVMNAIQNIISKKLSIFGNFVTPSIIENYLAKQSLKSMLDDKGLLNFIGGYMNASELSSILSVVLKDITNPPTSLQKDIGVVANDLLNEFLGQDVIKKLESQGLVSNIINNIISQGGLSGVYNQGLGSVLPPSLQNALKENDLGTLLSPRGLHDFWQKGYFNFLSNGYVFVNNSSFSNATGGSLNFVANKSIIFNGDNTIDFSKYQGALIFASNDVSNINITTLNATNGLSLNAGLNNVSVQKGEICVNLANCPTTKNSSSTNSSVTPTNESLSVRANNFTFLGAIASNGAIDLSQVKNNSVIDTLNLNENAALQANNLTITNAFNNASNSTANINGNFTLNQQATLSTNASGLNVMGNFNSYGDLVFNLSHSVSHAIINAQGSATIMANNNNPLIQFNTSSKEVGTYTLIDSAKAIYYGYNNQITGGSSLDNYLKLYTLIDINGKHMVMTDNGLTYNGQAVSVKDGGLVVGFKDSQNQYIYTSILYNKVKIAVSNDPINNLQAPTLKQYIAQIQGTQGVDSIDQAGGSQAINWLNKIFETKGSPLFAPYYLESHSTKDLTTIAGDIANTLEVIANPNFKNDATNILQINTYTQQMSRLAKLSDTSTFASADFHERLEALKNKRFADAIPNAMDVILKYSQRNRVKNNVWATGVGGASFINGGTGTLYGINVGYDRFIKGVIVGGYAAYGYSGFHANITQSGSSNVNMGVYSRAFIKRSELTMSLNETWGYNKTFINSYDPLLSIINQSYKYDTWTTDAKINYGYDFMFKDKSVIFKPQIGLAYYYIGLSGLRGIMDDPIYNQFRANADPNKKSVLTINFALESRHYFNKNSYYFVIADVGRDLFINSMGDKMVRFIGNNTLSYRDGGRYNTFASIITGGEIRLFKTFYVNAGIGARFGLDYKDINITGNIGMRYAF 3
O60906 1 423 0.148412765957447 PF03372.23:Exo_endo_phos:25:246 sphingomyelin phosphodiesterase (EC 3.1.4.12) 423 222 23 354 3 Homo sapiens BRENDA::O60906 1 BRENDA MKPNFSLRLRIFNLNCWGIPYLSKHRADRMRRLGDFLNQESFDLALLEEVWSEQDFQYLRQKLSPTYPAAHHFRSGIIGSGLCVFSKHPIQELTQHIYTLNGYPYMIHHGDWFSGKAVGLLVLHLSGMVLNAYVTHLHAEYNRQKDIYLAHRVAQAWELAQFIHHTSKKADVVLLCGDLNMHPEDLGCCLLKEWTGLHDAYLETRDFKGSEEGNTMVPKNCYVSQQELKPFPFGVRIDYVLYKAVSGFYISCKSFETTTGFDPHRGTPLSDHEALMATLFVRHSPPQQNPSSTHGPAERSPLMCVLKEAWTELGLGMAQARWWATFASYVIGLGLLLLALLCVLAAGGGAGEAAILLWTPSVGLVLWAGAFYLFHVQEVNGLYRAQAELQHVLGRAREAQDLGPEPQPALLLGQQEGDRTKEQ
O75072 1 461 0.0943746203904555 PF04991.13:LicD:290:328 Fukutin; Fukuyama-type congenital muscular dystrophy protein; Ribitol-5-phosphate transferase; EC 2.4.2.- 461 39 23 439 1 Homo sapiens (Human) SwissProt::O75072 1 SwissProt MSRINKNVVLALLTLTSSAFLLFQLYYYKHYLSTKNGAGLSKSKGSRIGFDSTQWRAVKKFIMLTSNQNVPVFLIDPLILELINKNFEQVKNTSHGSTSQCKFFCVPRDFTAFALQYHLWKNEEGWFRIAENMGFQCLKIESKDPRLDGIDSLSGTEIPLHYICKLATHAIHLVVFHERSGNYLWHGHLRLKEHIDRKFVPFRKLQFGRYPGAFDRPELQQVTVDGLEVLIPKDPMHFVEEVPHSRFIECRYKEARAFFQQYLDDNTVEAVAFRKSAKELLQLAAKTLNKLGVPFWLSSGTCLGWYRQCNIIPYSKDVDLGIFIQDYKSDIILAFQDAGLPLKHKFGKVEDSLELSFQGKDDVKLDVFFFYEETDHMWNGGTQAKTGKKFKYLFPKFTLCWTEFVDMKVHVPCETLEYIEANYGKTWKIPVKTWDWKRSPPNVQPNGIWPISEWDEVIQLY
O75908 1 522 0.129625670498084 PF03062.19:MBOAT:151:494 Sterol O-acyltransferase 2; Acyl-coenzyme A:cholesterol acyltransferase 2; ACAT-2; Cholesterol acyltransferase 2; EC 2.3.1.26 522 344 23 344 8 Homo sapiens (Human) SwissProt::O75908 1 SwissProt MEPGGARLRLQRTEGLGGERERQPCGDGNTETHRAPDLVQWTRHMEAVKAQLLEQAQGQLRELLDRAMREAIQSYPSQDKPLPPPPPGSLSRTQEPSLGKQKVFIIRKSLLDELMEVQHFRTIYHMFIAGLCVFIISTLAIDFIDEGRLLLEFDLLIFSFGQLPLALVTWVPMFLSTLLAPYQALRLWARGTWTQATGLGCALLAAHAVVLCALPVHVAVEHQLPPASRCVLVFEQVRFLMKSYSFLREAVPGTLRARRGEGIQAPSFSSYLYFLFCPTLIYRETYPRTPYVRWNYVAKNFAQALGCVLYACFILGRLCVPVFANMSREPFSTRALVLSILHATLPGIFMLLLIFFAFLHCWLNAFAEMLRFGDRMFYRDWWNSTSFSNYYRTWNVVVHDWLYSYVYQDGLRLLGARARGVAMLGVFLVSAVAHEYIFCFVLGFFYPVMLILFLVIGGMLNFMMHDQRTGPAWNVLMWTMLFLGQGIQVSLYCQEWYARRHCPLPQATFWGLVTPRSWSCHT
P20382 1 165 0.329604848484848 PF05824.12:Pro-MCH:83:165 Pro-MCH 165 83 23 142 1 Homo sapiens (Human) SwissProt::P20382 1 SwissProt MAKMNLSSYILILTFSLFSQGILLSASKSIRNLDDDMVFNTFRLGKGFQKEDTAEKSVIAPSLEQYKNDESSFMNEEENKVSKNTGSKHNFLNHGLPLNLAIKPYLALKGSVAFPAENGVQNTESTQEKREIGDEENSAKFPIGRRDFDMLRCMLGRVYRPCWQV
P23180 1 465 0.246596344086022 PF02668.16:TauD:161:444 Probable oxidoreductase AIM17; Altered inheritance of mitochondria protein 17, mitochondrial; Found in mitochondrial proteome protein 12; EC 1.14.11.- 465 284 23 465 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P23180 1 SwissProt MLRSNLCRGSRILARLTTTPRTYTSAATAAAANRGHIIKTYFNRDSTTITFSMEESSKPVSVCFNNVFLRDASHSAKLVTTGELYHNEKLTAPQDIQISEDGKSLVVKWKDGGHHQFPLQFFIDYKGSSFVSPATRKQESRYRPQLWNKRILKDNVKDLLSVSYNEFIDPKDDSKLFQTLVNLQKFGIAFISGTPSSSSEGLTIQKICERIGPIRSTVHGEGTFDVNASQATSVNAHYANKDLPLHTDLPFLENVPGFQILQSLPATEGEDPNTRPMNYFVDAFYATRNVRESDFEAYEALQIVPVNYIYENGDKRYYQSKPLIEHHDINEDNTLLGNYEALIKCINYSPPYQAPFTFGIYDKPSDLNNNLDLNLITTPAKLTERFLFKSFIRGLNLFESHINDFNNQFRLQLPENCCVIFNNRRILHANSLTSSNQQWLKGCYFDSDTFKSKLKFLEEKFPHDK
P23942 1 346 0.131846531791908 PF00335.20:Tetraspanin:19:278 Peripherin-2; Retinal degeneration slow protein; Tetraspanin-22; Tspan-22 346 260 23 277 3 Homo sapiens (Human) SwissProt::P23942 1 SwissProt MALLKVKFDQKKRVKLAQGLWLMNWFSVLAGIIIFSLGLFLKIELRKRSDVMNNSESHFVPNSLIGMGVLSCVFNSLAGKICYDALDPAKYARWKPWLKPYLAICVLFNIILFLVALCCFLLRGSLENTLGQGLKNGMKYYRDTDTPGRCFMKKTIDMLQIEFKCCGNNGFRDWFEIQWISNRYLDFSSKEVKDRIKSNVDGRYLVDGVPFSCCNPSSPRPCIQYQITNNSAHYSYDHQTEELNLWVRGCRAALLSYYSSLMNSMGVVTLLIWLFEVTITIGLRYLQTSLDGVSNPEESESESEGWLLEKSVPETWKAFLESVKKLGKGNQVEAEGAGAGQAPEAG
P24868 1 240 0.459018333333333 G2/mitotic-specific cyclin-1 471 0 23 240 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P24868 1 SwissProt MSRSLLVENSRTINSNEEKGVNESQYILQKRNVPRTILGNVTNNANILQEISMNRKIGMKNFSKLNNFFPLKDDVSRADDFTSSFNDSRQGVKQEVLNNKENIPEYGYSEQEKQQCSNDDSFHTNSTALSCNRLIYSENKSISTQMEWQKKIMREDSKKKRPISTLVEQDDQKKFKLHELTTEEEVLEEYEWDDLDEEDCDDPLMVSEEVNDIFDYLHHLEIITLPNKANLYKHKNIKQN
P33892 1 2672 0.131414146706587 PF12074.8:Gcn1_N:391:475,PF12074.8:Gcn1_N:546:670 eIF-2-alpha kinase activator GCN1; General control non-derepressible protein 1; Translational activator GCN1 2672 210 23 2672 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33892 1 SwissProt MTAILNWEDISPVLEKGTRESHVSKRVPFLQDISQLVRQETLEKPQLSEIAFVLLNTFTIYEDNRSKSLVTSILLDILNLEPCLLENFIRFISDVVISNPATKAVADYLNLLDWINSFLIFVSHNSNLFEEYIPKLLVAHSYATFGVETILDNQEEGKKSQDKQNQHRKRIRYCIFQTTVKAFLKCLKDNDDSISFMKISIKTVLESYSKLKITSVGVVMIMGALTQAALQLLSRQPALHSVLKENSAEKYCEYLGKEVFLGKNPPSSFCLEIGLKPFLKEFVSQELFIKFFIPNIEKAVLRSPEVGFSILSELYAGVSPEKVNLLNAFASSKLINQYFSSFKSSKEVVRSVSLQSMIILLRKISNTDTTLEDLTKLIDEIFKNIKSNLNADYKSLISKILIEIPLTHYEVSEKICKGLSPYIGKEGNEAALTLMLNAFFVHYFSLGKPIEDLDKIISAGFADKKPALKKCWFAAFLNNSNAASEEVILNFIDGCLEFVKDSIIHYQTHGHACILASIEFTNKILALDNTELNDRVMQLIETLPENSSIGDAILTAALSTELSIENRIHAVNLLQELFYKKPEFIGFSVIDAIERRMRVQELIPQQNTSFKYVTSVLLAITSELPDKEASIKVLINALVIAQWNIFNIKNGWAGLVLRARLDPAEVVKEHASVIMEKILEITGSCEWIDTIYGACGLQAAAYAAFIQPNEFTPILCKTIEADLTADDFSRLSEEDFEIFAGEEGVLVVDVLEESMNKKLSNKNSKEYETLMWEQKIRKEQAKKNVKKLSKEEQELVNEQLAKESAVRSHVSEISTRLKRGIRLVSELSKAACLVQNGIATWFPLAVTKLLYLCSEPNISKLTEDVNNVFLQLSQNVSERLGNIRLFLGLATLRVHNANGISQDYLQEPLVELLTRVLFRIKFVSNQAAIDSISLTYILPLLINVLEKGKAIALKNADKPVVKAEFVEEDEEEEHLLLAMEIISVHAEAFEDPSIPRISIVEVLLSLLSLPSKAKIAKDCFNALCQSISVAPNQEDLDMILSNLLSPNQFVRSTILETLDNEFELEPFMKYSPEVFICRFDSDPSNREIADFIWEFNKFVVNDELLKSLFPLFNQDDSGLRLFAANAYAFGAVSLFTSEENSSKDYLNDLLNFYKEKAKPLEPILDQFGLVLVSASEQKDPWQGRSTVAITLKIMAKAFSAEDDTVVNIIKFLVDDGGLVDREPIVRQEMKEAGVELITLHGSQNSKDLIPIFEEALSSSTDSALKENVIILYGTLARHLQQSDARIHTIIERLLSTLDTPSADIQQAVSACIAPLVFQFKQKVGDYLGILMEKLLNPTVASSMRKGAAWGIAGLVKGYGISALSEFDIIRNLIEAAEDKKEPKRRESVGFCFQYLSESLGKFFEPYVIEILPNILKNLGDAVPEVRDATARATKAIMAHTTGYGVKKLIPVAVSNLDEIAWRTKRGSVQLLGNMAYLDPTQLSASLSTIVPEIVGVLNDSHKEVRKAADESLKRFGEVIRNPEIQKLVPVLLQAIGDPTKYTEEALDSLIQTQFVHYIDGPSLALIIHIIHRGMHDRSANIKRKACKIVGNMAILVDTKDLIPYLQQLIDEVEIAMVDPVPNTRATAARALGALVERLGEEQFPDLIPRLLDTLSDESKSGDRLGSAQALAEVISGLGLTKLDEMLPTILAGVTNFRAYIREGFMPLLLFLPVCFGSQFAPYINQIIQPILSGLADNDENIRDTALKAGKLIVKNYATKAVDLLLPELERGMFDENDRIRLSSVQLTGELLFQVTGISSRNEFSEEDGDHNGEFSGKLVDVLGQDRRDRILAALFVCRNDTSGIVRATTVDIWKALVPNTPRAVKEILPTLTGMIVTHLASSSNVLRNIAAQTLGDLVRRVGGNALSQLLPSLEESLIETSNSDSRQGVCIALYELIESASTETISQFQSTIVNIIRTALIDESATVREAAALSFDVFQDVVGKTAVDEVLPYLLHMLESSDNSDFALLGLQEIMSKKSDVIFPILIPTLLAPPIDAFRASALGSLAEVAGSALYKRLSIIINALVDAIIGTSEDESTKGALELALDRVFLSVNDDEGLHPLLQQIMSLLKSDNIEKRIAVLERLPNFFDKTVLDFDVYIPNFVSHAILSLDDEDQRVVNGNFNALSTLLKKVDKPTLEKLVKPAKQSLALTGRQGQDVAAFKLPRGPNCVLPIFLHGLMYGSNDEREESALAIADVVSKTPAANLKPFVSVITGPLIRVVGERFSSDIKAAILFALNVLFIKIPMFLRPFIPQLQRTFVKSLSDATNETLRLRAAKALGALIEHQPRVDPLVIELVTGAKQATDEGVKTAMLKALLEVIMKAGSKLNENSKTNIVNLVEEEMLGSNDKLAVAYAKLIGSLSEILSNDEAHKILQDKVLNADLDGETGKFAILTLNSFLKDAPTHIFNTGLIDEFVSYILNAIRSPDVYFGENGTIAAGKLLLLEGEKRSPFVKKDAAEPFKIGDENINLLINELSKAVLQPASNSTDVRRLALVVIRTLARFKFDECIKQYFDVVGPSVFSCLRDPVIPIKLAAEKAYLALFKLVEEDDMHTFNEWFAKISDRGNSIETVTGTTIQLRSVGDYTKRVGKRLANVERERIAAGGDAETMFSDRFEDEREIWAVGGVELTTDI
P47977 1 164 0.579353658536585 mRNA decay factor CTH2; Cysteine-three-histidine protein 2; Protein TIS11 homolog; Protein YTIS11; TPA-induced sequence protein 11 285 0 23 164 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47977 1 SwissProt MWAQLSYTRPESQKTDLTSLFSTDQEQNPLNDYQYQINIRELEEYYNKTILNEDNIQETSSEISSAVSFSPPKNTNAIQPGLLYDPQLMNPFLPSAHLNSTAPTTFKKKLEVQINPDYVPKSSQLPLTSQNLQQLSQQKPKNDASFSSEKESSAQPKVKSQVQE
P78549 1 312 0.410871153846154 PF00730.25:HhH-GPD:135:271,PF00633.23:HHH:200:223 Endonuclease III-like protein 1; hNTH1; Bifunctional DNA N-glycosylase/DNA-(apurinic or apyrimidinic site) lyase; DNA glycosylase/AP lyase; EC 3.2.2.-; EC 4.2.99.18 312 137 23 312 0 Homo sapiens (Human) SwissProt::P78549 1 SwissProt MCSPQESGMTALSARMLTRSRSLGPGAGPRGCREEPGPLRRREAAAEARKSHSPVKRPRKAQRLRVAYEGSDSEKGEGAEPLKVPVWEPQDWQQQLVNIRAMRNKKDAPVDHLGTEHCYDSSAPPKVRRYQVLLSLMLSSQTKDQVTAGAMQRLRARGLTVDSILQTDDATLGKLIYPVGFWRSKVKYIKQTSAILQQHYGGDIPASVAELVALPGVGPKMAHLAMAVAWGTVSGIAVDTHVHRIANRLRWTKKATKSPEETRAALEEWLPRELWHEINGLLVGFGQQTCLPVHPRCHACLNQALCPAAQGL
Q01892 1 163 0.521663803680982 Transcription factor Spi-B 262 0 23 163 0 Homo sapiens (Human) SwissProt::Q01892 1 SwissProt MLALEAAQLDGPHFSCLYPDGVFYDLDSCKHSSYPDSEGAPDSLWDWTVAPPVPATPYEAFDPAAAAFSHPQAAQLCYEPPTYSPAGNLELAPSLEAPGPGLPAYPTENFASQTLVPPAYAPYPSPVLSEEEDLPLDSPALEVSDSESDEALVAGPEGKGSEA
Q01918 1 152 0.260415131578947 RxLR effector protein Avrblb1; Avirulence protein Avrblb1; In planta-induced protein O1; IPI-O1 152 0 23 129 1 Phytophthora infestans (strain T30-4) (Potato late blight fungus) SwissProt::D0P3S7 1 SwissProt MRSLLLTVLLNLVVLLATTGAVSSNLNTAVNYASTSKIRFLSTEYNADEKRSLRGDYNNEVTKEPNTSDEERAFSISKSAEYVKMVLYGFKLGFSPRTQSKTVLRYEDKLFTALYKSGETPRSLRTKHLDKASASVFFNRFKKWYDKNVGPS
Q07187 1 152 0.840116447368421 PF00477.17:LEA_5:1:85,PF00477.17:LEA_5:83:149 Em-like protein GEA1 152 149 23 152 0 Arabidopsis thaliana Q07187 1 SwissProt/TReMBL MASKQLSREELDEKAKQGETVVPGGTGGHSLEAQEHLAEGRSKGGQTRKEQLGHEGYQEIGHKGGEARKEQLGHEGYQEMGHKGGEARKEQLGHEGYQEMGHKGGEARKEQLGHEGYKEMGRKGGLSTMEKSGGERAEEEGIEIDESKFTNK
Q09327 1 533 0.257260225140713 PF04724.13:Glyco_transf_17:201:354 Beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase; N-glycosyl-oligosaccharide-glycoprotein N-acetylglucosaminyltransferase III; GNT-III; GlcNAc-T III; N-acetylglucosaminyltransferase III; EC 2.4.1.144 533 154 23 513 1 Homo sapiens (Human) SwissProt::Q09327 1 SwissProt MKMRRYKLFLMFCMAGLCLISFLHFFKTLSYVTFPRELASLSPNLVSSFFWNNAPVTPQASPEPGGPDLLRTPLYSHSPLLQPLPPSKAAEELHRVDLVLPEDTTEYFVRTKAGGVCFKPGTKMLERPPPGRPEEKPEGANGSSARRPPRYLLSARERTGGRGARRKWVECVCLPGWHGPSCGVPTVVQYSNLPTKERLVPREVPRRVINAINVNHEFDLLDVRFHELGDVVDAFVVCESNFTAYGEPRPLKFREMLTNGTFEYIRHKVLYVFLDHFPPGGRQDGWIADDYLRTFLTQDGVSRLRNLRPDDVFIIDDADEIPARDGVLFLKLYDGWTEPFAFHMRKSLYGFFWKQPGTLEVVSGCTVDMLQAVYGLDGIRLRRRQYYTMPNFRQYENRTGHILVQWSLGSPLHFAGWHCSWCFTPEGIYFKLVSAQNGDFPRWGDYEDKRDLNYIRGLIRTGGWFDGTQQEYPPADPSEHMYAPKYLLKNYDRFHYLLDNPYQEPRSTAAGGWRHRGPEGRPPARGKLDEAEV
Q12449 157 350 0.340126288659794 PF08327.11:AHSA1:68:185 Hsp90 co-chaperone AHA1; Activator of Hsp90 ATPase protein 1 350 118 23 194 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12449 1 SwissProt PESQVKSNYTRGNQKSSFTEIKDSASKPKKNALPSSTSTSAPVSSTNKVPQNGSGNSTSIYLEPTFNVPSSELYETFLDKQRILAWTRSAQFFNSGPKLETKEKFELFGGNVISELVSCEKDKKLVFHWKLKDWSAPFNSTIEMTFHESQEFHETKLQVKWTGIPVGEEDRVRANFEEYYVRSIKLTFGFGAVL
Q9Y512 1 469 0.191115991471216 PF01103.23:Bac_surface_Ag:151:468 Sorting and assembly machinery component 50 homolog; Transformation-related gene 3 protein; TRG-3 469 318 23 469 0 Homo sapiens (Human) SwissProt::Q9Y512 1 SwissProt MGTVHARSLEPLPSSGPDFGGLGEEAEFVEVEPEAKQEILENKDVVVQHVHFDGLGRTKDDIIICEIGDVFKAKNLIEVMRKSHEAREKLLRLGIFRQVDVLIDTCQGDDALPNGLDVTFEVTELRRLTGSYNTMVGNNEGSMVLGLKLPNLLGRAEKVTFQFSYGTKETSYGLSFFKPRPGNFERNFSVNLYKVTGQFPWSSLRETDRGMSAEYSFPIWKTSHTVKWEGVWRELGCLSRTASFAVRKESGHSLKSSLSHAMVIDSRNSSILPRRGALLKVNQELAGYTGGDVSFIKEDFELQLNKQLIFDSVFSASFWGGMLVPIGDKPSSIADRFYLGGPTSIRGFSMHSIGPQSEGDYLGGEAYWAGGLHLYTPLPFRPGQGGFGELFRTHFFLNAGNLCNLNYGEGPKAHIRKLAECIRWSYGAGIVLRLGNIARLELNYCVPMGVQTGDRICDGVQFGAGIRFL
SwissProt::Q9NVV9 85 213 0.516232558139535 THAP domain-containing protein 1 213 0 23 129 0 Homo sapiens (Human) SwissProt::Q9NVV9 1 SwissProt EPHDKKEDLLEPQEQLPPPPLPPPVSQVDAAIGLLMPPLQTPVNLSVFCDHNYTVEDTMHQRKRIHQLEQQVEKLRKKLKTAQQRCRRQERQLEKLKEVVHFQKEKDDVSERGYVILPNDYFEIVEVPA
VIMSS10079964 124 274 0.646133774834437 Transcription factor MYB58; Myb-related protein 58; AtMYB58 274 0 23 151 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SA47 1 SwissProt LNADEAGSKGSLNEEENSQESSPNASMSFAGSNISSKDDDAQISQMFEHILTYSEFTGMLQEVDKPELLEMPFDLDPDIWSFIDGSDSFQQPENRALQESEEDEVDKWFKHLESELGLEENDNQQQQQQHKQGTEDEHSSSLLESYELLIH
VIMSS10089795 1 448 0.136499107142857 PF03016.15:Exostosin:93:392 Probable glucuronoxylan glucuronosyltransferase IRX7; Protein FRAGILE FIBER 8; Protein IRREGULAR XYLEM 7; EC 2.4.1.- 448 300 23 430 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUV3 1 SwissProt MTTHKHRRTEKNLCFKQYYKWILCFILTLYFFASFFVDHDQDHRSSTSISKHLLTNHKPKLFASRAMFESKIHDHKLGFTSQQPNIKTDVFNNLKIYVYDLPSKFNKDWLANDRCTNHLFAAEVALHKAFLSLEGDVRTEDPYEADFFFVPVYVSCNFSTINGFPAIGHARSLINDAIKLVSTQYPFWNRTSGSDHVFTATHDFGSCFHTMEDRAIADGVPIFLRNSIILQTFGVTFNHPCQEVENVVIPPYISPESLHKTQKNIPVTKERDIWVFFRGKMELHPKNISGRFYSKRVRTNIWRSYGGDRRFYLQRQRFAGYQSEIARSVFCLCPLGWAPWSPRLVESVALGCVPVIIADGIRLPFPSTVRWPDISLTVAERDVGKLGDILEHVAATNLSVIQRNLEDPSVRRALMFNVPSREGDATWQVLEALSKKLNRSVRRSNSFL
VIMSS10091302 1 262 0.574718702290077 PF00170.21:bZIP_1:192:234,PF07716.15:bZIP_2:189:234 ABSCISIC ACID-INSENSITIVE 5-like protein 3; Dc3 promoter-binding factor 4; AtDPBF4; Protein ENHANCED EM LEVEL; bZIP transcription factor 12; AtbZIP12 262 46 23 262 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5Q2 1 SwissProt MGSIRGNIEEPISQSLTRQNSLYSLKLHEVQTHLGSSGKPLGSMNLDELLKTVLPPAEEGLVRQGSLTLPRDLSKKTVDEVWRDIQQDKNGNGTSTTTTHKQPTLGEITLEDLLLRAGVVTETVVPQENVVNIASNGQWVEYHHQPQQQQGFMTYPVCEMQDMVMMGGLSDTPQAPGRKRVAGEIVEKTVERRQKRMIKNRESAARSRARKQAYTHELEIKVSRLEEENEKLRRLKEVEKILPSEPPPDPKWKLRRTNSASL
VIMSS10091809 1 420 0.324416190476191 PF02469.22:Fasciclin:198:328 Fasciclin-like arabinogalactan protein 8; AtAGP8 420 131 23 420 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22126 1 SwissProt MAASQTFSLLAFTFSLLAFASTVSSHNITQILADSPDYSSFNSYLSQTKLADEINSRTTITVLVLNNGAMSALAGKHPLSVIKSALSLLVLLDYYDPQKLHKISKGTTLSTTLYQTTGNAPGNLGFVNITDLKGGKVGFGSAASGSKLDSSYTKSVKQIPYNISILEIDAPIIAPGVLTAPAPSASLSNITGLLEKAGCKTFANLLVSSGVLKTYESAVEKGLTVFAPSDEAFKAEGVPDLTKLTQAEVVSLLEYHALAEYKPKGSLKTNKNNISTLATNGAGKFDLTTSTSGDEVILHTGVAPSRLADTVLDATPVVIFTVDNVLLPAELFGKSKSPSPAPAPEPVTAPTPSPADAPSPTAASPPAPPTDESPESAPSDSPTGSANSKSANAAVGVSTPSLFTALVTIAAIAVSVSLCS
VIMSS10091893 1 382 0.724941884816754 PF07777.11:MFMR:1:96,PF00170.21:bZIP_1:257:319,PF16596.5:MFMR_assoc:129:236,PF07716.15:bZIP_2:259:309,PF03131.17:bZIP_Maf:258:321 G-box-binding factor 3; bZIP transcription factor 55; AtbZIP55 382 269 23 382 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P42776 1 SwissProt MGNSSEEPKPPTKSDKPSSPPVDQTNVHVYPDWAAMQAYYGPRVAMPPYYNSAMAASGHPPPPYMWNPQHMMSPYGAPYAAVYPHGGGVYAHPGIPMGSLPQGQKDPPLTTPGTLLSIDTPTKSTGNTDNGLMKKLKEFDGLAMSLGNGNPENGADEHKRSRNSSETDGSTDGSDGNTTGADEPKLKRSREGTPTKDGKQLVQASSFHSVSPSSGDTGVKLIQGSGAILSPGVSANSNPFMSQSLAMVPPETWLQNERELKRERRKQSNRESARRSRLRKQAETEELARKVEALTAENMALRSELNQLNEKSDKLRGANATLLDKLKCSEPEKRVPANMLSRVKNSGAGDKNKNQGDNDSNSTSKLHQLLDTKPRAKAVAAG
VIMSS10094024 1 298 0.612212080536913 late embryogenesis abundant domain-containing protein / LEA domain-containing protein (RefSeq) 298 0 23 275 1 Arabidopsis thaliana VIMSS10094024 1 MicrobesOnline MGLERKVYGLVMVSLVLMAIATMCCVQATIEEEAAKDESWTDWAKEKIGLKHEDNIQPTHTTTTVQDDAWRASQKAEDAKEAAKRKAEEAVGAAKEKAGSAYETAKSKVEEGLASVKDKASQSYDSAGQVKDDVSHKSKQVKDSLSGDENDESWTGWAKEKIGIKNEDINSPNLGETVSEKAKEAKEAAKRKAGDAKEKLAETVETAKEKASDMTSAAKEKAEKLKEEAERESKSAKEKIKESYETAKSKADETLESAKDKASQSYDSAARKSEEAKDTVSHKSKRVKESLTDDDAEL
VIMSS10094387 1 219 0.191613242009133 Protein NDR1; Non-race specific disease resistance protein 1; AtNDR1 219 0 23 196 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48915 1 SwissProt MNNQNEDTEGGRNCCTCCLSFIFTAGLTSLFLWLSLRADKPKCSIQNFFIPALGKDPNSRDNTTLNFMVRCDNPNKDKGIYYDDVHLNFSTINTTKINSSALVLVGNYTVPKFYQGHKKKAKKWGQVKPLNNQTVLRAVLPNGSAVFRLDLKTQVRFKIVFWKTKRYGVEVGADVEVNGDGVKAQKKGIKMKKSDSSFPLRSSFPISVLMNLLVFFAIR
VIMSS10096801 101 265 0.244421212121212 PF01357.21:Pollen_allerg_1:50:133 ATEXLA1 (ARABIDOPSIS THALIANA EXPANSIN-LIKE A1) (RefSeq) 265 84 23 165 0 Arabidopsis thaliana VIMSS10096801 1 MicrobesOnline SNQTDLVLSSRAFRAMAKPIVGADKDLLKQGIVDIEYQRVPCDYGNKNMNVRVEEASKKPNYLEIKLLYQGGQTEVVSIDIAQVGSSPNWGYMTRSHGAVWVTDKVPTGAIQFRFVVTGGYDGKMIWSQSVLPSNWEAGKIYDAGVQITDIAQEGCDPCDAHIWN
VIMSS10096915 1 158 0.15888164556962 PF04145.15:Ctr:32:73,PF04145.15:Ctr:88:132 Copper transporter 2; AtCOPT2 158 87 23 89 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9STG2 1 SwissProt MDHDHMHDMPPPSPSSSSMSNHTTPHMMMMHMTFFWGKNTEVLFSGWPGTSSGMYALCLIVIFLLAVIAEWLAHSPILRVSGSTNRAAGLAQTAVYTLKTGLSYLVMLAVMSFNAGVFIVAIAGYGVGFFLFGSTTFKKPSDDQKTAELLPPSSGCVC
VIMSS10097000 1 240 0.57590375 Transcription factor bHLH47; Basic helix-loop-helix protein 47; AtbHLH47; bHLH 47; Protein POPEYE; Transcription factor EN 139; bHLH transcription factor bHLH047 240 0 23 240 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SN74 1 SwissProt MVSKTPSTSSDEANATADERCRKGKVPKRINKAVRERLKREHLNELFIELADTLELNQQNSGKASILCEATRFLKDVFGQIESLRKEHASLLSESSYVTTEKNELKEETSVLETEISKLQNEIEARANQSKPDLNTSPAPEYHHHHYQQQHPERVSQFPGLPIFQGPGFQQSATTLHPPATVLVLPIQPDPQTQDISEMTQAQQPLMFNSSNVSKPCPRYASAADSWSSRLLGERLKASE
VIMSS10098304 1 260 0.626976538461539 PIL6 (PHYTOCHROME INTERACTING FACTOR 3-LIKE 6); DNA binding / transcription factor (RefSeq) 442 0 23 260 0 Arabidopsis thaliana VIMSS10098304 1 MicrobesOnline MEQVFADWNFEDNFHMSTNKRSIRPEDELVELLWRDGQVVLQSQARREPSVQVQTHKQETLRKPNNIFLDNQETVQKPNYAALDDQETVSWIQYPPDDVIDPFESEFSSHFFSSIDHLGGPEKPRTIEETVKHEAQAMAPPKFRSSVITVGPSHCGSNQSTNIHQATTLPVSMSDRSKNVEERLDTSSGGSSGCSYGRNNKETVSGTSVTIDRKRKHVMDADQESVSQSDIGLTSTDDQTMGNKSSQRSGSTRRSRAAEV
VIMSS10098885 1 358 0.133977932960894 PF00067.22:p450:28:344 Cytochrome P450 86A2; Protein ABERRANT INDUCTION OF TYPE THREE 1; EC 1.14.14.1 553 317 23 358 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23066 1 SwissProt MDVSNTMLLVAVVAAYWLWFQRISRWLKGPRVWPVLGSLPGLIEQRDRMHDWITENLRACGGTYQTCICAVPFLAKKQGLVTVTCDPKNIEHMLKTRFDNYPKGPTWQAVFHDFLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMGRWVNRGIKLRFCPILETAQNNYEPVDLQDLILRLTFDNICGLAFGKDTRTCAPGLPENGFASAFDRATEASLQRFILPEFLWRLKKWLGLGLEVSLSRSLGEIDGYLDAVINTRKQELLSQRESGVQRHDDLLSRFMKKKDQSYSETFLRHVALNFILAGRDTSSVALSWFFWLITTHPTVEDKIVREICSVLIETRGTDVSSWTAEPLE
VIMSS10099172 1 411 0.678650364963504 PF00170.21:bZIP_1:215:269,PF12498.8:bZIP_C:284:345,PF07716.15:bZIP_2:217:265,PF03131.17:bZIP_Maf:214:274 Basic leucine zipper 10; AtbZIP10; bZIP protein 10; Basic leucine zipper OPAQUE 2 homolog 1; Basic leucine zipper O2 homolog 1 411 123 23 411 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22763 1 SwissProt MNSIFSIDDFSDPFWETPPIPLNPDSSKPVTADEVSQSQPEWTFEMFLEEISSSAVSSEPLGNNNNAIVGVSSAQSLPSVSGQNDFEDDSRFRDRDSGNLDCAAPMTTKTVIVDSDDYRRVLKNKLETECATVVSLRVGSVKPEDSTSSPETQLQPVQSSPLTQGELGVTSSLPAEVKKTGVSMKQVTSGSSREYSDDEDLDEENETTGSLKPEDVKKSRRMLSNRESARRSRRRKQEQTSDLETQVNDLKGEHSSLLKQLSNMNHKYDEAAVGNRILKADIETLRAKVKMAEETVKRVTGMNPMLLGRSSGHNNNNRMPITGNNRMDSSSIIPAYQPHSNLNHMSNQNIGIPTILPPRLGNNFAAPPSQTSSPLQRIRNGQNHHVTPSANPYGWNTEPQNDSAWPKKCVD
VIMSS10101266 184 1147 0.106949688796681 PF00931.22:NB-ARC:6:235,PF07725.12:LRR_3:425:443,PF07725.12:LRR_3:562:581,PF00560.33:LRR_1:471:492 Disease resistance protein RPP4; Protein CHILLING-SENSITIVE 2; Protein RECOGNITION OF PERONOSPORA PARASITICA 4 1147 291 23 964 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4JNA9 1 SwissProt DFVGIEDHIKAIKSILCLESKEARIMVGIWGQSGIGKSTIGRALFSQLSSQFHHRAFITYKSTSGSDVSGMKLSWEKELLSEILGQKDIKIDHFGVVEQRLKHKKVLILLDDVDNLEFLKTLVGKAEWFGSGSRIIVITQDKQLLKAHEIDLVYEVELPSQGLALKMISQYAFGKDSPPDDFKELAFEVAELVGSLPLGLSVLGSSLKGRDKDEWVKMMPRLRNDSDDKIEETLRVGYDRLNKKNRELFKCIACFFNGFKVSNVKELLEDDVGLTMLADKSLIRITPDGDIEMHNLLEKLGREIDRAKSKGNPAKRQFLTNFEDIQEVVTEKTGTETVLGIRVPPTVLFSTRPLLVINEESFKGMRNLQYLEIGHWSEIGLWSEIGLWSKIDLPQGLVYLPLKLKLLKWNYCPLKSLPSTFKAEYLVNLIMKYSKLEKLWEGTLPLGSLKKMDLGCSNNLKEIPDLSLAINLEELNLSKCESLVTLPSSIQNAIKLRTLYCSGVLLIDLKSLEGMCNLEYLSVDWSSMEGTQGLIYLPRKLKRLWWDYCPVKRLPSNFKAEYLVELRMENSDLEKLWDGTQPLGSLKEMYLHGSKYLKEIPDLSLAINLERLYLFGCESLVTLPSSIQNATKLINLDMRDCKKLESFPTDLNLESLEYLNLTGCPNLRNFPAIKMGCSYFEILQDRNEIEVEDCFWNKNLPAGLDYLDCLMRCMPCEFRPEYLTFLDVSGCKHEKLWEGIQSLGSLKRMDLSESENLTEIPDLSKATNLKRLYLNGCKSLVTLPSTIGNLHRLVRLEMKECTGLELLPTDVNLSSLIILDLSGCSSLRTFPLISTRIECLYLENTAIEEVPCCIEDLTRLSVLLMYCCQRLKNISPNIFRLTSLMVADFTDCRGVIKALSDATVVATMEDHVSCVPLSENIEYTCERFWDELYERNSRSIFSYKDEDGDVYWVNWDLMMMLMLI
VIMSS10103484 1 245 0.732489795918367 FD; DNA binding / protein binding / transcription activator/ transcription factor (RefSeq) 245 0 23 245 0 Arabidopsis thaliana VIMSS10103484 1 MicrobesOnline MLSSAKHQRNHRLSATNKNQTLTKVSSISSSSPSSSSSSSSTSSSSPLPSQDSQAQKRSLVTMEEVWNDINLASIHHLNRHSPHPQHNHEPRFRGQNHHNQNPNSIFQDFLKGSLNQEPAPTSQTTGSAPNGDSTTVTVLYSSPFPPPATVLSLNSGAGFEFLDNQDPLVTSNSNLHTHHHLSNAHAFNTSFEALVPSSSFGKKRGQDSNEGSGNRRHKRMIKNRESAARSRARKQECLYKRVRT
VIMSS10103828 1 242 0.283449173553719 PF00643.24:zf-B_box:55:93 B-box zinc finger protein 20; Protein BZR1 SUPPRESSOR 1; Protein DOUBLE B-BOX 2; Protein SALT TOLERANCE HOMOLOG 7 242 39 23 242 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0IGM7 1 SwissProt MKIWCAVCDKEEASVFCCADEAALCNGCDRHVHFANKLAGKHLRFSLTSPTFKDAPLCDICGERRALLFCQEDRAILCRECDIPIHQANEHTKKHNRFLLTGVKISASPSAYPRASNSNSAAAFGRAKTRPKSVSSEVPSSASNEVFTSSSSTTTSNCYYGIEENYHHVSDSGSGSGCTGSISEYLMETLPGWRVEDLLEHPSCVSYEDNIITNNNNSESYRVYDGSSQFHHQGFWDHKPFS
VIMSS10104458 136 302 0.50087125748503 DREB2A; DNA binding / transcription activator/ transcription factor (RefSeq) 302 0 23 167 0 Arabidopsis thaliana VIMSS10104458 1 MicrobesOnline RSDASEVTSTSSQSEVCTVETPGCVHVKTEDPDCESKPFSGGVEPMYCLENGAEEMKRGVKADKHWLSEFEHNYWSDILKEKEKQKEQGIVETCQQQQQDSLSVADYGLNQDRYPGNSVANGSYRPESQQSGFDPLQSLNYGIPPFQLEGKDGNGFFDDLSYLDLEN
VIMSS10104476 1 435 0.169819310344828 PF11960.8:DUF3474:1:126,PF00487.24:FA_desaturase:134:388 Temperature-sensitive sn-2 acyl-lipid omega-3 desaturase (ferredoxin), chloroplastic; Temperature-sensitive omega-3 fatty acid desaturase 8, chloroplastic; EC 1.14.19.35 435 381 23 366 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48622 1 SwissProt MASSVLSECGFRPLPRFYPKHTTSFASNPKPTFKFNPPLKPPSSLLNSRYGFYSKTRNWALNVATPLTTLQSPSEEDTERFDPGAPPPFNLADIRAAIPKHCWVKNPWMSMSYVVRDVAIVFGLAAVAAYFNNWLLWPLYWFAQGTMFWALFVLGHDCGHGSFSNDPRLNSVAGHLLHSSILVPYHGWRISHRTHHQNHGHVENDESWHPLPESIYKNLEKTTQMFRFTLPFPMLAYPFYLWNRSPGKQGSHYHPDSDLFLPKEKKDVLTSTACWTAMAALLVCLNFVMGPIQMLKLYGIPYWIFVMWLDFVTYLHHHGHEDKLPWYRGKEWSYLRGGLTTLDRDYGWINNIHHDIGTHVIHHLFPQIPHYHLVEATEAAKPVLGKYYREPKNSGPLPLHLLGSLIKSMKQDHFVSDTGDVVYYEADPKLNGQRT
VIMSS10104941 1 364 0.338901923076923 PF14144.6:DOG1:166:241,PF00170.21:bZIP_1:78:108,PF07716.15:bZIP_2:79:126 Transcription factor TGA4; Ocs element-binding factor 4; OBF4; bZIP transcription factor 57; AtbZIP57 364 125 23 364 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39162 1 SwissProt MNTTSTHFVPPRRFEVYEPLNQIGMWEESFKNNGDMYTPGSIIIPTNEKPDSLSEDTSHGTEGTPHKFDQEASTSRHPDKIQRRLAQNREAARKSRLRKKAYVQQLETSRLKLIHLEQELDRARQQGFYVGNGVDTNALSFSDNMSSGIVAFEMEYGHWVEEQNRQICELRTVLHGQVSDIELRSLVENAMKHYFQLFRMKSAAAKIDVFYVMSGMWKTSAERFFLWIGGFRPSELLKVLLPHFDPLTDQQLLDVCNLRQSCQQAEDALSQGMEKLQHTLAESVAAGKLGEGSYIPQMTCAMERLEALVSFVNQADHLRHETLQQMHRILTTRQAARGLLALGEYFQRLRALSSSWAARQREPT
VIMSS10105510 1 431 0.154142691415313 PF04833.15:COBRA:44:207 COBRA-like protein 4 431 164 23 431 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LFW3 1 SwissProt MRLLFSFCFFFFMIIFTATAYDPLDPSGNITIKWDIMSWTADGYVATVTMNNFQIYRHIQNPGWTLGWTWAKKEVIWSMVGAQTTEQGDCSKFKGNVPHCCKKTPTVVDLLPGVPYNQQFSNCCKGGVIGAWGQDPSAAVSQFQVSAGLAGTTNKTVKLPKNFTLLGPGPGYTCGPAKIVPSTVFLTTDKRRKTQALMTWNVTCTYSQFLARKHPSCCVSFSSFYNDTITPCPSCACGCENKKSCVKADSKILTKKGLNTPKKDNTPLLQCTHHMCPVRVHWHVKTNYKDYWRVKIAITNFNYRMNHTLWTLAIQHPNLNNVTQVFSFDYKPVSPYGSINDTGMFYGTKFYNDLLMEAGPSGNVQSEVLLQKDQKTFTFKQGWAFPRKVYFNGDECMLPPPDSYPFLPNSAQGNFASFSLTILLLLFISIW
VIMSS10105552 1 66 0.563177272727272 KIN1 (RefSeq) 66 0 23 66 0 Arabidopsis thaliana VIMSS10105552 1 MicrobesOnline MSETNKNAFQAGQTAGKAEEKSNVLLDKAKDAAAGAGAGAQQAGKSVSDAAAGGVNFVKDKTGLNK
VIMSS10106439 1 667 0.412615892053973 PF00072.24:Response_reg:161:272,PF06203.14:CCT:618:660 APRR5 (ARABIDOPSIS PSEUDO-RESPONSE REGULATOR 5); transcription regulator/ two-component response regulator (RefSeq) 667 155 23 644 1 Arabidopsis thaliana VIMSS10106439 1 MicrobesOnline MWQTWPRQPILLDIFSNPNTLSTTVRSWSVRHPLSIITVKTFARFFLDIFFSPHYYRKNKVLFFALFSFISPLTNILICFVTVSLSLELSSSSSIIDLGFSKLSVCVVIMTSSEEVVEVTVVKAPEAGGGKLSRRKIRKKDAGVDGLVKWERFLPKIALRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLKAWEMLKGKPESVDLILTEVDLPSISGYALLTLIMEHDICKNIPVIMMSTQDSVNTVYKCMLKGAADYLVKPLRRNELRNLWQHVWRRQTSLAPDSFPWNESVGQQKAEGASANNSNGKRDDHVVSGNGGDAQSSCTRPEMEGESADVEVSARDAVQMECAKSQFNETRLLANELQSKQAEAIDFMGASFRRTGRRNREESVAQYESRIELDLSLRRPNASENQSSGDRPSLHPSSASAFTRYVHRPLQTQCSASPVVTDQRKNVAASQDDNIVLMNQYNTSEPPPNAPRRNDTSFYTGADSPGPPFSNQLNSWPGQSSYPTPTPINNIQFRDPNTAYTSAMAPASLSPSPSSVSPHEYSSMFHPFNSKPEGLQDRDCSMDVDERRYVSSATEHSAIGNHIDQLIEKKNEDGYSLSVGKIQQSLQREAALTKFRMKRKDRCYEKKVRYESRKKLAEQRPRIKGQFVRQVQSTQAP
VIMSS10109634 1 148 0.46457972972973 PF04968.12:CHORD:11:71 Cysteine and histidine-rich domain-containing protein RAR1; AtRAR1; CHORD domain-containing protein RAR1; Protein PPHB SUSCEPTIBLE 2; Protein REQUIRED FOR MLA12 RESISTANCE 1 226 61 23 148 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SE33 1 SwissProt MEVGSATKKLQCQRIGCNAMFTDDDNPQGSCQFHASGPFFHDGMKEWSCCKQRSHDFSLFLEIPGCKTGKHTTEKPVLAKSVPKHPVAAPTSSPDANAATKDSCSRCRQGFFCSDHGSQPKEQIKQTLNTPGQAEEEKIEPLAPPVQK
VIMSS10110344 1 632 0.0627572784810126 PF12076.8:Wax2_C:456:624,PF04116.13:FA_hydroxylase:133:274 Very-long-chain aldehyde decarbonylase CER3; Protein ECERIFERUM 3; Protein FACELESS POLLEN 1; Protein WAX2; Protein YORE-YORE; EC 4.1.99.5 632 311 23 500 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H1Z0 1 SwissProt MVAFLSAWPWENFGNLKYLLYAPLAAQVVYSWVYEEDISKVLWCIHILIICGLKALVHELWSVFNNMLFVTRTLRINPKGIDFKQIDHEWHWDNYIILQAIIVSLICYMSPPLMMMINSLPLWNTKGLIALIVLHVTFSEPLYYFLHRSFHRNNYFFTHYHSFHHSSPVPHPMTAGNATLLENIILCVVAGVPLIGCCLFGVGSLSAIYGYAVMFDFMRCLGHCNVEIFSHKLFEILPVLRYLIYTPTYHSLHHQEMGTNFCLFMPLFDVLGDTQNPNSWELQKKIRLSAGERKRVPEFVFLAHGVDVMSAMHAPFVFRSFASMPYTTRIFLLPMWPFTFCVMLGMWAWSKTFLFSFYTLRNNLCQTWGVPRFGFQYFLPFATKGINDQIEAAILRADKIGVKVISLAALNKNEALNGGGTLFVNKHPDLRVRVVHGNTLTAAVILYEIPKDVNEVFLTGATSKLGRAIALYLCRRGVRVLMLTLSMERFQKIQKEAPVEFQNNLVQVTKYNAAQHCKTWIVGKWLTPREQSWAPAGTHFHQFVVPPILKFRRNCTYGDLAAMKLPKDVEGLGTCEYTMERGVVHACHAGGVVHMLEGWKHHEVGAIDVDRIDLVWEAAMKYGLSAVSSLTN
VIMSS10110857 116 351 0.54721779661017 MYB96 (myb domain protein 96); DNA binding / transcription factor (RefSeq) 351 0 23 236 0 Arabidopsis thaliana VIMSS10110857 1 MicrobesOnline KKINESGEEDNDGVSSSNTSSQKNHQSTNKGQWERRLQTDINMAKQALCEALSLDKPSSTLSSSSSLPTPVITQQNIRNFSSALLDRCYDPSSSSSSTTTTTTSNTTNPYPSGVYASSAENIARLLQDFMKDTPKALTLSSSSPVSETGPLTAAVSEEGGEGFEQSFFSFNSMDETQNLTQETSFFHDQVIKPEITMDQDHGLISQGSLSLFEKWLFDEQSHEMVGMALAGQEGMF
VIMSS10111058 1 746 0.340314745308311 PF03000.14:NPH3:250:581 Root phototropism protein 3; BTB/POZ domain-containing protein RPT3; Non-phototropic hypocotyl protein 3 746 332 23 746 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMF5 1 SwissProt MMWESESDGGVGVGGGGGREYGDGVLSSNKHGGVKTDGFELRGQSWFVATDIPSDLLVKIGDMNFHLHKYPLLSRSGKMNRLIYESRDPDPTILILDDLPGGPEAFELASKFCYGVPVDLTATNISGLRCAAEYLEMTEDLEEGNLIFKTEAFLSYVVLSSWRDSILVLKSCEKLSPWAENLQIVRRCSESIAWKACSNPKGIRWAYTGKAPSPSTTNFAGSSPRWNESKDSSFYCSPSRNTNSQPVPPDWWFEDVSILRIDHFVRVITAIKVKGMRFELLGAVIMHYAGKWLPGLIKEGGVAIAPAMSSAIGGGLGLGGDEMSISCGSNSSGGSSGPDWKGGLHMVLSAGKTNGHQDSVACLAGLGISPKDQRMIVESLISIIPPQKDSVTCSFLLRLLRAANMLKVAPALITELEKRVGMQFEQATLQDLLIPGYNNKGETMYDVDLVQRLLEHFLVQEQTEGSSPSRMSPSPSQSMYADIPRGNNNNGGGGGGNNQNAKMRVARLVDSYLTEVARDRNLPLTKFQVLAEALPESARTCDDGLYRAIDSYLKAHPTLSEHERKRLCRVMDCQKLSMDACMHAAQNERLPLRVVVQVLFSEQVKISNALANTSLKESTTLGEAMGTYQPMIPNRKTLIEATPQSFQEGWAAAKKDINTLKFELETVKTKYVELQNEMEVMQRQFEKTGKVKNTPSSSAWTSGWKKLSKLTKMSGQESHDISSGGEQAGVDHPPPRKPRRWRNSIS
VIMSS10111223 1 214 0.590998130841122 PF02309.16:AUX_IAA:66:206 Auxin-responsive protein IAA9; Indoleacetic acid-induced protein 9 338 141 23 214 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38827 1 SwissProt MSPEEELQSNVSVASSSPTSNCISRNTLGGLKEHNYLGLSDCSSVGSSTLSPLAEDDKATISLKATELTLGLPGSQSPARDTELNLLSPAKLDEKPFFPLLPSKDEICSSSQKNNASGNKRGFSDTMDQFAEAKSSVYTEKNWMFPEAAATQSVTKKDVPQNIPKGQSSTTNNSSSPPAAKAQIVGWPPVRSYRKNTLATTCKNSDEVDGRPGS
VIMSS104783 1 175 0.215417714285714 Immunodominant staphylococcal antigen B 175 0 23 134 2 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2FUX3 1 SwissProt MNKTSKVCVAATLALGTLIGVTVVENSAPTSKQAQAAITPYYTYNGYIGNNANFILDKNFINAIKYDNVKFNGIKLAKTNTIKKVEKYDQTFKGVSAKGNEASQLQFVVKNNISLKDIQKAYGKDLKKENGKTKEADSGIFYYQNAKKTLGIWFVVDHNRVVEVTVGHTPYKTSK
VIMSS108134 1 102 0.250355882352941 PF00934.20:PE:3:92 PE family immunomodulator PE5 102 90 23 102 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::L7N695 1 SwissProt MTLRVVPEGLAAASAAVEALTARLAAAHASAAPVITAVVPPAADPVSLQTAAGFSAQGVEHAVVTAEGVEELGRAGVGVGESGASYLAGDAAAAATYGVVGG
VIMSS10994 1 452 0.241040486725664 PF07228.12:SpoIIE:247:449 sigma factor SibG regulation protein; RsbU (NCBI ptt file) 452 203 23 452 0 Synechocystis sp. PCC 6803 VIMSS10994 1 MicrobesOnline MVILKELVAKLYREQNKVQDLLGAMGYALRSLHNLNQFLELTPLMATRVTDADGSALVLMREGEISIFEQIHGHKNSLKGTIKGALQKARQVNLTLDSSTVLSYFDRQLRQELPAIACYNTPILSHQEEVGRLYIFSQDRNYSWTPTRRKLLQLISDQTAVAIANSDLNQKLRSRESQDRELEIASEIQNQLLPRCCPQINGLDIAAQCKTASRVGGDYYDFIPANYDQLRQGDWLCRNTSHLGVPWSIVIGDVMGKGVPAGLIMTMTRGMLRAEVLNRHSPAQILNHLNRVMYADLENSHRFVTLFYSEYNPETSILSYSNAAHNPPLLWRAGSDSPQCLIPLDTEGALIGLESDSNYRDAQIQLVPGDVVLYYTDGLTDAGNAKGDRFDDKNLRIAFQRACETAQTAQGILTEIFTSVEAFVGSDNSHQTDKIPARDDMTLVVLRVKSTE
VIMSS122625 1 59 0.000932203389830509 hypothetical protein (NCBI ptt file) 59 0 23 18 2 Clostridium perfringens str. 13 VIMSS122625 0 MicrobesOnline MTLLCCIISLCCSSWMFIYLYGLSATSSSAYNSVLYIFPCLFLIISLYLFYKIAIDDKN
VIMSS15308 1 513 0.0322037037037037 PF06450.12:NhaB:1:511 Na+:H+ antiporter NhaB 513 511 23 238 12 Escherichia coli K-12 substr. MG1655 ecocyc::NHAB-MONOMER 0 ecocyc MEISWGRALWRNFLGQSPDWYKLALIIFLIVNPLIFLISPFVAGWLLVAEFIFTLAMALKCYPLLPGGLLAIEAVFIGMTSAEHVREEVAANLEVLLLLMFMVAGIYFMKQLLLFIFTRLLLSIRSKMLLSLSFCVAAAFLSAFLDALTVVAVVISVAVGFYGIYHRVASSRTEDTDLQDDSHIDKHYKVVLEQFRGFLRSLMMHAGVGTALGGVMTMVGEPQNLIIAKAAGWHFGDFFLRMSPVTVPVLICGLLTCLLVEKLRWFGYGETLPEKVREVLQQFDDQSRHQRTRQDKIRLIVQAIIGVWLVTALALHLAEVGLIGLSVIILATSLTGVTDEHAIGKAFTESLPFTALLTVFFSVVAVIIDQQLFSPIIQFVLQASEHAQLSLFYIFNGLLSSISDNVFVGTIYINEAKAAMESGAITLKQYELLAVAINTGTNLPSVATPNGQAAFLFLLTSALAPLIRLSYGRMVWMALPYTLVLTLVGLLCVEFTLAPVTEWFMQMGWIATL
VIMSS18960 1 142 0.186683098591549 cag pathogenicity island protein (cag21) (NCBI ptt file) 142 0 23 142 0 Helicobacter pylori 26695 VIMSS18960 1 MicrobesOnline MKTNFYKIKLLFAWCLIIGMFNAPLNADQNTDIKDISPEDMALNSVGLVSRDQLKIEIPKETLEQKVAVLNDYNDKNVNIKFDNISLGSFQPNDNLGINAMWGIQNLLMSQMMGDYGPNNPFMYGYAPTYSDSSFLPPILGY
VIMSS18961 1 268 0.152702611940298 cag pathogenicity island protein (cag22) (NCBI ptt file) 268 0 23 268 0 Helicobacter pylori 26695 VIMSS18961 1 MicrobesOnline MKQSLREQKLLKILENDVLTILDSFSNYLFELREELDFIEEEMEGEITEQNLTALYDFSNFLEDHVNVFYENVLNIDDVKTEHLYSGLIDSLNANLHFVKSFLSNQDLDFRFFKEINDGQDPQKTLSRLIPLQSGKNDASSFKANNSFVSLVYVYVYFMLETIMQSYRILRLLEKPINNNISEDMQNDIENFFVQANFLEYYVQNKIYPTNHAYDFTHLIMDSIIPNWIQTDMSVEAKKKELFEKYFQNIDEVTNKMLDQKNQNXSND
VIMSS1936112 172 2523 0.184105102040816 PF01469.18:Pentapeptide_2:16:49,PF01469.18:Pentapeptide_2:83:121,PF01469.18:Pentapeptide_2:126:164,PF01469.18:Pentapeptide_2:166:203,PF01469.18:Pentapeptide_2:205:244,PF01469.18:Pentapeptide_2:579:616,PF01469.18:Pentapeptide_2:621:659,PF01469.18:Pentapeptide_2:631:669,PF01469.18:Pentapeptide_2:1268:1306,PF01469.18:Pentapeptide_2:1311:1349,PF01469.18:Pentapeptide_2:1351:1388,PF01469.18:Pentapeptide_2:1390:1429,PF01469.18:Pentapeptide_2:1844:1882,PF01469.18:Pentapeptide_2:1887:1925,PF01469.18:Pentapeptide_2:1927:1964,PF01469.18:Pentapeptide_2:1966:2005 Uncharacterized PPE family protein PPE54 2523 589 23 2352 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::Q6MWY2 1 SwissProt LASLAAAAEPAKSLAVNLGLANVGLFNAGSGNVGSYNVGAGNVGSYNVGGGNIGGNNVGLGNVGWGNFGLGNSGLTPGLMGLGNIGFGNAGSYNFGLANMGVGNIGFANTGSGNFGIGLTGDNLTGFGGFNTGSGNVGLFNSGTGNVGFFNSGTGNWGVFNSGSYNTGIGNSGIASTGLFNAGGFNTGVVNAGSYNTGSFNAGEANTGGFNPGSVNTGWLNTGDINTGVANSGDVNTGAFISGNYSNGVLWRGDYQGLLGFSSGANVLPVIPLSLDINGGVGAITIEPIHILPDIPININETLYLGPLVVPPINVPAISLGVGIPNISIGPIKINPITLWPAQNFNQTITLAWPVSSITIPQIQQVALSPSPIPTTLIGPIHINTGFSIPVTFSYSTPALTLFPVGLSIPTGGPLTLTLGVTAGTEAFTIPGFSIPEQPLPLAINVIGHINALSTPAITIDNIPLNLHAIGGVGPVDIVGGNVPASPGFGNSTTAPSSGFFNTGAGGVSGFGNVGAHTSGWFNQSTQAMQVLPGTVSGYFNSGTLMSGIGNVGTQLSGMLSGGALGGNNFGLGNIGFDNVGFGNAGSSNFGLANMGIGNIGLANTGNGNIGIGLSGDNLTGFGGFNSGSENVGLFNSGTGNVGFFNSGTGNLGVFNSGSHNTGFFLTGNNINVLAPFTPGTLFTISEIPIDLQVIGGIGPIHVQPIDIPAFDIQITGGFIGIREFTLPEITIPAIPIHVTGTVGLEGFHVNPAFVLFGQTAMAEITADPVVLPDPFITIDHYGPPLGPPGAKFPSGSFYLSISDLQINGPIIGSYGGPGTIPGPFGATFNLSTSSLALFPAGLTVPDQTPVTVNLTGGLDSITLFPGGLAFPENPVVSLTNFSVGTGGFTVFPQGFTVDRIPVDLHTTLSIGPFPFRWDYIPPTPANGPIPAVPGGFGLTSGLFPFHFTLNGGIGPISIPTTTVVDALNPLLTVTGNLEVGPFTVPDIPIPAINFGLDGNVNVSFNAPATTLLSGLGITGSIDISGIQITNIQTQPAQLFMSVGQTLFLFDFRDGIELNPIVIPGSSIPITMAGLSIPLPTVSESIPLNFSFGSPASTVKSMILHEILPIDVSINLEDAVFIPATVLPAIPLNVDVTIPVGPINIPIITEPGSGNSTTTTSDPFSGLAVPGLGVGLLGLFDGSIANNLISGFNSAVGIVGPNVGLSNLGGGNVGLGNVGDFNLGAGNVGGFNVGGGNIGGNNVGLGNVGFGNVGLANSGLTPGLMGLGNIGFGNAGSYNFGLANMGVGNIGFANTGSGNFGIGLTGDNLTGFGGFNTGSGNVGLFNSGTGNVGFFNSGTGNWGVFNSGSYNTGIGNSGIASTGLFNAGGFNTGVVNAGSYNTGSFNAGQANTGGFNPGSVNTGWLNTGDINTGVANSGDVNTGAFISGNYSNGAFWRGDYQGLLGFSYRPAVLPQTPFLDLTLTGGLGSVVIPAIDIPAIRPEFSANVAIDSFTVPSIPIPQIDLAATTVSVGLGPITVPHLDIPRVPVTLNYLFGSQPGGPLKIGPITGLFNTPIGLTPLALSQIVIGASSSQGTITAFLANLPFSTPVVTIDEIPLLASITGHSEPVDIFPGGLTIPAMNPLSINLSGGTGAVTIPAITIGEIPFDLVAHSTLGPVHILIDLPAVPGFGNTTGAPSSGFFNSGAGGVSGFGNVGAMVSGGWNQAPSALLGGGSGVFNAGTLHSGVLNFGSGMSGLFNTSVLGLGAPALVSGLGSVGQQLSGLLASGTALHQGLVLNFGLADVGLGNVGLGNVGDFNLGAGNVGGFNVGGGNIGGNNVGLGNVGWGNFGLGNSGLTPGLMGLGNIGFGNAGSYNFGLANMGVGNIGFANTGSGNFGIGLTGDNLTGFGGFNTGSGNVGLFNSGTGNVGFFNSGTGNWGVFNSGSYNTGIGNSGIASTGLFNAGGFNTGVVNAGSYNTGSFNAGQANTGGFNPGSVNTGWLNTGDINTGVANSGDVNTGAFISGNYSNGAFWRGDYQGLLGFSYTSTIIPEFTVANIHASGGAGPIIVPSIQFPAIPLDLSATGHIGGFTIPPVSISPITVRIDPVFDLGPITVQDITIPALGLDPATGVTVGPIFSSGSIIDPFSLTLLGFINVNVPAIQTAPSEILPFTVLLSSLGVTHLTPEITIPGFHIPVDPIHVELPLSVTIGPFVSPEITIPQLPLGLALSGATPAFAFPLEITIDRIPVVLDVNALLGPINAGLVIPPVPGFGNTTAVPSSGFFNIGGGGGLSGFHNLGAGMSGVLNAISDPLLGSASGFANFGTQLSGILNRGADISGVYNTGALGLITSALVSGFGNVGQQLAGLIYTGTGP 1
VIMSS27416 1 332 0.091555421686747 PF01755.17:Glyco_transf_25:1:250 putative lipopolysaccharide biosynthesis protein (NCBI ptt file) 332 250 23 332 0 Helicobacter pylori J99 VIMSS27416 1 MicrobesOnline MIGVYIISLKESQRRLDTEKLVLESNEKFKGRCVFQIFDAISPKHQDFEKLLQELYNAQSLLQSDWYHSYVGAGLTLPELGCYLSHYLLWKECVKLDQPVVILEDDVTLESHFMQALEDCLKSPFDFVRLYGCYWYYHETKFHVLPKEFVFPPFDHSFKNNPILEKFKKFFDVSRFLNLSTHKVIHYILKKIQKSYYATHEKEAFFLEHFYLTSVYVASTAGYYLTPKGAKTFIEATESFKIIEPVDMFMDNSAYHDVANLTYVPCPVSLSEHSLDSTIQKPQKKSLKSYPLPPQKSTFKNLFYYSLNAKKRLNAFQQYSKQYAPLKTPKEV
VIMSS27800 1 466 0.342765879828326 putative (NCBI ptt file) 466 0 23 466 0 Helicobacter pylori J99 VIMSS27800 1 MicrobesOnline MKALKTFLKKSLILLLAIALNHLNAVAMIVDNPTQNAWNGAKRAWDESKWAKHLATITERIKLAQDTLDRANQTLNSINKVNDVLNKTNQFLTGSILSIPNPMQYVEKIQSFAKQVQANTERIKENAQNYDIRNQIAAKRISEKCPELNWDVSQDASPTEKNLHQFFTSKGKESANTKALKDFANAIGNTQISTANDLGAGLRGRALLEYICIQKGNLEAAKKIQLLDSQMTLALLNNDYTAYEKLRAEKEELKRQIASNVYAKVKQLVVASQDRAFSQMDNELGVKTFGFNDENVKKGYCKKENRNGKSECIPNMLNVNRLKAQFDELNLDYSRDIAGKKGEAAAKVFNDYKHRFQQLSVETALEIAQNLSFMNKTLGLMVQMQSYAFKQQMGYFEDIIPADALKDDKEHQENLEQKQQEIEKVYRAKLDAYGFPNGSVGKASGVNSNSNNEAPSSDNIQSFNPY
VIMSS32345 1 380 0.264922368421053 PF09587.10:PGA_cap:9:291 Probable polyglutamine synthesis accessory protein MT0602 380 283 23 380 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WM78 1 SwissProt MAGNPDVVTVLLGGDVMLGRGVDQILPHPGKPQLRERYMRDATGYVRLAERVNGRIPLPVDWRWPWGEALAVLENTATDVCLINLETTITADGEFADRKPVCYRMHPDNVPALTALRPHVCALANNHILDFGYQGLTDTVAALAGAGIQSVGAGADLLAARRSALVTVGHERRVIVGSVAAESSGVPESWAARRDRPGVWLIRDPAQRDVADDVAAQVLADKRPGDIAIVSMHWGSNWGYATAPGDVAFAHRLIDAGIDMVHGHSSHHPRPIEIYRGKPILYGCGDVVDDYEGIGGHESFRSELRLLYLTVTDPASGNLISLQMLPLRVSRMRLQRASQTDTEWLRNTIERISRRFGIRVVTRPDNLLEVVPAANLTSKE
VIMSS32775 1 503 0.044848310139165 PF16192.5:PMT_4TMC:311:502,PF02366.18:PMT:100:280,PF13231.6:PMT_2:83:170 protein O-mannosyltransferase (Rv1002c) (EC 2.4.1.109) 503 390 23 330 8 Mycobacterium tuberculosis H37Rv CAZy::CAB08157.1 1 CAZy MVPVVSPGPLVPVADFGPLDRLRGWIVTGLITLLATVTRFLNLGSLTDAGTPIFDEKHYAPQAWQVLNNHGVEDNPGYGLVVHPPVGKQLIAIGEAIFGYNGFGWRFTGALLGVVLVALVVRIVRRISRSTLVGAIAGVLLICDGVSFVTARTALLDGFLTFFVVAAFGALIVDRDQVRERMHIALLAGRSAATVWGPRVGVRWWRFGAGVLLGLACATKWSGVYFVLFFGAMALAFDVAARRQYQVQRPWLGTVRRDVLPSGYALGLIPFAVYLATYAPWFASETAIDRHAVGQAVGRNSVVPLPDAVRSLWHYTAKAFHFHAGLTNSAGNYHPWESKPWTWPMSLRPVLYAIDQQDVAGCGAQSCVKAEMLVGTPAMWWLAVPVLAYAGWRMFVRRDWRYAVVLVGYCAGWLPWFADIDRQMYFFYAATMAPFLVMGISLVLGDILYHPGQGSERRTLGLIVVCCYVALVVTNFAWLYPVLTGLPISQQTWNLEIWLPSWR
VIMSS33092 1 356 0.100596629213483 PF00672.25:HAMP:276:327 adenylate cyclase (EC 4.6.1.1) 535 52 23 218 6 Mycobacterium tuberculosis BRENDA::P9WQ31 1 BRENDA MPAKKTMAQRLGQALETMTRQCGQLPETPAYGSWLLGRVSESPSRRWVRIKRIVTVYIMTANLTGIVVALLVVTFAFPVPSIYTDAPWWVTFGVAPAYATLALAIGTYWITTRIVRASIRWAIEERAPSQADGRNTLLLPFRVAAVHLILWDIGGALLATLYGLANRVFVTIILFSVTICGVLVATNCYLFTEFALRPVAAKALEAGRPPRRFAPGIMGRTMTVWSLGSGVPVTGIATTALYVLLVHNLTETQLASAVLILSITTLIFGFLVMWILAWLTAAPVRVVRAALKRVEQGDLRGDLVVFDGTELGELQRGFNAMVNGLRERERVRDLFGRHVGREVAAAAERERPQLGG
VIMSS3375316 1 972 0.504948353909465 PF05737.12:Collagen_bind:334:431,PF05737.12:Collagen_bind:467:592,PF17802.1:SpaA:747:812 adhesin 972 290 23 929 2 Clostridioides difficile 630 YP_001089344.1 1 RefSeq MKKGNRKALLISLIMILSMVVSTIYPTVSYASELGENSQIQSGSTNSSTGEEKESDNKKPEQTPEKDKATDNKKPEQTPEEEKPTDNKKPEQTPEEDKSTDNKKPEQTPEGEKPIDNKKPEQIPEEDKSTDNKKSEQALEDEKPLDNKNTEKTPEEDNLLEDENLLKVLEEELNEENEDYGFVVKINNNTIETESMKKISFNLTYTPTSKGIQAGDSITFKVPDVFNKVNLDYTSECFDKTESNGEYTLTFRELPNGQSVMQGKIGLEAYVKKVDEDTNAKIHIETTGKIESGSGDIDVEIKPGDKTDVPDAKGTLKKLVEGKKSTTVFMPVKNKDINYSIQVNEKQEELKDIILYDELPEGLTLINGSVSVVTSDGKEVSDFNIEQSKNSISVNFGNIDKSYTVKYKARISDKNAKHGNKYKNVARIESDGKKIQEDDATVSIFDRGDDYLLTKGHSGATNITQVGQVINYQISINDDKSPISNVVITDNIPEGMRLTTSGEAGHDFRVVEIPMNGSWTPWSKEKIANNISYKVEEKRNESGQVDKVITGFTINLSKEEVESKFFIAYTLKVISIEDSYINRAVLDANNSEIDKNDEINFKKNSGLISAKKEVDKKVLNSSDNQIVKYKINMSTYGVYDAGQVNLLDEVNSVLEISNIKYSDNLELKKEAGDGKNTIRLVNKYEFKQIKEGEPVQSWVTFDANFTNVKVGETIRNVAQINGSSPPGVETTKQGYAFEAKKVDALDKNVLSGAKFNLEDAFGNIVVKDLVSDEDGIIQSSVKNPGTYYLVEIMAPRGYEKLKDKIKVEIGNKDIGRIVDIGNIENIRQENPPVNPPAPPNTDEPIVNPPVPPSTDKPRKPSSSSDTEDTIVINPPVPPSEDIINPPIPEVLNPPVPPSEEMIETPVKQIIPIPEVVKPSVSEEKNNKVKDDTLVNPPVPPKTGDSTTIIGEILLVIGAIVGLIVLRRNKNTN
VIMSS33846 1 249 0.140450200803213 PF00106.25:adh_short:9:195,PF13561.6:adh_short_C2:17:197,PF08659.10:KR:9:169 Probable shortchain dehydrogenase (NCBI) 249 189 23 249 0 Mycobacterium tuberculosis H37Rv VIMSS33846 1 MicrobesOnline MDDTGAAPVVIFGGRSQIGGELARRLAAGATMVLAARNADQLADQAAALRAAGAIAVHTREFDADDLAAHGPLVASLVAEHGPIGTAVLAFGILGDQARAETDAAHAVAIVHTDYVAQVSLLTHLAAAMRTAGRGSLVVFSSVAGIRVRRANYVYGSAKAGLDGFASGLADALHGTGVRLLIARPGFVIGRMTEGMTPAPLSVTPERVAAATARALVNGKRVVWIPWALRPMFVALRLLPRFVWRRMPR
VIMSS44746 1 250 0.3346384 B. burgdorferi predicted coding region BBK07 (NCBI ptt file) 250 0 23 250 0 Borrelia burgdorferi B31 VIMSS44746 1 MicrobesOnline MSKLILAISILLIISCKWHVDNPIDEATAESKSALTSVDQVLDEISEATGLSSEKITKLTPEELENLAKEAQDDSEKSKKEIEDQKNTKESKNIEVKDTPRLIKLIKNSSEKIDSVFQTLINIGYNATYAAKSNLKNGLKMVKLLDELLKISVSSNGDKSTQKYNELKTVVNRFNAENSAIKVPLENGSKIEAKKCIKTLMTNVETYFKGVSTELKDKKDDKYTKILAALSEAANKIENAAMAIHLCFNN
VIMSS46334 183 573 0.284821483375959 PF05199.13:GMC_oxred_C:248:375,PF00732.19:GMC_oxred_N:37:149 putative oxidoreductase subunit (NCBI ptt file) 573 241 23 391 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46334 1 MicrobesOnline ENTKMLKRFESAAKSSNLHTYRLPASNSKGGYTNPDGQDLAPCQYCAYCERFGCEYGAKASPLNTVIPKAMSTGKYTIRTYSNVTQILKKDGKVTGVKFVDTRTMKEYIQPADIVVLTSYMFNNAKLLMVSNIGEQYDPKTGKGTLGRNYCYQMNMGTTAFFDEQFNTFMGSGALGTTSDDFNGDNFDHSKEKFLHGAMIYSVQLGTRPIQSAPLPAGAPTWGAEFKKALNYNFTRAITVGGQGASLPHKNNYLSLDPTYKDAFGMPLLRLTYNFTDQDRALHKFITDKTAEVAKRMQGVKSIKKGAYLKDYSVVPYQSTHNTGGTTMGADRETSVVNTYLQHWDADNLFVVGAGNFQHNSGYNPTDTVGALAYRCAEGILKYHKSGKSLA
VIMSS541305 119 275 0.0352515923566879 mono-rhamnosylated phenophthiocerol dimycocerosate glycosyltransferase (Rv2957) (EC 2.4.1.-) 275 0 23 157 0 Mycobacterium bovis AF2122/97 CAZy::CAB05419.1 1 CAZy ADTLARVAAFIGEHEPSDLVYGDVIMRSTNFRWGGAFDLDRLLFKRNICHQAIFYRRGLFGTIGPYNLRYRVLADWDFNIRCFSNPALVTRYMHVVVASYNEFGGLSNTIVDKEFLKRLPMSTRLGIRLVIVLVRRWPKVISRAMVMRTVISWRRRR
VIMSS54243 1 564 0.16414414893617 PF01752.17:Peptidase_M9:272:558,PF08453.10:Peptidase_M9_N:31:210 collagenase (NCBI ptt file) 818 467 23 564 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS54243 1 MicrobesOnline MSFQQRIQHHPIAWACVIAGLSYSSYSQAACEIQDLQPARDLPAQIAVATQACYNSWFYAPTATLDNLYSEASLAHLQTVLDAEIARYTGEAQQARRLENYGEFIRAAYYVRYNAGREPYSQALSQRFAQSIDRFLRHPHAFDQGREQVGAMKSLSLMVDNVKQLPLTMDAMILALHRFNRETAQDTQWVDGLNNLFRAMSGHVGNSEFYRYLAANTQHIDTLYRFALDNEWALETDAEFLVYNALRETGRLLISPDAITKQKARHVMRQVIARYPLGSKHDKLWLAAVEMLHYYAPEVLQQLGIDLDAAKRDLAARILPNRFECQGPAIIRSQDLSDAQAAQACDVLDKKEQDFHQVANTGLAPVADDYNTRVEVVVFANNSSYVNYSSFLFGNTTDNGGQYLEGNPADQNNQARFVAYRYANDADLSILNLEHEYTHYLDARFNQYGSFSDNLAHGHIVWWLEGFAEYMHYKQGYQAAVKLISQGKLSLSDVFATTYSNDTNRIYRWGYLAVRFMLEKHPQDVESLLALSRTGQFDQWAQSVKLLGERYNTEFSAWLDTLQR
VIMSS55635 1 311 0.30701575562701 hypothetical protein (NCBI ptt file) 407 0 23 311 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55635 1 MicrobesOnline MYGCWIVTRARNKNTGEIEEASQLNRYKNVNAIPKGQYECPNMLCRYPATPWAVNTEKRSPAFQYKKHHAEGCHFETMTGSDKHSNSDAGSSSFKLPYVTDFIEPRKQSGDGTLGSGGKVSVKNTSGGRTKTGISRTARKNQYSSMLGALVDFYLEDPDKHGPLYLNLLNRPFTYRKSFQEITSKRNIGYKDNFVFYCEIKNSVPIVINEEHFTIELMRKHQDVQNYKVSFDTDGWTEHQKQSLIESLKYVRQLSTEAFKDRIQETAYIFFIGKQDKSERHVFHCVHSQFAHPYFGVKPRLLSNVWGTFKL
VIMSS561453 1 1123 0.238415850400712 PF01364.18:Peptidase_C25:374:752 hypothetical protein (NCBI) 1123 379 23 1123 0 Porphyromonas gingivalis W83 VIMSS561453 1 MicrobesOnline MASGHWVKIRVDASGVYRLTDEQLRANGFSDPSKVGVFGYGGGVLPEDLSRITTDDLPPVPVLRQGNALYFYAVGPVTWFYNPAKTTMEHTVNTYSTHGYYFLSDAAGAPLQMSQYTGGGASAEALIDYYDELMLHEQELYSPKESGRDLYGESFSAVNTRTVKFPLRGNTRSSGELGTVFSYIAKARSAGGGREMSLSANGILIFSDPFSMTSNEVSNSYLAGKKRRLYHSTPMNSLVNELRLDANYSMTGDAVNLDFIEVATQNDLRYDGAPMHIRRFSNLPVLGGESCRFVISEVPESLVVLQANSSLTASLVPVKTVGDKTIEFVAPPKGQDRRTINTFYAVDLSQASAPEILGAVPNQNLHGEEIPDLIIVSTQALLLEADRLATYRREKNGLKVLVVLQEQVFNEFSGGTPDATAYRLFAKMFYDRWKANAPVGETFPMQMLLFGDGAHDNRKVSVAWQKPYLQQTEFLLTFQAVNSTNVNSYVTDDYFGLLDDQPASVNIGWRNYNMAVGRFPVRTPAEARIAVDKTIRYEEDRESGAWRIRACFAADNGDKHATETSRLIDTVKRYAPAIMPVRAFQDVYPHVIENGLHSIPGAKKKMLETLQSGIILLNYAGHGGPAGWSDEHLLTLNDIHKFNYKHMPIWITATCDFANYDSQTTSAGEEVFLHEKSGTPIMFSTTRVVYNTQNEKINGFMLRRMFEKAKDGRYRTMGEIIRSAKQGMLSTVFPDSINQLSFFLMGDPSVRMNLPTHKVQLTAINGQDPEGQYGTIMLKSLERVALKGKVTDEKGTFDETFSGKVFLTVFDGRKKMTALEEEGNDLSLVYYDYPNVMYAGIAEVKDGLFETSFIVPKDVNYSEHEGRINLYAYNESTKAEAMGVDFSIRVQPGIPDEVTEDNTPPEIISCFLNDSTFRSGDEVNPTPLFMAEVFDLNGINITGSGVGHDITLCIDGRADLTYNLNAYFTSSATDAGVGTILFMIPALAEGDHTARLTVWDIFNNAVHHDFSFRVVDGIAPDVADVILFPNPVRESATFRIFHNRPGSDLNVAVEIYDFTGRLVNSLPVKTYSSSYGEPIEIKWDLTSKYGVKIGNGFYLYRCVVNSPGGQTASMAKKMIVVGQ
VIMSS57065 1 149 0.162343624161074 PF16085.5:Phage_holin_3_5:33:145,PF16083.5:Phage_holin_3_3:46:123 hypothetical protein (NCBI) 149 113 23 106 2 Pseudomonas aeruginosa PAO1 VIMSS57065 0 MicrobesOnline MKHRNPALAPGFLLPGKTFVRTCPALPAKEGPMGNEPQTLTEMPLWVLILLAALGGVSGEMWRADKAGLGGWALLRRLALRSGASIVCGVAVMLLALACGAALLFAAALGSLTAAAGAEIAVGLYERWAARRLGVCELPEEQSDDRGPH
VIMSS58426 1 881 0.260195459704881 PF08448.10:PAS_4:348:456,PF12860.7:PAS_7:113:213,PF12860.7:PAS_7:232:333,PF02518.26:HATPase_c:624:733,PF00512.25:HisKA:513:579,PF00072.24:Response_reg:759:871,PF13188.7:PAS_8:228:262 probable two-component sensor (NCBI) 881 606 23 881 0 Pseudomonas aeruginosa PAO1 VIMSS58426 1 MicrobesOnline MACISTRPSPGSPLADPAEVSVAELQARVVALEEERHKLQRINQALIGRIESSAAQSDEAYGAFQYSVVLAEQVRERTDALSQTMLELKASNQLLSDARLRAETAHQHLLDAIESISDAFVLFDPQQRIVLFNERFRALWIDSRARIGPGMRRAELHRLARSGGLIVEEQRGGDQQTLYRLRDERWLRMSERPTRDGGLAVLYSDVTEIKLSETARREQALAQKSHLLQRAVDNLSQGVAMVGADGVLELWNRRFLELCGLAPIAGHRAFAEVMAESELPLLTPRSQDSQGSPLSAREQRLFDGRVLEIRTHALPTGGFVNTFTDITERYRHAEALRASERWIRLITDQVPALIAYLSDELVYEFTNKVYEEWYRWPSGSMLGRCLRDVHGAEHCRRLEPYFERALAGESVTFEIAETALSGEERYMQRSYVPNRQADGRVAGLFVLIRDITERRRTAEALHQAYQNLEQRVRERTAELTTLNDQFKREIHERSQVEARLREAKREAEQANLSKTKFLAAVSHDLLQPLNAARLFTSALQERGSATRDNEALVNNISHSLEDVENLLGTLVDISKLDAGVITPDIAPFELGELLGNLAAEYRQVAASEGLRLDFVACGALVRSDQQLLARILRNLLSNAIRYTPRGRVLLGCRRHRQRLSIEVWDTGVGIAADKLGEIFQEFKRGESQRCHQDRGLGLGLAIVDKIARMLGHRVRVGSLPGKGSCFAIEVPLARHAPRSRAEPLASADDLRERLRGSRVWVLDNDAAICAGMRTLLEAWGCRVVTALSEEDLARQVDNYHAEADLLIVDYHLDDQRNGVDAVAAINARRGSPLPALMITANYSNELKQQVRELGHTLMHKPVRPMKLKTALCHLLEREASV
VIMSS60654 1 148 0.0186094594594595 PF07681.12:DoxX:15:95 hypothetical protein (NCBI) 148 81 23 62 4 Pseudomonas aeruginosa PAO1 VIMSS60654 0 MicrobesOnline MQRFIDNSLESNWLWLTARICLALMFVASGLAKLFDYQASLEEMRAAGLEPAWLFNIATAVTLLAGSALVLLDRKLWLGAGALAVFLLLTILIVHTFWSKTGVEAKLAMFFALEHIAVIGGLIATAIASAQRQRLRQDVSVAATYQKA
VIMSS60756 1 72 0.0474319444444444 PF04964.14:Flp_Fap:15:63 type IVb pilin Flp 72 49 23 49 1 Pseudomonas aeruginosa PAO1 NP_252996.1 0 RefSeq MKNLTLFVYCKVRAFLADEEGANAIEYAVIAGLIAVALIAVLSPTDSGIVGGLKAFFDGVGEKVGGLAPTAN
VIMSS6581010 1 549 0.252923315118398 Antagonist of mitotic exit network protein 1; Chromosome stability protein 13; Increased copper-sensitivity protein 4 549 0 23 549 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38285 1 SwissProt MKLERVSSNGSFKRGRDIQSLESPCTRPLKKMSPSPSFTSLKMEKPFKDIVRKYGGHLHQSSYNPGSSKVELVRPDLSLKTDQSFLQSSVQTTPNKKSCNEYLSTPEATPLKNTATENAWATSRVVSASSLSIVTPTEIKNILVDEFSELKLGQPLTAQHQRSHAVFEIPEIVENIIKMIVSLESANIPKERPCLRRNPQSYEHSLLMYKDEERAKKAWSAAQQLRDPPLVGHKEKKQGALFSCMMVNRLWLNVTRPFLFKSLHFKSVHNFKEFLRTSQETTQVMRPSHFILHKLHQVTQPDIERLSRMECQNLKWLEFYVCPRITPPLSWFDNLHKLEKLIIPGNKNIDDNFLLRLSQSIPNLKHLVLRACDNVSDSGVVCIALNCPKLKTFNIGRHRRGNLITSVSLVALGKYTQVETVGFAGCDVDDAGIWEFARLNGKNVERLSLNSCRLLTDYSLPILFALNSFPNLAVLEIRNLDKITDVRHFVKYNLWKKSLDAPILIEACERITKLIDQEENRVKRINSLVALKDMTAWVNADDEIENNVD
VIMSS6582337 1 274 0.614718248175183 PF00097.25:zf-C3HC4:206:249 E3 ubiquitin-protein ligase complex SLX5-SLX8 subunit SLX8; RING-type E3 ubiquitin transferase SLX8; Synthetic lethal of unknown function protein 8; EC 2.3.2.27 274 44 23 274 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40072 1 SwissProt MARRPDNQNPEGENLRIKRVRLESVRQNDEEEENEVSRTQNIVTDNRHDSPEAVVEIIGERALENTSEEDGDDDLSLFRALEEDPGSDHNTSNNDSGNHDRETMHTEEPEASSGNNITLTNNVEELHTMDVLSQTANTPSASPMLDAAPPTTKPGTNSKEQTVDLTADAIDLDAEEQQVLQISDDDFQEETKEAPKEYGAAKDYRCPICFEPPETALMTLCGHVFCCPCLFQMVNSSRTCRQFGHCALCRSKVYLKDVRLIILRKKQVKKKVKS
VIMSS6582374 1 404 0.102867326732673 PF03239.14:FTR1:8:320 Plasma membrane iron permease 404 313 23 243 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40088 1 SwissProt MPNKVFNVAVFFVVFRECLEAVIVISVLLSFLKQAIGEHDRALYRKLRIQVWVGVLLGFIICLAIGAGFIGAYYSLQKDIFGSAEDLWEGIFCMIATIMISMMGIPMLRMNKMQSKWRVKIARSLVEIPHRKRDYFKIGFLSRRYAMFLLPFITVLREGLEAVVFVAGAGITTQGSHASAYPLPVVVGLICGGLVGYLLYYGASKSSLQIFLILSTSILYLISAGLFSRGAWYFENYRFNLASGGDASEGGDGNGSYNIRKAVYHVNCCNPELDNGWDIFNALLGWQNTGYLSSMLCYNIYWLVLIIVLSLMIFEERRGHLPFTKNLQLKHLNPGYWIKNKKKQELTEEQKRQLFAKMENINFNEDGEINVQENYELPEQTTSHSSSQNVATDKEVLHVKADSL
VIMSS6582860 1 116 0.67074224137931 Putative protein of unknown function, potential Cdc28p substrate; transcription is activated by paralogous transcription factors Yrm1p and Yrr1p along with genes involved in multidrug resistance (RefSeq) 116 0 23 116 0 Saccharomyces cerevisiae VIMSS6582860 1 MicrobesOnline MLLTPAKTTRTEDSANSTDDSSKSSNSFMRAIVSSLMVKPITSLTNTVTCRQSSHHNSSPSKITRYDLIKAAAENDLKRSKSQGREKSRRNSNRRNNEEIFVANTASEIQRTKSSI
VIMSS6582901 1 118 0.373870338983051 PF02996.17:Prefoldin:48:113 Prefoldin subunit 3; Genes involved in microtubule biogenesis protein 2; Gim complex subunit 2; GimC subunit 2 199 66 23 118 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48363 1 SwissProt MDTLFNSTEKNARGIPQAPFIENVNEIIKDPSDFELCFNKFQERLSKYKFMQESKLATIKQLKTRIPDLENTLKICQSLRNHSDEGDESDEPILLHYQLNDTLYTKAQVDIPEDRADL
VIMSS6582967 1 614 0.206713192182411 PF07690.16:MFS_1:177:558,PF00083.24:Sugar_tr:209:457 Polyamine transporter 2 614 382 23 341 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53283 1 SwissProt MSDQESVVSFNSQNTSMVDVEGQQPQQYVPSKTNSRANQLKLTKTETVKSLQDLGVTSAAPVPDINAPQTAKNNIFPEEYTMETPSGLVPVATLQSMGRTASALSRTRTKQLNRTATNSSSTGKEEMEEEETEEREDQSGENELDPEIEFVTFVTGDPENPHNWPSWVRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAILSCSLMVIGFSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGCLLACRFLCGVWSSSGLCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRMDLIFWVNMAFAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMSEMMRACLLRPLYFAVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGLMFIPIVIGALWALATTFYCENKYLQIVKQRKPTPEDRLLGAKIGAPFAAIALWILGATAYKHIIWVGPASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAFPLFTIQMYHKLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSVEM
VIMSS6583141 1 627 0.0703291866028708 Siderophore iron transporter ARN1; Ferrichrome permease 627 0 23 347 13 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38731 1 SwissProt MESVHSRDPVKEEKKHVFMGMEHELNPETHNDSNSDSYGLPQLSEKYNALRQNRSLIIQQTEIIGSAYNKWYLQAILLLSAFICGYGYGLDGNIRYIYTGYATSSYSEHSLLSTINVINAVVSAASQIIYARLSDVFGRLYLFISAVILYVVGTIIQSQAYDVQRYAAGAIFYNAGYVGVILILLIILSDFSSLKWRLLYQFVPTWPFIINTWIAGNITSRANPVVNWSWDVGMWAFIFPLSCVPIVLCMLHMQWRARKTPEWHALKGQKSYYQEHGFIKILKQLFWMLDVVGVLLMGCSLGCILVPLTLAGGVKTTWNDSRLIGPFVLGFVLIPILWIWEYRFARDPILPYRLVKDRAVWSSMGISFLIDFIYYMAADYLYTVMIVAVNESVKSATRIATLSSFVSTVASPFFALLVTRCTRLKPFIMFGCALWMVAMGLLYHFRGGSQSHSGIIGALCVWGVGTTLFTYPVTVSVQSAVSHENMATVTALNYTLYRIGSAVGSAVSGAIWTQTLYKQILKRMGDVALATTAYESPYTFIETYTWGTPQRNALMNAYKYVQRLETIVALVFCVPLIAFSLCLRDPKLTDTVAVEYIEDGEYVDTKDNDPILDWFEKLPSKFTFKRE
VIMSS6583736 1 409 0.0693154034229828 PF01569.21:PAP2:113:235 Dihydrosphingosine 1-phosphate phosphatase LCB3; Long-chain base protein 3; Sphingolipid resistance protein 2; EC 3.1.3.- 409 123 23 279 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47013 1 SwissProt MVDGLNTSNIRKRARTLSNPNDFQEPNYLLDPGNHPSDHFRTRMSKFRFNIREKLLVFTNNQSFTLSRWQKKYRSAFNDLYFTYTSLMGSHTFYVLCLPMPVWFGYFETTKDMVYILGYSIYLSGFFKDYWCLPRPRAPPLHRITLSEYTTKEYGAPSSHTANATGVSLLFLYNIWRMQESSVMVQLLLSCVVLFYYMTLVFGRIYCGMHGILDLVSGGLIGIVCFIVRMYFKYRFPGLRIEEHWWFPLFSVGWGLLLLFKHVKPVDECPCFQDSVAFMGVVSGIECCDWLGKVFGVTLVYNLEPNCGWRLTLARLLVGLPCVVIWKYVISKPMIYTLLIKVFHLKDDRNVAARKRLEATHKEGASKYECPLYIGEPKIDILGRFIIYAGVPFTVVMCSPVLFSLLNIA
VIMSS6584295 185 751 0.415949735449736 PF00856.28:SET:194:269 SET domain-containing protein 3 751 76 23 567 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36124 1 SwissProt TVEPSSSNNSASNKNNGRDRASSTTISDVGDSFSTDQDNTNHRDKRRKRNPSNNSIDSKNESASVNSSDGLTSMPKKKEHFLSAKDAYGAIYLPLKDNVFKSDLIEPFLNKHMDDNWVIQYPHKTFKSVSIEVKPYADIAYSRTYPGFTKLGVYLKKDCIKGDFIQEILGELDFYKNYLTDPRNHYRIWGTAKRRVIFHSHWPIYIDARLSGNSTRYLRRSCQPNVELVTIKLQDTDNRNDKSSGRKSSRIKFVLRALRDISEDEELYIKWQWDSKHPILKLIKGMTIDSLDDLERYGLINSVETILSNGECGCGNNSKDCYLLKVKRYAQSLYKSVKSRGKMNNRYKLNEILNQYNCKKRREPPILHRLEEKAQNTIERAPILLNNFYRQKFLNRNNGPKIPQKNTIDSTNNPDDIAKPFKFALFAQHSSNISVPKKNETSEKPLIITKSTDYDESHITNIEELPIPVLLPINKTSRQTANDVEESQSKNEHKLSRTPSLSNFNKELSKEAQHSQAKTKEIMTEASVNSRRESTPESIMHLSDFSSSQLHSKKKLSFADYRKKLLK
VIMSS6584747 1 859 0.304818626309662 PF04082.18:Fungal_trans:311:579,PF00172.18:Zn_clus:39:69 Uncharacterized transcriptional regulatory protein YLR278C 1341 300 23 859 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05854 1 SwissProt MGRPRKNVSQEKIQQLKRELELAGNRTDVLLQDKKGRSRSCLLCRRRKQRCDHKLPSCTACLKAGIKCVQPSKYSSSTSNSNTNNNTPTAGTVPPTPHPVIKRELQDSSIGAGAGAATSLNDMTIIKPISTSNSNVDAGDANEFRKTIKSVTTNSNPNLMRQDKDQYTIFLEKKLKSLETLLDLSPGCNQYNYELSQYKKVSHLFSNNTSDYSRPNSSNMVILPLPSPSNKPLENTNNNGSNVNAATNDTSASTNNINNNNAICQSASLLNDPLETLDFTKCIFAKYNLKKEFLMYDPIFELNEKLSRSFLDTFFTRLQFKYPILDEQEIYTFYDHYLHNKILIPPSSPATSSAAPPSNSHSYSEIEFHFLSGRMWLVFSISAYLLMTTGKYKGFPPHRYFSTAIRHITKCGLHLNYVQQIELLTLLVLYIIRTDRDSLILYDIIKDVMGISKKKLHLNQWYPNDPFANKKLRLFWCVYLLERMICVAVGKPYTIKESEINLPLFNNDSFYTKGVHAAAPSTNDHGVQFINQSLKLRRIESQFVETLQLLKNDSRSVKQSIDQLPLVRKFFEDLEVWRKSYSTLDVKNFENETLKLYYYRSVRLLIQPYLEFFAPEDRLFRECQAAAGQICQLYKIFHQKTLNGHSTPAVHTVFVAGVTLIYCMWLARNFDDQRRKKLGDASKHTRPLISASLFSTMDDLRACSVCLYVMTERSNFARTFRDTFDQLMNATVGNLIERCGPDSSELIFMASSVAKRTEPKNINDEANKAISSGDTLHDSNSANAANLSNSNDKNISHNGGMPPAVARIFGKGQAEEHAGFVENSQVDLAEQEKFKKKQGVLEKTSVPKSLAHLLTKMDD
VIMSS6584923 1 2628 0.165715182648402 PF10359.9:Fmp27_WPPW:1717:2173,PF10344.9:Fmp27:24:921,PF10351.9:Apt1:2185:2469,PF10351.9:Apt1:2504:2588,PF10347.9:Fmp27_GFWDK:1298:1455,PF10293.9:DUF2405:939:1100,PF10305.9:Fmp27_SW:1178:1280 Protein FMP27, mitochondrial; Found in mitochondrial proteome protein 27 2628 2148 23 2628 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06179 1 SwissProt MMFPINVLLYKWLIFAVTFLWSCKILLRKLLGINITWINLFKLEICGLSLEDGTVRLKSVRFAVFERKLFIKGLRIDSKKSSTNDLHKELPREEERTFIETPEDNGGGFISKILSLSQYWLNGVTIILEDTQLVNNDITIEKFGFFLSIDNSKHIKSLRFDSFLRKLLWNGQTIIADAIFIVNTNLLIGEIMNPLKDGLQVGLDLKLGDLNIPMNLLNLFINKENVDLMSNEKLLQRLADTTKANEELKDEDIAKMKDDLVYAMEKFVDRIKPLKEMNVTVDKLQIKDFPLTNHPELLGMNKYISYNVLVSNINFNTNRFRNEMPGYTLIFEERDSPFKFSIIMARFNIYLNLNRKHQSHAKQLKIIEIPNVSIFGETNLFSQKFRLSNNLHAKELENAIFNIKGNISSLTIDMDPVNISFIKCFLSNIKVFTSSCPKNKILKENSHVKFLTRRRVLFDYFKCFLPLINMKFTLDDPKFVINDKDDLIIGKFSVFMISHHSKRYTLGNNLMEEKEETQHIFYESHWNVELLDMKLQHIIKHQKYEHTILRVDSIAIEEKVQLLPDILCSANADIDTLMLDLSELPTMVMLSELVHNLDSQLANVEENYFKEFYEKFASNLQNMKAECSNMAKCLRQKEILPSDFMFQQLPDFFDYIKINIRDISSTLGARSVFMPRDVFSSVDSQSSKDLIDGKLRKYCNTVEKLQIALFGDKTQWHNKIGSNHATMVRSGQLTNFSKDNKQNPNHKSSIADLDDISTSDATEVNHLWNINLLVNDITTSIIGETPEVSEELSTKTVSKVSNLSIKLFPDTESFSSNESDSKIILQINHSRGTSVVSLMSIFLAVSGIHTLNQIFGHCIHQKMRQSKTKQYFLALSESKKKSCIKSIKWGQLKELLEINFSSEYISQIIALPNGLRTKFEPTSTFITVKNCNTISVSGQYFRMMVESPTQPNFWERMICINGFKVMIHIDLLKQQMKKLNSLQNWEKLPSAITLENDSWHFSIPHHFEMFKIIDSIPTIFKSIKQMLYSLKTSKDDLIIFPHKIETPLSLPKIKLKSKRWLFSISDDPLEAELNTIFQIGLQEQRERLAKLQEFNKRISEDLIKSQKNAKEMKDDFEAIDNAILKHRTGLWAKDGKKRLRKSATDSEIPLTPAALNINGKRDDRPDRTQFISPEIENAYNTLLANFSDSWIKRVKEYKVKERREFDKNFSFLWGFIDYTKLPKDINKKVLPFSTNPFLMNLIIENIDIDIIRPSCGIENIPNFIHDVGKGVPKNTEYSIMIPMHLDAKFSEVRWHLRDYPLPFVSIPPLSSTQSKETIPMRIYGDFMITEDMLQSDRELRTLFVPLIPSVTVENTDRYYSLFVPRTMTSAKIFTDLNFEINSNHTTRVTWGGSYQPAIQQTMQCLDNFSKPPLDPSVKLGFWDKTRYLFHGKINIVWKKRGKFEISLKGAKSPYMLGGESAGFIVGFDGNVNLKCNEDNDPKKFLSCSADKVHFSIPNYFAKPLLVWSRPSTNTMFIPNQDDTNMQRYASFYYLLNTTSSKNEKADKEIMGKSFIEKTGIKLSGGMTLDMGILFERLGPSLNERTFESKKHYLTRLCNPIYVQDLSKHDSYAGFRSDFIHMSFGLSSNSNSAYNAMQLSPNGFKAFFVWWKSFSGNFPVRRGPLFGLQSISPKFGEHLYTISYHADVSPLFINYMYHNADADQILRKNYLEVAEFAGLKAKSSHFIMDLHQRKEVLTEYQAGLNVRRRVMKLKFLAGDVVCQDVDIRTVSGEFSKLNYIEEKEDAEYDIFDNDMSWLDITDFQDAFFINPDNYLPKIKIMPFAFSPQFAYQKRASYGDKYQVDPKTCKPITPFDNRVSHGCTLGHNVSLRTDLVEKRVTVLKKFREKLQEGIRKNKSAGVSEENLNDLLSKANSSVENAELLLKDFQKIFKQHEAGQTEQPFHFDSLNLLKNTKKTLKQFEHRFFIFNVLLKWNEDARSAIFKFFYYANLSNEFASLASGKGLREFEDVIKQREMTDDTTSMEAIPEGTDKANTTKQCHSCDDTEFTTENLLNIFEKNITQLSCDIKNKIHHKFFVQFITPQIQLTSLENPEACVLVSSPFFMLKTLEFDANTTSNTYMQDIFLKRHGILFGNANAFLFNKKDYQEFFELYFGSSSYGQDKKEQWPPWLGLELGFEPSALKKKAVVRNISALLHHQKLAPFSAKYDSLKDKIEDNICGYVPQVNVQVNSDEYLMLTKMALKLFLYVEPEDEELKKYIEKLIIGYDIYDTAQTRKFVNDLHDSEQILAVVEKELLFKRSLLDDIGKLDLSNIHNERMHQLLRLYILRKVFTSNGNNYINRTLVWNIKVNETILHLLDKIDKPFLDIAVAKLNFQRIQHTMGLRKNTVTVKMMQIFDLGENVNYHCILGPLITSSGNDTVGLASDVPLVQITWDVDKPVGGIKVVKNVETTLSSLTIKLEEDRLNKLFEWLSLKELIYDGNGDDDDGASSIFDMVSSESEEGKIEFSEDISSDFNEMLKRSSDYMIVEDLKLNSFKLCISYKGKGKMRLANVTNFVFNFPTLRLSNQTLRVTDLLLALKKVLIKVLIKHTGRFIGNKLKRNSKENKIADDTSPLKQLTTYNSYTEPEELR
VIMSS6584987 114 1251 0.296260632688928 Probable transcription factor TDA9; Topoisomerase I damage affected protein 9 1251 0 23 1120 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04545 1 SwissProt ALVSKESINSKDKTEIDAINDKNIIQIQGNKQTILPTPSNPLAKTAVQLKKAAKEKKNGKQGKLDLSPSYGANNHSTDVSPSVGNSSTPAVIEETDSSSHFPLPDTNIPTKSKRHASFSASSAFTYSSDNFQKLHQQAKSDFDELQESIPHQVGFSTPQLTAQQLIENAIESGVVDLETLDLPPFLSLDGLPPASSSAAVAASEQIDICPSSATDTISGANSTPNQAATAPPFQLPIARESSSLFLANTPYLSDFLTMGSSYGGSGGFAKSITADPSLDYFNYKNHSHPDSRHNNSSSGINYSNNKNNNESIEKSQNNSNVINETIDHTDIHAHHADAHDDSFIESEEWLSKFIMDSQIDNDLKLNINHFNDIGFNNLHPQNPTTHSEPRNMHNENRDMHRSASKFQSVSENISPREQMSLFKTKQNKAISKFLSDEKIPSTASPSSSASPVQFDKKNVDINEFLLDESVSNLFTTRQIDLFKKNVNLYSPLFQNQKDAVSSTSLTPSLTTQTATTQSGPGWTDSSQKLTFFTEQLRNLIIKENNLKSNLFPTVDELNHYVDLYQVEFHKYFPFIHLYSIIPSSENYPLVISISMIGALYGFHSTHALLLSKIARTRVRMFLENTRSNHDKTPIWLMQSLVLLTFTSIFSNDMNAFRTVNTQIMILVQLIKISKLNYPLENFIKPPIESDHVLEYQDNPAVLNQFKAQYNTREQINRNFKYFILAQSRIRICHIVLLISNLFKSLVDFDCCFHSIDLKCGVPCYNEVLFFCENSRTWNENLTRFNIVLDSKFSLIEVSNGESNYEKCLMYLSNGNPYLYKNAKISFKTLLSLLISIHEKINIERDALKDSYESDFHAKNVQWRMHSRPLVATMLKHWELLYIKNGGILALSDENLPIINTNPSFRLIIPLYFFAKLRKCLDIAPTLRCIWNQDWNSMNSSLEKVCYERESLREATEYAVSVITFWIDTVSVMKGKSTQTPIFTITCIFVSILVIAGYMRRLEDFAQNKNSDCMIGSLKSTDRILWLKAFKTLKRIESHLSEREYKLQTFAEFLRVPDNGSLDIESLDSSLIENTLNSHDVTNQALDIITRTRLSSRTLYCGARILGDTPVWPVSLLFAHALQSRAIYNINHRKSVNSV
VIMSS6585147 1 327 0.168766360856269 PF11801.8:Tom37_C:186:326,PF10568.9:Tom37:22:162 Sorting assembly machinery 37 kDa subunit; MAS37 protein; Mitochondrial 37 kDa outer membrane protein 327 282 23 327 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50110 1 SwissProt MVKGSVHLWGKDGKASLISVDSIALVWFIKLCTSEEAKSMVAGLQIVFSNNTDLSSDGKLPVLILDNGTKVSGYVNIVQFLHKNICTSKYEKGTDYEEDLAIVRKKDRLLEYSLLNYVDVEISRLTDYQLFLNTKNYNEYTKKLFSKLLYFPMWYNTPLQLRSQARENCEEIIGSLTLEDDEEFVESKAMESASQLAQSKTFKIAHKNKIKGKQELQQVKYNLQFDNRLQSCVSNWLAARKKLDDSVILSSDLLFLANLYVQLGLPDGNRIRSKLEQTFGSELLNSMSNKIDDFVHRPSNNLEQRDPQFREQGNVVMSLYNLACKYI
VIMSS6585364 92 201 0.381402727272727 PF13867.6:SAP30_Sin3_bdg:61:98 Transcriptional regulatory protein SAP30 201 38 23 110 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38429 1 SwissProt HPDLRPKSHPMDFEEYTDAFLRRYKDHFQLDVPDNLTLQGYLLGSKLGAKTYSYKRNTQGQHDKRIHKRDLANVVRRHFDEHSIKETDCIPQFIYKVKNQKKKFKMEFRG
VIMSS6585379 88 327 0.679279166666667 Ubiquitin domain-containing protein DSK2 373 0 23 240 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48510 1 SwissProt ATATGAAAGTGATPNMSSGQSAGFNPLADLTSARYAGYLNMPSADMFGPDGGALNNDSNNQDELLRMMENPIFQSQMNEMLSNPQMLDFMIQSNPQLQAMGPQARQMLQSPMFRQMLTNPDMIRQSMQFARMMDPNAGMGSAGGAASAFPAPGGDAPEEGSNTNTTSSSNTGNNAGTNAGTNAGANTAANPFASLLNPALNPFANAGNAASTGMPAFDPALLASMFQPPVQASQAEDTRP
VIMSS6585488 1 661 0.141109379727685 Plasma membrane fusion protein PRM1; Pheromone-regulated membrane protein 1 661 0 23 592 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53835 1 SwissProt MSGFKCYLQLGDRLSQIWLNKYTLVLLLAMLKLLFFSKSIQHAIEVSETYILSNCYSIDSLYSKMTDNTPHYLGIMGNYLIEKGMEETVKATLETLSLIVYASEGLVNFAIDLYLGTYACLIVSAVDGTVDVATNITEKLISLVNDTVSSVANELDTGLNDISKIINKVIKAASKVENFFTGDDDDSNMTSSIKSVNLTISALHNLYIPSSINDKLEELSAKTPDFAQVKNTTKNLISVPFNEVRKNIKAVNASNIIGDTSVLYVPPVSLDNSTGICSSNQSEILAFYSILGHVLKIATVVCITVLICFAVGAMAPVAWNEIKLWRRLCGMRDHYMLSRQDSYTSFSSENTHELKDPFRDPPIQNGQYDVIASYQQCFQTWNTRIAGWMTNLVTFGKSPENIDPKTKQKIEWVVAYMTSERALCVLGIGLLGILVCICQFVMIALLKHKISHSLTSNDGDGVQNLLKSSTAVDIENQMSLWSVQTNKYINTTETNINQEVFGWINTTTLSVNNTVATMISDIDTTLADVFNGTLLYNPMKTVVGCAIENKLYTIEKAMTWIHDKAQLHIPRINGTQIKQALAKQTDNSTIPTASSTSAATENLLENLVNDMREGLLKILRAYHRITLGELTVALVILAVWLVQLPIALVILRLRLRKATFD
VIMSS6586047 1 295 0.569884406779661 PF00170.21:bZIP_1:236:294 AP-1-like transcription factor YAP4; Chromosome instability protein 5; Transcription activator CIN5 295 59 23 295 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40917 1 SwissProt MLMQIKMDNHPFNFQPILASHSMTRDSTKPKKMTDTAFVPSPPVGFIKEENKADLHTISVVASNVTLPQIQLPKIATLEEPGYESRTGSLTDLSGRRNSVNIGALCEDVPNTAGPHIARPVTINNLIPPSLPRLNTYQLRPQLSDTHLNCHFNSNPYTTASHAPFESSYTTASTFTSQPAASYFPSNSTPATRKNSATTNLPSEERRRVSVSLSEQVFNEGERYNNDGQLIGKTGKPLRNTKRAAQNRSAQKAFRQRREKYIKNLEEKSKLFDGLMKENSELKKMIESLKSKLKE
VIMSS6586102 1 295 0.845051186440678 PF08528.11:Whi5:181:205 G1-specific transcriptional repressor WHI5 295 25 23 295 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12416 1 SwissProt MSLRTPKRSRTSDEQEQEQEQEQVQNPDTHVNNEHQQRPGPTTLLSTPVRLKNGFGTPSPPSPPGITKSITKSRRRPSTTSLQGIFMSPVNKRRVGITAHGRVYDHNDDGHESESEDDENEEENENQKKYDGHVSMPLLPPTTPKSRRSEVFLSPSPRLRSPPTAARRSTGERPIREISHTLRTRLNYALVKLQNGWTDKTLPELETELAPAVQTPPRRYHNRFPDSADAGTSAHTAFLQALGGHPPREEATAVETLMLLSSPTKKQQHRPVPATSAGEPTDETEPESDTEVETS
VIMSS6586309 1 309 0.0773352750809062 PF04117.12:Mpv17_PMP22:243:303 Vacuolar membrane protein YOR292C 309 61 23 195 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08743 1 SwissProt MPLQLFGRDQIVVHYDNGNMSNDDQNHQSVLGSWTRRAAAALRTLMNKRIQRITLTHWLLLVIWVTSLWKFTSHYRQLYANSAVFATLCTNILLFGISDILAQSIACFYSYHVDPIPQILNDTFHHVQNNRDVENGGGYESDELSIFNDFTSEHSSYTDNDDYPELDRPLATFKTDTFDFFRWGCFMFWGFFISFFQAPWYKFLNFFYTEDPTVVQVFERVLSDQLLYSPISLYCFFMFSNYVMEGGDKDTLGKKIQRLYISTLGCNYLVWPMVQFINFLIMPRDFQAPFSSSVGVVWNCFLSMRNASK
VIMSS6586352 1 363 0.406349862258953 PF04433.17:SWIRM:284:354 SWIRM domain-containing protein YOR338W 363 71 23 363 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99326 1 SwissProt MLDNMQFHSPAPEHPQLNGGINKIPASHKIGYKLNQQVQRLAVVRNNIEERLNSMESSHGQISDSSVVRAIDASIDDFLIPSPPLSPKLRQCPIISQPQLVNVESDHRELIMLTPVWEAGLNSQKYNHNTRNFLSQYSFFRDMKTTKRIPNKENRKLKVVKSVVNSEALPKRRRYDRKIKRRSRELYEDDGNRSENYDEESAQEVPVRSVTPIRQVKRSLHTISSPLASQGVVNNVPKYIPSMSWEKLPDYSPPLHTLPNSNNKVLKVEWKGSPMDLNHDPLKQRLHPAELVLAQILRLPCDLYLDSKRRFFLEKVHRFKKGLPFRRTDAQKACRIDVNKASRLFAAFEKVGWLQDKHFEKYL
VIMSS6586638 1 332 0.720375602409638 PF11488.8:Lge1:251:329 Transcriptional regulatory protein LGE1; Large cells protein 1 332 79 23 332 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02796 1 SwissProt MSGYTGNNYSRYSSTPPRQRGGYHHARRSRGGAGGSYYRGGNASYGARYNSDYEQPPQEGDLRQTGAYYRNGYTDTRPYYSANSRHYQAQPSPRYNNGTNSYHLPQRGNSQDTNGRTTSASQEDNDEKRVKSRYRNMQADHPRQQPMSVGSTSSRNGSSGNSSTSSTSNGLPPPPSVSSITNNRSYHSSAYPYSSSHTYNNYHHRETPPPPPSNGYYAKGYPVHVPENRSNSDGSSSSVVKKKRILDMKDSPFIYLTDFDKNVKKTNNTESECEKAREVFKESDSIDSALEELNLKINSNELELRLLNNQCDKHALNIQLTQEKLDSLLLMQ
VIMSS6586800 1 220 0.0819890909090909 PF01066.21:CDP-OH_P_transf:19:81 CDP-diacylglycerol--inositol 3-phosphatidyltransferase; Phosphatidylinositol synthase; PI synthase; PtdIns synthase; EC 2.7.8.11 220 63 23 151 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06197 1 SwissProt MSSNSTPEKVTAEHVLWYIPNKIGYVRVITAALSFFVMKNHPTAFTWLYSTSCLLDALDGTMARKYNQVSSLGAVLDMVTDRSSTAGLMCFLCVQYPQWCVFFQLMLGLDITSHYMHMYASLSAGKTSHKSVGEGESRLLHLYYTRRDVLFTICAFNELFYAGLYLQLFSNSATFGKWTTIISFPGYVFKQTANVVQLKRAALILADNDAKNANEKNKTY
VIMSS713 1 398 0.273828391959799 hypothetical protein 398 0 23 398 0 Chlamydia trachomatis D/UW-3/CX NP_220214.1 1 RefSeq MSSISPIGGNSGPEGFSSASRGDEIDDVPDSEEGELEERVSDHAESIITESSETLFRTTSSSGVSEDLQQHVSLEESPRQRGFLGRIRDAVASIWKRRVARRNENYDVKKAEEQQGIVQYLQDSKMPALTRAYRHLRAFNSACLRTIREFFATIFRALRDAYYRHCTRSGINFCGADKDSLEVLVAVGLLLRMATLRSFEHVGGNYEDRLVNNDAPVTGAGRTLVDDAVDDIESILNTRTNWPQHVMIGFSRGLVQLCATPYNATSQECFKSIVRLEKEDSSSDYSQALLLAGIIDHLAEKAPMAAKYVLDALRVRASELIGELIILDLLPPVWKVGRGGVFPPVNEQLVVQIVNANVERLHSTFAHEPQAYLRMIEGLVTNFFFLPSEEDPSSVGNI
VIMSS74252 1 158 0.353410126582279 PF07278.11:DUF1441:9:150 unknown protein encoded within prophage CP-933U (NCBI ptt file) 158 142 23 158 0 Escherichia coli O157:H7 EDL933 VIMSS74252 1 MicrobesOnline MDGELKNMKLNINQLAALSGLHRQTVAARMADVPLAPGSNEKKKLYLLTDLITSLLEKPPSSEDEDMDPHARKAWYQSERERLKFQHETVQLVPVSDVRRSFSVVVKAIVQVLETWPDRLERDRGWTASQLNEVQIVVDEIRDTLEKAVIDCCDEADM
VIMSS81349 1 394 0.253033502538071 conserved hypothetical protein (NCBI ptt file) 394 0 23 394 0 Mycobacterium leprae TN VIMSS81349 1 MicrobesOnline MSGAFIIDPTLKAIEAWHALLGIGVPNDGGVLYSSLSFFEKALEHLAAAFPGDGWLGSAADKYAGQNRKRVDIFQELAELDKELIELIHNQANSVQTTRGILDGAKKALLFVRPVAIDLNYIPLVGSVMSASIQAQACAAAMAAVSGGLAYLLVQTAIHTAKFVALLARLAHLLASAVADVVSDGVAIIKGIVDHLWHFIAGALTGLKDIVEKIIHWFFGLFSHWWSRLHSFFGGIPGLSGATSGLSQVTGLFGVPGLAGSSGLLSGESLLSTENLPSLAGVGAGLGLGSLPQLAQLHAASTRQGTRSQAGVSAELSTEQFGGQQEPVSAQGSQGMGGSQGMGGMTPASTKSKKDERKKKKYSEGAAAGTDDAERAPIEVQSGGGKRALAQHVV
VIMSS93351 1 74 0.183306756756757 PF06667.12:PspB:3:73 phage shock protein B 74 71 23 51 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG10777-MONOMER 0 ecocyc MSALFLAIPLTIFVLFVLPIWLWLHYSNRSGRSELSQSEQQRLAQLADEAKRMRERIQALESILDAEHPNWRDR
WP_000587958.1 1 55 0.0805836363636363 PF17412.2:VraX:1:55 protein VraX 55 55 23 55 0 Staphylococcus aureus WP_000587958.1 1 RefSeq MIIYRQYHHEGAPVYEIITKTFQHVSIKCDDSFSDTEIFKLLSLLQDDIDHMKVS
WP_003417115.1 1 93 0.397186021505376 PF07371.12:DUF1490:1:87 DUF1490 family protein 93 87 23 93 0 Mycobacterium tuberculosis variant bovis BCG str. Tokyo 172 WP_003417115.1 1 RefSeq MAIQVFLAKATTTVITGLAGVTAYEILKKAAAKAPLRQTAVSAAALGLRGTRKAEEAAESARLKVADVMAEARERIGEESPTPAISDLHDHDH
WP_003732901.1 1 310 0.232408387096774 hypothetical protein 310 0 23 310 0 Listeria monocytogenes EGD WP_003732901.1 1 RefSeq MEQEVTRLISASKQDFEKMNGRDLKRSIFQSEGRVIMAQHLLFASQGLVRGVTNTELLAAFGSDMIMLNTFNLEDEKSNLGLQGLTLEDLKKRVNIPLGIYLGCPGENKTSENIIYDAAGMLATDEHLLRAKEIGADFIVLGGNPGSGTSIQDIIETTKRARKLLGNDVLIFAGKWEDGIDEKVLGDPLAKQDAKEVIKQLIDAGADVIDLPAPGSRHGISVRMIQELVQFIHLYKPGTLAMTFLNSSVEGADQDTIRLIALMMKETGADIHAIGDGGFSGCTTPENVMQLSISLKGKPYTYFRMASRNR
WP_010882067.1 1 758 0.261773218997361 PF02707.16:MOSP_N:96:288,PF02722.15:MOSP_C:555:758 hypothetical protein 758 397 23 758 0 Treponema pallidum subsp. pallidum str. Nichols WP_010882067.1 1 RefSeq MGCMRWGSVLCVVVGVGASGGVLGQEFSPKLTGSATLEWGISYGKGVGSHGQAPGAVMGTGPYNLKHGFRTTNTVGVSFPLVMRTTHTRRGQHPALYAELKVADLQADLSQGKAGFAVKRKGKVEATLHCYGAYLTIGKNPTFLTNFARLWKPWVTAQYQEDAVQYAPGFGGLGGKVGYRAQDIGGSGVSLDVGFLSFASNGAWDSTDPTHSKYGFGADLKLMYARAGHPLCTVELASNVTLEDGYLIGAQKDANNQNKDKLLWNVGGRLTLEPGAGFRFSFALDAGNQHQSAQDFQNRTQRAQSELTALSNNLFQGESQKQEAWVTQVVQQATQTVTAGVRSALESRGTTYINALEAVQPNPAKPTGKVVQNLHTPQGSPPNLPPLPALPAFSLMGQVLLQYDAEQVVKGFEQVQTQIVTEINQKVQAAVAKNNANMQAVGGSLGDTARMVGEALIKQQLSRKQNSILTMVSVQDEVKQDLADLVPMMRTEITAFFASVQQHITEEVKKKTDALNAGQQIRQAIQNLRASAWRAFLMGVSAVCLYLDTYNVAFDALFTAQWKWLSSGIYFATAPANVFGTRVLDNTIASCGDFAGFLKLETKSGDPYTHLLTGLDAGVETRVYIPLTHDLYKNNNGNPLPSGGSSGHIGLPVVGKAWCSYRIPVQDYGWVKPSVTVHASTNRAHLNAPAAGGAVGATYLTKEYCAQLRAGISASLIEKTVFSLDWEQGMLSDVPYLLVSECLTQGIGRIVCGVTLSW
XP_001350182.1 1 439 0.135635535307517 PF07422.13:s48_45:34:154,PF07422.13:s48_45:284:401 Female gametocyte surface protein P47 439 239 23 406 2 Plasmodium falciparum (isolate 3D7) SwissProt::Q8IDN0 1 SwissProt MCMGRMISIINIILFYFFLWVKKSISELLSSTQYVCDFYFNPLTNVKPTVVGSSEIYEEVGCTINNPTLGDHIVLICPKKNNGDFSNIEIVPTNCFESHLYSAYKNDSSAYHLEKLDIDKKYAINSSFSDFYLKILVIPNEYKSHKTIYCRCDNSKTEKNIPGQDKILKGKLGLVKIILRNQYNNIIELEKTKPIIHNKKDTYKYDIKLKESDILMFYMKEETIVESGNCEEILNTKINLLSNNNVVIKMPSIFINNINCMLSSQDQNNEKNYINLKADKTKHIDGCDFTKPKGKGIYKNGFIINDIPNEEERICTVHLWNKKNQTIAGIKCPYKLIPPYCFKHVLYEKEIDSQKTYKTFLLSDVLDTPNIEYYGNNKEGMYMLALPTKPEKTNKIRCICEQGGKKAVMELHIASTSTKYISMFLIFFLIVIFYMYVSI
XP_002808743.1 1 305 0.877834426229508 PF05403.11:Plasmodium_HRP:1:117,PF05403.11:Plasmodium_HRP:114:260 histidine-rich protein II 305 260 23 305 0 Plasmodium falciparum 3D7 XP_002808743.1 1 RefSeq MVSFSKNKVLSAAVFASVLLLDNNNSAFNNNLCSKNAKGLNLNKRLLHETQAHVDDAHHAHHVADAHHAHHVADAHHAHHVADAHHAHHAADAHHAHHAADAHHAHHAADAHHAHHAHHAADAHHAHHAADAHHAHHAADAHHAHHAADAHHAHHAADAHHAHHASDAHHAHHAAYAHHAHHASDAHHAADAHHAAYAHHAHHAADAHHAADAHHATDAHHAADAHHAADAHHAADAHHATDAHHAHHAADAHHATDAHHAHHAADAHHAAAHHATDAHHAAAHHATDAHHAAAHHEAATHCLRH
XP_005247743.3 1 293 0.637256313993174 PF06907.12:Latexin:200:293 retinoic acid receptor responder protein 1 isoform X1 436 94 23 293 0 Homo sapiens XP_005247743.3 1 RefSeq MLGESKQFPSKTPGLRNRRGNAKSLSWARDAVRGSTGGPRFLGEASPLSPQPRPSPAAAWARSTRPCAAEAMPHPSTKPGQRGGAERTQGLRETWKRSGPMGTRARYRPSPWGRRGGAHHGLISASAGTARSEPVSVGCRANFPASMQPRRQRLPAPWSGPRGPRPTAPLLALLLLLAPVAAPAGSGDPDDPGQPQDAGVPRRLLQQAARAALHFFNFRSGSPSALRVLAEVQEGRAWINPKEGCKVHVVFSTERYNPEEGEGRLGKCSARVFFKNQKPRPTINVTCTRLIEK
XP_005268132.1 215 463 0.142373092369478 PF01266.24:DAO:6:241 L-2-hydroxyglutarate dehydrogenase, mitochondrial; Duranin; EC 1.1.99.2 463 236 23 249 0 Homo sapiens (Human) SwissProt::Q9H9P8 1 SwissProt TNFEVKGIEMAKESPSRSIDGMQYPIVIKNTKGEEIRCQYVVTCAGLYSDRISELSGCTPDPRIVPFRGDYLLLKPEKCYLVKGNIYPVPDSRFPFLGVHFTPRMDGSIWLGPNAVLAFKREGYRPFDFSATDVMDIIINSGLIKLASQNFSYGVTEMYKACFLGATVKYLQKFIPEITISDILRGPAGVRAQALDRDGNLVEDFVFDAGVGDIGNRILHVRNAPSPAATSSIAISGMIADEVQQRFEL
XP_006237897.1 1 269 0.347713011152416 PF01160.18:Opiods_neuropep:25:70 Proenkephalin-A 269 46 23 269 0 Rattus norvegicus (Rat) SwissProt::P04094 1 SwissProt MAQFLRLCIWLLALGSCLLATVQADCSQDCAKCSYRLVRPGDINFLACTLECEGQLPSFKIWETCKDLLQVSKPEFPWDNIDMYKDSSKQDESHLLAKKYGGFMKRYGGFMKKMDELYPVEPEEEANGGEILAKRYGGFMKKDADEGDTLANSSDLLKELLGTGDNRAKDSHQQESTNNDEDSTSKRYGGFMRGLKRSPQLEDEAKELQKRYGGFMRRVGRPEWWMDYQKRYGGFLKRFAESLPSDEEGESYSKEVPEMEKRYGGFMRF
XP_006495573.1 393 1121 0.477958161865569 PF12548.8:DUF3740:142:285 extracellular sulfatase Sulf-1 isoform X1 1121 144 23 729 0 Mus musculus XP_006495573.1 1 RefSeq KPGNRFRTNKKAKIWRDTFLVERGKFLRKKEESGKNIQQSNHLPKYERVKELCQQARYQTACEQPGQNWQCIEDTSGKLRIHKCKGPSDLLTVRQNARNLYSRGLHDKDKECHCRDSGYRSSRSQRKNQRQFLRNKGTPKYKPRFVHTRQTRSLSVEFEGEIYDINLEEEELQVLPPRSIAKRHDEGHQGFIGHQAAAGDIRNEMLADSNNAVGLPATVRVTHKCFILPNDTIHCERELYQSARAWKDHKAYIDKEIEVLQDKIKNLREVRGHLKKRKPEECGCGDQSYYNKEKGVKRQEKLKSHLHPFKEAAAQEVDSKLQLFKEHRRRKKERKEKKRQRKGEECSLPGLTCFTHDNNHWQTAPFWNLGSFCACTSSNNNTYWCLRTVNETHNFLFCEFATGFLEYFDMNTDPYQLTNTVHTVERSILNQLHIQLMELRSCQGYKQCNPRPKSLDIEDSYGMDGKVSQSNITSDTSWQGLEELSGASNIDEYRSNPRLSLEDWTNYLRAVYRAFALLNSHPDPNKANGTPTTQGGDFLVTSAERLVPAEMASAESGEDPSHVVGETPPLTLPVNLQTLHLNRPTLSPERKLEWDNDIPEVNHLNSEHWRKTEKQIGWEELHHPEGDVVSGNGMTELLPQSHLGHQLTSQHQQKCSQDVETEKDAFEDQLRPLVHSDRTPVHRVFDVSRVEQPLHSSHTERMLAKMGQSDTKEWLPNLEGSASAPVISA
XP_006498767.1 1 183 0.164727868852459 PF02198.16:SAM_PNT:68:149 ETS-related transcription factor Elf-5 isoform X1 284 82 23 183 0 Mus musculus XP_006498767.1 1 RefSeq MPIQPGPSQGRDMTSDHLSPVQPGGTNELRVMLDSVTHSTFLPNASFCDPLMPWTDLFSNEDYYPAFEHQTACDSYWTSVHPEYWTKRHVWEWLQFCCDQYKLDANCISFCHFNISGLQLCSMTQEEFIEAAGICGEYLYFILQNIRSQGYSFFNDAEETKTGIKDYADSSCLKTSGIKSQDC
XP_006507379.1 1 202 0.369057920792079 cardiotrophin-1 isoform X5 202 0 23 202 0 Mus musculus XP_006507379.1 1 RefSeq MSQREGSLDHQTDSSISFLPHLEAKIRQTHNLARLLTKYAEQLLEEYVQQQGEPFGLPGFSPPRLPLAGLSGPAPSHAGLPVSERLRQDAAALSVLPALLDAVRRRQAELNPRAPRLLRSLEDAARQVRALGAAVETVLAALGAAARGPGPEPVTVATLFTANSTAGIFSAKVLGFHVCGLYGEWVSRTEGDLGQLVPGGVA
XP_006710735.1 1 332 0.288993975903615 PF03114.18:BAR:19:290,PF10455.9:BAR_2:117:288 endophilin-B1 isoform X1 402 272 23 332 0 Homo sapiens XP_006710735.1 1 RefSeq MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIMKQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIKCGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLKKAKAAETRNSQLNSARLEGDNIMVNFSYMLNFLHVKWLKIWAEEVTKSEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQCYQYMLDLQKQLGSFPSNYLSNNNQTSVTPVPSVLPNAIGSSAMASTSGLVITSP
XP_008758976.1 296 638 0.459426822157434 PF12772.7:GHBP:22:321 Growth hormone receptor; GH receptor; Somatotropin receptor 638 300 23 343 0 Rattus norvegicus (Rat) SwissProt::P16310 1 SwissProt MLILPPVPVPKIKGIDPDLLKEGKLEEVNTILGIHDNYKPDFYNDDSWVEFIELDIDDADEKTEESDTDRLLSDDQEKSAGILGAKDDDSGRTSCYDPDILDTDFHTSDMCDGTSEFAQPQKLKAEADLLCLDQKNLKNSPYDASLGSLHPSITLTMEDKPQPLLGSETESTHQLPSTPMSSPVSLANIDFYAQVSDITPAGGVVLSPGQKIKAGLAQGNTQLEVAAPCQENYSMNSAYFCESDAKKCIAAAPHMEATTCVKPSFNQEDIYITTESLTTTARMSETADTAPDAEPVPDYTTVHTVKSPRGLILNATALPLPDKKKFLSSCGYVSTDQLNKIMQ
XP_011245680.1 1 1343 0.348876396128071 PF00617.19:RasGEF:531:713 1-phosphatidylinositol 4,5-bisphosphate phosphodiesterase epsilon-1 isoform X1 2298 183 23 1343 0 Mus musculus XP_011245680.1 1 RefSeq MTSEEMAASVLIPVTQRKVASAQSVAEERSVKVSDAGIPRARAGRQGALIPPTISQWNKHKEESSRSDLSKVFSIARGELVCDENSNEEGWEENAPDSPENHAMNGNSLVQSHQHQFPRSQLCEARDSVTEDPCLQPGIPSPLERKVLPGIQLEMEDSPMDVSPAGSQPRIMESSGPHSDRNTAVFHFHYEADRTMSDAFHTLSENLILDDCANCVTLPGGQQNKNCMAYACKLVELTRTCGSKNGQVQCEHCTSLRDEYLCFESSCSKADEVCSGGGFCEDGFAHGPAAKTFLNPLEDFSDNCEDVDDFFKSKKERSTLLVRRFCKNDREVKKSVYTGTRAIMRTLPSGCIGPAAWNYVDQKKAGLLWPCGNVMGTLSAMDIRQSGSQRLSEAQWCLIYSAVRRGEEIEDTVGSLLHCSTQLPNSETAHGRIEDGPCLKQCVRDTECEFRATLQRTSIAQYITGSLLEATTSLGARSGLLSSFGGSTGRIMLKERQLGTSMANSNPVPSSSAGISKELIDLQPLIQFPEEVASILTEQEQNIYRRVLPMDYLCFLTRDLSSPECQRSLPRLKASISESILTSQSGEHNALEDLVMRFNEVSSWVTWLILTAGSMEEKREVFSYLVHVAKCCWNMGNYNAVMEFLAGLRSRKVLKMWQFMDQSDIETMRSLKDAMAQHESSVEYKKVVTRALHIPGCKVVPFCGVFLKELCEVLDGASGLLKLCPRYSSQEEALEFVADYSGQDNFLQRVGQNGLKNSEKELTVNSIFQVIRSCSRSLEMEEEDSASEGSGSRKNSLKDKARWQFIIGDLLDSENDIFEKSKECDPHGSEESQKAFDHGTELIPWYVLSIQADVHQFLLQGATVIHYDQDTHLSARCFLQLQPDNSTLTWMKPPTASPAGARPKLGVLSNMAEPGKFPSPGNAGVSGLAEGILDLFSVKAVYMGHPGIDIHTVCVQNKLSSMLLSETGVTLLYGLQTTDNRLLHFVAPKHTAEMLFSGLLELTTAVRKIRRFPDQRQQWLRKQYVSLYQEDGRYEGPTLAHAVELFGGRRWSTRNPSPGMSAKNAEKPNMQRNNTLGISTTKKKKKMLMRGESGEVTDDEMATRKAKMYRECRSRSGSDPQDVNEQEESEANVITNPPNPLHSRRAYSLTTAGSPNLATGMSSPIRPVSSPVLSSSNKSPSSAWSSSSWHGRIRGGMQGFQSFMVSDSNMSFVEFVELFKSFSIRSRKDLKDIFDIYSVPCNRSASESAPLYTNLTIEENTSDLQPDLDLLTRNVSDLGLFIKSKQQLSDNQRQISDAIAAASIVTNGTGIESTSLGIFGVGILQLNDFLVNCQGEHCTYDEI
XP_011521478.1 1 536 0.447299440298508 PF10523.9:BEN:265:332 protein BANP isoform X1 536 68 23 536 0 Homo sapiens XP_011521478.1 1 RefSeq MMSEHDLADVVQIAVEDLSPDHPGTELWDIVLENHVVTDEDEPALKRQRLEINCQDPSIKSFLYSINQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVRCVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENVISNAVPGRRQNTIVVKVPGQEDSHHEDGESGSEASDSVSSCGQAGSQSIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPNSSSYCPSEPMMSTPPPASELPQPQPQPQALHYALANAQQVQIHQIGEDGQVQVIPQGHLHIAQVPQGEQVQITQDSEGNLQIHHVGQDGQLLEATRIPCLLAPSVFKASSGQVLQGAQLIAVASSDPAAAGVDGSPLQGSDIQVQYVQLAPVSDHTAGAQTAEALQPTLQPEMQLEHGAIQIQ
XP_011537291.1 1 504 0.12170753968254 PF07690.16:MFS_1:27:416 monocarboxylate transporter 2 isoform X1 504 390 23 261 11 Homo sapiens XP_011537291.1 1 RefSeq MPPMPSAPPVHPPPDGGWGWIVVGAAFISIGFSYAFPKAVTVFFKEIQQIFHTTYSEIAWISSIMLAVMYAGGRIFESFLIFTSLRIQCSFTQSGSCLGPVSSVLVNKYGSRPVVIAGGLLCCLGMVLASFSSSVVQLYLTMGFITGLGLAFNLQPALTIIGKYFYRKRPMANGLAMAGSPVFLSSLAPFNQYLFNTFGWKGSFLILGSLLLNACVAGSLMRPLGPNQTTSKSKNKTGKTEDDSSPKKIKTKKSTWEKVNKYLDFSLFKHRGFLIYLSGNVIMFLGFFAPIIFLAPYAKDQGIDEYSAAFLLSVMAFVDMFARPSVGLIANSKYIRPRIQYFFSFAIMFNGVCHLLCPLAQDYTSLVLYAVFFGLGFGSVSSVLFETLMDLVGAPRFSSAVGLVTIVECGPVLLGPPLAGKLVDLTGEYKYMYMSCGAIVVAASVWLLIGNAINYRLLAKERKEENARQKTRESEPLSKSKHSEDVNVKVSNAQSVTSERETNI
XP_644603.1 1 392 0.200226275510204 PF05462.11:Dicty_CAR:7:307,PF00002.24:7tm_2:25:220 Cyclic AMP receptor 1; cAMP receptor 1 392 301 23 261 6 Dictyostelium discoideum (Slime mold) SwissProt::P13773 1 SwissProt MGLLDGNPANETSLVLLLFADFSSMLGCMAVLIGFWRLKLLRNHVTKVIACFCATSFCKDFPSTILTLTNTAVNGGFPCYLYAIVITYGSFACWLWTLCLAISIYMLIVKREPEPERFEKYYYLLCWGLPLISTIVMLAKNTVQFVGNWCWIGVSFTGYRFGLFYGPFLFIWAISAVLVGLTSRYTYVVIHNGVSDNKEKHLTYQFKLINYIIVFLVCWVFAVVNRIVNGLNMFPPALNILHTYLSVSHGFWASVTFIYNNPLMWRYFGAKILTVFTFFGYFTDVQKKLEKNKNNNNPSPYSSSRGTSGKTMGGHPTGDDVQCSSDMEQCSLERHPNMVNNQQNLNNNYGLQQNYNDEGSSSSSLSSSDEEKQTVEMQNIQISTSTNGQGNN 3
YP_177792.1 1 100 0.321142 PF00934.20:PE:1:92 lipase LipX 100 92 23 100 0 Mycobacterium tuberculosis H37Rv YP_177792.1 1 RefSeq MSFVTTRPDSIGETAANLHEIGVTMSAHDDGVTPLITNVESPAHDLVSIVTSMLFSMHGELYKAIARQAHVIHESFVQTLQTSKTSYWLTELANRAGTST
YP_401674.1 1 605 0.481211239669421 PF03326.13:Herpes_TAF50:81:370,PF03326.13:Herpes_TAF50:370:584 Replication and transcription activator; Rta; Immediate-early protein Rta 605 504 23 605 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03209 1 SwissProt MRPKKDGLEDFLRLTPEIKKQLGSLVSDYCNVLNKEFTAGSVEITLRSYKICKAFINEAKAHGREWGGLMATLNICNFWAILRNNRVRRRAENAGNDACSIACPIVMRYVLDHLIVVTDRFFIQAPSNRVMIPATIGTAMYKLLKHSRVRAYTYSKVLGVDRAAIMASGKQVVEHLNRMEKEGLLSSKFKAFCKWVFTYPVLEEMFQTMVSSKTGHLTDDVKDVRALIKTLPRASYSSHAGQRSYVSGVLPACLLSTKSKAVETPILVSGADRMDEELMGNDGGASHTEARYSESGQFHAFTDELESLPSPTMPLKPGAQSADCGDSSSSSSDSGNSDTEQSEREEARAEAPRLRAPKSRRTSRPNRGQTPCPSNAAEPEQPWIAAVHQESDERPIFPHPSKPTFLPPVKRKKGLRDSREGMFLPKPEAGSAISDVFEGREVCQPKRIRPFHPPGSPWANRPLPASLAPTPTGPVHEPVGSLTPAPVPQPLDPAPAVTPEASHLLEDPDEETSQAVKALREMADTVIPQKEEAAICGQMDLSHPPPRGHLDELTTTLESMTEDLNLDSPLTPELNEILDTFLNDECLLHAMHISTGLSIFDTSLF
NP_001001557.1 1 338 0.522765976331361 PF00688.18:TGFb_propeptide:68:281 Growth/differentiation factor 6; GDF-6; Bone morphogenetic protein 13; BMP-13; Growth/differentiation factor 16 455 214 22 338 0 Homo sapiens (Human) SwissProt::Q6KF10 1 SwissProt MDTPRVLLSAVFLISFLWDLPGFQQASISSSSSSAELGSTKGMRSRKEGKMQRAPRDSDAGREGQEPQPRPQDEPRAQQPRAQEPPGRGPRVVPHEYMLSIYRTYSIAEKLGINASFFQSSKSANTITSFVDRGLDDLSHTPLRRQKYLFDVSMLSDKEELVGAELRLFRQAPSAPWGPPAGPLHVQLFPCLSPLLLDARTLDPQGAPPAGWEVFDVWQGLRHQPWKQLCLELRAAWGELDAGEAEARARGPQQPPPPDLRSLGFGRRVRPPQERALLVVFTRSQRKNLFAEMREQLGSAEAAGPGAGAEGSWPPPSGAPDARPWLPSPGRRRRRTAF
NP_001003722.1 1 381 0.539004199475066 Nucleoporin GLE1; hGLE1; GLE1-like protein 698 0 22 381 0 Homo sapiens (Human) SwissProt::Q53GS7 1 SwissProt MPSEGRCWETLKALRSSDKGRLCYYRDWLLRREDVLEECMSLPKLSSYSGWVVEHVLPHMQENQPLSETSPSSTSASALDQPSFVPKSPDASSAFSPASPATPNGTKGKDESQHTESMVLQSSRGIKVEGCVRMYELVHRMKGTEGLRLWQEEQERKVQALSEMASEQLKRFDEWKELKQHKEFQDLREVMEKSSREALGHQEKLKAEHRHRAKILNLKLREAEQQRVKQAEQERLRKEEGQIRLRALYALQEEMLQLSQQLDASEQHKALLKVDLAAFQTRGNQLCSLISGIIRASSESSYPTAESQAEAERALREMRDLLMNLGQEITRACEDKRRQDEEEAQVKLQEAQMQQGPEAHKEPPAPSQGPGGKQNEDLQVK
NP_001028478.1 1 389 0.585723907455013 SH2 domain-containing adapter protein B 503 0 22 389 0 Mus musculus (Mouse) SwissProt::Q6PD21 1 SwissProt MAKWLNKYFSLGNSKTKSPPQPPRPDYREQRRRGERREQPPQAVPQACSASSASCGSAAACFSASSGSLPDDSGSTSDLIRAYRAQKERDFEDPYNGPGSSLRKLRAMCRLDYCGGGGGGDPGGGQRAFTAAAGAAGCCCAAAGAGAAASSSSSSGSPHLYRSSSERRPTTPAEVRYISPKHRLIKVESASAAGDPPGGVCSGGRTWSPTTCGGKKLLNKCSAEETGAGQKDKVTIADDYSDPFDAKSDLKSKAGKGESAGYMEPYEAQRIMTEFQRQESVRSQHKGIQLYDTPYEPEGQSVDSDSESTVSLRLRESKLPQDDDRPADEYDQPWEWNRVTIPALAAQFNGNEKRQSSPSPSRDRRRQLRAPGGGFKPIKHGSPEFCGIL
NP_001035533.1 161 331 0.314656140350877 PHD finger protein 11; BRCA1 C-terminus-associated protein; Renal carcinoma antigen NY-REN-34 331 0 22 171 0 Homo sapiens (Human) SwissProt::Q9UIL8 1 SwissProt QFPIIAQSAKFSGVKRKRGRKKPLSGNHVQPPETMKCNTFIRQVKEEHGRHTDATVKVPFLKKCKEAGLLNYLLEEILDKVHSIPEKLMDETTSESDYEEIGSALFDCRLFEDTFVNFQAAIEKKIHASQQRWQQLKEEIELLQDLKQTLCSFQENRDLMSSSTSISSLSY
NP_001095851.1 1 169 0.174434319526627 PF07421.11:Pro-NT_NN:6:168 neurotensin/neuromedin N precursor 169 163 22 169 0 Rattus norvegicus NP_001095851.1 1 RefSeq MIGMNLQLVCLTLLAFSSWSLCSDSEEDVRVLEADLLTNMHASKVSKGSPPSWKMTLLNVCSLINNLNSAAEEAGEMRDDDLVAKRKLPLVLDDFSLEALLTVFQLQKICRSRAFQHWEIIQEDILDHGNEKTEKEEVIKRKIPYILKRQLYENKPRRPYILKRASYYY 2
NP_001097212.2 1 463 0.170029157667387 torso, isoform D 918 0 22 443 1 Drosophila melanogaster NP_001097212.2 1 RefSeq MLIFYAKYAFIFWFFVGSNQGEMLLMDKISHDKTLLNVTACTQNCLEKGQMDFRSCLKDCRINGTFPGALRKVQENYQMNMICRTESEIVFQIDWVQHSRGTEPAPNATYIIRVDAVKDDNKETALYLSDDNFLILPGLESNSTHNITALAMHGDGSYSLIAKDQTFATLIRGYQPSKMGAVNLLRFVPQPDDLHHIAAEIEWKPSAESNCYFDMVSYSTNSVNMDEPLEVQFRDRKKLYRHTVDNLEFDKQYHVGVRTVNIMNRLESDLQWLPIAVPSCLDWYPYNYTLCPPHKPENLTVTQKQYLPNILALNITWARPRYLPDNYTLHIFDLFKGGTELNYTLDQNRSHFYVPKITVLGSHFEVHLVAQSAGGKNVSGLTLDKVHREGNMVKLVLFIIVPICCILMLCSLTFCRRNRSEVQALQMDAKDAKASEFHLSLMDSSGLLVTLSANESLEVMDEL
NP_001108239.1 1 277 0.299241877256318 bone morphogenetic protein 15 precursor 393 0 22 277 0 Ovis aries NP_001108239.1 1 RefSeq MVLLSILRILLWGLVLFMEHRVQMTQVGQPSIAHLPEAPTLPLIQELLEEAPGKQQRKPRVLGHPLRYMLELYQRSADASGHPRENRTIGATMVRLVRPLASVARPLRGSWHIQTLDFPLRPNRVAYQLVRATVVYRHQLHLTHSHLSCHVEPWVQKSPTNHFPSSGRGSSKPSLLPKTWTEMDIMEHVGQKLWNHKGRRVLRLRFVCQQPRGSEVLEFWWHGTSSLDTVFLLLYFNDTQSVQKTKPLPKGLKEFTEKDPSLLLRRARQAGSIASEV
NP_001135766.1 112 666 0.436512612612612 PF06911.12:Senescence:316:500 Spartin; Spastic paraplegia 20 protein; Trans-activated by hepatitis C virus core protein 1 666 185 22 555 0 Homo sapiens (Human) SwissProt::Q8N0X7 1 SwissProt KLYPEFPPKDMCEKLPEPQSFSSAPQHAEVNGNTSTPSAGAVAAPASLSLPSQSCPAEAPPAYTPQAAEGHYTVSYGTDSGEFSSVGEEFYRNHSQPPPLETLGLDADELILIPNGVQIFFVNPAGEVSAPSYPGYLRIVRFLDNSLDTVLNRPPGFLQVCDWLYPLVPDRSPVLKCTAGAYMFPDTMLQAAGCFVGVVLSSELPEDDRELFEDLLRQMSDLRLQANWNRAEEENEFQIPGRTRPSSDQLKEASGTDVKQLDQGNKDVRHKGKRGKRAKDTSSEEVNLSHIVPCEPVPEEKPKELPEWSEKVAHNILSGASWVSWGLVKGAEITGKAIQKGASKLRERIQPEEKPVEVSPAVTKGLYIAKQATGGAAKVSQFLVDGVCTVANCVGKELAPHVKKHGSKLVPESLKKDKDGKSPLDGAMVVAASSVQGFSTVWQGLECAAKCIVNNVSAETVQTVRYKYGYNAGEATHHAVDSAVNVGVTAYNINNIGIKAMVKKTATQTGHTLLEDYQIVDNSQRENQEGAANVNVRGEKDEQTKEVKEAKKKDK
NP_001138528.1 1 704 0.0584485795454545 PF04515.12:Choline_transpo:318:674 choline transporter-like protein 2 isoform 2 704 357 22 454 11 Homo sapiens NP_001138528.1 1 RefSeq MEDERKNGAYGTPQKYDPTFKGPIYNRGCTDIICCVFLLLAIVGYVAVGIIAWTHGDPRKVIYPTDSRGEFCGQKGTKNENKPYLFYFNIVKCASPLVLLEFQCPTPQICVEKCPDRYLTYLNARSSRDFEYYKQFCVPGFKNNKGVAEVLQDGDCPAVLIPSKPLARRCFPAIHAYKGVLMVGNETTYEDGHGSRKNITDLVEGAKKANGVLEARQLAMRIFEDYTVSWYWIIIGLVIAMAMSLLFIILLRFLAGIMVWVMIIMVILVLGYGIFHCYMEYSRLRGEAGSDVSLVDLGFQTDFRVYLHLRQTWLAFMIILSILEVIIILLLIFLRKRILIAIALIKEASRAVGYVMCSLLYPLVTFFLLCLCIAYWASTAVFLSTSNEAVYKIFDDSPCPFTAKTCNPETFPSSNESRQCPNARCQFAFYGGESGYHRALLGLQIFNAFMFFWLANFVLALGQVTLAGAFASYYWALRKPDDLPAFPLFSAFGRALRYHTGSLAFGALILAIVQIIRVILEYLDQRLKAAENKFAKCLMTCLKCCFWCLEKFIKFLNRNAYIMIAIYGTNFCTSARNAFFLLMRNIIRVAVLDKVTDFLFLLGKLLIVGSVGILAFFFFTHRIRIVQDTAPPLNYYWVPILTVIVGSYLIAHGFFSVYGMCVDTLFLCFLEDLERNDGSAERPYFMSSTLKKLLNKTNKKAAES
NP_001139528.1 1 399 0.116502255639098 PF03062.19:MBOAT:57:304 lysophospholipid acyltransferase 7 isoform 2 399 248 22 335 3 Homo sapiens NP_001139528.1 1 RefSeq MGSSRCGPGAHPVHLWPPHFAFSGHHPRDLGPHSGPALLVSLASEVQDLHLAQRKEMASGFSKGPTLGLLPDVPSLMETLSYSYCYVGIMTGPFFRYRTYLDWLEQPFPGAVPSLRPLLRRAWPAPLFGLLFLLSSHLFPLEAVREDAFYARPLPARLFYMIPVFFAFRMRFYVAWIAAECGCIAAGFGAYPVAAKARAGGGPTLQCPPPSSPEKAASLEYDYETIRNIDCYSTDFCVRVRDGMRYWNMTVQWWLAQYIYKSAPARSYVLRSAWTMLLSAYWHGLHPGYYLSFLTIPLCLAAEGRLESALRGRLSPGGQKAWDWVHWFLKMRAYDYMCMGFVLLSLADTLRYWASIYFCIHFLALAALGLGLALGGGSPSRRKAASQPTSLAPEKLREE
NP_001164507.1 1 237 0.0194451476793249 PF01027.20:Bax1-I:24:225 Bax inhibitor 1; BI-1; Testis-enhanced gene transcript protein; Transmembrane BAX inhibitor motif-containing protein 6 237 202 22 107 6 Mus musculus (Mouse) SwissProt::Q9D2C7 1 SwissProt MNIFDRKINFDALLKFSHITPSTQQHLKKVYASFALCMFVAAAGAYVHVVTHFIQAGLLSALGSLALMIWLMATPHSHETEQKRLGLLAGFAFLTGVGLGPALELCIAVNPSILPTAFMGTAMIFTCFSLSALYARRRSYLFLGGILMSAMSLMLLSSLGNLFFGSIWLFQANLYLGLLVMCGFVLFDTQLIIEKAEHGDKDYIWHCVDLFLDFVTLFRKLMLILAFNEKDKKKEKK
NP_001180236.1 1 1589 0.21025645059786 PF00536.30:SAM_1:12:67,PF07647.17:SAM_2:14:60 Sterile alpha motif domain-containing protein 9; SAM domain-containing protein 9 1589 56 22 1589 0 Homo sapiens (Human) SwissProt::Q5K651 1 SwissProt MAKQLNLPENTDDWTKEDVNQWLESHKIDQKHREILTEQDVNGAVLKWLKKEHLVDMGITHGPAIQIEELFKELRKTAIEDSIQTSKMGKPSKNAPKDQTVSQKERRETSKQKQKGKENPDMANPSAMSTTAKGSKSLKVELIEDKIDYTKERQPSIDLTCVSYPFDEFSNPYRYKLDFSLQPETGPGNLIDPIHEFKAFTNTATATEEDVKMKFSNEVFRFASACMNSRTNGTIHFGVKDKPHGKIVGIKVTNDTKEALINHFNLMINKYFEDHQVQQAKKCIREPRFVEVLLPNSTLSDRFVIEVDIIPQFSECQYDYFQIKMQNYNNKIWEQSKKFSLFVRDGTSSKDITKNKVDFRAFKADFKTLAESRKAAEEKFRAKTNKKEREGPKLVKLLTGNQDLLDNSYYEQYILVTNKCHPDQTKHLDFLKEIKWFAVLEFDPESNINGVVKAYKESRVANLHFPSVYVEQKTTPNETISTLNLYHQPSWIFCNGRLDLDSEKYKPFDPSSWQRERASDVRKLISFLTHEDIMPRGKFLVVFLLLSSVDDPRDPLIETFCAFYQDLKGMENILCICVHPHIFQGWKDLLEARLIKHQDEISSQCISALSLEEINGTILKLKSVTQSSKRLLPSIGLSTVLLKKEEDIMTALEIICENECEGTLLEKDKNKFLEFKASKEEDFYRGGKVSWWNFYFSSESYSSPFVKRDKYERLEAMIQNCADSSKPTSTKIIHLYHHPGCGGTTLAMHILWELRKKFRCAVLKNKTVDFSEIGEQVTSLITYGAMNRQEYVPVLLLVDDFEEQDNVYLLQYSIQTAIAKKYIRYEKPLVIILNCMRSQNPEKSARIPDSIAVIQQLSPKEQRAFELKLKEIKEQHKNFEDFYSFMIMKTNFNKEYIENVVRNILKGQNIFTKEAKLFSFLALLNSYVPDTTISLSQCEKFLGIGNKKAFWGTEKFEDKMGTYSTILIKTEVIECGNYCGVRIIHSLIAEFSLEELKKSYHLNKSQIMLDMLTENLFFDTGMGKSKFLQDMHTLLLTRHRDEHEGETGNWFSPFIEALHKDEGNEAVEAVLLESIHRFNPNAFICQALARHFYIKKKDFGNALNWAKQAKIIEPDNSYISDTLGQVYKSKIRWWIEENGGNGNISVDDLIALLDLAEHASSAFKESQQQSEDREYEVKERLYPKSKRRYDTYNIAGYQGEIEVGLYTIQILQLIPFFDNKNELSKRYMVNFVSGSSDIPGDPNNEYKLALKNYIPYLTKLKFSLKKSFDFFDEYFVLLKPRNNIKQNEEAKTRRKVAGYFKKYVDIFCLLEESQNNTGLGSKFSEPLQVERCRRNLVALKADKFSGLLEYLIKSQEDAISTMKCIVNEYTFLLEQCTVKIQSKEKLNFILANIILSCIQPTSRLVKPVEKLKDQLREVLQPIGLTYQFSEPYFLASLLFWPENQQLDQHSEQMKEYAQALKNSFKGQYKHMHRTKQPIAYFFLGKGKRLERLVHKGKIDQCFKKTPDINSLWQSGDVWKEEKVQELLLRLQGRAENNCLYIEYGINEKITIPITPAFLGQLRSGRSIEKVSFYLGFSIGGPLAYDIEIV
NP_001191433.1 293 1164 0.338128325688073 PF00609.19:DAGK_acc:478:634,PF00781.24:DAGK_cat:40:153 diacylglycerol kinase eta isoform 1 1164 271 22 872 0 Homo sapiens NP_001191433.1 1 RefSeq LYHPICPLGQCKVSIIPPIALNSTDSDGFCRATFSFCVSPLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPHLGLRLFQKFDNFRILVCGGDGSVGWVLSEIDKLNLNKQCQLGVLPLGTGNDLARVLGWGGSYDDDTQLPQILEKLERASTKMLDRWSIMTYELKLPPKASLLPGPPEASEEFYMTIYEDSVATHLTKILNSDEHAVVISSAKTLCETVKDFVAKVEKTYDKTLENAVVADAVASKCSVLNEKLEQLLQALHTDSQAAPVLPGLSPLIVEEDAVESSSEESLGESKEQLGDDVTKPSSQKAVKPREIMLRANSLKKAVRQVIEEAGKVMDDPTVHPCEPANQSSDYDSTETDESKEEAKDDGAKESITVKTAPRSPDARASYGHSQTDSVPGPAVAASKENLPVLNTRIICPGLRAGLAASIAGSSIINKMLLANIDPFGATPFIDPDLDSVDGYSEKCVMNNYFGIGLDAKISLEFNNKREEHPEKCRSRTKNLMWYGVLGTRELLQRSYKNLEQRVQLECDGQYIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDIFAAPSFDDKILEVVAIFDSMQMAVSRVIKLQHHRIAQCRTVKITIFGDEGVPVQVDGEAWVQPPGIIKIVHKNRAQMLTRDRAFESTLKSWEDKQKCDSGKPVLRTHLYIHHAIDLATEEVSQMQLCSQAAEELITRICDAATIHCLLEQELAHAVNACSHALNKANPRCPESLTRDTATEIAINVKALYNETESLLVGRVPLQLESPHEERVSNALHSVEVELQKLTEIPWLYYILHPNEDEEPPMDCTKRNNRSTVFRIVPKFKKEKVQKQKTSSQPGSGDTESGSCEANSPGN
NP_001192005.1 144 526 0.682956919060052 PF02493.20:MORN:142:163,PF02493.20:MORN:165:187 Junctophilin-2; JP-2; Junctophilin type 2 696 45 22 383 0 Mus musculus (Mouse) SwissProt::Q9ET78 1 SwissProt RQSVPYGMAVVVRSPLRTSLSSLRSEHSNGTVAPDSPAADGPMLPSPPVPRGGFALTLLATAEAARPQGLFTRGTLLGRLRRSESRTSLGSQRSRLSFLKSELSSGASDAASTGSLAEGAEGPDDAAAPFDADIDATTTETYMGEWKNDKRSGFGVSERSSGLRYEGEWLDNLRHGYGRTTLPDGHREEGKYRHNVLVKGTKRRVLPLKSSKVRQKVEHGVEGAQRAAAIARQKAEIAASRTSHAKAKAEAAEQAALAANQESNIARTLAKELAPDFYQPGPEYQKRRLLQEILENSESLLEPPERGLGTGLPERPRESPQLHERETPQPEGGPPSPAGTPPQPKRPRPGASKDGLLSPGSWNGEPGGEGSRPATPSDGAGRR
NP_001192292.1 1 132 0.181057575757576 C-type lectin domain family 9 member A isoform 1 264 0 22 109 1 Mus musculus NP_001192292.1 1 RefSeq MHAEEIYTSLQWDIPTSEASQKCQSPSKCSGAWCVVTMISCVVCMGLLATSIFLGIKFFQVSSLVLEQQERLIQQDTALVNLTQWQRKYTLEYCQALLQRSLHSGTDASTGPVLLTSPQMVPQTLDSKETGS
NP_001254712.1 1 1179 0.530251484308736 activating molecule in BECN1-regulated autophagy protein 1 isoform 3 1179 0 22 1179 0 Homo sapiens NP_001254712.1 1 RefSeq MKVVPEKNAVRILWGRERGARAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLAFSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEVRIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEMERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLLHNFLHMLSSRSSGIQTEPFHPPEQASSTQQDQGLLNRPSAFSTVQSSTAGNTLRNLSLGPTRRSLGGPLSSHPSRYHREIAPGLTGSEWTRTVLSLNSRSEAESMPPPRTSASSVSLLSVLRQQEGGSQASVYTSATEGRGFPASGLATESDGGNGSSQNNSGSIRHELQCDLRRFFLEYDRLQELDQSLSGEAPQTQQAQEMLNNNIESERPGPSHQPTPHSSENNSNLSRGHLNRCRACHNLLTFNNDTLRWERTTPNYSSGEASSSWQVPSSFESVPSSGSQLPPLERTEGQTPSSSRLELSSSASPQEERTVGVAFNQETGHWERIYTQSSRSGTVSQEALHQDMPEESSEEDSLRRLSPAAYYAQRMIQYLSRRDSIRQRSMRYQQNRLRSSTSSSSSDNQGPSVEGTDLEFEDFEDNGDRSRHRAPRNARMSAPSLGRFVPRRFLLPEYLPYAGIFHERGQPGLATHSSVNRVLAGAVIGDGQSAVASNIANTTYRLQWWDFTKFDLPEISNASVNVLVQNCKIYNDASCDISADGQLLAAFIPSSQRGFPDEGILAVYSLAPHNLGEMLYTKRFGPNAISVSLSPMGRYVMVGLASRRILLHPSTEHMVAQVFRLQQAHGGETSMRRVFNVLYPMPADQRRHVSINSARWLPEPGLGLAYGTNKGDLVICRPEALNSGVEYYWDQLNETVFTVHSNSRSSERPGTSRATWRTDRDMGLMNAIGLQPRNPATSVTSQGTQTLALQLQNAETQTEREVPEPGTAASGPGEGEGSEYGASGEDALSRIQRLMAEGGMTAVVQREQSTTMASMGGFGNNIIVSHRIHRSSQTGTEPGAAHTSSPQPSTSRGLLPEAGQLAERGLSPRTASWDQPGTPGREPTQPTLPSSSPVPIPVSLPSAEGPTLHCELTNNNHLLDGGSSRGDAAGPRGEPRNR
NP_001258272.1 1 509 0.301488015717092 PF04377.15:ATE_C:282:420,PF04376.13:ATE_N:15:85 arginyl-tRNA--protein transferase 1 isoform 2 509 210 22 509 0 Mus musculus NP_001258272.1 1 RefSeq MASVVEYKGLKAGYYCGYCESREGKTSCGMWAHSMTVQDYQDLIDRGWRRSGKYVYKPVMDQTCCPQYTIRCHPLQFQPSKSHKKVLKKMLKFLAKGEISKGNCEDEPMDSTVEDAVDGDFALINKLDIKCDLKTLSDLKGSIESEEKEKEKSIKKEGSKEFIHPQSIEEKLGSGEPSHPIKVHIGPKPGKGADLSKPPCRKAREMRKERQRLKRMQQASAAASEAQGQPVCLLPKAKSNQPKSLEDLIFQSLPENASHKLEVRLVPASFEDPEFNSSFNQSFSLYTKYQVAIHQEAPEICEKSEFTRFLCSSPLEAEHPADGPECGYGSFHQQYWLDGKIIAVGVLDILPYCVSSVYLYYDPDYSFLSLGVYSALREIAFTRQLHEKTSQLSYYYMGFYIHSCPKMRYKGQYRPSDLLCPETYVWVPIEQCLPSLDNSKYCRFNQDPEAEDEGRSKELDRLRVFHRRSAMPYGVYKNHQEDPSEEAGVLEYANLVGQKCSERMLLFRH
NP_001273434.1 378 782 0.608039012345679 PF00012.20:HSP70:5:251 heat shock protein 105 kDa isoform 4 782 247 22 405 0 Homo sapiens NP_001273434.1 1 RefSeq YPEAKIGRFVVQNVSAQKDGEKSRVKVKVRVNTHGIFTISTASMVEKVPTEENEMSSEADMECLNQRPPENPDTDKNVQQDNSEAGTQPQVQTDAQQTSQSPPSPELTSEENKIPDADKANEKKVDQPPEAKKPKIKVVNVELPIEANLVWQLGKDLLNMYIETEGKMIMQDKLEKERNDAKNAVEEYVYEFRDKLCGPYEKFICEQDHQNFLRLLTETEDWLYEEGEDQAKQAYVDKLEELMKIGTPVKVRFQEAEERPKMFEELGQRLQHYAKIAADFRNKDEKYNHIDESEMKKVEKSVNEVMEWMNNVMNAQAKKSLDQDPVVRAQEIKTKIKELNNTCEPVVTQPKPKIESPKLERTPNGPNIDKKEEDLEDKNNFGAEPPHQNGECYPNEKNSVNMDLD
NP_001288213.1 1 120 0.114365 PF05038.13:Cytochrom_B558a:2:67,PF05038.13:Cytochrom_B558a:69:120 cytochrome b-245 light chain isoform 2 164 118 22 54 3 Mus musculus NP_001288213.1 0 RefSeq MGQIEWAMWANEQALASGLILITGGIVATAGRFTQWYFGAYSIAAGVLICLLEYPRGKRKKGSTMERWLSVPAGFLLATILGTVCLAIASVIYLLAAIRGEQWTPIEPKPKERPQVGGTI
NP_001293072.1 45 183 0.467090647482014 PF16564.5:MBDa:3:72,PF14048.6:MBD_C:77:139 methyl-CpG-binding domain protein 3 isoform 2 253 133 22 139 0 Mus musculus NP_001293072.1 1 RefSeq SRQRVRYDSSNQVKGKPDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLFWEKKLSGLSAFDIAEELVRTMDLPKGLQGVGPGCTDETLLSAIASALHTSTLPITGQLSAAVEKNPGVWLNTAQPLC
NP_001304007.1 71 226 0.592309615384615 PF10278.9:Med19:10:156 mediator of RNA polymerase II transcription subunit 19 isoform 1 261 147 22 156 0 Homo sapiens NP_001304007.1 1 RefSeq GADKSGAGCGPFYLMRELPGSTELTGSTNLITHYNLEQAYNKFCGKKVKEKLSNFLPDLPGMIDLPGSHDNSSLRSLIEKPPILSSSFNPITGTMLAGFRLHTGPLPEQCRLMHIQPPKKKNKHKHKQSRTQDPVPPETPSDSDHKKKKKKKEEDP
NP_001309187.1 1 1937 0.591473618998452 probable JmjC domain-containing histone demethylation protein 2C isoform f 2321 0 22 1937 0 Homo sapiens NP_001309187.1 1 RefSeq MIVMNDQVLEPQNVDPSMVQMTFLDDVVHSLLKGENIGITSRRRSRANQNVNAVHSHYTRAQANSPRPAMNSQAAVPKQNTHQQQQQRSIRPNKRKGSDSSIPDEEKMKEEKYDYISRGENPKGKNKHLMNKRRKPEEDEKKLNMKRLRTDNVSDFSESSDSENSNKRIIDNSSEQKPENELKNKNTSKINGEEGKPHNNEKAGEETLKNSQPPWDQIQEDKKHEEAEKRKSVDTQLQEDMIIHSSEQSTVSDHNSNDLLPQECNMDKTHTMELLPKEKFVSRPPTPKCVIDITNDTNLEKVAQENSSTFGLQTLQKMDPNVSDSKHSIANAKFLETAKKDSDQSWVSDVVKVDLTQSSVTNASSGNDHLNMEKEKYVSYISPLSAVSVMEDKLHKRSPPPETIKSKLNTSVDTHKIKSSPSPEVVKPKITHSPDSVKSKATYVNSQATGERRLANKIEHELSRCSFHPIPTRSSTLETTKSPLIIDKNEHFTVYRDPALIGSETGANHISPFLSQHPFPLHSSSHRTCLNPGTHHPALTPAPHLLAGSSSQTPLPTINTHPLTSGPHHAVHHPHLLPTVLPGVPTASLLGGHPRLESAHASSLSHLALAHQQQQQLLQHQSPHLLGQAHPSASYNQLGLYPIIWQYPNGTHAYSGLGLPSSKWVHPENAVNAEASLRRNSPSPWLHQPTPVTSADGIGLLSHIPVRPSSAEPHRPLKITAHSSPPLTKTLVDHHKEELERKAFMEPLRSVASTSAKNDLDLNRSQTGKDCHLHRHFVDPVLNQLQRPPQETGERLNKYKEEHRRILQESIDVAPFTTKIKGLEGERENYSRVASSSSSPKSHIIKQDMDVERSVSDLYKMKHSVPQSLPQSNYFTTLSNSVVNEPPRSYPSKEVSNIYGDKQSNALAAAAANPQTLTSFITSLSKPPPLIKHQPESEGLVGKIPEHLPHQIASHSVTTFRNDCRSPTHLTVSSTNTLRSMPALHRAPVFHPPIHHSLERKEGSYSSLSPPTLTPVMPVNAGGKVQESQKPPTLIPEPKDSQANFKSSSEQSLTEMWRPNNNLSKEKTEWHVEKSSGKLQAAMASVIVRPSSSTKTDSMPAMQLASKDRVSERSSAGAHKTDCLKLAEAGETGRIILPNVNSDSVHTKSEKNFQAVSQGSVPSSVMSAVNTMCNTKTDVITSAADTTSVSSWGGSEVISSLSNTILASTSSECVSSKSVSQPVAQKQECKVSTTAPVTLASSKTGSVVQPSSGFSGTTDFIHLKKHKAALAAAQYKSSNASETEPNAIKNQTLSASLPLDSTVICSTINKANSVGNGQASQTSQPNYHTKLKKAWLTRHSEEDKNTNKMENSGNSVSEIIKPCSVNLIASTSSDIQNSVDSKIIVDKYVKDDKVNRRKAKRTYESGSESGDSDESESKSEQRTKRQPKPTYKKKQNDLQKRKGEIEEDLKPNGVLSRSAKERSKLKLQSNSNTGIPRSVLKDWRKVKKLKQTGESFLQDDSCCEIGPNLQKCRECRLIRSKKGEEPAHSPVFCRFYYFRRLSFSKNGVVRIDGFSSPDQYDDEAMSLWTHENFEDDELDIETSKYILDIIGDKFCQLVTSEKTALSWVKKDAKIAWKRAVRGVREMCDACEATLFNIHWVCQKCGFVVCLDCYKAKERKSSRDKELYAWMKCVKGQPHDHKHLMPTQIIPGSVLTDLLDAMHTLREKYGIKSHCHCTNKQNLQVGNFPTMNGVSQVLQNVLNHSNKISLCMPESQQQNTPPKSEKNGGSSPESDVGTDNKLTPPESQSPLHWLADLAEQKAREEKKENKELTLENQIKEEREQDNSESPNGRTSPLVSQNNEQGSTLRDLLTTTAGKLRVGSTDAGIAFAPVYSMGAPSSKSGRTMPNILDDIIASVVENKIPPSKTSKINVKPELKEEPEESIISAVDENNKLYSD
NP_001329720.1 191 1213 0.131072922776149 PF00931.22:NB-ARC:6:240,PF07725.12:LRR_3:410:428,PF07725.12:LRR_3:571:589 TIR-NBS-LRR class disease resistance protein 1213 273 22 1023 0 Arabidopsis thaliana NP_001329720.1 1 RefSeq DLVGIENHIEAIKSVLCLESKEARIMVGIWGQSGIGKSTIGRALYSKLSIQFHHRAFITYKSTSGSDVSGMKLRWEKELLSEILGQKDIKIEHFGVVEQRLKQQKVLILLDDVDSLEFLKTLVGKAEWFGSGSRIIVITQDRQLLKAHEIDLIYEVEFPSEHLALTMLCRSAFGKDSPPDDFKELAFEVAKLAGNLPLGLSVLGSSLKGRTKEWWMEMMPRLRNGLNGDIMKTLRVSYDRLHQKDQDMFLYIACLFNGFEVSYVKDLLKDNVGFTMLTEKSLIRITPDGYIEMHNLLEKLGREIDRAKSKGNPGKRRFLTNFEDIHEVVTEKTGTETLLGIRLPFEEYFSTRPLLIDKESFKGMRNLQYLEIGYYGDLPQSLVYLPLKLRLLDWDDCPLKSLPSTFKAEYLVNLIMKYSKLEKLWEGTLPLGSLKEMNLRYSNNLKEIPDLSLAINLEELDLVGCKSLVTLPSSIQNATKLIYLDMSDCKKLESFPTDLNLESLEYLNLTGCPNLRNFPAIKMGCSDVDFPEGRNEIVVEDCFWNKNLPAGLDYLDCLTRCMPCEFRPEQLAFLNVRGYKHEKLWEGIQSLGSLEGMDLSESENLTEIPDLSKATKLESLILNNCKSLVTLPSTIGNLHRLVRLEMKECTGLEVLPTDVNLSSLMILDLSGCSSLRTFPLISTRIECLYLQNTAIEEVPCCIEDFTRLTVLMMYCCQRLKTISPNIFRLTRLELADFTDCRGVIKALSDATVVATMEDHVSCVPLSENIEYIWDKLYRVAYLQEHFSFRNCFKLDRDARELILRSCFKPVALPGEEIPKYFTYRAYGDSLTVIVPQSSLSQNFLRFKACVVVEPLSKGKGFYPFLKVNVGFNGKQYQKSFSKDAELELCKTDHLFFCSFKFRSEDLPSKLNFNDVEFKFCCSNRIKECGVRLMYVSQEENNQQTTRSEKRMRMTSGTSEEDINLPYGLIVADTGLAALNMELSLGQGEPSSSTSLEGEALCVDYMITEEQDKGIPILFPVSGN
NP_001414.1 1 157 0.00569108280254776 PF00822.20:PMP22_Claudin:1:151 Epithelial membrane protein 1 157 151 22 65 4 Homo sapiens P54849 0 SwissProt/TReMBL MLVLLAGIFVVHIATVIMLFVSTIANVWLVSNTVDASVGLWKNCTNISCSDSLSYASEDALKTVQAFMILSIIFCVIALLVFVFQLFTMEKGNRFFLSGATTLVCWLCILVGVSIYTSHYANRDGTQYHHGYSYILGWICFCFSFIIGVLYLVLRKK
NP_002473.2 170 788 0.717995476575121 PF10516.9:SHNi-TPR:373:410,PF13424.6:TPR_12:372:446 Nuclear autoantigenic sperm protein; NASP 788 75 22 619 0 Homo sapiens (Human) SwissProt::P49321 1 SwissProt TDKEQDSEMEKGGREDMDISKSAEEPQEKVDLTLDWLTETSEEAKGGAAPEGPNEAEVTSGKPEQEVPDAEEEKSVSGTDVQEECREKGGQEKQGEVIVSIEEKPKEVSEEQPVVTLEKQGTAVEVEAESLDPTVKPVDVGGDEPEEKVVTSENEAGKAVLEQLVGQEVPPAEESPEVTTEAAEASAVEAGSEVSEKPGQEAPVLPKDGAVNGPSVVGDQTPIEPQTSIERLTETKDGSGLEEKVRAKLVPSQEETKLSVEESEAAGDGVDTKVAQGATEKSPEDKVQIAANEETQEREEQMKEGEETEGSEEDDKENDKTEEMPNDSVLENKSLQENEEEEIGNLELAWDMLDLAKIIFKRQETKEAQLYAAQAHLKLGEVSVESENYVQAVEEFQSCLNLQEQYLEAHDRLLAETHYQLGLAYGYNSQYDEAVAQFSKSIEVIENRMAVLNEQVKEAEGSSAEYKKEIEELKELLPEIREKIEDAKESQRSGNVAELALKATLVESSTSGFTPGGGGSSVSMIASRKPTDGASSSNCVTDISHLVRKKRKPEEESPRKDDAKKAKQEPEVNGGSGDAVPSGNEVSENMEEEAENQAESRAAVEGTVEAGATVESTAC
NP_002514.1 1 293 0.404148464163822 Neuronal pentraxin-2; NP2; Neuronal pentraxin II; NP-II 431 0 22 293 0 Homo sapiens (Human) SwissProt::P47972 1 SwissProt MLALLAASVALAVAAGAQDSPAPGSRFVCTALPPEAVHAGCPLPAMPMQGGAQSPEEELRAAVLQLRETVVQQKETLGAQREAIRELTGKLARCEGLAGGKARGAGATGKDTMGDLPRDPGHVVEQLSRSLQTLKDRLESLEHQLRANVSNAGLPGDFREVLQQRLGELERQLLRKVAELEDEKSLLHNETSAHRQKTESTLNALLQRVTELERGNSAFKSPDAFKVSLPLRTNYLYGKIKKTLPELYAFTICLWLRSSASPGIGTPFSYAVPGQANEIVLIEWGNNPIELLI
NP_009594.1 1 963 0.248843302180685 PF01644.17:Chitin_synth_1:298:458,PF03142.15:Chitin_synth_2:436:611,PF08407.11:Chitin_synth_1N:237:297,PF13641.6:Glyco_tranf_2_3:413:607,PF13632.6:Glyco_trans_2_3:437:666 chitin synthase (EC 2.4.1.16) 963 430 22 804 7 Saccharomyces cerevisiae BRENDA::A6ZKY2 1 BRENDA MTRNPFMVEPSNGSPNRRGASNLSKFYANANSNSRWANPSEESLEDSYDQSNVFQGLPASPSRAALRYSPDRRHRTQFYRDSAHNSPVAPNRYAANLQESPKRAGEAVIHLSEGSNLYPRDNADLPVDPYHLSPQQQPSNNLFGSGRLYSQSSKYTMSTTSTTAPSLAEADDEKEKYLTSTTSYDDQSTIFSADTFNETKFELNHPTRQQYVRRANSESKRRMVSDLPPPSKKKALLKLDNPIPKGLLDTLPRRNSPEFTEMRYTACTVEPDDFLREGYTLRFAEMNRECQIAICITMYNEDKYSLARTIHSIMKNVAHLCKREKSHVWGPNGWKKVSVILISDGRAKVNQGSLDYLAALGVYQEDMAKASVNGDPVKAHIFELTTQVSINADLDYVSKDIVPVQLVFCLKEENKKKINSHRWLFNAFCPVLQPTVVTLVDVGTRLNNTAIYRLWKVFDMDSNVAGAAGQIKTMKGKWGLKLFNPLVASQNFEYKISNILDKPLESVFGYISVLPGALSAYRYRALKNHEDGTGPLRSYFLGETQEGRDHDVFTANMYLAEDRILCWELVAKRDAKWVLKYVKEATGETDVPEDVSEFISQRRRWLNGAMFAAIYAQLHFYQIWKTKHSVVRKFFLHVEFLYQFIQMLFSWFSIANFVLTFYYLAGSMNLVIKHGEALFIFFKYLIFCDLASLFIISMGNRPQGAKHLFITSMVILSICATYSLICGFVFAFKSLASGTESHKIFVDIVISLLSTYGLYFFSSLMYLDPWHMFTSSIQYFLTLPAFTCTLQIFAFCNTHDVSWGTKGSTQESKQLSKAIVVQGPDGKQIVETDWPQEVDKKFLEIKSRLKEPEFEESSGNEKQSKNDYYRDIRTRIVMIWMLSNLILIMSIIQVFTPQDTDNGYLIFILWSVAALAAFRVVGSMAFLFMKYLRIIVSYRNKVEGSGSWEVSKLDLPNVFHKKG
NP_010077.1 1 393 0.456369211195929 PF02453.17:Reticulon:33:186 Reticulon-like protein 2 393 154 22 347 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12443 1 SwissProt MNRNTTTNKNANLNNSRNANAPGEAGHQNKTGLIYWTNPSKSGASFAATLVSLLILRNVNVISVLLKIGYMVLFTSFAVELSTKVLFDKGVVSRFGMQESPDLVGVLKPHIDRELDRLPALEDRIRKLVFAHRTRNNFTIGVSLYFLHGLFAIFSMNTVLIMTTIFLYTVPLIYDRKQARIDRAIDRMKDLVIHRFHKNYNKVVEKTEPYIDKIIPPQTDEGSYSTSISNENKSSTSQRNKSGLSSSEFDNMNDTSASKSGKDSYSTSQYNRAEYPVSQNENIGTLKSGKQEIPTEKDFNNRHENFSKPDVKTYDPRTVDIEEELAAHQRELEQNLKDGDYNLVGSKEIPDPITVPAPTRHTTKPAESQSIPIKNNETLHKTTHGLKQKLQHA
NP_010393.3 1 698 0.194354441260745 PF12739.7:TRAPPC-Trs85:225:663 Trafficking protein particle complex III-specific subunit 85; TRAPP III-specific subunit 85; Muddled meiosis protein 1; Sporulation protein GSG1; Transport protein particle 85 kDa subunit 698 439 22 698 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46944 1 SwissProt MVFSYEHYMNLLFHLDNSKETVPPEIAKRIISNAIAPVITVTSTPLFDKHIQETYKVDSLYMLLRFFGGCVSDRDQANEAKVGQHEHEVCDASDSTDSIPKNKNLEVPNLSKKGSRSRSNSLFQRDSTQSQYIRFTRPLGDLIETRDANDMLFNYHSLEVFLDNYLKLVAANTDEMVPHNLLKKSIYHSFFSLAISSTNNLSPYETFNHPILSLIALDISNGEVYEDARDLLVNFKNLNHNTENFPIFMNTNEMLPVFLLCYNDDSQEEFEKCQALAKKLKKQLFVESILLALWKDSFIYDENSVIQLHQPVMSSLEEILFFLQAPTQTTLSLALINSIYDMLDYLVYDLMIPFMKRKVSFWEETILQPRKSLFNGAKFFKKFMNKNPVNGNHQHNSLTRDSQGNEYFASSSSEFLMRKLADWSMMLSDFKTAYSTYESLMDDLDAFPKYLASCIEWCAVSLLMGAQSIVTVKMIKNDINPLIERALATYENCSRIQRGKGKESNSLDVTEPVRSYETRCMILASELFLSLSNTWTSTPYAIQYLETILDECKLGPCSQIMVWERLSDCYNLRVDPRIKHRVGAMKKDAKDTEDLRGEHKYSTDHFTDEDILSEGLTRRRKAAFFRLIAAKKWAEQKQWRQVSWCLKDIESTYSEIKFLHGNGLILSKLKNQLNLKDVDSAPRPSEKNLTRTSVSFIG
NP_010395.1 1 566 0.379909363957597 DNA replication fork-blocking protein FOB1 566 0 22 566 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::O13329 1 SwissProt MTKPRYNDVLFDDDDSVPSESVTRKSQRRKATSPGESRESSKDRLLILPSMGESYTEYVDSYLNLELLERGERETPIFLESLTRQLTQKIYELIKTKSLTADTLQQISDKYDGVVAENKLLFLQRQYYVDDEGNVRDGRNNDKIYCEPKHVYDMVMATHLMNKHLRGKTLHSFLFSHFANISHAIIDWVQQFCSKCNKKGKIKPLKEYKRPDMYDKLLPMERIHIEVFEPFNGEAIEGKYSYVLLCRDYRSSFMWLLPLKSTKFKHLIPVVSSLFLTFARVPIFVTSSTLDKDDLYDICEEIASKYGLRIGLGLKSSARFHTGGILCIQYALNSYKKECLADWGKCLRYGPYRFNRRRNKRTKRKPVQVLLSEVPGHNAKFETKRERVIENTYSRNMFKMAGGKGLIYLEDVNTFALANEADNSCNNNGILHNNNIGNDNFEEEVQKQFDLTEKNYIDEYDDLAHDSSEGEFEPNTLTPEEKPPHNVDEDRIESTGVAAPMQGTEEPEKGDQKESDGASQVDQSVEITRPETSYYQTLESPSTKRQKLDQQGNGDQTRDFGTSMEL
NP_010398.3 1 257 0.688747081712062 PF04856.13:Securin:9:232 Securin 373 224 22 257 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40316 1 SwissProt MMPANEDKENNIVYTGNESSGINFPQTPAHLLKRSHSNILKPPVRLDQLKRDANSNNGNTLKYIQGGKEVSPTKRLHTHAQQQGRLPLAAKDNNRSKSFIFPETSNQSKDADLPQLQNTLSIRKNDQLRKLSQISRSRSRANHNDLLSNSRKLQKYGSVLGYNALPKMKSLVLKDLADSGKNEESSDDDEGNEDSESKLGKKLQSALLKQDSSDGENELNGGLGLFNEQGGLQQLIKNSTKNEQKTKNDKSDKTDDY
NP_010874.3 1 344 0.224421220930232 PF00722.21:Glyco_hydro_16:98:272 Probable glycosidase CRH2; Congo red hypersensitive protein 2; Unknown transcript 2 protein; EC 3.2.-.- 467 175 22 344 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32623 1 SwissProt MAIVNSWLICLVSIFSFVVRVEAATFCNATQACPEDKPCCSQYGECGTGQYCLNNCDVRYSFSHDSCMPVPICKSSSTKFKDYSSKLGNANTFLGNVSEADWLYTGDVLDYDDEESLILAMPKNSGGTVLSSTRAVWYGKVSARIKTSHLAGVVTGFILYSGAGDELDYEFVGADLETAQTNFYWESVLNYTNSANISTTDTFENYHTYELDWHEDYVTWSIDGVVGRTLYKNETYNATTQKYQYPQTPSKVDISIWPGGNSTNAPGTIAWSGGEINWDASDISNPGYYYAIVNEVNITCYDPPSDTKKNGTSAYVYTSSSEFLAKDIAITDDEVMMDSDEGSG
NP_011077.1 1 148 0.389735810810811 Uncharacterized cell wall protein SPI1; Stationary phase-induced protein 1 148 0 22 148 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40092 1 SwissProt MLSNAKLLLSLAMASTALGLVSNSSSSVIVVPSSDATIAGNDTATPAPEPSSAAPIFYNSTATATQYEVVSEFTTYCPEPTTFVTNGATFTVTAPTTLTITNCPCTIEKPTSETSVSSTHDVETNSNAANARAIPGALGLAGAVMMLL
NP_011870.1 1 541 0.488291866913124 Transcription factor STP2 541 0 22 541 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38704 1 SwissProt MPILSLSSTRNSVLTRIYDYLKALVQQVIVPNVEDDKSSKSTPFEKLEPAKQNHPQKDCCATEKDDLVDVSELFPKQNNKQLSLTSKSSVVPCALNLDNLETPFSIKIDNNGAVTTQLNLDEPILRGPSRGEPAKLQNDLISSPPLEESYINNDQYKALFPSNFLPITPVSSVITPASKKSIDESPLSDEVQGIADESSETLPYICHYCDARFRIRGYLTRHIKKHAKRKAYHCPFFDNSISQELRCHTSGGFSRRDTYKTHLKSRHFTYPEGVKPQDRNKSPGVCTQCGEHFSTSESWVENHIEAGSCKGLPEGYSEGIREKKKTSKMKMIKTSDGQTRFISSDESVSEPALQNKNCIEATVMQSKERPNDKIIPTKTEKNDFGIGTQWFERKQISRPTQTTQSRGPTEVQNLKEWSIISPPILSPQNASSVPQEYQSSRYTLHMDSPALSSASSALSPLSGDPITTTETNKSYPLDSEQSLLEPDKTEEDAINQSKESNMISINEMLQKQMDFELLGENHLKETQDYLALYKKAYGIEF 2
NP_012323.1 1 799 0.0966521902377974 PF03169.15:OPT:107:758 Oligopeptide transporter 1; High affinity glutathione transporter 1 799 652 22 539 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40897 1 SwissProt MSTIYRESDSLESEPSPTPTTIPIQINMEEEKKDAFVKNIDEDVNNLTATTDEEDRDPESQKFDRHSIQEEGLVWKGDPTYLPNSPYPEVRSAVSIEDDPTIRLNHWRTWFLTTVFVVVFAGVNQFFSLRYPSLEINFLVAQVVCYPIGRILALLPDWKCSKVPFFDLNPGPFTKKEHAVVTIAVALTSSTAYAMYILNAQGSFYNMKLNVGYQFLLVWTSQMIGYGAAGLTRRWVVNPASSIWPQTLISVSLFDSLHSRKVEKTVANGWTMPRYRFFLIVLIGSFIWYWVPGFLFTGLSYFNVILWGSKTRHNFIANTIFGTQSGLGALPITFDYTQVSQAMSGSVFATPFYVSANTYASVLIFFVIVLPCLYFTNTWYAKYMPVISGSTYDNTQNKYNVTKILNEDYSINLEKYKEYSPVFVPFSYLLSYALNFAAVIAVFVHCILYHGKDIVAKFKDRKNGGTDIHMRIYSKNYKDCPDWWYLLLQIVMIGLGFVAVCCFDTKFPAWAFVIAILISLVNFIPQGILEAMTNQHVGLNIITELICGYMLPLRPMANLLFKLYGFIVMRQGLNLSRDLKLAMYMKVSPRLIFAVQIYATIISGMVNVGVQEWMMHNIDGLCTTDQPNGFTCANGRTVFNASIIWSLPKYLFSSGRIYNPLMWFFLIGLLFPLAVYAVQWKFPKFKFAKHIHTPVFFTGPGNIPPSTPYNYSLFFAMSFCLNLIRKRWRAWFNKYNFVMGAGVEAGVAISVVIIFLCVQYPGGKLSWWGNNVWKRTYDNDYKKFYTLKKGETFGYDKWW
NP_012505.1 1 553 0.144152441229657 PF04100.12:Vps53_N:6:368 Vacuolar protein sorting-associated protein 53 822 363 22 553 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47061 1 SwissProt MLEGTVDYDPLEDITNILFSKESLNNIDELISITRSYKKQLQEDILKEENELKEHPKNSAEIEASLRKVFQDFKETQDVSASTELTISNLTEGISYLDIAKKNLTHSLTLFQNLKILTDSYIQCNELLSQGSFKKMVSPYKIMCSLAENTFISYKSLDEINYLLSSISRLKGDTLSKIKQNYNALFSGGNISEHDTALTMELREGACELLDCDTSTRAQMIDWCLDKLLFEMKEIFRVDDEAGSLENLSRRYIYFKKILNNFNSKFADYFLKDWEMAVRLTTTFYHITHKDLQTLLKREFKDKNPSIDLFMTALQSTLDFEKYIDVRFSKKIKEPKLSSCFEPYLTLWVSHQNQMMEKKFLSYMSEPKYPSNETESLVLPSSADLFRTYRSVLTQTLELIDNNANDSILTSLANFFSRWLQTYSQKILLPLLLPDNIEVQDKLEAAKYTVLLINTADYCATTIDQLEDKLSEFSGNREKLANSFTKTKNIYDDLLAKGTSFLLNRVIPLDLNFVWREFINNDWSNAAIEDYSRYMVTLKSVLKMPALTDASIK
NP_013021.1 1 1229 0.424558340113914 PF07926.12:TPR_MLP1_2:1000:1125 Protein MLP1; Myosin-like protein 1 1875 126 22 1229 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02455 1 SwissProt MSDHDTPMESIQNGENSDERLNAIASFFGCSLEQVKSFDGDVVKHLNDKLLQFNELKSENLKVTVSFDELKASSLKKIDGLKTEMENVIRENDKIRKERNDTFVKFESVENEKMKLSSELEFVKRKLDDLTEEKKETQSNQQRTLKILDERLKEIELVRVENNRSNSECKKLRSTIMDLETKQQGYITNDLNSRTELERKTQELTLLQSNNDWLEKELRSKNEQYLSYRQKTDKVILDIRNELNRLRNDFQMERTNNDVLKQKNNELSKSLQEKLLEIKGLSDSLNSEKQEFSAEMSLKQRLVDLLESQLNAVKEELNSIRELNTAKVIADDSKKQTPENEDLLKELQLTKEKLAQCEKECLRLSSITDEADEDNENLSAKSSSDFIFLKKQLIKERRTKEHLQNQIETFIVELEHKVPIINSFKERTDMLENELNNAALLLEHTSNEKNAKVKELNAKNQKLVECENDLQTLTKQRLDLCRQIQYLLITNSVSNDSKGPLRKEEIQFIQNIMQEDDSTITESDSQKVVTERLVEFKNIIQLQEKNAELLKVVRNLADKLESKEKKSKQSLQKIESETVNEAKEAIITLKSEKMDLESRIEELQKELEELKTSVPNEDASYSNVTIKQLTETKRDLESQVQDLQTRISQITRESTENMSLLNKEIQDLYDSKSDISIKLGKEKSSRILAEERFKLLSNTLDLTKAENDQLRKRFDYLQNTILKQDSKTHETLNEYVSCKSKLSIVETELLNLKEEQKLRVHLEKNLKQELNKLSPEKDSLRIMVTQLQTLQKEREDLLEETRKSCQKKIDELEDALSELKKETSQKDHHIKQLEEDNNSNIEWYQNKIEALKKDYESVITSVDSKQTDIEKLQYKVKSLEKEIEEDKIRLHTYNVMDETINDDSLRKELEKSKINLTDAYSQIKEYKDLYETTSQSLQQTNSKLDESFKDFTNQIKNLTDEKTSLEDKISLLKEQMFNLNNELDLQKKGMEKEKADFKKRISILQNNNKEVEAVKSEYESKLSKIQNDLDQQTIYANTAQNNYEQELQKHADVSKTISELREQLHTYKGQVKTLNLSRDQLENALKENEKSWSSQKESLLEQLDLSNSRIEDLSSQNKLLYDQIQIYTAADKEVNNSTNGPGLNNILITLRRERDILDTKVTVAERDAKMLRQKISLMDVELQDARTKLDNSRVEKENHSSIIQQHDDIMEKLNQLNLLRESNITLRNE
NP_013060.1 283 3144 0.248459538784067 PF06650.12:SHR-BD:1924:2202,PF16910.5:VPS13_mid_rpt:328:564,PF16909.5:VPS13_C:2459:2637,PF16908.5:VPS13:3:98 Vacuolar protein sorting-associated protein 13; Suppression of the onset of impotence protein 1; Vacuolar protein-targeting protein 2 3144 791 22 2862 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07878 1 SwissProt TEEQPHIDLQMFYDEFGLELDDTEYNDILHVLSSIQLRQITKKFKKARPSFAVSENPTEWFKYIAACVINEIHEKNKMWTWESMKEKCEQRRLYTKLWVEKLKLKNLEAPLRDPIQEAQLSELHKDLTYDEIILFRSVAKRQYAQYKLGMTEDSPTPTASSNIEPQTSNKSATKNNGSWLSSWWNGKPTEEVDEDLIMTEEQRQELYDAIEFDENEDKGPVLQVPRERVELRVTSLLKKGSFTIRKKKQNLNLGSIIFENCKVDFAQRPDSFLSSFQLNKFSLEDGSPNALYKHIISVRNSSKDQSSIDNHATGEEEEEDEPLLRASFELNPLDGLADSNLNIKLLGMTVFYHVHFITEVHKFFKASNQHMETIGNIVNAAEATVEGWTTQTRMGIESLLEDHKTVNVSLDLQAPLIILPLDPHDWDTPCAIIDAGHMSILSDLVPKEKIKEIKELSPEEYDKIDGNEINRLMFDRFQILSQDTQIFVGPDIQSTIGKINTASSTNDFRILDKMKLELTVDLSILPKAYKLPTIRVFGHLPRLSLSINDIQYKTIMNLIANSIPSMIDDEENNGDYVNYSSGSEKEMKKQIQLQLKNTLKALENMQPLQIEQKFLELHFDIDQAKIAFFQCIKNDSRNSEKLVDILCQRLNFNFDKRAKEMNLDLRVHSLDVEDYIELTDNKEFKNLISSGVEKVTRSQKDLFTLKYKRVQRIVPHNDTLIELFDQDIVMHMSELQLVLTPRSVLTLMNYAMLTFTDPNAPEMPADVLRHNKEDRDDAPQKINMKIKMEAVNVIFNDDSIKLATLVLSAGEFTMVLLPERYNINLKLGGLELTDETNESFSRDSVFRKIIQMKGQELVELSYESFDPATNTKDYDSFLKYSTGSMHVNFIESAVNRMVNFFAKFQKSKVSFDRARLAAYNQAPSIDAVNNMKMDIVIKAPIIQFPKLVGTQENNYDTMRFYLGEFFIENKFSVIDESHKINHIKLGVREGQLSSNLNFDGSSQQLYLVENIGLLFNIDRDPLPQDDTPELKVTSNFESFALDLTENQLTYLLEISNKVSSAFNITDENSGESGGKGEIKSPSPDPASLSSESERTATPQSLQGSNKSNIKNPEQKYLDFSFKAPKIALTLYNKTKGVTSLNDCGLTRIMFQDIGCSLGLKNDGTVDGQAHVAAFRIEDVRNIKDNKHTELIPKSKNKEYQFVANISRKNLEVGRLLNISMTMDSPKMILAMDYLVSLKEFFDAIMSKSHENNLYYPENTNQKPENKAIVESVQEGGDVTKIQYSVNIIETALILLADPCDMNSEAISFKIGQFLVTDQNIMTVAANNVGIFLFKMNSSEEKLRLLDDFSSSLTIDKRNSTPQTLMTNIQLSVQPLLMRISLRDIRLAMLIFKRVTTLLNKMTEKEDNGEEEESTDKIQFSHEFERKLAVLDPSILGERSRASQSSDSESIEVPTAILKNETFNADLGGLRFILIGDVHEMPILDMNVNEITASAKDWSTDFEALASLETYVNIFNYSRSSWEPLLEMIPITFHLSKGHSEMDPAFSFDILTQRIAEITLSARSIAMLSHIPASLTEELPLASRVSQKPYQLVNDTELDFDVWIQDKTTEDNKNEVVLLKANTSLPWEFEDWRSIREKLDIDKSKNILGVCVSGQNYKTIMNIDATTEGENLHVLSPPRNNVHNRIVCEARCDENNVKIITFRSTLVIENTTSTEIELLVDSKDPNKPSLKYAIKPHQSKSVPVEYAYDSDIRIRPASEDIYDWSQQTLSWKSLLSNQMSIFCSSKEDSNQRFHFEIGAKYDEREPLAKIFPHMKIVVSASMTIENLLPADINFSIFDKREEKRTDFLKTGESMEVHHISLDSFLLMSVQPLQDEASASKPSIVNTPHKSPLNPEDSLSLTLSGGQNLLLKLDYKNIDGTRSKVIRIYSPYIIMNSTDRELYIQSSLLNIAQSKILLENEKRYTIPKMFSFDKEDDKSNRARIRFKESEWSSKLSFDAIGQSFDASVRIKNKEQESNLGINISEGKGKYLLSKVIEIAPRYIISNTLDIPIEVCETGSMDVQQIESNITKPLYRMRNIVDKQLVLKFLGGDSNWSQPFFIKNVGVTYLKVLKNSRHKLLKIEILLDKATIFIRIKDGGDRWPFSIRNFSDHDFIFYQRDPRKVSDPYKDDQSNESSSRSFKPIFYRIPSKSIMPYAWDFPTAKEKYLVLESGTRTREVRLAEIGELPPLRLDKRSKDKPAPIVGLHVVADDDMQALVIVNYKANVGLYKLKTASATTTSSVSVNSSVTDGFVQKDEDEKVNTQIVVSFKGVGISLINGRLQELLYINMRGIELRYNESKAYQTFSWKMKWMQIDNQLFSGNYSNILYPTEIPYTEKEIENHPVISGSISKVNDSLQAVPYFKHVTLLIQEFSIQLDEDMLYAMMDFIKFPGSPWIMDSRDYKYDEEIQLPDVSELKTAGDIYFEIFHIQPTVLHLSFIRSDEISPGLAEETEESFSSSLYYVHMFAMTLGNINEAPVKVNSLFMDNVRVPLPILMDHIERHYTTQFVYQIHKILGSADCFGNPVGLFNTISSGVWDLFYEPYQGYMMNDRPQEIGIHLAKGGLSFAKKTVFGLSDSMSKFTGSMAKGLSVTQDLEFQRVRRLQQRINKNNRNALANSAQSFASTLGSGLSGIALDPYKAMQKEGAAGFLKGLGKGIVGLPTKTAIGFLDLTSNLSQGVKSTTTVLDMQKGCRVRLPRYVDHDQIIKPYDLREAQGQYWLKTVNGGVFMNDEYLSHVILPGKELAVIVSMQHIAEVQMATQELMWSTGYPSIQGITLERSGLQIKLKSQSEYFIPISDPEERRSLYRNIAIAVREYNKYCEAIL
NP_013472.3 1 598 0.11616889632107 PF00646.33:F-box:14:60 Mitochondrial distribution and morphology protein 30 598 47 22 598 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05930 1 SwissProt MTKRRNLFMVGSSFTIDHLPPEIWLCISKLVGTSDLHNLCLINRRLYLTITSDEIWKRRCYDRWINRESLDILTGNDYDSIPVSQWYSYYLRRAKWENKIFCLLWGLTEETNPQHFREKYLHILQFRHYKLATFLHRIIKQGYIPDKRPLDLITYANYLLKNVRHKYVFPLFYPTNAAELKNLNNMASRDAEMIYLRLSAIDTSFDDLLDAREFILNGICSDLLQKYKKIEEFLKLRPVTRVSKLISISTDYLDCFTQPHDSVGQTNDRATGRELHREDFMLLRVYSREGRGYKTIILAIIQAITKRYNVDSYLARDHLVVSEPDFPDGRAFVTVNEDFQPYIFDKEDLLSVWSNNFHNAENFESTVLPALLEPISIQHLLTEFFRELLRCKPRPFEGYPNRAHGLRDMFPYGKVEVPRDVTMYFAFIYDLFDGMFESGMTSLRGQMLRDLLNYVNANNFGDLNIIIGQNALKEPNDCWSNKRDYVLLDDNNKIGYFYTDIETEDTLCALNQYEVDGKVFITTIDILGDIRVRLAEGLTPFQGDNDKLWESFSSVVPRTDWGLFFKGYDKERRRMQLNPYIEEKLSNLANDEQPLHNL
NP_014283.3 1 319 0.6433 E3 ubiquitin-protein ligase DMA2; Checkpoint forkhead associated with RING domains-containing protein 1; Defective in mitotic arrest protein 2; RING-type E3 ubiquitin transferase DMA2; EC 2.3.2.27 522 0 22 319 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53924 1 SwissProt MYTPIPANTPAPTAPTSSMTSNSSSASNANTTSSSGINPRNRASGTPSNERARPASGISSFLNTFGIRQNSQTASSSAAPDQRLFGTTPSNSHMSVAMESIDTAPQQQEPRLHHPIQMPLSAQFHVHRNYQLPISISLTAPTTTDHQQSSAHNFEGNNVGNVQESLNQRQPNGTNNTTTSIISMAPAATTRNIVGGADGSTIVNNSQEMYKNLRHLIYAANQPNGTEILHLDLPATSAEESNNMFNVDEVTLKQRKDKHGLFSIRLTPFIDSSSTTNQGLFFEPIIRKAGPGSQLVIGRYTERVRDAISKIPEQYHPVV
NP_014334.3 1 586 0.137467406143345 PF07690.16:MFS_1:107:510,PF00083.24:Sugar_tr:136:281 Probable transporter AQR1 586 404 22 356 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53943 1 SwissProt MSRSNSIYTEDIEMYPTHNEQHLTREYTKPDGQTKSEKLNFEGAYINSHGTLSKTTTREIEGDLDSETSSHSSDDKVDPTQQITAETKAPYTLLSYGQKWGMVAILTMCGFWSSLGSPIYYPALRQLEKQFNVDENMVNVTVVVYLLFQGISPTVSGGLADCFGRRPIILAGMLIYVIASIGLACAPSYGVIIFLRCIQSIGISPTIAISSGVVGDFTLKHERGTFVGATSGFVLLGQCFGSLIGAVLTARWDWRAIFWFLTIGCGSCFLIAFLILPETKRTIAGNLSIKPKRFINRAPIFLLGPVRRRFKYDNPDYETLDPTIPKLDLSSAGKILVLPEIILSLFPSGLLFAMWTLMLSSISSGLSVAPYNYHLVIIGVCYLPGGIGGLMGSFFTGRIIDMYFKRKIKKFEQDKANGLIPQDAEINMFKVRLVCLLPQNFLAVVAYLLFGWSIDKGWRIESILITSFVCSYCAMSTLSTSTTLLVDLYPTKSSTASSCFNFVRCSLSTIFMGCFAKMKAAMTVGGTFTFLCALVFFFNFLMFIPMKYGMKWREDRLLKQQRQSWLNTLAVKAKKGTKRDQNDNHN
NP_014472.1 1 378 0.130864021164021 PF07992.14:Pyr_redox_2:7:285,PF00070.27:Pyr_redox:139:220 Apoptosis-inducing factor 1; Cercosporin and photosensitizer-detoxification protein 1; EC 1.-.-.- 378 279 22 359 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P52923 1 SwissProt MTINTKNIVVVGAGVFGVSVANHLYRELGGTYAIKLVTASNYVYFLPSAVRLTVSKDYTKSILPLKNVLDSGIEVIKDTAASFDDKEVVLGSDRAIKFDILVLATGSKWADPIGSTYTFGDNYKEYFEREASRISDADHILFLGGGFVNCELAGELLFKYLEEIRSGKKRISIIHNSDKLLPDSGLYNDTLRKNVTDYLSKNGITLYLNTVGASLDTSPKRIFLGEGSSKYIDADLIYRGVGISPNVPVNSISDLCDKKGFIQVEKNFRVKAVEAGNVFAIGDVTNFRYHGLVKRDNWVDVLTRNVISSLQEGTEASLVDADCLETGHAPSGVSLGPNAGFGQFPLPLLGTINIPSFLISRAKSKNLFSDKMEPLFKK
NP_014537.1 1 352 0.119344318181818 Non-disjunction protein 1; Telomere-associated meiosis protein 1 352 0 22 352 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12366 1 SwissProt MSKDNRLASILLQPVASSSGNCTEFHDSKLHTLQEELNFLPLEGVASNVCPPMFRGHKNYVFVLYCLNQVDLVTNLQDSTKRYYPLQIFKDCQLSSLVQKDFSHYFQLSRQKEGEDRNDSDTTLVNVVNSGVSRHRSQLLKMCIIPRICSFDKSNSKTYKLIQEYVNRFETVLTKFGPEKDFTKVYANWSKLIESFNELILHDLLVKWQQWSELTQPNATVHQNIPNVLRELVIKLTQRYFTFQPSYSCSIDEFTTILLNKNALSLLDVFRKPRKYKLNFGLWLDCQNGILIFTNGIVQMADEITSERVKSFVRPAHLLVLEDHSNDEAVKKLMFFTFSAILQCFTDEILNC
NP_014695.1 1 150 0.481794666666667 PF01428.16:zf-AN1:85:123 AN1-type zinc finger protein TMC1; Trivalent metalloid-sensitive Cuz1-related protein 1 150 39 22 150 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08422 1 SwissProt MSDINEIEIPSRKDEIRQVTPKDPMHEIEDKSTYHAKIKKSDSGTVLGAIPLNSRSSSNSSVTSTGQSSRRVTKKTTKKKKKNACYFDTCSSAASKFIGDCNFCKGHFCSKHRLMENHACNGLTSCKEQLHQRNADKLEAEQTKAPKIQI
NP_014818.1 1 619 0.135172859450727 PF03062.19:MBOAT:111:445 Lysophospholipid acyltransferase; LPLAT; 1-acyl-sn-glycerol-3-phosphate acyltransferase; AGPAT; Lysophosphatidic acid acyltransferase; LPAAT; Lysophosphatidylcholine acyltransferase; LPCAT; Lysophosphatidylethanolamine acyltransferase; LPEAT; EC 2.3.1.23; EC 2.3.1.51 619 335 22 472 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08548 1 SwissProt MYNPVDAVLTKIITNYGIDSFTLRYAICLLGSFPLNAILKRIPEKRIGLKCCFIISMSMFYLFGVLNLVSGFRTLFISTMFTYLISRFYRSKFMPHLNFMFVMGHLAINHIHAQFLNEQTQTTVDITSSQMVLAMKLTSFAWSYYDGSCTSESDFKDLTEHQKSRAVRGHPPLLKFLAYAFFYSTLLTGPSFDYADFDSWLNCEMFRDLPESKKPMRRHHPGERRQIPKNGKLALWKVVQGLAWMILSTLGMKHFPVKYVLDKDGFPTRSFIFRIHYLFLLGFIHRFKYYAAWTISEGSCILCGLGYNGYDSKTQKIRWDRVRNIDIWTVETAQNTREMLEAWNMNTNKWLKYSVYLRVTKKGKKPGFRSTLFTFLTSAFWHGTRPGYYLTFATGALYQTCGKIYRRNFRPIFLREDGVTPLPSKKIYDLVGIYAIKLAFGYMVQPFIILDLKPSLMVWGSVYFYVHIIVAFSFFLFRGPYAKQVTEFFKSKQPKEIFIRKQKKLEKDISASSPNLGGILKAKIEHEKGKTAEEEEMNLGIPPIELEKWDNAKEDWEDFCKDYKEWRNKNGLEIEEENLSKAFERFKQEFSNAASGSGERVRKMSFSGYSPKPISKKEE
NP_032479.1 1 249 0.526572289156627 Krueppel-like factor 3; Basic krueppel-like factor; CACCC-box-binding protein BKLF; TEF-2 344 0 22 249 0 Mus musculus (Mouse) SwissProt::Q60980 1 SwissProt MLMFDPVPVKQEAMDPVSVSFPSNYIESMKPNKYGVIYSTPLPDKFFQTPEGLTHGIQVEPVDLTVNKRGSPPAAGGSPSSLKFPSHRRASPGLSMPSSSPPIKKYSPPSPGVQPFGVPLSMPPVMAAALSRHGIRSPGILPVIQPVVVQPVPFMYTSHLQQPLMVSLSEEMDNSNSGMPVPVIESYEKPLLQKKIKIEPGIEPQRTDYYPEEMSPPLMNPVSPPQALLQENHPSVIVQPGKRPLPVES
NP_032792.2 1 545 0.119024403669725 PF00083.24:Sugar_tr:132:506,PF07690.16:MFS_1:129:467 Solute carrier family 22 member 6; Kidney-specific transport protein; Novel kidney transcript; mNKT; Organic anion transporter 1; Renal organic anion transporter 1; mROAT1 545 378 22 373 8 Mus musculus (Mouse) SwissProt::Q8VC69 1 SwissProt MAFNDLLKQVGGVGRFQLIQVTMVVAPLLLMASHNTLQNFTAAIPAHHCRPPANANLSKDGGLEAWLPLDKQGRPESCLRFPFPHNGTEANGTGVTEPCLDGWVYDNSTFPSTIVTEWNLVCSHRAFRQLAQSLFMVGVLLGAMMFGYLADRLGRRKVLILNYLQTAVSGTCAAYAPNYTVYCIFRLLSGMSLASIAINCMTLNMEWMPIHTRAYVGTLIGYVYSLGQFLLAGIAYAVPHWRHLQLAVSVPFFVAFIYSWFFIESARWYSSSGRLDLTLRALQRVARINGKQEEGAKLSIEVLQTSLQKELTLNKGQASAMELLRCPTLRRLFLCLSMLWFATSFAYYGLVMDLQGFGVSMYLIQVIFGAVDLPAKFVCFLVINSMGRRPAQLASLLLAGICILVNGIIPRGHTIIRTSLAVLGKGCLASSFNCIFLYTGELYPTMIRQTGLGMGSTMARVGSIVSPLISMTAEFYPSIPLFIFGAVPVAASAVTALLPETLGQPLPDTVQDLKSRSRGKQKQQQLEQQKQMIPLQVSTQEKNGL
NP_034175.2 1 334 0.0295781437125748 atypical chemokine receptor 1 334 0 22 176 7 Mus musculus NP_034175.2 1 RefSeq MGNCLYPVENLSLDKNGTQFTFDSWNYSFEDNYSYELSSDYSLTPAAPCYSCNLLGRSSLPFFMLTSVLGMLASGGILFAILRPFFHWQICPSWPILAELAVGSALFSIAVPILAPGLHSAHSTALCNLGYWVWYTSAFAQALLIGCYACLNPRLNIGQLRGFTLGLSVGLWGAAALLGLPVALASDAYNGFCAFPSSRDMEALKYMHYAICFTIFTVLPPTLLAAKGLKIALSKGPGPWVSVLWIWFIFWWPHGMVLIFDALVRSKIVLLYTCQSQKILDAMLNVTEALSMLHCVATPLLLALFCHQTTRRSLSSLSLPTRQASQMDALAGKS
NP_034392.1 1 233 0.605539055793991 homeobox protein GBX-2 348 0 22 233 0 Mus musculus NP_034392.1 1 RefSeq MSAAFPPSLMMMQRPLGSSTAFSIDSLIGSPPQPSPGHFVYTGYPMFMPYRPVVLPPPPPPPPALPQAALQPALPPAHPHHQIPSLPTGFCSSLAQGMALTSTLMATLPGGFSASPQHQEAAAARKFAPQPLPGGGNFDKAEALQADAEDGKAFLAKEGSLLAFSAAEAVQASLVGAVRGQGKDESKVEDDPKGKEESFSLESDVDYSSDDNLPGQTAHKEEDPGHALEETPQ
NP_035025.3 182 383 0.339807425742574 PF12533.8:Neuro_bHLH:1:130 Neurogenic differentiation factor 2; NeuroD2; NeuroD-related factor; NDRF 383 130 22 202 0 Mus musculus (Mouse) SwissProt::Q62414 1 SwissProt KRPDLVSYVQTLCKGLSQPTTNLVAGCLQLNSRNFLTEQGADGAGRFHGSGGPFAMHPYPYPCSRLAGAQCQAAGGLGGGAAHALRTHGYCAAYETLYAAAGGGGASPDYNSSEYEGPLSPPLCLNGNFSLKQDSSPDHEKSYHYSMHYSALPGSRPTGHGLVFGSSAVRGGVHSENLLSYDMHLHHDRGPMYEELNAFFHN
NP_035034.2 420 1090 0.892840089418778 PF07142.12:DUF1388:100:126,PF07142.12:DUF1388:117:143,PF07142.12:DUF1388:123:149,PF07142.12:DUF1388:141:168,PF07142.12:DUF1388:159:185,PF07142.12:DUF1388:168:192,PF07142.12:DUF1388:183:210,PF07142.12:DUF1388:189:216,PF07142.12:DUF1388:207:234,PF07142.12:DUF1388:213:240,PF07142.12:DUF1388:237:263,PF07142.12:DUF1388:243:269,PF07142.12:DUF1388:261:287,PF07142.12:DUF1388:267:294,PF07142.12:DUF1388:285:312,PF07142.12:DUF1388:309:336,PF07142.12:DUF1388:333:360,PF07142.12:DUF1388:361:379 Neurofilament heavy polypeptide 1090 280 22 671 0 Mus musculus P19246 1 SwissProt/TReMBL LTEGLPKIPSISTHIKVKSEEMIKVVEKSEKETVIVEGQTEEIRVTEGVTEEEDKEAQGQEGEEAEEGEEKEEEEGAAATSPPAEEAASPEKETKSRVKEEAKSPGEAKSPGEAKSPAEAKSPGEAKSPGEAKSPGEAKSPAEPKSPAEPKSPAEAKSPAEPKSPATVKSPGEAKSPSEAKSPAEAKSPAEAKSPAEAKSPAEAKSPAEAKSPAEAKSPATVKSPGEAKSPSEAKSPAEAKSPAEAKSPAEAKSPAEVKSPGEAKSPAEPKSPAEAKSPAEVKSPAEAKSPAEVKSPGEAKSPAAVKSPAEAKSPAAVKSPGEAKSPGEAKSPAEAKSPAEAKSPIEVKSPEKAKTPVKEGAKSPAEAKSPEKAKSPVKEDIKPPAEAKSPEKAKSPVKEGAKPPEKAKPLDVKSPEAQTPVQEEAKHPTDIRPPEQVKSPAKEKAKSPEKEEAKTSEKVAPKKEEVKSPVKEEVKAKEPPKKVEEEKTLPTPKTEAKESKKDEAPKEAPKPKVEEKKETPTEKPKDSTAEAKKEEAGEKKKAVASEEETPAKLGVKEEAKPKEKTETTKTEAEDTKAKEPSKPTETEKPKKEEMPAAPEKKDTKEEKTTESRKPEEKPKMEAKVKEDDKSLSKEPSKPKTEKAEKSSSTDQKESQPPEKTTEDKATKGEK
NP_038677.3 1 349 0.228863037249284 PF02019.18:WIF:50:178 Tyrosine-protein kinase RYK; Kinase VIK; Met-related kinase; NYK-R; EC 2.7.10.1 594 129 22 326 1 Mus musculus (Mouse) SwissProt::Q01887 1 SwissProt MRAGRGGVPGSGGLRAPPPPLLLLLLAMLPAAAPRSPALAAAPAGPSVSLYLSEDEVRRLLGLDAELYYVRNDLISHYALSFNLLVPSETNFLHFTWHAKSKVEYKLGFQVDNFVAMGMPQVNISAQGEVPRTLSVFRVELSCTGKVDSEVMILMQLNLTVNSSKNFTVLNFKRRKMCYKKLEEVKTSALDKNTSRTIYDPVHAAPTTSTRVFYISVGVCCAVIFLVAIILAVLHLHSMKRIELDDSISASSSSQGLSQPSTQTTQYLRADTPNNATPITSSSGYPTLRIEKNDLRSVTLLEAKAKVKDIAISRERITLKDVLQEGTFGRIFHGILVDEKDPNKEKQTF
NP_038909.3 289 946 0.557207294832826 PF12063.8:DUF3543:574:655 Serine/threonine-protein kinase ULK2; Serine/threonine-protein kinase Unc51.2; Unc-51-like kinase 2; EC 2.7.11.1 1037 82 22 658 0 Mus musculus (Mouse) SwissProt::Q9QY01 1 SwissProt GPVPGSSCSSSPSCRFASPPSLPDMQHIQEENLSSPPLGPPNYLQVSKDSASNSSKNSSCDTDDFVLVPHNISSDHSYDMPMGTTARRASNEFFMCGGQCQPTVSPHSETAPIPVPTQVRNYQRIEQNLISTASSGTNPHGSPRSAVVRRSNTSPMGFLRVGSCSPVPGDTVQTGGRRLSTGSSRPYSPSPLVGTIPEQFSQCCCGHPQGHEARSRHSSGSPVPQTQAPQSLLLGARLQSAPTLTDIYQNKQKLRKQHSDPVCPSHAGAGYSYSPQPSRPGSLGTSPTKHTGSSPRNSDWFFKTPLPTIIGSPTKTTAPFKIPKTQASSNLLALVTRHGPAESQSKDGNDPRECSHCLSVQGSERHRSEQQQSKAVFGRSVSTGKLSEQQVKAPLGGHQGSTDSLNTERPMDVAPAGACGVMLALPAGTAASARAVLFTVGSPPHSATAPTCTHMVLRTRTTSVGSSSSGGSLCSASGRVCVGSPPGPGLGSSPPGAEGAPSLRYVPYGASPPSLEGLITFEAPELPEETLMEREHTDTLRHLNMMLMFTECVLDLTAVRGGNPELCTSAVSLYQIQESVVVDQISQLSKDWGRVEQLVLYMKAAQLLAASLHLAKAQVKSGKLSPSMAVKQVVKNLNERYKFCITMCKKLTEKLNRF
NP_055892.2 1 386 0.196130569948187 Sterile alpha and TIR motif-containing protein 1; Sterile alpha and Armadillo repeat protein; Sterile alpha motif domain-containing protein 2; MyD88-5; SAM domain-containing protein 2; Tir-1 homolog 724 0 22 386 0 Homo sapiens (Human) SwissProt::Q6SZW1 1 SwissProt MVLTLLLSAYKLCRFFAMSGPRPGAERLAVPGPDGGGGTGPWWAAGGRGPREVSPGAGTEVQDALERALPELQQALSALKQAGGARAVGAGLAEVFQLVEEAWLLPAVGREVAQGLCDAIRLDGGLDLLLRLLQAPELETRVQAARLLEQILVAENRDRVARIGLGVILNLAKEREPVELARSVAGILEHMFKHSEETCQRLVAAGGLDAVLYWCRRTDPALLRHCALALGNCALHGGQAVQRRMVEKRAAEWLFPLAFSKEDELLRLHACLAVAVLATNKEVEREVERSGTLALVEPLVASLDPGRFARCLVDASDTSQGRGPDDLQRLVPLLDSNRLEAQCIGAFYLCAEAAIKSLQGKTKVFSDIGAIQSLKRLVSYSTNGTK
NP_056457.3 70 493 0.624299764150943 PF00651.31:BTB:4:54 zinc finger and BTB domain-containing protein 20 isoform 2 668 51 22 424 0 Homo sapiens NP_056457.3 1 RefSeq PSVVSVQSVQKLIDFMYSGVLRVSQSEALQILTAASILQIKTVIDECTRIVSQNVGDVFPGIQDSGQDTPRGTPESGTSGQSSDTESGYLQSHPQHSVDRIYSALYACSMQNGSGERSFYSGAVVSHHETALGLPRDHHMEDPSWITRIHERSQQMERYLSTTPETTHCRKQPRPVRIQTLVGNIHIKQEMEDDYDYYGQQRVQILERNESEECTEDTDQAEGTESEPKGESFDSGVSSSIGTEPDSVEQQFGPGAARDSQAEPTQPEQAAEAPAEGGPQTNQLETGASSPERSNEVEMDSTVITVSNSSDKSVLQQPSVNTSIGQPLPSTQLYLRQTETLTSNLRMPLTLTSNTQVIGTAGNTYLPALFTTQPAGSGPKPFLFSLPQPLAGQQTQFVTVSQPGLSTFTAQLPAPQPLASSAGH
NP_056773.1 1 216 0.50364675925926 PF02957.15:TT_ORF2:67:209 hypothetical protein 216 143 22 216 0 Chicken anemia virus NP_056773.1 1 RefSeq MHGNGGQPAAGGSESALSREGQPGPSGAAQGQVISNERSPRRYSTRTINGVQATNKFTAVGNPSLQRDPDWYRWNYNHSIAVWLRECSRSHAKICNCGQFRKHWFQECAGLEDRSTQASLEEAILRPLRVQGKRAKRKLDYHYSQPTPNRKKAYKTVRWQDELADREADFTPSEEDGGTTSSDFDEDINFDIGGDSGIVDELLGRPFTTPAPVRIV
NP_057896.1 145 351 0.413103381642512 PF01759.21:NTR:48:143 Secreted frizzled-related sequence protein 4; FRP-4; sFRP-4 351 96 22 207 0 Mus musculus (Mouse) SwissProt::Q9Z1N6 1 SwissProt DLPEDVKWIDITPDMMVQERSFDADCKRLSPDRCKCKKVKPTLATYLSKNYSYVIHAKIKAVQRSGCNEVTTVVDVKEIFKSLSPIPRTQVPLITNSSCQCPHILPHQDVLIMCYEWRSRMMLLENCLVEKWRDQLSRRSIQWEERLQEQQRTIQDKKQIASRTSRTSRSNPPKSKGRPPAPKPASPKKNIKARSAPKKSNLKKSAS
NP_066960.1 133 316 0.274141304347826 BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 2; hBACURD2; BTB/POZ domain-containing protein TNFAIP1; Protein B12; Tumor necrosis factor, alpha-induced protein 1, endothelial 316 0 22 184 0 Homo sapiens (Human) SwissProt::Q13829 1 SwissProt PVCNIPIITSLKEEERLIESSTKPVVKLLYNRSNNKYSYTSNSDDHLLKNIELFDKLSLRFNGRVLFIKDVIGDEICCWSFYGQGRKLAEVCCTSIVYATEKKQTKVEFPEARIYEETLNVLLYETPRVPDNSLLEATSRSRSQASPSEDEETFELRDRVRRIHVKRYSTYDDRQLGHQSTHRD
NP_071779.1 1 118 0.712630508474576 Achaete-scute homolog 1 233 0 22 95 1 Rattus norvegicus (Rat) SwissProt::P19359 1 SwissProt MESSGKMESGAGQQPQPPQPFLPPAACFFATAAAAAAAAAAAAAQSAQQQQQQQAPQQQAPQLSPVADGQPSGGGHKSAAKQVKRQRSSSPELMRCKRRLNFSGFGYSLPQQQPAAVA
NP_075060.1 1 247 0.395641700404858 PF05837.12:CENP-H:126:239 Centromere protein H; CENP-H; Interphase centromere complex protein 35 247 114 22 247 0 Homo sapiens (Human) SwissProt::Q9H3R5 1 SwissProt MEEQPQMQDADEPADSGGEGRAGGPPQVAGAQAACSEDRMTLLLRLRAQTKQQLLEYKSMVDASEEKTPEQIMQEKQIEAKIEDLENEIEEVKVAFEIKKLALDRMRLSTALKKNLEKISRQSSVLMDNMKHLLELNKLIMKSQQESWDLEEKLLDIRKKRLQLKQASESKLLEIQTEKNKQKIDLDSMENSERIKIIRQNLQMEIKITTVIQHVFQNLILGSKVNWAEDPALKEIVLQLEKNVDMM
NP_076982.3 1 854 0.519046487119437 PF01248.26:Ribosomal_L7Ae:659:757 Selenocysteine insertion sequence-binding protein 2; SECIS-binding protein 2 854 99 22 854 0 Homo sapiens (Human) SwissProt::Q96T21 1 SwissProt MASEGPREPESEGIKLSADVKPFVPRFAGLNVAWLESSEACVFPSSAATYYPFVQEPPVTEQKIYTEDMAFGASTFPPQYLSSEITLHPYAYSPYTLDSTQNVYSVPGSQYLYNQPSCYRGFQTVKHRNENTCPLPQEMKALFKKKTYDEKKTYDQQKFDSERADGTISSEIKSARGSHHLSIYAENSLKSDGYHKRTDRKSRIIAKNVSTSKPEFEFTTLDFPELQGAENNMSEIQKQPKWGPVHSVSTDISLLREVVKPAAVLSKGEIVVKNNPNESVTANAATNSPSCTRELSWTPMGYVVRQTLSTELSAAPKNVTSMINLKTIASSADPKNVSIPSSEALSSDPSYNKEKHIIHPTQKSKASQGSDLEQNEASRKNKKKKEKSTSKYEVLTVQEPPRIEDAEEFPNLAVASERRDRIETPKFQSKQQPQDNFKNNVKKSQLPVQLDLGGMLTALEKKQHSQHAKQSSKPVVVSVGAVPVLSKECASGERGRRMSQMKTPHNPLDSSAPLMKKGKQREIPKAKKPTSLKKIILKERQERKQRLQENAVSPAFTSDDTQDGESGGDDQFPEQAELSGPEGMDELISTPSVEDKSEEPPGTELQRDTEASHLAPNHTTFPKIHSRRFRDYCSQMLSKEVDACVTDLLKELVRFQDRMYQKDPVKAKTKRRLVLGLREVLKHLKLKKLKCVIISPNCEKIQSKGGLDDTLHTIIDYACEQNIPFVFALNRKALGRSLNKAVPVSVVGIFSYDGAQDQFHKMVELTVAARQAYKTMLENVQQELVGEPRPQAPPSLPTQGPSCPAEDGPPALKEKEEPHYIEIWKKHLEAYSGCTLELEESLEASTSQMMNLNL
NP_077336.3 96 281 0.548366666666667 PF07527.13:Hairy_orange:14:52 Transcription factor HES-1; Hairy and enhancer of split 1; Hairy-like protein; RHL 281 39 22 186 0 Rattus norvegicus (Rat) SwissProt::Q04666 1 SwissProt MTAALSTDPSVLGKYRAGFSECMNEVTRFLSTCEGVNTEVRTRLLGHLANCMTQINAMTYPGQAHPALQAPPPPPPSGPGGPQHAPFAPPPPLVPIPGGAAPPPGSAPCKLGSQAGEAAKVFGGFQVVPAPDGQFAFLIPNGAFAHSGPVIPVYTSNSGTSVGPNAVSPSSGSSLTADSMWRPWRN
NP_077379.1 1 275 0.512988727272727 Cyclic AMP-dependent transcription factor ATF-4; cAMP-dependent transcription factor ATF-4; Activating transcription factor 4; rATF-4 347 0 22 275 0 Rattus norvegicus (Rat) SwissProt::Q9ES19 1 SwissProt MTEMSFLNSEVLAGDLMSPFDQSGLGAEESLGLLDDYLEVAKHFKPHGFSSDKAGSSEWLAMDGLVSASDTGKEDAFSGTDWMLEKMDLKEFDFDALFRMDDLETMPDELLATLDDTCDLFAPLVQETNKEPPQTVNPIGHLPESVIKVDQAAPFTFLQPLPCSPGFLSSTPDHSFSLELGSEVDISEGDRKPDSAAYITLTPQCVKEEDTPSDSDSGICMSPESYLGSPQHSPSTSRAPPDSLPSPGVPRGSRPKPYDPPGVSVTAKVKTEKLD
NP_077755.1 1 169 0.198763313609468 PF07421.11:Pro-NT_NN:6:168 neurotensin/neuromedin N precursor 169 163 22 169 0 Mus musculus NP_077755.1 1 RefSeq MRGMNLQLVCLTLLAFSSWSLCSDSEEDVRALEADLLTNMHTSKISKASPPSWKMTLLNVCSLINNVNSPAEEAGDMHDDDLVGKRKLPLVLDGFSLEAMLTIFQLQKICRSRAFQHWEIIQEDILDNVNDKNEKEEVIKRKIPYILKRQLYENKPRRPYILKRGSYYY
NP_078853.2 1 1288 0.147982220496895 PF00018.28:SH3_1:274:323 SH3 domain and tetratricopeptide repeat-containing protein 2 1288 50 22 1288 0 Homo sapiens (Human) SwissProt::Q8TF17 1 SwissProt MGGCFCIPRERSLTRGPGKETPSKDPTVSSECIASSEYKEKCFLPQNINPDLTLSFCVKSRSRRCVNGPLQEAARRRLWALENEDQEVRMLFKDLSARLVSIQSQRAQFLITFKTMEEIWKFSTYLNLGYVSMCLEHLLFDHKYWLNCILVEDTEIQVSVDDKHLETIYLGLLIQEGHFFCRALCSVTPPAEKEGECLTLCKNELISVKMAEAGSELEGVSLVTGQRGLVLVSALEPLPLPFHQWFLKNYPGSCGLSRKRDWTGSYQIGRGRCKALTGYEPGEKDELNFYQGESIEIIGFVIPGLQWFIGKSTSSGQVGFVPTRNIDPDSYSPMSRNSAFLSDEERCSLLALGSDKQTECSSFLHTLARTDITSVYRLSGFESIQNPPNDLSASQPEGFKEVRPGRAWEEHQAVGSRQSSSSEDSSLEEELLSATSDSYRLPEPDDLDDPELLMDLSTGQEEEAENFAPILAFLDHEGYADHFKSLYDFSFSFLTSSFYSFSEEDEFVAYLEASRKWAKKSHMTWAHARLCFLLGRLSIRKVKLSQARVYFEEAIHILNGAFEDLSLVATLYINLAAIYLKQRLRHKGSALLEKAGALLACLPDRESSAKHELDVVAYVLRQGIVVGSSPLEARACFLAIRLLLSLGRHEEVLPFAERLQLLSGHPPASEAVASVLSFLYDKKYLPHLAVASVQQHGIQSAQGMSLPIWQVHLVLQNTTKLLGFPSPGWGEVSALACPMLRQALAACEELADRSTQRALCLILSKVYLEHRSPDGAIHYLSQALVLGQLLGEQESFESSLCLAWAYLLASQAKKALDVLEPLLCSLKETESLTQRGVIYNLLGLALQGEGRVNRAAKSYLRALNRAQEVGDVHNQAVAMANLGHLSLKSWAQHPARNYLLQAVRLYCELQASKETDMELVQVFLWLAQVLVSGHQLTHGLLCYEMALLFGLRHRHLKSQLQATKSLCHFYSSVSPNPEACITYHEHWLALAQQLRDREMEGRLLESLGQLYRNLNTARSLRRSLTCIKESLRIFIDLGETDKAAEAWLGAGRLHYLMQEDELVELCLQAAIQTALKSEEPLLALKLYEEAGDVFFNGTRHRHHAVEYYRAGAVPLARRLKAVRTELRIFNKLTELQISLEGYEKALEFATLAARLSTVTGDQRQELVAFHRLATVYYSLHMYEMAEDCYLKTLSLCPPWLQSPKEALYYAKVYYRLGRLTFCQLKDAHDATEYFLLALAAAVLLGDEELQDTIRSRLDNICQSPLWHSRPSGCSSERARWLSGGGLAL
NP_078961.3 247 723 0.219815513626835 Bardet-Biedl syndrome 10 protein 723 0 22 477 0 Homo sapiens (Human) SwissProt::Q8TAM1 1 SwissProt VYRPADGDMRMVIVTETIQPLFSTSGSEFILNSEAQFQTSQFWIMEKTKAIMKHLHSQNVKLLISSVKQPDLVSYYAGVNGISVVECLSSEEVSLIRRIIGLSPFVPPQAFSQCEIPNTALVKFCKPLILRSKRYVHLGLISTCAFIPHSIVLCGPVHGLIEQHEDALHGALKMLRQLFKDLDLNYMTQTNDQNGTSSLFIYKNSGESYQAPDPGNGSIQRPYQDTVAENKDALEKTQTYLKVHSNLVIPDVELETYIPYSTPTLTPTDTFQTVETLTCLSLERNRLTDYYEPLLKNNSTAYSTRGNRIEISYENLQVTNITRKGSMLPVSCKLPNMGTSQSYLSSSMPAGCVLPVGGNFEILLHYYLLNYAKKCHQSEETMVSMIIANALLGIPKVLYKSKTGKYSFPHTYIRAVHALQTNQPLVSSQTGLESVMGKYQLLTSVLQCLTKILTIDMVITVKRHPQKVHNQDSEDEL
NP_110141.1 1 274 0.610013503649635 PF07271.11:Cytadhesin_P30:2:266 adhesin P30 274 265 22 228 2 Mycoplasma pneumoniae M129 NP_110141.1 1 RefSeq MKLPPRRKLKLFLLAWMLVLFSALIVLATLILVQHNNTELTEVKSELSPLNVVLHAEEDTVQIQGKPITEQAWFIPTVAGCFGFSALAIILGLAIGLPIVKRKEKRLLEEKERQEQLAEQLQRISAQQEEQQALEQQAAAEAHAEAEVEPAPQPVPVPPQPQVQINFGPRTGFPPQPGMAPRPGMPPHPGMAPRPGFPPQPGMAPRPGMPPHPGMAPRPGFPPQPGMAPRPGMPPHPGMAPRPGFPPQPGMAPRPGMQPPRPGMPPQPGFPPKR
NP_179627.2 1 619 0.271379644588045 PF03016.15:Exostosin:151:488 Xyloglucan galactosyltransferase MUR3; Protein KATAMARI; Protein MURUS 3; AtMUR3; Protein SHORT ROOT IN SALT MEDIUM 3; EC 2.4.1.- 619 338 22 596 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q7XJ98 1 SwissProt MFPRVSMRRRSAEVSPTEPMEKGNGKNQTNRICLLVALSLFFWALLLYFHFVVLGTSNIDKQLQLQPSYAQSQPSSVSLRVDKFPIEPHAAPSKPPPKEPLVTIDKPILPPAPVANSSSTFKPPRIVESGKKQEFSFIRALKTVDNKSDPCGGKYIYVHNLPSKFNEDMLRDCKKLSLWTNMCKFTTNAGLGPPLENVEGVFSDEGWYATNQFAVDVIFSNRMKQYKCLTNDSSLAAAIFVPFYAGFDIARYLWGYNISRRDAASLELVDWLMKRPEWDIMRGKDHFLVAGRITWDFRRLSEEETDWGNKLLFLPAAKNMSMLVVESSPWNANDFGIPYPTYFHPAKDSEVFEWQDRMRNLERKWLFSFAGAPRPDNPKSIRGQIIDQCRNSNVGKLLECDFGESKCHAPSSIMQMFQSSLFCLQPQGDSYTRRSAFDSMLAGCIPVFFHPGSAYTQYTWHLPKNYTTYSVFIPEDDVRKRNISIEERLLQIPAKQVKIMRENVINLIPRLIYADPRSELETQKDAFDVSVQAVIDKVTRLRKNMIEGRTEYDYFVEENSWKYALLEEGQREAGGHVWDPFFSKPKPGEDGSSDGNGGTTISADAAKNSWKSEQRDKTQ
NP_187454.2 1 229 0.227298253275109 PF00295.17:Glyco_hydro_28:97:228 Polygalacturonase QRT2; AtQRT2; PG QRT2; Pectinase QRT2; Protein QUARTET 2; EC 3.2.1.15 439 132 22 206 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SFB7 1 SwissProt MYEKIIILSVFLLTFLPSCFSSYPFNHRDDLFMSSNVYYETNRQHQHGHNTRNSHLKNRHGYAPRSSPRSFNVNTFGAKANGNDDSKAFMKAWEAACSSTGIVYIVAPKNRDYMLKAVTFSGPCKSSLIIFKIYGRIEAWENPSDYKERRHWIVFENVNNLRVEGGGRIDGNGHIWWPKSCKINPQLPCLGAPTAVTFVECNNLRVSNIRLENAQQMHLTFQDCKNVKA
NP_194920.1 243 552 0.529031612903225 Two-component response regulator ARR10; Receiver-like protein 4 552 0 22 310 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49397 1 SwissProt DDAIQQANRAAIDSHFMQMNSQKGLGGFYHHHRGIPVGSGQFHGGTTMMRHYSSNRNLGRLNSLGAGMFQPVSSSFPRNHNDGGNILQGLPLEELQINNNINRAFPSFTSQQNSPMVAPSNLLLLEGNPQSSSLPSNPGFSPHFEISKRLEHWSNAALSTNIPQSDVHSKPDTLEWNAFCDSASPLVNPNLDTNPASLCRNTGFGSTNAAQTDFFYPLQMNQQPANNSGPVTEAQLFRSSNPNEGLLMGQQKLQSGLMASDAGSLDDIVNSLMTQEQSQSDFSEGDWDLDGLAHSEHAYEKLHFPFSLSA
NP_194991.1 1 662 0.182483836858006 PF00520.31:Ion_trans:87:328,PF11834.8:KHA:590:661,PF00027.29:cNMP_binding:426:511,PF07885.16:Ion_trans_2:248:328 Potassium channel KAT3; AKT4; AtKC1; Potassium channel TKC 662 400 22 547 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92960 1 SwissProt MSTTTTEARSPLPLLLRRGRSSTALSASTAEARSPLSILQFRRRSSKDVRNITSVSSSLLPAFGTFIEDDNPSSKPFIVLHFDRRYRLWELFLVILVGYSAWASLFELAFEKAAEGALLTIDLVVDFFFAVDIILTFFVSYLDNTTYLNVTDHKLIAKRYLKSVAFVMDVASTLPIQFIYKTITGDVGRGQAFGFLNLLRLWRLRRVAELFKRLEKDAHFNYFVIRVIKLLCVTIFWIHLAGCILYWIAYHYPRPTDTWIGSQVEDFKERSVWLGYTYSMYWSIVTLTTVGYGDLHAVNSREKTFNMFYMLFNIGLTSYIIGIMTNLVVHGALRTFAMRSAINDILRYTSKNRLPDTMREQMLAHMQLKFKTAELRQEEVLQDLPKAIRSSINQHLFRSIIEEAYLFKGFPEGLLVQLVSQIQAEYFPPKMEIILQNEIPTDFYVIVSGGVDIIASKGVSEQVLAKLGPGSMAGEIGVVFNIPQPFTVRTRRLSQVIRIGHHKFKEMVQSDNDVDAKMIIANFMTYLKGLNDELKKEIPFLRDLLDDADAQVQETVQSEETPQSNDEEIVTVSRHENGQIEERRREGVPKRVIIHGQAPPNQDNKNNGDSNGRLIILPDSIQLLFDLAEKKLGKRGSTIAMADGAHVEQIDALRENDHLYIF
NP_199763.1 1 206 0.769822330097088 WRKY DNA-binding protein 48 399 0 22 206 0 Arabidopsis thaliana NP_199763.1 1 RefSeq MEKKKEEDHHHQQQQQQQKEIKNTETKIEQEQEQEQKQEISQASSSSNMANLVTSSDHHPLELAGNLSSIFDTSSLPFPYSYFEDHSSNNPNSFLDLLRQDHQFASSSNSSSFSFDAFPLPNNNNNTSFFTDLPLPQAESSEVVNTTPTSPNSTSVSSSSNEAANDNNSGKEVTVKDQEEGDQQQEQKGTKPQLKAKKKNQKKARE
NP_201008.2 111 299 0.618377248677249 heat shock transcription factor B2A 299 0 22 189 0 Arabidopsis thaliana NP_201008.2 1 RefSeq QRRKITTTHQTVVAPSSEQRNQTMVVSPSNSGEDNNNNQVMSSSPSSWYCHQTKTTGNGGLSVELLEENEKLRSQNIQLNRELTQMKSICDNIYSLMSNYVGSQPTDRSYSPGGSSSQPMEFLPAKRFSEMEIEEEEEASPRLFGVPIGLKRTRSEGVQVKTTAVVGENSDEETPWLRHYNRTNQRVCN
NP_207042.1 1 381 0.171755905511811 PF02518.26:HATPase_c:282:376,PF00512.25:HisKA:170:230,PF14501.6:HATPase_c_5:280:378 histidine kinase (EC 2.7.13.3) 381 160 22 381 0 Helicobacter pylori BRENDA::O25026 1 BRENDA MKKSKHLKRPYLKRSHLKHSDKASSFKGLLKKEDNVISLENFKPKESEDLLENFSNKKDMQELLGLLNQFILQSYKVEKEFKDYKALYEWVIEILPQAIWVVNENGSFFYKNSLANQSHEVFNKAKLENFNTEIEHENKSYLVQQNSIQGKQIITATDISAQKRQERLASMGKISAHLAHEIRNPVGSISLLASVLLKHANEKTKPIVVELQKALWRVERIIKATLLFSKGIQANRTKQSLKTLESDLKEALNCYTYSKDIDFLFNFSDEEGFFDFDLMGIVLQNFLYNAIDAIEALEESEQGQVKIEAFIQNEFIVFTIIDNGKEVENKSALFEPFETTKLKGNGLGLALSLQVVKAHEGSIALLENQEKTFEIKILNAS
NP_207337.1 1 370 0.215697297297297 cag pathogenicity island protein cag20 370 0 22 347 1 Helicobacter pylori 26695 NP_207337.1 1 RefSeq MAGTQAIYESSSAGFLSEISSIISSTSGVAGPFAGIVAGAMSAAIIPIVVGFTNPQMTAIMTQYNQSIAEAVSMPMKAANQQYNQLYQGFNDQSMAVGNNILNISKLTGEFNVQGNTQGAQISAVNSQIASILASNTTPKNPSAIEAYATNQIAVPSVPTTVEMMSGILGNITSAAPKYALALQEQLRSQASNSSMNDTADSLDSCTALGALVGSSKVFFSCMQISMTPMSVSMPTVYAKYQALXTNALTSGTNPMTTPACPIGDKVLAVYCYAEKVAEILREYYIEFVKNNTNLLQNASQMILNQSGLATSTYDTQAISNISSLYNYNIVANKSFLKSHLTYLDYIKNKLKGQKDSYLTERVQTKIIVK
NP_216214.1 1 314 0.295693630573249 PF11382.8:MctB:1:311 Copper transporter MctB; Mycobacterial copper transport protein B 314 311 22 291 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJ83 1 SwissProt MISLRQHAVSLAAVFLALAMGVVLGSGFFSDTLLSSLRSEKRDLYTQIDRLTDQRDALREKLSAADNFDIQVGSRIVHDALVGKSVVIFRTPDAHDDDIAAVSKIVGQAGGAVTATVSLTQEFVEANSAEKLRSVVNSSILPAGSQLSTKLVDQGSQAGDLLGIALLSNADPAAPTVEQAQRDTVLAALRETGFITYQPRDRIGTANATVVVTGGALSTDAGNQGVSVARFAAALAPRGSGTLLAGRDGSANRPAAVAVTRADADMAAEISTVDDIDAEPGRITVILALHDLINGGHVGHYGTGHGAMSVTVSQ
NP_217458.1 1 920 0.205278369565217 PF03176.15:MMPL:75:379,PF03176.15:MMPL:609:908 Phthiocerol dimycocerosate exporter MmpL7 920 605 22 644 12 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJU7 1 SwissProt MPSPAGRLHRIRYIRLKKSSPDCRATITSGSADGQRRSPRLTNLLVVAAWVAAAVIANLLLTFTQAEPHDTSPALLPQDAKTAAATSRIAQAFPGTGSNAIAYLVVEGGSTLEPQDQPYYDAAVGALRADTRHVGSVLDWWSDPVTAPLGTSPDGRSATAMVWLRGEAGTTQAAESLDAVRSVLRQLPPSEGLRASIVVPAITNDMPMQITAWQSATIVTVAAVIAVLLLLRARLSVRAAAIVLLTADLSLAVAWPLAAVVRGHDWGTDSVFSWTLAAVLTIGTITAATMLAARLGSDAGHSAAPTYRDSLPAFALPGACVAIFTGPLLLARTPALHGVGTAGLGVFVALAASLTVLPALIALAGASRQLPAPTTGAGWTGRLSLPVSSASALGTAAVLAICMLPIIGMRWGVAENPTRQGGAQVLPGNALPDVVVIKSARDLRDPAALIAINQVSHRLVEVPGVRKVESAAWPAGVPWTDASLSSAAGRLADQLGQQAGSFVPAVTAIKSMKSIIEQMSGAVDQLDSTVNVTLAGARQAQQYLDPMLAAARNLKNKTTELSEYLETIHTWIVGFTNCPDDVLCTAMRKVIEPYDIVVTGMNELSTGADRISAISTQTMSALSSAPRMVAQMRSALAQVRSFVPKLETTIQDAMPQIAQASAMLKNLSADFADTGEGGFHLSRKDLADPSYRHVRESMFSSDGTATRLFLYSDGQLDLAAAARAQQLEIAAGKAMKYGSLVDSQVTVGGAAQIAAAVRDALIHDAVLLAVILLTVVALASMWRGAVHGAAVGVGVLASYLAALGVSIALWQHLLDRELNALVPLVSFAVLASCGVPYLVAGIKAGRIADEATGARSKGAVSGRGAVAPLAALGGVFGAGLVLVSGGSFSVLSQIGTVVVLGLGVLITVQRAWLPTTPGRR
NP_219546.1 1 167 0.277346107784431 PF05932.13:CesT:9:130 type III secretion system chaperone 167 122 22 167 0 Chlamydia trachomatis D/UW-3/CX NP_219546.1 1 RefSeq MSRQNAEENLKNFAKELKLPDVAFDQNNTCILFVDGEFSLHLTYEEHSDRLYVYAPLLDGLPDNPQRRLALYEKLLEGSMLGGQMAGGGVGVATKEQLILMHCVLDMKYAETNLLKAFAQLFIETVVKWRTVCSDISAGREPTVDTMPQMPQGGGGGIQPPPAGIRA
NP_219552.1 1 273 0.501727838827839 hypothetical protein 490 0 22 273 0 Chlamydia trachomatis D/UW-3/CX NP_219552.1 1 RefSeq MNKKIIKERVSSSVPSTPSDQPADPTNPVTKMLFECKLSQNQGDASADVDAMVTTAHVKLDAKNQQVRQVAKGEEDQNVIVLSQLEGYLQKGSRSIAGLFLPTSGGPMVGDINMGTSPGNTIKGLPSSWGSAMFLGNEYAASVGIVQDVVGEHGARLDDLIERITKYSGSGEGSMTKLLEDLGSPKESQSGQTPSVTLEKPTDAKWLLLSAKNAMTGTLRFEKKQGALPTTPDPTITNLKARGLGTIGTATPTDKLQNIVSVADLTTILKDLQ
NP_219619.1 1 107 0.0163467289719626 PF17627.2:IncE:1:107 inclusion membrane protein E 132 107 22 61 2 Chlamydia trachomatis D/UW-3/CX NP_219619.1 0 RefSeq MECVKQLCRNHLCLDSLTGPVRSVLTQGTTAEKVQLVVSCLGVVCSIICLALGIAAAAVGVSCSGFAIGLGVIAILLGIVLFAISALDVLEDHGLVGCPFKLPCKSS
NP_219955.1 1 553 0.296865461121157 PF03504.13:Chlam_OMP6:98:188,PF01345.18:DUF11:339:409 outer membrane protein OmcB 553 162 22 553 0 Chlamydia trachomatis D/UW-3/CX NP_219955.1 1 RefSeq MRIGDPMNKLIRRAVTIFAVTSVASLFASGVLETSMAESLSTNVISLADTKAKDNTSHKSKKARKNHSKETPVDRKEVAPVHESKATGPKQDSCFGRMYTVKVNDDRNVEITQAVPEYATVGSPYPIEITATGKRDCVDVIITQQLPCEAEFVRSDPATTPTADGKLVWKIDRLGQGEKSKITVWVKPLKEGCCFTAATVCACPEIRSVTKCGQPAICVKQEGPENACLRCPVVYKINIVNQGTATARNVVVENPVPDGYAHSSGQRVLTFTLGDMQPGEHRTITVEFCPLKRGRATNIATVSYCGGHKNTASVTTVINEPCVQVSIAGADWSYVCKPVEYVISVSNPGDLVLRDVVVEDTLSPGVTVLEAAGAQISCNKVVWTVKELNPGESLQYKVLVRAQTPGQFTNNVVVKSCSDCGTCTSCAEATTYWKGVAATHMCVVDTCDPVCVGENTVYRICVTNRGSAEDTNVSLMLKFSKELQPVSFSGPTKGTITGNTVVFDSLPRLGSKETVEFSVTLKAVSAGDARGEAILSSDTLTVPVSDTENTHIY
NP_277059.1 132 444 0.3059303514377 PF05210.13:Sprouty:201:305 Sprouty-related, EVH1 domain-containing protein 1; Spred-1 444 105 22 313 0 Mus musculus (Mouse) SwissProt::Q924S8 1 SwissProt TEAEGGDDDLQTTEEDTSRSLVKDHFFQQETVVTSEPYRSSDIRPLPFEDLNARRVYLQSQVSQIPFSQQGLDIQSRSMEYVQRQISKECGSLKSQTRVPLKSIRHVSFQDEDEIVRINPRDILIRRYADYRHPDMWKNDLERDDTDSSVPFSKQDSKKSDYLYHCGDETKLSSLKDSVVFKTQPPSLKFKSKRRKEDGERSRCVYCQERFNHEENARGKCQDAPDPVKRCIYQVSCMLCAESMLYHCMSDSEGDFSDPCSCDTSDDKFCLRWLALVALSFIVPCMCCYVPLRMCHRCGEACGCCGGKHKAAG
NP_415865.1 1 269 0.350663197026023 PF03837.14:RecT:54:244 Rac prophage; recombinase, DNA renaturation 269 191 22 269 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11899-MONOMER 1 ecocyc MTKQPPIAKADLQKTQGNRAPAAVKNSDVISFINQPSMKEQLAAALPRHMTAERMIRIATTEIRKVPALGNCDTMSFVSAIVQCSQLGLEPGSALGHAYLLPFGNKNEKSGKKNVQLIIGYRGMIDLARRSGQIASLSARVVREGDEFSFEFGLDEKLIHRPGENEDAPVTHVYAVARLKDGGTQFEVMTRKQIELVRSLSKAGNNGPWVTHWEEMAKKTAIRRLFKYLPVSIEIQRAVSMDEKEPLTIDPADSSVLTGEYSVIDNSEE
NP_417950.1 1 499 0.130352304609219 PF01384.20:PHO4:30:488 metal phosphate:H+ symporter PitA 499 459 22 274 10 Escherichia coli K-12 substr. MG1655 ecocyc::PITA-MONOMER 1 ecocyc MLHLFAGLDLHTGLLLLLALAFVLFYEAINGFHDTANAVATVIYTRAMRSQLAVVMAAVFNFLGVLLGGLSVAYAIVHMLPTDLLLNMGSSHGLAMVFSMLLAAIIWNLGTWYFGLPASSSHTLIGAIIGIGLTNALMTGTSVVDALNIPKVLSIFGSLIVSPIVGLVFAGGLIFLLRRYWSGTKKRARIHLTPAEREKKDGKKKPPFWTRIALILSAIGVAFSHGANDGQKGIGLVMLVLIGVAPAGFVVNMNATGYEITRTRDAINNVEAYFEQHPALLKQATGADQLVPAPEAGATQPAEFHCHPSNTINALNRLKGMLTTDVESYDKLSLDQRSQMRRIMLCVSDTIDKVVKMPGVSADDQRLLKKLKSDMLSTIEYAPVWIIMAVALALGIGTMIGWRRVATTIGEKIGKKGMTYAQGMSAQMTAAVSIGLASYTGMPVSTTHVLSSSVAGTMVVDGGGLQRKTVTSILMAWVFTLPAAVLLSGGLYWLSLQFL
NP_418547.1 1 446 0.0250325112107623 PF03605.14:DcuA_DcuB:6:376 anaerobic C4-dicarboxylate transporter DcuB 446 371 22 198 11 Escherichia coli K-12 substr. MG1655 ecocyc::DCUB-MONOMER 0 ecocyc MLFTIQLIIILICLFYGARKGGIALGLLGGIGLVILVFVFHLQPGKPPVDVMLVIIAVVAASATLQASGGLDVMLQIAEKLLRRNPKYVSIVAPFVTCTLTILCGTGHVVYTILPIIYDVAIKNNIRPERPMAASSIGAQMGIIASPVSVAVVSLVAMLGNVTFDGRHLEFLDLLAITIPSTLIGILAIGIFSWFRGKDLDKDEEFQKFISVPENREYVYGDTATLLDKKLPKSNWLAMWIFLGAIAVVALLGADSDLRPSFGGKPLSMVLVIQMFMLLTGALIIILTKTNPASISKNEVFRSGMIAIVAVYGIAWMAETMFGAHMSEIQGVLGEMVKEYPWAYAIVLLLVSKFVNSQAAALAAIVPVALAIGVDPAYIVASAPACYGYYILPTYPSDLAAIQFDRSGTTHIGRFVINHSFILPGLIGVSVSCVFGWIFAAMYGFL
NP_418599.1 1 141 0.139431914893617 PF02082.20:Rrf2:1:82 DNA-binding transcriptional repressor NsrR 141 82 22 141 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11212-MONOMER 1 ecocyc MQLTSFTDYGLRALIYMASLPEGRMTSISEVTDVYGVSRNHMVKIINQLSRAGYVTAVRGKNGGIRLGKPASAIRIGDVVRELEPLSLVNCSSEFCHITPACRLKQALSKAVQSFLTELDNYTLADLVEENQPLYKLLLVE
NP_473397.1 1 233 0.846435622317596 PRKC apoptosis WT1 regulator protein; Prostate apoptosis response 4 protein; Par-4 333 0 22 233 0 Mus musculus (Mouse) SwissProt::Q925B0 1 SwissProt MATGGYRSGGSTTTDFLEEWKAKREKMRAKQNPAGPGSSGGDPAAKSPAGSLTPTAVAGTSELNHGPAGAAAPAAPAPGALNCAHGSSTLPRAAPGSRRAEDECPSAAAASGAPGSRGDEEEPDSAREKGRSSGPSARKGKGQIEKRKLREKRRSTGVVNIPAAECLDEYEDDEAGQKERKREDAITQQNTIQNEAATLPDPGTSYLPQDPSRTVPGRYKSTTSAPEDEISNR
NP_476800.1 37 442 0.320531773399015 Protein bag-of-marbles 442 0 22 406 0 Drosophila melanogaster (Fruit fly) SwissProt::P22745 1 SwissProt NEDPRKATCEYEDTNEDGATCTSGVLSEIQENFGRLRLCDVTAPLLEFHGLDCLQQIQKRSRHFAFDGSPAKKSRSGGVLVTGPKQKQLQKENVWNRKSKGSASADNIEKLPITIEKLHMIGLHGDCLEHNAVLRLMNLFRSLHDHLTADLGFSRQNSMPSDYLFDMPVKSTMPKSLNVRYQLQVLCTKVERFLVQQRRTLEANRHFDFEKYDECDKLLKGFASYLDNFKLLLKPKMRNRNGNSGSNADKFHTQRMERLLIGLRDWIKAAHLSVHVFNWEMDLEHRYSGAMTESHKSLNERAILLSGAELRAAEARGISAEDLFIAQRYKLGGPIYCVLEQHEFLSALIANPETYFPPSVVAICGPQKLGAVSMEQPSASEEEFEETEEVPSSPPRHTGRVPRFRS
NP_477177.1 44 581 0.250568029739777 PF06638.11:Strabismus:2:538 Van gogh, isoform A 581 537 22 449 4 Drosophila melanogaster NP_477177.1 1 RefSeq RERDRSRHSHRSTHSSKSAKGFQRGDMAPYQTSVNMTGDGSHDGQEVIEVQILPQDENWGENTTAVTGNTSEQSISMEDINNMWHRESDKGFSFACRRYVESSFYFLLGCGAFFSPVAMVVMPYVGFFPSAFDHPEITQTVRTQLLACSEQCKGQLVSLAARLLLLAIGLWAVFMRRTSATMPRIFLYRALVLLLVTICTFAYWLFYIVQVTNGAKIVVETGGDAVDYKSLVGYATNFVDTLLFIHYVAVVLLELRHQQPCYYIKIIRSPDGVSRSYMLGQLSIQRAAVWVLQHYYVDFPIFNPYLERIPISVSKSQRNKISNSFKYYEVDGVSNSQQQSQSRAVLAANARRRDSSHNERFYEEHEYERRVKKRRARLITAAEEAFTHIKRIHNEPAPALPLDPQEAASAVFPSMARALQKYLRVTRQQPRHTFESILKHLAHCLKHDLSPRAFLEPYLTESPVMQSEKERRWVQSWSLICDEIVSRPIGNECTFQLIQNDVSLMVTVHKLPHFNLAEEVVDPKSNKFVLKLNSETSV
NP_523730.2 1 134 0.930363432835821 Histone H3-like centromeric protein cid; CENP-A homolog; Centromere identifier protein 225 0 22 134 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9V6Q2 1 SwissProt MPRHSRAKRAPRPSANNSKSPNDDDTAFRSPEPEDGTDYGLEFTTSQLTLQDNNRRSSTLRRDAGRRQPAARDSSTSGEEEDQENRYPTTRSPQTRRMTVQQESKTRAAGPVAAQNQTRRRKAANPMSRAKRMD
NP_524909.1 481 1218 0.50780379403794 PF00533.26:BRCT:227:298,PF12738.7:PTCB-BRCT:231:294 mutagen-sensitive 101 1425 72 22 738 0 Drosophila melanogaster NP_524909.1 1 RefSeq LVHRVSMPQNREPDVASPASKRTLRSMNHSFKQPTLPIKKKLFDQEPDPVQEQEHEEPDHTLLDQYSQDQGAVAQLPPADVSLLQPAASSTQMDIRQRVSVANPKPPAEGLQLPDLSASTLSIDFDKLDYFAGVSVYVHRECFNEEFFNQMLTECEAAQGLLVPSSFSDEVDFAIVSFEVAFDVKQLPVKARHVVTELFLESCMKKNQLLPIEYYHKHVPATALRQPLKGMTIVVSIYAGLERDFINATAELLGASVNKTFIKKEKPLLVCPSAEGSKYEGAIKWNYPVVTSDWLVQCARTGQKLPFVGYLVGKSPEDFPISPRLRDSNSRTARRPNESTLVAQPDVTMEEAENQPAGSVTPVTAGSPGAPELTPLRNKRVSELAGIPGGSALHRGSNSTSSPDSPCTPLSQVGAQQYNLDFLEQFVQRLDTEEGKDCVREIIREMRENQTPELERIRRQACTPVSRKHQRPAPGIPDFCLTPEFQQRMADDFERRWRLPTMKIKPDTPLAVIRQRVMRITCETLGIEYEESNAKTPTLSESPSTVKKKPPTRTTQATKLNFDRSPKTPKLSLGKKTPLRVSMGSPRSGTQSPFVPNTQSPIEAAPPRRSDGPTLSEEGQSTINFDKISFEESAVPVLAPDVPTVAPDVKQITDYLKNCESRRNSLKRSHDNDMDCGESEVQYVQPFESEGFALGTEDMVDWRDPAEFNAAKRRSSGGSPKMQYAGIPCFSISCGDDD
NP_566110.1 1 196 0.153172959183674 PF03073.15:TspO_MBR:52:194 Translocator protein homolog; AtTSPO 196 143 22 150 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82245 1 SwissProt MDSQDIRYRGGDDRDAATTAMAETERKSADDNKGKRDQKRAMAKRGLKSLTVAVAAPVLVTLFATYFLGTSDGYGNRAKSSSWIPPLWLLHTTCLASSGLMGLAAWLVWVDGGFHKKPNALYLYLAQFLLCLVWDPVTFRVGSGVAGLAVWLGQSAALFGCYKAFNEISPVAGNLVKPCLAWAAFVAAVNVKLAVA
NP_571417.1 1 284 0.384791197183099 PF00688.18:TGFb_propeptide:35:272 bone morphogenetic protein 4 precursor 400 238 22 284 0 Danio rerio NP_571417.1 1 RefSeq MIPGNRMLMVILLCQVLLGESSYASLIPEEGKKKASALHLAQSHELLRDFEATLLHMFGLQRRPRPSHSAVVPQYLLDLYRLQSGELEEAGAQHVSFDYPERSTSRANTVRGFHHEEHLEELQSDGSQETPLRFVFNLSSIPEDELISTAELRVYRQQIDDAFSDPDQTGDHGLHRINIYEVLKAPREGQLITQLLDTRLVRHNTSKWESFDVSPAVLRWTQEKRSNHGLAVEVVQMKRNPVQKGRHVRVSRSVHPLPDEEWDQLRPLLVTFGHDGKSHPLTRR
NP_588075.1 1 578 0.578679238754325 Division mal foutue 1 protein 920 0 22 578 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P78953 1 SwissProt MKEQEFSYREAKDVSLDSKGLENSFLSSPNREKTPLFFEGNSNETSGYDQTKNFTHGDGDMSLGNLSELNVATDLLESLDLRSMYMHGYGHLDSSFSSQHSPDNRKRMSSTSVFKRINSEEEGRIPSLTYSAGTMNSTSSSTASLKGADIVADYETFNPDQNLAELSFDRSKSSRKRAVEVAEFSRAKTMSPLEYTVQHPYQSHNELSTNPARARAGSVPNLARIPSDVKPVPPAHLSASSTVGPRILPSLPKDTTEDNPALERVETTASLDMDYKPLEPLAPIQEAPVEDTSEPFSSVPEATLDDSDISTESLRKKVLAKMEAKRISSGSSYASTLRKVYDFSELSLPTNGKDYDELYLQSSRNSEPEISTIINDSLQQENMDEDISATSIPKSQAAYGHGSVTYHEVPRYNLTSASVGYSISSQRGRIKSSSTIDNLSAILSSEDLRHPSMQPVPGTKRTYSNYCENEPNKSSQSLVSSESHNVEGWNYSETGTVGFYDPSAEISASIDELRQSTPVARDSELLSRAHSFDLNRLDLPSQDKSTSYEVPNGTENQSPRPVTSLGFVNETFFEEKPK
NP_593213.1 1 1159 0.690919930974978 PF08604.10:Nup153:112:389,PF08604.10:Nup153:390:527,PF10599.9:Nup_retrotrp_bd:1073:1158 Nucleoporin nup124; Nuclear pore protein nup124 1159 502 22 1159 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q09904 1 SwissProt MPPVSKNTRTSSKTVKKPYDPPQGSSRPFFTVLKRAFSSVLHPFTSGLDEKASGTASKDRKSGRAGTKSLLTPELTPHYLGKSPRIIRVSNRSHVRTIDGIEEKVHTNTFEPRKPKQKQDYTNSPTLFKRHDELSLKSLNSLHPSSALSKKLGSTSQHQIATPKSSASLLNILRSLHDEQKNTLNISSVKQDRITEANPTCEKRKPSRSPSPMLSKKKSVARASENEPSAKQNKSFSGNDSHKSLTDIRDKENGETEVSAKNHVPHRSSRRRRRHQRLIPIIYETLEQMDLRKPVLVNAEVQTDSNPGNTMFIDKQDIYHRLSTPTSRKRQTLEKGHIKAFSAVDEDLDEIFACEDDVHYTALPKQNPKSERILEPIIASPKDNTSDKGLLTKSAPTFEELQASITPKPVKTSPNDTALTLANAEDNKTFEHQPLSKDTEAPKSQFSSSPTKESTTRKSEVEPPSPSKEIKSSHFSVPEFKFEPKTEATTDKKLNVPKFEFKPTATADVQTNRLKENEPKPTFFAQLPSKTQETPSITENKPSFFSQLSPKREETEKKDNAPSAPASTSGFSFGGFAPKTLEEKEETKAPTFNFSLNNASSTQDTTKPTLQFNFGSSFGKPTSNIFNDKKTSENGLASSTVASESKPSAPESKPSSGFGNTAGSSPFSFNLTKESKEVPPTNSFSFAKKGKDEANDSLSAKASTPFSFAKPNTENVTTTAPQFSFNFTKPNTDAKTNLLPEKTFNEEAVKQKETEKEVPPTGPKASEIKDSVSSNNAVPSSTFNFVSPFAAVSEKTNENNIPNDTTKTNGNATKRTLEQTEDAKPFAFSFGSTTEQANKKASTSNETTKPQLDTSSKTDGVTANAPFSFASAFNAPKPSTNTADGKDSASNLTTPSPAFSFGNNSGVKASSNNNPSTNSSTAPFSFGTSNKPAFSFGSATSKTTSEGTAPAASASAPAPTTSAFSFGASNSSMNKEENTPMAKDAGDTAPASGFKSGFSFGANNSPQPASMFGTSTPAPSSAFAFGNQSGTNPAAPAGFGGITNTATNNPPSTGFTFTPSNAGSTAAPMFGAGNTPNPSGSINNASQAFAFGSGEPSNPASNPPSTGFSFGAATPSAFNASASQSPAPNGIQFNLGSSNSQTNAPPGRKIAVPRSRRKR
NP_612468.1 1 173 0.160943352601156 Dehydrodolichyl diphosphate synthase complex subunit NUS1; Cis-prenyltransferase subunit NgBR; Nogo-B receptor; NgBR; Nuclear undecaprenyl pyrophosphate synthase 1 homolog; EC 2.5.1.87 293 0 22 173 0 Homo sapiens (Human) SwissProt::Q96E22 1 SwissProt MTGLYELVWRVLHALLCLHRTLTSWLRVRFGTWNWIWRRCCRAASAAVLAPLGFTLRKPPAVGRNRRHHRHPRGGSCLAAAHHRMRWRADGRSLEKLPVHMGLVITEVEQEPSFSDIASLVVWCMAVGISYISVYDHQGIFKRNNSRLMDEILKQQQELLGLDCSKYSPEFAN
NP_647686.1 1 451 0.0575248337028825 PF01490.18:Aa_trans:43:444 Proton-coupled amino acid transporter-like protein CG1139 451 402 22 224 10 Drosophila melanogaster (Fruit fly) SwissProt::Q9W056 1 SwissProt MNDDIKTVTVYPTTLELTTPTKSANGSNDDYDPHQHRELKNPTTNFQTFAHFLKASVGTGVLAMPSAFAHAGYVNGTLLTLIIGSLALYCLHILIKCMYILCKRQRVPYVSFSQAMNLGLKQGPPWLRCLAPIAVPFVDGFLAFYHFGICCVYVVFIAESIKQLVDEYLVVWDVRIHMCIIIVPLLLIYSIKNLKLLAPFSSAANLLLLVGFGIILYYIFEELPPLSERDPFVAAGKLPTFFGTVLFALEAVGVILAIEENMATPKSFVGPCGILNSGMSIVLGLYVLLGFFGYWKYGNESEGSITLNIPQSEIPAQVVKVFFAITTWISYALQGYVTAHILWDKYLAKRFKETRQTFYELIFRAIIVLLTFGCAVAIPDLSVFLSLVGSFCLSILGLIFPVLLQICVQYTEGYGPFRIKLIINLLLLCFGIFGGVVGTYVSILDIIAVYK
NP_788781.1 291 634 0.482893604651162 PF12772.7:GHBP:22:323 growth hormone receptor precursor 634 302 22 344 0 Bos taurus NP_788781.1 1 RefSeq MLILPPVPVPKIKGIDPDLLKEGKLEEVNTILAIHDNYKHEFYNDDSWVEFIELDIDDPDEKTEGSDTDRLLSNDHEKSLNIFGAKDDDSGRTSCYEPDILEADFHVSDMCDGTSEVAQPQRLKGEADISCLDQKNQNNSPSNDAAPASQQPSVILVEENKPRPLLIGGTESTHQAVHTQLSNPSSLANIDFYAQVSDITPAGNVVLSPGQKNKTGNPQCDTHPEVVTPCQANFIVDNAYFCEVDAKKYIALAPHVEAESHIEPSFNQEDIYITTESLTTTAGRSGTAEHVPSSEIPVPDYTSIHIVQSPQGLVLNATALPLPDKEFLSSCGYVSTDQLNKIMP
NP_848134.1 1 367 0.542349591280653 Krueppel-like factor 11; TGFB-inducible early growth response protein 2b; Transforming growth factor-beta-inducible early growth response protein 3; TGFB-inducible early growth response protein 3; TIEG-3 502 0 22 367 0 Mus musculus (Mouse) SwissProt::Q8K1S5 1 SwissProt MHSPGSTGPGDGRAADIMDICESILERKRHDSERSTCSVLEQTDIEAVEALVCMSSWGQRSQMRPLTPVSDSGDVTTAVLMDTAAPDLPKDFHSFSTLCITPPQSPELTEPSTGTPVPSQVVNSKGCMVTALPPSPAGGPRTLSKREPLEPASGSSCRAVMTSVIRHTGESPAPTRFPTGPTQEQRASDSGEGQERLLDHLEALQDTRLANGLLVTNLVSCQPCLHKSGGSFPTDKGQQTGWPAAVQTCLPKNPESDLSRKITPLISVPVSSPPVLCQMIPVAGQNGLFSAFLKPPTQLPAGTIKPILPQAASMSQPVFMGPPVPQGTVMLVLPQNTFPQPAACPSSVMAIGNTKLLPLAPAPVFLA
NP_850313.2 75 325 0.315724302788845 PF00847.20:AP2:33:82 AP2-like ethylene-responsive transcription factor SNZ; Protein SCHNARCHZAPFEN 325 50 22 251 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6PV67 1 SwissProt GNEWLDLSSMQRNKQETLVMKKKSRRGPRSRSSHYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYTAARAYDRAAIRFRGLQADINFIVDDYKQDIEKMKNLSKEEFVQSLRRASASLARGGSKYKNTHMRNDHIHLFQNRGLNAAAAKCNEIRKMEGDIKLGAHSKGNEHNDLELSLGISSSSKVRILEPADYYMGLNRSVTSLHGKPLPGYLPITEIKPLKTVVASSGFPFITMINPSSLSLSCFDP
NP_995315.1 1 499 0.524526853707415 PF00859.18:CTF_NFI:208:498,PF10524.9:NfI_DNAbd_pre-N:2:38,PF03165.16:MH1:61:161 nuclear factor 1 C-type isoform 2 499 429 22 499 0 Homo sapiens NP_995315.1 1 RefSeq MDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKPEVKQKWASRLLAKLRKDIRPECREDFVLSITGKKAPGCVLSNPDQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLVKAAQCGHPVLCVQPHHIGVAVKELDLYLAYFVRERDAEQSGSPRTGMGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVVTGTGPNFSLGELQGHLAYDLNPASTGLRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYYTSPSSPTSSSRNWTEDMEGGISSPVKKTEMDKSPFNSPSPQDSPRLSSFTQHHRPVIAVHSGIARSPHPSSALHFPTTSILPQTASTYFPHTAIRYPPHLNPQDPLKDLVSLACDPASQQPGPLNGSGQLKMPSHCLSAQMLAPPPPGLPRLALPPATKPATTSEGGATSPTSPSYSPPDTSPANRSFVGLGPRDPAGIYQAQSWYLG
O49403 79 401 0.591268730650155 Heat stress transcription factor A-4a; AtHsfA4a; AtHsf-15; Heat shock factor protein 21; HSF 21; Heat shock transcription factor 21; HSTF 21 401 0 22 323 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49403 1 SwissProt ADPEQWEFANDDFVRGQPHLMKNIHRRKPVHSHSLPNLQAQLNPLTDSERVRMNNQIERLTKEKEGLLEELHKQDEEREVFEMQVKELKERLQHMEKRQKTMVSFVSQVLEKPGLALNLSPCVPETNERKRRFPRIEFFPDEPMLEENKTCVVVREEGSTSPSSHTREHQVEQLESSIAIWENLVSDSCESMLQSRSMMTLDVDESSTFPESPPLSCIQLSVDSRLKSPPSPRIIDMNCEPDGSKEQNTVAAPPPPPVAGANDGFWQQFFSENPGSTEQREVQLERKDDKDKAGVRTEKCWWNSRNVNAITEQLGHLTSSERS
O65797 149 305 0.0550515923566879 PF00487.24:FA_desaturase:13:127 Delta-9 acyl-lipid desaturase 1; EC 1.14.19.- 305 115 22 134 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65797 1 SwissProt FDTGYLVEKCGRRTNVEDLKRQWYYKFLQRTVLYHILTFGFLLYYFGGLSFLTWGMGIGVAMEHHVTCLINSLCHVWGSRTWKTNDTSRNVWWLSVFSFGESWHNNHHAFESSARQGLEWWQIDISWYIVRFLEIIGLATDVKLPSESQRRRMAMVR
O76024 1 890 0.221594719101123 Wolframin 890 0 22 688 9 Homo sapiens (Human) SwissProt::O76024 1 SwissProt MDSNTAPLGPSCPQPPPAPQPQARSRLNATASLEQERSERPRAPGPQAGPGPGVRDAAAPAEPQAQHTRSRERADGTGPTKGDMEIPFEEVLERAKAGDPKAQTEVGKHYLQLAGDTDEELNSCTAVDWLVLAAKQGRREAVKLLRRCLADRRGITSENEREVRQLSSETDLERAVRKAALVMYWKLNPKKKKQVAVAELLENVGQVNEHDGGAQPGPVPKSLQKQRRMLERLVSSESKNYIALDDFVEITKKYAKGVIPSSLFLQDDEDDDELAGKSPEDLPLRLKVVKYPLHAIMEIKEYLIDMASRAGMHWLSTIIPTHHINALIFFFIVSNLTIDFFAFFIPLVIFYLSFISMVICTLKVFQDSKAWENFRTLTDLLLRFEPNLDVEQAEVNFGWNHLEPYAHFLLSVFFVIFSFPIASKDCIPCSELAVITGFFTVTSYLSLSTHAEPYTRRALATEVTAGLLSLLPSMPLNWPYLKVLGQTFITVPVGHLVVLNVSVPCLLYVYLLYLFFRMAQLRNFKGTYCYLVPYLVCFMWCELSVVILLESTGLGLLRASIGYFLFLFALPILVAGLALVGVLQFARWFTSLELTKIAVTVAVCSVPLLLRWWTKASFSVVGMVKSLTRSSMVKLILVWLTAIVLFCWFYVYRSEGMKVYNSTLTWQQYGALCGPRAWKETNMARTQILCSHLEGHRVTWTGRFKYVRVTDIDNSAESAINMLPFFIGDWMRCLYGEAYPACSPGNTSTAEEELCRLKLLAKHPCHIKKFDRYKFEITVGMPFSSGADGSRSREEDDVTKDIVLRASSEFKSVLLSLRQGSLIEFSTILEGRLGSKWPVFELKAISCLNCMAQLSPTRRHVKIEHDWRSTVHGAVKFAFDFFFFPFLSAA
P03204 1 992 0.677374092741935 PF05009.12:EBV-NA3:146:410 Epstein-Barr nuclear antigen 6; EBNA-6; EBV nuclear antigen 6; Epstein-Barr nuclear antigen 3C; EBNA-3C; EBV nuclear antigen 3C; Epstein-Barr nuclear antigen 4B; EBNA-4B; EBV nuclear antigen 4B 992 265 22 992 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03204 1 SwissProt MESFEGQGDSRQSPDNERGDNVQTTGEHDQDPGPGPPSSGASERLVPEESYSRDQQPWGQSRGDENRGWMQRIRRRRRRRAALSGHLLDTEDNVPPWLPPHDITPYTARNIRDAACRAVKQSHLQALSNLILDSGLDTQHILCFVMAARQRLQDIRRGPLVAEGGVGWRHWLLTSPSQSWPMGYRTATLRTLTPVPNRVGADSIMLTATFGCQNAARTLNTFSATVWTPPHAGPREQERYAREAEVRFLRGKWQRRYRRIYDLIELCGSLHHIWQNLLQTEENLLDFVRFMGVMSSCNNPAVNYWFHKTIGNFKPYYPWNAPPNENPYHARRGIKEHVIQNAFRKAQIQGLSMLATGGEPRGDATSETSSDEDTGRQGSDVELESSDDELPYIDPNMEPVQQRPVMFVSRVPAKKPRKLPWPTPKTHPVKRTNVKTSDRSDKAEAQSTPERPGPSEQSSVTVEPAHPTPVEMPMVILHQPPPVPKPVPVKPTPPPSRRRRGACVVYDDDVIEVIDVETTEDSSSVSQPNKPHRKHQDGFQRSGRRQKRAAPPTVSPSDTGPPAVGPPAAGPPAAGPPAAGPPAAGPPAAGPPAAGPRILAPLSAGPPAAGPHIVTPPSARPRIMAPPVVRMFMRERQLPQSTGRKPQCFWEMRAGREITQMQQEPSSHLQSATQPTTPRPSWAPSVCALSVMDAGKAQPIESSHLSSMSPTQPISHEEQPRYEDPDAPLDLSLHPDVAAQPAPQAPYQGYQEPPAPQAPYQGYQEPPPPQAPYQGYQEPPAHGLQSSSYPGYAGPWTPRSQHPCYRHPWAPWSQDPVHGHTQGPWDPRAPHLPPQWDGSAGHGQDQVSQFPHLQSETGPPRLQLSLVPLVSSSAPSWSSPQPRAPIRPIPTRFPPPPMPLQDSMAVGCDSSGTACPSMPFASDYSQGAFTPLDINATTPKRPRVEESSHGPARCSQATAEAQEILSDNSEISVFPKDAKQTDYDASTESELD
P08235 1 582 0.513819759450172 Mineralocorticoid receptor; MR; Nuclear receptor subfamily 3 group C member 2 984 0 22 582 0 Homo sapiens (Human) SwissProt::P08235 1 SwissProt METKGYHSLPEGLDMERRWGQVSQAVERSSLGPTERTDENNYMEIVNVSCVSGAIPNNSTQGSSKEKQELLPCLQQDNNRPGILTSDIKTELESKELSATVAESMGLYMDSVRDADYSYEQQNQQGSMSPAKIYQNVEQLVKFYKGNGHRPSTLSCVNTPLRSFMSDSGSSVNGGVMRAVVKSPIMCHEKSPSVCSPLNMTSSVCSPAGINSVSSTTASFGSFPVHSPITQGTPLTCSPNVENRGSRSHSPAHASNVGSPLSSPLSSMKSSISSPPSHCSVKSPVSSPNNVTLRSSVSSPANINNSRCSVSSPSNTNNRSTLSSPAASTVGSICSPVNNAFSYTASGTSAGSSTLRDVVPSPDTQEKGAQEVPFPKTEEVESAISNGVTGQLNIVQYIKPEPDGAFSSSCLGGNSKINSDSSFSVPIKQESTKHSCSGTSFKGNPTVNPFPFMDGSYFSFMDDKDYYSLSGILGPPVPGFDGNCEGSGFPVGIKQEPDDGSYYPEASIPSSAIVGVNSGGQSFHYRIGAQGTISLSRSARDQSFQHLSSFPPVNTLVESWKSHGDLSSRRSDGYPVLEYIPE
P10451 1 314 0.701092675159235 PF00865.18:Osteopontin:21:314 Osteopontin; Bone sialoprotein 1; Nephropontin; Secreted phosphoprotein 1; SPP-1; Urinary stone protein; Uropontin 314 294 22 314 0 Homo sapiens (Human) SwissProt::P10451 1 SwissProt MRIAVICFCLLGITCAIPVKQADSGSSEEKQLYNKYPDAVATWLNPDPSQKQNLLAPQNAVSSEETNDFKQETLPSKSNESHDHMDDMDDEDDDDHVDSQDSIDSNDSDDVDDTDDSHQSDESHHSDESDELVTDFPTDLPATEVFTPVVPTVDTYDGRGDSVVYGLRSKSKKFRRPDIQYPDATDEDITSHMESEELNGAYKAIPVAQDLNAPSDWDSRGKDSYETSQLDDQSAETHSHKQSRLYKRKANDESNEHSDVIDSQELSKVSREFHSHEFHSHEDMLVVDPKSKEEDKHLKFRISHELDSASSEVN
P15703 1 313 0.206873482428115 PF00332.18:Glyco_hydro_17:27:310 Glucan 1,3-beta-glucosidase; Exo-1,3-beta-glucanase; GP29; Soluble cell wall protein 9; EC 3.2.1.58 313 284 22 313 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P15703 1 SwissProt MRFSTTLATAATALFFTASQVSAIGELAFNLGVKNNDGTCKSTSDYETELQALKSYTSTVKVYAASDCNTLQNLGPAAEAEGFTIFVGVWPTDDSHYAAEKAALQTYLPKIKESTVAGFLVGSEALYRNDLTASQLSDKINDVRSVVADISDSDGKSYSGKQVGTVDSWNVLVAGYNSAVIEASDFVMANAFSYWQGQTMQNASYSFFDDIMQALQVIQSTKGSTDITFWVGETGWPTDGTNFESSYPSVDNAKQFWKEGICSMRAWGVNVIVFEAFDEDWKPNTSGTSDVEKHWGVFTSSDNLKYSLDCDFS
P15884 189 551 0.722694490358126 Transcription factor 4; TCF-4; Class B basic helix-loop-helix protein 19; bHLHb19; Immunoglobulin transcription factor 2; ITF-2; SL3-3 enhancer factor 2; SEF-2 667 0 22 363 0 Homo sapiens (Human) SwissProt::P15884 1 SwissProt ASTADYNRDSPGYPSSKPATSTFPSSFFMQDGHHSSDPWSSSSGMNQPGYAGMLGNSSHIPQSSSYCSLHPHERLSYPSHSSADINSSLPPMSTFHRSGTNHYSTSSCTPPANGTDSIMANRGSGAAGSSQTGDALGKALASIYSPDHTNNSFSSNPSTPVGSPPSLSAGTAVWSRNGGQASSSPNYEGPLHSLQSRIEDRLERLDDAIHVLRNHAVGPSTAMPGGHGDMHGIIGPSHNGAMGGLGSGYGTGLLSANRHSLMVGTHREDGVALRGSHSLLPNQVPVPQLPVQSATSPDLNPPQDPYRGMPPGLQGQSVSSGSSEIKSDDEGDENLQDTKSSEDKKLDDDKKDIKSITSNNDDE
P25613 1 283 0.137264664310954 PF01184.19:Gpr1_Fun34_YaaH:71:276 Accumulation of dyads protein 2; Ammonia transport outward protein 1 283 206 22 176 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25613 1 SwissProt MSDKEQTSGNTDLENAPAGYYSSHDNDVNGVAEDERPSHDSLGKIYTGGDNNEYIYIGRQKFLKSDLYQAFGGTLNPGLAPAPVHKFANPAPLGLSAFALTTFVLSMFNARAQGITVPNVVVGCAMFYGGLVQLIAGIWEIALENTFGGTALCSYGGFWLSFAAIYIPWFGILEAYEDNESDLNNALGFYLLGWAIFTFGLTVCTMKSTVMFFLLFFLLALTFLLLSIGHFANRLGVTRAGGVLGVVVAFIAWYNAYAGVATKQNSYVLARPFPLPSTERVIF
P25641 1 520 0.229553076923077 PF01764.25:Lipase_3:295:342 Putative lipase ATG15; Autophagy-related protein 15; Cytoplasm to vacuole targeting protein 17; EC 3.1.1.3 520 48 22 497 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25641 1 SwissProt MLHKSPSRKRFASPLHLGCILTLTVLCLIAYYFALPDYLSVGKSSSRGAMDQKSDGTFRLKSIYRHGVGANHRLHQRLEVTPEVISAAGMLYQETTTQGQDFEDQEPLWTTNAEYATTNPFDFEFELRRMPLLMKRMKERDPEFIESYIYGETYMTEEEEHAMWIDDDIVAPNITDRGTVVSLALMSSNAYVRIPQTGDWRNVTEPWNETEPEDFGWDGDGIRGHVFYNEVENIVVLSIKGTSAQGLPGSGEDETTGNDKINDNLLFSCCCARVSYLWTTVCDCYVKSYICDESCLEKELRRKDRFYSAVVDIYKGVLKEYPDAAIWVTGHSLGGALASLLGRTFGLPAVAFESPGELLPSKRLHLPFPPGLPSYMEGIWHFGHNADPIFMGTCNGASSSCSLVGYAMETACHTGRVCVYDVVNDKGWSVNMFNHRIHKVIDEVLLGYEQAAKCVEPEPCVDCYNWKFIPSRDWESSSRLITKTKSHAAPTTTTRTTATTTSSSTCVGRNWLGFCTKYEL
P27614 1 576 0.236955555555556 PF01546.28:Peptidase_M20:164:570,PF07687.14:M20_dimer:288:443 Carboxypeptidase S; GLY-X carboxypeptidase; YSCS; EC 3.4.17.4 576 407 22 553 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P27614 1 SwissProt MIALPVEKAPRKSLWQRHRAFISGIVALIIIGTFFLTSGLHPAPPHEAKRPHHGKGPMHSPKCEKIEPLSPSFKHSVDTILHDPAFRNSSIEKLSNAVRIPTVVQDKNPNPADDPDFYKHFYELHDYFEKTFPNIHKHLKLEKVNELGLLYTWEGSDPDLKPLLLMAHQDVVPVNNETLSSWKFPPFSGHYDPETDFVWGRGSNDCKNLLIAEFEAIEQLLIDGFKPNRTIVMSLGFDEEASGTLGAASLASFLHERYGDDGIYSIIDEGEGIMEVDKDVFVATPINAEKGYVDFEVSILGHGGHSSVPPDHTTIGIASELITEFEANPFDYEFEFDNPIYGLLTCAAEHSKSLSKDVKKTILGAPFCPRRKDKLVEYISNQSHLRSLIRTTQAVDIINGGVKANALPETTRFLINHRINLHSSVAEVFERNIEYAKKIAEKYGYGLSKNGDDYIIPETELGHIDITLLRELEPAPLSPSSGPVWDILAGTIQDVFENGVLQNNEEFYVTTGLFSGNTDTKYYWNLSKNIYRFVGSIIDIDLLKTLHSVNEHVDVPGHLSAIAFVYEYIVNVNEYA
P30002 225 2077 0.195509120345386 Large tegument protein deneddylase 2077 0 22 1853 0 Human herpesvirus 6A (strain GS) P30002 1 SwissProt/TReMBL AIALDKFSSTEIHEIKKTDDIGSQQDLVADKTTDLEHAPHKRKKNSHSLELELNDKKKKDTASLTYYATEVDLIPSFYELRSQFQSLFHDLKSFPIMKSNFNWTIYLQDSPINPNQPFATPFLWNRVFHLLCQIIDVFVGVGSTNDDSSKQQQQTIFINYLLPFKDFSEVFNEALAACQENNLDIIFIYNNYLCKTTTFRTLERILLSKFLAIVDNDHKKHYEWVKSWTTQMFQGMPKKLDDIENYLKAYVDHNPVKHFHEFICLNKAEKYKVAVLLNEKRKEIQEAIEREKNSFAQLSNFIDKLGETPALPIESENVHKVHTSDITEGIVPRFITESIELPNISTLNNTQQISLDKQLNEKLTNTIHTLTNKFTKIVQDNYNNIAAGFMPVTELNCLFAYLVNLYFNIEVLKHSGLNINTVLLQEVEKLYDNTQFLRFGTSHFNINNLSNFTLSIRKMFVDFYNSQKPSDRASEILAAIESILADPSKNKTVVNIEMIKSQLEELGKMEISTTENKQTAAITKQILGDQELTPIYDFLHHLSAYNLPNTTTVKNLHLHFILEQRPDIAMTLHDKIQSILDIYVDDMLNDITVPEQTFSTVLFLVDLFPNSTEKTALFESVLTLRQLAKKCANLKTLDEFDDLAQFITTNSEQLQNMMRQHFGKKIPTLMGHIKFLYSQKIITTEEKNWIQRAKTVVITSPEELTAFLATAPTKHALQTCKPDLDKALQRHMEEQMKQTAENDKKHILTIRSTLEKRLNDILLILKDGQFSSLETMHLNLLETFLKQLQDNNVIIHFTHALLPVLKDIETTISKIISDVIEKILIKTPLNPEQMSKEEQKYTPLLSFLSKFKKTTFCTEDVKTEIDQMQKSITFLKKIATSANKHTRLSHSIYGQELNLYEERITELRKETNKMKEQLSKEYALAEKKILLSSQDAKTDKIYLVLNTHTLKEIKNTQFRETAFAKALTVEVNNKENQLQELLNHFNAHLKAKMDQNHITKLSFDTKWTAFVSDSRLYIPDFINIKLQDFISDPFKVISQLMNKATNEMPYIQAEITLKWLTKLIHDINKFCLSAISEFGKEAIPFNYAALRDLEYQINTKYVEIENKVICNETVENTKNIPKLTKLLKQLVPKRVAGGQEQYQTLMNKILSSETSMQQTYEKEQLKKEYFEIVNNVASFKLAFNFPQQLQNVERLIEKFKSLPKSQPFEKFPQENDLLSDSLNTENYINGLRALLNFITAAQNYIQNTLLKQWAVFQQQNFIPIDYSVANVKPISDLYARLRIERERQVFYQVNSVFGTHLIVDDTGVPLQFHNIFNNAIVKFFSLNYKQIHVPEDTPRLVSSQYKLLSVCKSFIMILQQFWENIITLDLGPYLRDGTQNFKRELIPIVNLKLFIYCITQAWTASEDSTVSTAFELPIKQFTLLILCSHPEYLYGCLSHSTDLVINSLAKSIDKNSLYNTFVVSHNPPEKPMHLMRNICIDTQLWQPAKLMKDTFQQTFFTQLCPKNEKFFIYLTAFLILPYKFMNYIWIQYKPAIFTQRSYQNLIKDLCSEYVHQNKITTSSVTPHEPDTIKSGERITSKITVHKAQNTPTLTRLQAQEYVFDYILYSFLTGYEMTFAMYIDTIEKTYLLCMRHLENVLHDKDFQSVLRARTFDIDYILKQSWTKNIVEHSLFSVQLDKIVSYLNHTNRATPNIPLILFNYDNEVVNVYLPPMSTNPKKVAFYIKNPFHFPVQEYEATNLISFHLYPKTTDILNQLPPNNTESTRPGKQTSETLTTKNLSEPKFKKPAVTGLMPKSQSIILSTDTNVPETSPDVKANTASAAIKDVTLAREKINEFSESINTTISKLKSMYL
P37194 1 188 0.150393085106383 PF03843.13:Slp:16:165 starvation lipoprotein 188 150 22 188 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11890-MONOMER 1 ecocyc MNMTKGALILSLSFLLAACSSIPQNIKGNNQPDIQKSFVAVHNQPGLYVGQQARFGGKVINVINGKTDTLLEISVLPLDSYAKPDIEANYQGRLLARQSGFLDPVNYRNHFVTILGTIQGEQPGFINKVPYNFLEVNMQGIQVWHLREVVNTTYNLWDYGYGAFWPEPGWGAPYYTNAVSQVTPELVK
P53836 1 1060 0.531814056603773 PF00169.29:PH:78:203 CCR4-NOT transcriptional complex subunit CAF120; 120 kDa CCR4-associated factor 1060 126 22 1060 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53836 1 SwissProt MRIFSGDNKVVDSLASNPGLMSPSNFGGDFGSRLKVNVTSKKKLNDSSPTSPMESSPVSPELVPILTLLNAHTHRRYHEGVFLILQDLNNNGTHAARKWKDVYGVLLGTQLALWDAKELAEFTDPSCPVSEKKLKEVASKPTYINLTDATLRTLDNSDNIVMECGKNLTNALVVSTTLKNRYFLQFGNKESFNAWNSAIRLCLYECSSLQEAYTGAFISSRGAKLGDIRILLTNRKYDYKDWVSVRFGAGMPWKRCYAVISQSSSKKKGHFGEINLYENDKKVKKNHAMATIVEAKALYAVYPSSPKLIDSSTIIKVVGSVKFEKKESAQEKDVFIMPEKHQAVPSYDTIIRFLIPAMDTFKLYGRPEKLLSSKNDPHSLLFGLPVLPHIYYLEVEDLLPLTNSVSSLHWSNNEWKEHISDILQRKIAQGYCGCNSTSNITSPLPSPFLGSADLFERADGVLSPKLSYGSKSSSNNSSKNSLPKRERVKLSSSSEQDLNNSDSPSIKRKSPPLVISESPHKVHTPTDASFRTRVTEGSPYAKQRHPKPFASSVNDSPSDRAKSRTVPYNNNDRKATTPEKFERGETSCGKNVDESLEKVRNMKLEIPESNFDKFMTDKNLLSVDSKCSNEKKLSVESDLSAIYEKYSNGPFGHTEGLNGSSDETYLRFQRASVHSESNYNSRKSFTPSDFSNGNEEEHAVLQELNSLTQRINELGMESINSNSDSDRINGSYSQVDFGNNNDEDDMNLFDPDFMAQDQLRAEERDYNKDDRTPLAKVPAAFQSTGLGITPDDDIERQYITEHRSRHEVPKRSPEKPSNPLEIGNPYAKPGTRLNTTHTHSKTDRSITPQRGQPVPSGQQISSYVQPANINSPNKMYGANNSAMGSPRNPKTRAPPGPYNQGWNNRPSPSNIYQRPHPSDTQPQAYHLPGNPYSTGNRPNMQAQYHPQQVPMPILQQPNRPYQPYAMNTHMGSPGGYAGAAPPFQPANVNYNTRPQQPWPTPNSPSAHYRPPPNLNQPQNGSAGYYRPPAPQLQNSQARPQKKDGFSQFMPSATTKNPYAQ
Q13227 91 327 0.728270042194093 PF15991.5:G_path_suppress:1:202 G protein pathway suppressor 2; GPS-2 327 202 22 237 0 Homo sapiens (Human) SwissProt::Q13227 1 SwissProt KKVLHEEEKRRRKEQSDLTTLTSAAYQQSLTVHTGTHLLSMQGSPGGHNRPGTLMAADRAKQMFGPQVLTTRHYVGSAAAFAGTPEHGQFQGSPGGAYGTAQPPPHYGPTQPAYSPSQQLRAPSAFPAVQYLSQPQPQPYAVHGHFQPTQTGFLQPGGALSLQKQMEHANQQTGFSDSSSLRPMHPQALHPAPGLLASPQLPVQMQPAGKSGFAATSQPGPRLPFIQHSQNPRFYHK
Q15813 81 442 0.198767127071823 Tubulin-specific chaperone E; Tubulin-folding cofactor E 527 0 22 362 0 Homo sapiens (Human) SwissProt::Q15813 1 SwissProt FLTAIKNRYVLEDGPEEDRKEQIVTIGNKPVETIGFDSIMKQQSQLSKLQEVSLRNCAVSCAGEKGGVAEACPNIRKVDLSKNLLSSWDEVIHIADQLRHLEVLNVSENKLKFPSGSVLTGTLSVLKVLVLNQTGITWAEVLRCVAGCPGLEELYLESNNIFISERPTDVLQTVKLLDLSSNQLIDENQLYLIAHLPRLEQLILSDTGISSLHFPDAGIGCKTSMFPSLKYLVVNDNQISQWSFFNELEKLPSLRALSCLRNPLTKEDKEAETARLLIIASIGQLKTLNKCEILPEERRRAELDYRKAFGNEWKQAGGHKDPEKNRLSEEFLTAHPRYQFLCLKYGAPEDWELKTQQPLMLK
Q92504 1 469 0.399186993603411 PF02535.22:Zip:133:466 Zinc transporter SLC39A7; Histidine-rich membrane protein Ke4; Really interesting new gene 5 protein; Solute carrier family 39 member 7; Zrt-, Irt-like protein 7; ZIP7 469 334 22 308 7 Homo sapiens (Human) SwissProt::Q92504 1 SwissProt MARGLGAPHWVAVGLLTWATLGLLVAGLGGHDDLHDDLQEDFHGHSHRHSHEDFHHGHSHAHGHGHTHESIWHGHTHDHDHGHSHEDLHHGHSHGYSHESLYHRGHGHDHEHSHGGYGESGAPGIKQDLDAVTLWAYALGATVLISAAPFFVLFLIPVESNSPRHRSLLQILLSFASGGLLGDAFLHLIPHALEPHSHHTLEQPGHGHSHSGQGPILSVGLWVLSGIVAFLVVEKFVRHVKGGHGHSHGHGHAHSHTRGSHGHGRQERSTKEKQSSEEEEKETRGVQKRRGGSTVPKDGPVRPQNAEEEKRGLDLRVSGYLNLAADLAHNFTDGLAIGASFRGGRGLGILTTMTVLLHEVPHEVGDFAILVQSGCSKKQAMRLQLLTAVGALAGTACALLTEGGAVGSEIAGGAGPGWVLPFTAGGFIYVATVSVLPELLREASPLQSLLEVLGLLGGVIMMVLIAHLE
SwissProt::Q12120 1 220 0.269809545454546 Sterigmatocystin 8-O-methyltransferase; Aflatoxin biosynthesis protein P; EC 2.1.1.110 418 0 22 220 0 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q12120 1 SwissProt MALPSKAALVGLANTLSEQVKRYLATAGETKSPEDHKLCIESERTPSSNEHAQAWEIVRTCDRIGSLVHGPVPWLLSNALSHLDSACLAAATHLNLQDIIVDGPSPTSLDTIVAATGVSEDLLRRILRGCAQRFIFEEVAPDQYAHTDASKMLRVTGIHALVGFSCDEVMRSGASFSDFLQQTKGKPPSWNVPSPFSLAFDPTKGLFDYYSTVDEVRGRR
VIMSS10078198 1 429 0.383726806526806 PF02365.15:NAM:5:137 NAC domain-containing protein 1; ANAC001; Protein NTM1-like 10 429 133 22 411 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WV96 1 SwissProt MEDQVGFGFRPNDEELVGHYLRNKIEGNTSRDVEVAISEVNICSYDPWNLRFQSKYKSRDAMWYFFSRRENNKGNRQSRTTVSGKWKLTGESVEVKDQWGFCSEGFRGKIGHKRVLVFLDGRYPDKTKSDWVIHEFHYDLLPEHQRTYVICRLEYKGDDADILSAYAIDPTPAFVPNMTSSAGSVVNQSRQRNSGSYNTYSEYDSANHGQQFNENSNIMQQQPLQGSFNPLLEYDFANHGGQWLSDYIDLQQQVPYLAPYENESEMIWKHVIEENFEFLVDERTSMQQHYSDHRPKKPVSGVLPDDSSDTETGSMIFEDTSSSTDSVGSSDEPGHTRIDDIPSLNIIEPLHNYKAQEQPKQQSKEKVISSQKSECEWKMAEDSIKIPPSTNTVKQSWIVLENAQWNYLKNMIIGVLLFISVISWIILVG
VIMSS10079571 115 328 0.483782710280374 Ethylene-responsive transcription factor ESR1; Protein DORNROSCHEN; Protein ENHANCER OF SHOOT REGENERATION 1 328 0 22 214 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SAD4 1 SwissProt PTAVIMPEPRFSFSNKKSSPSARCPLPSLPLDSSTQNFYGAPAAQRIYNTQSIFLRDASCSSRKTTPYNNSFNGSSSSYSASKTACVSYSENENNESFFPEESSDTGLLQEVVQEFLKKNRGVPPSPPTPPPVTSHHDNSGYFSNLTIYSENMVQETKETLSSKLDRYGNFQANDDGVRAVADGGLSLGSNEWGYQEMLMYGTQLGCTCRRSWG
VIMSS10079779 1 747 0.138064257028112 PF05183.12:RdRP:372:735 RNA-dependent RNA polymerase 1; AtRDRP1; RNA-directed RNA polymerase 1; EC 2.7.7.48 1107 364 22 747 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQV2 1 SwissProt MGKTIQVFGFPNGVSAEEVKKFLERLTGSGTVYAIKVRQPKKGGPRVYAIVQFTSERHTRLIITAAAERLYYGRSYLKAFEVEQDIVPKPRASLHTISGLKMFFGCQVSTKKFLTLWSAQDVCVSFGIGMRKLHFSFSWYQKDYRLELSYENIWQIDLHSPQGRSSKFLVIQVIGAPKIFEKEDQPINLLFGIMDFYSDGSDEQWIRTTDFTSSSCIGQSTAFCLELPVHLNVPDFRENFANYAEHRASSFLIESGSSYSSNANTLVPVVDPPPGFSLPFEILFKLNTLVQNACLSGPALDLDFYRLLNQKKYDRALIDHCLEKLFHLGECCYEPAHWLRDEYKKWISKGKLPLSPTISLDDGLVYMYRVQVTPARVYFSGPEVNVSNRVLRHYSKYINNFLRVSFVDEDLEKVRSMDLSPRSSTQRRTKLYDRIYSVLRDGIVIGDKKFEFLAFSSSQLRENSAWMFAPIDRITAAHIRAWMGDFDHIRNVAKYAARLGQSFSSSRETLNVRSDEIEVIPDVEIISLGTRYVFSDGIGKISAEFARKVARKCGLTEFSPSAFQIRYGGYKGVVAVDPNSSKKLSLRKSMSKFESENTKLDVLAWSKYQPCYMNRQLITLLSTLGVTDSVFEKKQREVVDRLDAILTHPLEAHEALGLMAPGENTNILKALILCGYKPDAEPFLSMMLQNFRASKLLELRTKTRIFISGGRSMMGCLDETRTLEYGQVVVQYSDPMRPGRRFIITGP
VIMSS10080167 122 372 0.529721115537849 Protein REVEILLE 7; Early-phytochrome-responsive 1; MYB-related transcription factor EPR1 372 0 22 251 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::B3H5A8 1 SwissProt SKMAQEADSRSEGSVKAIVIPPPRPKRKPAHPYPRKSPVPYTQSPPPNLSAMEKGTKSPTSVLSSFGSEDQVNRCSSPNSCTSDIQSIGATSIDKKNNYTTSKQPFKDDSDIGSTPISSITLFGKIVLVAEESHKPSSYNDDDLKQMTCQENHYSGMLVDTNLSLGVWETFCTGSNAFGSVTEASENLEKSAEPISSSWKRLSSLEKQGSCNPVNASGFRPYKRCLSEREVTSSLTLVASDEKKSQRARIC
VIMSS10082977 1 326 0.0576736196319018 PF05462.11:Dicty_CAR:26:274,PF00002.24:7tm_2:20:193 G-protein coupled receptor 1 326 255 22 173 7 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04714 1 SwissProt MSAVLTAGGGLTAGDRSIITAINTGASSLSFVGSAFIVLCYCLFKELRKFSFKLVFYLALSDMLCSFFLIVGDPSKGFICYAQGYTTHFFCVASFLWTTTIAFTLHRTVVKHKTDVEDLEAMFHLYVWGTSLVVTVIRSFGNNHSHLGPWCWTQTGLKGKAVHFLTFYAPLWGAILYNGFTYFQVIRMLRNARRMAVGMSDRVDQFDNRAELKVLNRWGYYPLILIGSWAFGTINRIHDFIEPGHKIFWLSVLDVGTAALMGLFNSIAYGFNSSVRRAIHERLELFLPERLYRWLPSNFRPKNHLILHQQQQQRSEMVSLKTEDQQ
VIMSS10083457 1 128 0.5560734375 26.5 kDa class I small heat shock protein-like (HSP26.5-P) (RefSeq) 232 0 22 128 0 Arabidopsis thaliana VIMSS10083457 1 MicrobesOnline MALARLALRNLQQKLSPSLMGQSCERGLVGNRHNPMKLNRFMATSAGEQEDKMNTEVSVSEKKSPRQNFPRRRGRKSLWRNTDDHGYFTPTLNEFFPPTIGNTLIQATENMNRIFDNFNVNPFQLMGQ
VIMSS10085346 86 275 0.229 PF01357.21:Pollen_allerg_1:73:149,PF03330.18:DPBB_1:5:62 ATEXPA1 (ARABIDOPSIS THALIANA EXPANSIN A1) (RefSeq) 275 135 22 190 0 Arabidopsis thaliana VIMSS10085346 1 MicrobesOnline NDGKWCLPGSIVVTATNFCPPNNALPNNAGGWCNPPQQHFDLSQPVFQRIAQYRAGIVPVAYRRVPCVRRGGIRFTINGHSYFNLVLITNVGGAGDVHSAMVKGSRTGWQAMSRNWGQNWQSNSYLNGQSLSFKVTTSDGQTIVSNNVANAGWSFGQTFTVEAVRERGMIVIWSFLSIEVNLKRSGASSA
VIMSS10085757 99 722 0.32168108974359 PF01852.19:START:139:359 Homeobox-leucine zipper protein HDG11; HD-ZIP protein HDG11; Homeodomain GLABRA 2-like protein 11; Homeodomain transcription factor HDG11; Protein ENHANCED DROUGHT TOLERANCE 1; Protein HOMEODOMAIN GLABROUS 11 722 221 22 624 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FX31 1 SwissProt LKAENDKIRCENIAIREALKHAICPNCGGPPVSEDPYFDEQKLRIENAHLREELERMSTIASKYMGRPISQLSTLHPMHISPLDLSMTSLTGCGPFGHGPSLDFDLLPGSSMAVGPNNNLQSQPNLAISDMDKPIMTGIALTAMEELLRLLQTNEPLWTRTDGCRDILNLGSYENVFPRSSNRGKNQNFRVEASRSSGIVFMNAMALVDMFMDCVKWTELFPSIIAASKTLAVISSGMGGTHEGALHLLYEEMEVLSPLVATREFCELRYCQQTEQGSWIVVNVSYDLPQFVSHSQSYRFPSGCLIQDMPNGYSKVTWVEHIETEEKELVHELYREIIHRGIAFGADRWVTTLQRMCERFASLSVPASSSRDLGGVILSPEGKRSMMRLAQRMISNYCLSVSRSNNTRSTVVSELNEVGIRVTAHKSPEPNGTVLCAATTFWLPNSPQNVFNFLKDERTRPQWDVLSNGNAVQEVAHISNGSHPGNCISVLRGSNATHSNNMLILQESSTDSSGAFVVYSPVDLAALNIAMSGEDPSYIPLLSSGFTISPDGNGSNSEQGGASTSSGRASASGSLITVGFQIMVSNLPTAKLNMESVETVNNLIGTTVHQIKTALSGPTASTTA
VIMSS10085982 1 173 0.475208092485549 PF00170.21:bZIP_1:41:81,PF07716.15:bZIP_2:39:83 bZIP transcription factor 44; AtbZIP44 173 45 22 173 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::C0Z2L5 1 SwissProt MNNKTEMGSSTSGNCSSVSTTGLANSGSESDLRQRDLIDERKRKRKQSNRESARRSRMRKQKHLDDLTAQVTHLRKENAQIVAGIAVTTQHYVTIEAENDILRAQVLELNHRLQSLNEIVDFVESSSSGFGMETGQGLFDGGLFDGVMNPMNLGFYNQPIMASASTAGDVFNC
VIMSS10086811 140 484 0.630004347826086 Transcription factor MYB88; Myb-related protein 88; AtMYB88 484 0 22 345 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IRB4 1 SwissProt NRIACCVNSDNKRLLFPDGISTPLKAESESPLTKKMRRSHIPNLTEIKSYGDRSHIKVESTMNQQRRHPFSVVAHNATSSDGTEEQKQIGNVKESDGEDKSNQEVFLKKDDSKVTALMQQAELLSSLAQKVNADNTDQSMENAWKVLQDFLNKSKENDLFRYGIPDIDFQLDEFKDLVEDLRSSNEDSQSSWRQPDLHDSPASSEYSSGSGSGSTIMTHPSGDKTQQLMSDTQTTSHQQNGGELLQDNGIVSDATVEQVGLLSTGHDVLKNSNETVPIPGEEEFNSPVQVTPLFRSLAAGIPSPQFSESERNFLLKTLGVESPSPYPSANPSQPPPCKRVLLDSL
VIMSS10087162 1 133 0.241681954887218 Protein PROTON GRADIENT REGULATION 5, chloroplastic 133 0 22 133 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SL05 1 SwissProt MAAASISAIGCNQTLIGTSFYGGWGSSISGEDYQTMLSKTVAPPQQARVSRKAIRAVPMMKNVNEGKGLFAPLVVVTRNLVGKKRFNQLRGKAIALHSQVITEFCKSIGADAKQRQGLIRLAKKNGERLGFLA
VIMSS10088581 1 452 0.355052212389381 PF06911.12:Senescence:258:426 Protein EARLY-RESPONSIVE TO DEHYDRATION 7, chloroplastic 452 169 22 452 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48832 1 SwissProt MESSGDKQTSSLYPTVDTSNPEAPINPSSSSSTNNLYPSLDMNDLARNLFPEQPETSSIPVSAPPAATEEVILKISGAILHLIDKSYSVELACGDLEIIRIVQGENVVAVLASVSDEIQWPLTKDENSVKVDESHYFFTLRPTKEISHDSSDEEDGDGGKNTNEMLNYGLTIASKGQEHLLVELEKILEDYSSFSVQEVSEEAKEAGEKVLDVTVARETSPVELTGERKEIVERQCSAYWTTLAPNVEDYSGKAAKLIATGSGHLIKGILWCGDVTMDRLIWGNGFMKRRLSKAEKESEVHPDTLKRIRRVKRMTKMTESVANSILSGVLKVSGFFTSSVANTKVGKKFFSLLPGEVILASLDGFNKVCDAVEVAGRNVMSTSSTVTTELVDHKYGGKAAEATNEGLDAAGYALGTAWVAFKIRKAINPKSVLKPSTLAKTAIRSAASQKKA
VIMSS10089621 1 164 0.309569512195122 PF04690.13:YABBY:7:153,PF09011.10:HMG_box_2:102:146 Axial regulator YABBY 5 164 147 22 164 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GW46 1 SwissProt MANSVMATEQLCYIPCNFCNIILAVNVPCSSLFDIVTVRCGHCTNLWSVNMAAALQSLSRPNFQATNYAVPEYGSSSRSHTKIPSRISTRTITEQRIVNRPPEKRQRVPSAYNQFIKEEIQRIKANNPDISHREAFSTAAKNWAHFPHIHFGLMLESNKQAKIA
VIMSS10090768 1 398 0.521034673366834 PF08879.10:WRC:145:187,PF08880.11:QLQ:77:109 Growth-regulating factor 3; AtGRF3; Transcription activator GRF3 398 76 22 398 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJR5 1 SwissProt MDLQLKQWRSQQQQQHQTESEEQPSAAKIPKHVFDQIHSHTATSTALPLFTPEPTSSKLSSLSPDSSSRFPKMGSFFSWAQWQELELQALIYRYMLAGAAVPQELLLPIKKSLLHLSPSYFLHHPLQHLPHYQPAWYLGRAAMDPEPGRCRRTDGKKWRCSRDVFAGHKYCERHMHRGRNRSRKPVETPTTVNATATSMASSVAAAATTTTATTTSTFAFGGGGGSEEVVGQGGSFFFSGSSNSSSELLHLSQSCSEMKQESNNMNNKRPYESHIGFSNNRSDGGHILRPFFDDWPRSSLQEADNSSSPMSSATCLSISMPGNSSSDVSLKLSTGNEEGARSNNNGRDQQNMSWWSGGGSNHHHHNMGGPLAEALRSSSSSSPTSVLHQLGVSTQAFH
VIMSS10091345 1 163 0.45692699386503 Protein EARLY RESPONSIVE TO DEHYDRATION 15; PAM2-containing protein CID1; Polyadenylate-binding protein-interacting protein 1; PABP-interacting protein 1; Poly(A)-binding protein-interacting protein 1; Protein CTC-INTERACTING DOMAIN 1; Protein LIGHT STRESS-REGULATED 1 163 0 22 163 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39096 1 SwissProt MAMVSGRRSTLNPDAPLFIPAAVRQVEDFSPEWWQLVTTSTWYPDYWISQQQQGADGFYDNGENENGGGHIDVADLLPESFDFDDMEDFFDTDAAEFDQGFDGRMYYQAPSEFGFGKNGEMVKKSSGNRSPRSIVEPAKYAEKPAKWGNQRVAAAPRNIHQPR
VIMSS10092179 1 183 0.271112021857924 PF01277.17:Oleosin:35:146 Oleosin family protein 183 112 22 114 3 Arabidopsis thaliana NP_186806.1 1 RefSeq MADVRTHSHQLQVHPQRQHEGGIKVLYPQSGPSSTQVLAVFVGVPIGGTLLTIAGLTLAGSVIGLMLAFPLFLIFSPVIVPAAFVIGLAMTGFLASGAIGLTGLSSMSWVLNYIRRAGQHIPEELEEAKHRLADMAEYVGQRTKDAGQTIEDKAHDVREAKTFDVRDRDTTKGTHNVRDTKTT
VIMSS10092557 193 472 0.1195275 PF01593.24:Amino_oxidase:9:279 Zeta-carotene desaturase, chloroplastic/chromoplastic; 9,9'-di-cis-zeta-carotene desaturase; Carotene 7,8-desaturase; Protein CHLOROPLAST BIOGENESIS 5; Protein PIGMENT DEFECTIVE 181; Protein SPONTANEOUS CELL DEATH 1; EC 1.3.5.6 558 271 22 280 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38893 1 SwissProt KALVDPDGAMRDIRNLDSISFSDWFLSKGGTRASIQRMWDPVAYALGFIDCDNMSARCMLTIFSLFATKTEASLLRMLKGSPDVYLSGPIKQYITDRGGRIHLRWGCREILYDKSADGETYVTGLAISKATNKKIVKADVYVAACDVPGIKRLLPKEWRESRFFNDIYELEGVPVVTVQLRYNGWVTELQDIELARQLKRAVGLDNLLYTPDADFSCFADLALASPADYYIEGQGTLLQCVLTPGDPYMRMPNDKIIEKVAMQVTELFPSSRGLEVTWSS
VIMSS10094078 1 350 0.548149142857143 PF00046.29:Homeodomain:75:134 WUSCHEL-related homeobox 1; PFS2-like protein 350 60 22 350 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6X7K0 1 SwissProt MWTMGYNEGGADSFNGGRKLRPLIPRLTSCPTAAVNTNSDHRFNMAVVTMTAEQNKRELMMLNSEPQHPPVMVSSRWNPTPDQLRVLEELYRQGTRTPSADHIQQITAQLRRYGKIEGKNVFYWFQNHKARERQKRRRQMETGHEETVLSTASLVSNHGFDKKDPPGYKVEQVKNWICSVGCDTQPEKPSRDYHLEEPANIRVEHNARCGGDERRSFLGINTTWQMMQLPPSFYSSSHHHHQRNLILNSPTVSSNMSNSNNAVSASKDTVTVSPVFLRTREATNTETCHRNGDDNKDQEQHEDCSNGELDHQEQTLELFPLRKEGFCSDGEKDKNISGIHCFYEFLPLKN
VIMSS10094200 1 206 0.315489805825243 PF02362.21:B3:5:96 B3 domain-containing transcription factor VRN1; Protein VERNALIZATION 1 341 92 22 206 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L3W1 1 SwissProt MPRPFFHKLIFSSTIQEKRLRVPDKFVSKFKDELSVAVALTVPDGHVWRVGLRKADNKIWFQDGWQEFVDRYSIRIGYLLIFRYEGNSAFSVYIFNLSHSEINYHSTGLMDSAHNHFKRARLFEDLEDEDAEVIFPSSVYPSPLPESTVPANKGYASSAIQTLFTGPVKAEEPTPTPKIPKKRGRKKKNADPEEINSSAPRDDDPE
VIMSS10094676 1 100 0.525228 PF00631.22:G-gamma:27:100 Guanine nucleotide-binding protein subunit gamma 2; Ggamma-subunit 2; Heterotrimeric G protein gamma-subunit 2; AtAGG2 100 74 22 100 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93V47 1 SwissProt MEAGSSNSSGQLSGRVVDTRGKHRIQAELKRLEQEARFLEEELEQLEKMDNASASCKEFLDSVDSKPDPLLPETTGPVNATWDQWFEGPKEAKRCGCSIL
VIMSS10096985 1 448 0.605425 PF02701.15:zf-Dof:108:164 Cyclic dof factor 3; Dof zinc finger protein DOF3.3; AtDOF3.3; H-protein promoter-binding factor 2a 448 57 22 448 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LFV3 1 SwissProt MMMETRDPAIKLFGMKIPFPSVFESAVTVEDDEEDDWSGGDDKSPEKVTPELSDKNNNNCNDNSFNNSKPETLDKEEATSTDQIESSDTPEDNQQTTPDGKTLKKPTKILPCPRCKSMETKFCYYNNYNINQPRHFCKACQRYWTAGGTMRNVPVGAGRRKNKSSSSHYRHITISEALEAARLDPGLQANTRVLSFGLEAQQQHVAAPMTPVMKLQEDQKVSNGARNRFHGLADQRLVARVENGDDCSSGSSVTTSNNHSVDESRAQSGSVVEAQMNNNNNNNMNGYACIPGVPWPYTWNPAMPPPGFYPPPGYPMPFYPYWTIPMLPPHQSSSPISQKCSNTNSPTLGKHPRDEGSSKKDNETERKQKAGCVLVPKTLRIDDPNEAAKSSIWTTLGIKNEAMCKAGGMFKGFDHKTKMYNNDKAENSPVLSANPAALSRSHNFHEQI
VIMSS10097091 1 364 0.190577472527472 PF00651.31:BTB:33:136,PF02135.16:zf-TAZ:221:315 BTB/POZ and TAZ domain-containing protein 2; BTB and TAZ domain protein 2 364 199 22 364 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94BN0 1 SwissProt MEAVLVAMSVPATTEDDGFSLITDKLSYNLTPTSDVEIVTSDNRRIPAHSGVLASASPVLMNIMKKPMRRYRGCGSKRVIKILGVPCDAVSVFIKFLYSSSLTEDEMERYGIHLLALSHVYMVTQLKQRCSKGVVQRLTTENVVDVLQLARLCDAPDVCLRSMRLIHSQFKTVEQTEGWKFIQEHDPFLELDILQFIDDAESRKKRRRRHRKEQDLYMQLSEAMECIEHICTQGCTLVGPSNVVDNNKKSMTAEKSEPCKAFSTCYGLQLLIRHFAVCKRRNNDKGCLRCKRMLQLFRLHSLICDQPDSCRVPLCRQFRKRGEQDKKMGEDTKWKLLVTRVVSAKAMTSLCQSKKNKCEQAQGV
VIMSS10097651 1 305 0.16330131147541 PF00067.22:p450:102:302 Carotene epsilon-monooxygenase, chloroplastic; Cytochrome P450 97C1; Protein LUTEIN DEFICIENT 1; EC 1.14.14.158 539 201 22 305 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6TBX7 1 SwissProt MESSLFSPSSSSYSSLFTAKPTRLLSPKPKFTFSIRSSIEKPKPKLETNSSKSQSWVSPDWLTTLTRTLSSGKNDESGIPIANAKLDDVADLLGGALFLPLYKWMNEYGPIYRLAAGPRNFVIVSDPAIAKHVLRNYPKYAKGLVAEVSEFLFGSGFAIAEGPLWTARRRAVVPSLHRRYLSVIVERVFCKCAERLVEKLQPYAEDGSAVNMEAKFSQMTLDVIGLSLFNYNFDSLTTDSPVIEAVYTALKEAELRSTDLLPYWKIDALCKIVPRQVKAEKAVTLIRETVEDLIAKCKEIVEREG
VIMSS10097748 1 118 0.229849152542373 PF00582.26:Usp:5:117 AT3G53990 protein 160 113 22 118 0 Arabidopsis thaliana Q9M328 1 SwissProt/TReMBL MPKDRNIGIAMDFSESSKNALKWAIENLADKGDTIYIIHTLPLSGDESRNSLWFKSGSPLIPLAEFREPEIMEKYGVKTDIACLDMLDTGSRQKEVHVVTKLYWGDAREKLVDAVKDL
VIMSS10098563 1 212 0.622693396226415 PF03763.13:Remorin_C:102:206,PF03766.13:Remorin_N:46:98 Uncharacterized protein At3g61260 212 158 22 212 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2D8 1 SwissProt MAEEQKIALESESPAKVTTPAPADTPAPAPAEIPAPAPAPTPADVTKDVAEEKIQNPPPEQIFDDSKALTVVEKPVEEPAPAKPASASLDRDVKLADLSKEKRLSFVRAWEESEKSKAENKAEKKIADVHAWENSKKAAVEAQLKKIEEQLEKKKAEYAERMKNKVAAIHKEAEERRAMIEAKRGEDVLKAEETAAKYRATGIVPKATCGCF
VIMSS10098613 1 281 0.498766192170819 PF17675.1:APG6_N:170:281 Beclin-1-like protein; AtBECLIN 1; Autophagy protein 6; AtATG6; Protein VPS30 homolog; AtVPS30 517 112 22 281 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M367 1 SwissProt MRKEEIPDKSRTIPIDPNLPKWVCQNCHHSLTIVGVDSYAGKFFNDPPPSATQGSSIHGANSVLGSTRMDNSFVVLPRHKPPQSQGIPPRPRGASSPQPDATQSGKAMEESFVVVYKSEPVSDSGGSHNLSLEVGQNGPLHSNTSGFNATINVLTRAFDIARTQTQVEQPLCLECMRVLSDKLEKEVEDVTRDVEAYEACVQRLEGETQDVLSEADFLKEKKKIEEEERKLVAAIEETEKQNAEVNHQLKELEFKGNRFNELEDRYWQEFNNFQFQLIAHQ
VIMSS10098637 82 264 0.684367759562841 PF00076.22:RRM_1:14:76 Serine/arginine-rich splicing factor RS31; At-RSp31; AtRS31 264 63 22 183 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92964 1 SwissProt DAKAPSNLKPTKTLFVINFDPIRTKEHDIEKHFEPYGKVTNVRIRRNFSFVQFETQEDATKALEATQRSKILDRVVSVEYALKDDDERDDRNGGRSPRRSLSPVYRRRPSPDYGRRPSPGQGRRPSPDYGRARSPEYDRYKGPAAYERRRSPDYGRRSSDYGRQRSPGYDRYRSRSPVPRGRP
VIMSS10101268 182 1301 0.13727 PF00931.22:NB-ARC:6:240,PF07725.12:LRR_3:410:428,PF07725.12:LRR_3:571:589 SNC1 (SUPPRESSOR OF NPR1-1, CONSTITUTIVE 1); nucleotide binding (RefSeq) 1301 273 22 1120 0 Arabidopsis thaliana VIMSS10101268 1 MicrobesOnline DLVGIENHIEAIKSVLCLESKEARIMVGIWGQSGIGKSTIGRALYSKLSIQFHHRAFITYKSTSGSDVSGMKLRWEKELLSEILGQKDIKIEHFGVVEQRLKQQKVLILLDDVDSLEFLKTLVGKAEWFGSGSRIIVITQDRQLLKAHEIDLIYEVEFPSEHLALTMLCRSAFGKDSPPDDFKELAFEVAKLAGNLPLGLSVLGSSLKGRTKEWWMEMMPRLRNGLNGDIMKTLRVSYDRLHQKDQDMFLYIACLFNGFEVSYVKDLLKDNVGFTMLTEKSLIRITPDGYIEMHNLLEKLGREIDRAKSKGNPGKRRFLTNFEDIHEVVTEKTGTETLLGIRLPFEEYFSTRPLLIDKESFKGMRNLQYLEIGYYGDLPQSLVYLPLKLRLLDWDDCPLKSLPSTFKAEYLVNLIMKYSKLEKLWEGTLPLGSLKEMNLRYSNNLKEIPDLSLAINLEELDLVGCKSLVTLPSSIQNATKLIYLDMSDCKKLESFPTDLNLESLEYLNLTGCPNLRNFPAIKMGCSDVDFPEGRNEIVVEDCFWNKNLPAGLDYLDCLTRCMPCEFRPEQLAFLNVRGYKHEKLWEGIQSLGSLEGMDLSESENLTEIPDLSKATKLESLILNNCKSLVTLPSTIGNLHRLVRLEMKECTGLEVLPTDVNLSSLETLDLSGCSSLRSFPLISTNIVWLYLENTAIEEIPSTIGNLHRLVRLEMKKCTGLEVLPTDVNLSSLETLDLSGCSSLRSFPLISESIKWLYLENTAIEEIPDLSKATNLKNLKLNNCKSLVTLPTTIGNLQKLVSFEMKECTGLEVLPIDVNLSSLMILDLSGCSSLRTFPLISTNIVWLYLENTAIEEIPSTIGNLHRLVKLEMKECTGLEVLPTDVNLSSLMILDLSGCSSLRTFPLISTRIECLYLQNTAIEEVPCCIEDFTRLTVLMMYCCQRLKTISPNIFRLTRLELADFTDCRGVIKALSDATVVATMEDHVSCVPLSENIEYIWDKLYHLPSKLNFNDVEFKFCCSNRIKECGVRLMYVSQEENNQQTTRSEKRMRMTSGTSEEDINLPYGLIVADTGLAALNMELSLGQGEPSSSTSLEGEALCVDYMITEEQDKGIPILFPVSGN
VIMSS10102310 1 310 0.186102258064516 PF04116.13:FA_hydroxylase:145:272 Beta-carotene 3-hydroxylase 1, chloroplastic; AtB1; EC 1.14.15.24 310 128 22 223 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZZ8 1 SwissProt MAAGLSTAVTFKPLHRSFSSSSTDFRLRLPKSLSGFSPSLRFKRFSVCYVVEERRQNSPIENDERPESTSSTNAIDAEYLALRLAEKLERKKSERSTYLIAAMLSSFGITSMAVMAVYYRFSWQMEGGEISMLEMFGTFALSVGAAVGMEFWARWAHRALWHASLWNMHESHHKPREGPFELNDVFAIVNAGPAIGLLSYGFFNKGLVPGLCFGAGLGITVFGIAYMFVHDGLVHKRFPVGPIADVPYLRKVAAAHQLHHTDKFNGVPYGLFLGPKELEEVGGNEELDKEISRRIKSYKKASGSGSSSSS
VIMSS10104956 1 677 0.1052 PF00916.20:Sulfate_transp:115:495,PF01740.21:STAS:548:668 Sulfate transporter 2.1; AST68 677 502 22 455 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04722 1 SwissProt MKERDSESFESLSHQVLPNTSNSTHMIQMAMANSGSSAAAQAGQDQPDRSKWLLDCPEPPSPWHELKRQVKGSFLTKAKKFKSLQKQPFPKQILSVLQAIFPIFGWCRNYKLTMFKNDLMAGLTLASLCIPQSIGYATLAKLDPQYGLYTSVVPPLIYALMGTSREIAIGPVAVVSLLISSMLQKLIDPETDPLGYKKLVLTTTFFAGIFQASFGLFRLGFLVDFLSHAAIVGFMGGAAIVIGLQQLKGLLGITNFTTNTDIVSVLRAVWRSCQQQWSPHTFILGCSFLSFILITRFIGKKYKKLFWLPAIAPLIAVVVSTLMVFLTKADEHGVKTVRHIKGGLNPMSIQDLDFNTPHLGQIAKIGLIIAIVALTEAIAVGRSFAGIKGYRLDGNKEMVAIGFMNVLGSFTSCYAATGSFSRTAVNFAAGCETAMSNIVMAVTVFVALECLTRLLYYTPIAILASIILSALPGLININEAIHIWKVDKFDFLALIGAFFGVLFASVEIGLLVAVVISFAKIILISIRPGIETLGRMPGTDTFTDTNQYPMTVKTPGVLIFRVKSALLCFANASSIEERIMGWVDEEEEEENTKSNAKRKILFVVLDMSSLINVDTSGITALLELHNKLIKTGVELVIVNPKWQVIHKLNQAKFVDRIGGKVYLTIGEALDACFGLKV
VIMSS10105211 1 170 0.672987058823529 Nuclear transcription factor Y subunit A-1; AtNF-YA-1; Protein EMBRYO DEFECTIVE 2220; Transcriptional activator HAP2A 272 0 22 170 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXV5 1 SwissProt MQSKPGRENEEEVNNHHAVQQPMMYAEPWWKNNSFGVVPQARPSGIPSNSSSLDCPNGSESNDVHSASEDGALNGENDGTWKDSQAATSSRSVDNHGMEGNDPALSIRNMHDQPLVQPPELVGHYIACVPNPYQDPYYGGLMGAYGHQQLGFRPYLGMPRERTALPLDMA
VIMSS10105295 1 671 0.263677049180328 PF02450.15:LCAT:134:369,PF02450.15:LCAT:472:631 Phospholipid:diacylglycerol acyltransferase 1; AtPDAT; EC 2.3.1.158 671 396 22 648 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FNA9 1 SwissProt MPLIHRKKPTEKPSTPPSEEVVHDEDSQKKPHESSKSHHKKSNGGGKWSCIDSCCWFIGCVCVTWWFLLFLYNAMPASFPQYVTERITGPLPDPPGVKLKKEGLKAKHPVVFIPGIVTGGLELWEGKQCADGLFRKRLWGGTFGEVYKRPLCWVEHMSLDNETGLDPAGIRVRAVSGLVAADYFAPGYFVWAVLIANLAHIGYEEKNMYMAAYDWRLSFQNTEVRDQTLSRMKSNIELMVSTNGGKKAVIVPHSMGVLYFLHFMKWVEAPAPLGGGGGPDWCAKYIKAVMNIGGPFLGVPKAVAGLFSAEAKDVAVARAIAPGFLDTDIFRLQTLQHVMRMTRTWDSTMSMLPKGGDTIWGGLDWSPEKGHTCCGKKQKNNETCGEAGENGVSKKSPVNYGRMISFGKEVAEAAPSEINNIDFRGAVKGQSIPNHTCRDVWTEYHDMGIAGIKAIAEYKVYTAGEAIDLLHYVAPKMMARGAAHFSYGIADDLDDTKYQDPKYWSNPLETKLPNAPEMEIYSLYGVGIPTERAYVYKLNQSPDSCIPFQIFTSAHEEDEDSCLKAGVYNVDGDETVPVLSAGYMCAKAWRGKTRFNPSGIKTYIREYNHSPPANLLEGRGTQSGAHVDIMGNFALIEDIMRVAAGGNGSDIGHDQVHSGIFEWSERIDLKL
VIMSS10106998 1 307 0.615332247557004 PF12498.8:bZIP_C:213:261,PF12498.8:bZIP_C:273:302,PF00170.21:bZIP_1:145:192,PF07716.15:bZIP_2:146:190 BZO2H3; DNA binding / protein heterodimerization/ transcription factor (RefSeq) 307 127 22 307 0 Arabidopsis thaliana VIMSS10106998 1 MicrobesOnline MEKVFSDEEISGNHHWSVNGMTSLNRSASEWAFNRFIQESSAAADDGESTTACGVSVSSPPNVPVDSEEYRAFLKSKLNLACAAVAMKRDTSGRSDNGGANESEQASLASSKATPMMSSAITSGSELSGDEEEADGETNMNPTNVKRVKRMLSNRESARRSRRRKQAHLSELETQVSQLRVENSKLMKGLTDVTQTFNDASVENRVLKANIETLRAKVKMAEETVKRLTGFNPMFHNMPQIVSTVSLPSETSNSPDTTSSQVTTPEIISSGNKGKALIGCKMNRTASMRRVESLEHLQKRIRSVGDQ
VIMSS109690 1 174 0.270810344827586 PF01083.22:Cutinase:8:172 cutinase 174 165 22 174 0 Mycobacterium tuberculosis H37Rv NP_216274.1 1 RefSeq MPGRFREDFIDALRSKIGEKSMGVYGVDYPATTDFPTAMAGIYDAGTHVEQTAANCPQSKLVLGGFSQGAAVMGFVTAAAIPDGAPLDAPRPMPPEVADHVAAVTLFGMPSVAFMHSIGAPPIVIGPLYAEKTIQLCAPGDPVCSSGGNWAAHNGYADDGMVEQAAVFAAGRLG
VIMSS114537 1 256 0.0069359375 PF12730.7:ABC2_membrane_4:4:184,PF12679.7:ABC2_membrane_2:58:255 ABC transporter permease 256 252 22 121 6 Staphylococcus aureus subsp. aureus USA300_TCH1516 WP_000536961.1 0 RefSeq MGTLIKQECFKLFKKKSTFIAPIVFILLMVAQGYIATKYNEIFTPQESFTSAYNGFSWFAFLLIIQASTIISMEFHYGTIKNLLYREYSRTTMIVSKIITLFIISLIYFVITIIASIVIGSLFFNDLNIFESSGNQLSLLNQLLLVSLGTFVGVWLVLSLTLLLSSATNSTGVAIAVGIVFYFASSILAVIQTALLEKIDWLKWNPINMMNIMLQTVEKGFSKSTKLELHELFIGNIAYISIFLILVVFIFKKKNI
VIMSS134757 1 380 0.522740263157894 PF01476.20:LysM:49:90 Conserved hypothetical protein (NCBI ptt file) 380 42 22 380 0 Streptococcus pneumoniae R6 VIMSS134757 1 MicrobesOnline MKKRMLLASTVALSFAPVLATQAEEVLWTARSVEQIQNDLTKTDNKTSYTVQYGDTLSTIAEALGVDVTVLANLNKITNMDLIFPETVLTTTVNEAEEVTEVEIQTPQADSSEEVTTATADLTTNQVTVDDQTVQVADLSQPIAEAPKEVASSSEVTKTVIASEEVAPSTGTSVPEEQTAETSSAVAEEAPQETTPAEKQETQTSPQAASAVEATTTSSEAKEVASSNGATAAVSTYQPEETKIISTTYEAPAAPDYAGLAVAKSENAGLQPQTAAFKEEIANLFGITSFSGYRPGDSGDHGKGLAIDFMVPERSELGDKIAEYAIQNMASRGISYIIWKQRFYAPFDSKYGPANTWNPMPDRGSVTENHYDHVHVSMNG
VIMSS145 1 280 0.302115 hypothetical protein 280 0 22 280 0 Chlamydia trachomatis D/UW-3/CX NP_219646.1 1 RefSeq MKKPVFTGGAPIPGISTEEGTGVKDQNLWMRNATLKVEGDATIDDTLTSRDLKVTGPTIHTDLDLSVGGDVKGGRTVLGETVLEGDFNIKCNQGQVPQFTNLSDPLSARDAITFDYYRDRSTQAYNCATHRNGALVNGNRFIDLRLHNSEDSESYTPMYRNRFYWKDNDQKKLYLKSPGIYQVAFQIFRSGGYHSGNDDPTIFLRLYTSAYEYTNLCTGDTRGFNPGNTTNTSLYSIFSIPSIGNEHPFIQVFTKIHVNIAYSMINVIWFPFGSSYKEAD
VIMSS16204 1 350 0.190062571428572 PF01791.9:DeoC:69:326 fructose-bisphosphate aldolase class I (EC 4.1.2.13) 350 258 22 350 0 Escherichia coli K-12 substr. MG1655 ecocyc::FRUCBISALD-CLASSI-MONOMER 1 ecocyc MTDIAQLLGKDADNLLQHRCMTIPSDQLYLPGHDYVDRVMIDNNRPPAVLRNMQTLYNTGRLAGTGYLSILPVDQGVEHSAGASFAANPLYFDPKNIVELAIEAGCNCVASTYGVLASVSRRYAHRIPFLVKLNHNETLSYPNTYDQTLYASVEQAFNMGAVAVGATIYFGSEESRRQIEEISAAFERAHELGMVTVLWAYLRNSAFKKDGVDYHVSADLTGQANHLAATIGADIVKQKMAENNGGYKAINYGYTDDRVYSKLTSENPIDLVRYQLANCYMGRAGLINSGGAAGGETDLSDAVRTAVINKRAGGMGLILGRKAFKKSMADGVKLINAVQDVYLDSKITIA
VIMSS17586 1 255 0.12352862745098 PF00563.20:EAL:27:242 c-di-GMP phosphodiesterase PdeH (EC 3.1.4.52) 255 216 22 255 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12252-MONOMER 1 ecocyc MIRQVIQRISNPEASIESLQERRFWLQCERAYTWQPIYQTCGRLMAVELLTVVTHPLNPSQRLPPDRYFTEITVSHRMEVVKEQIDLLAQKADFFIEHGLLASVNIDGPTLIALRQQPKILRQIERLPWLRFELVEHIRLPKDSTFASMCEFGPLWLDDFGTGMANFSALSEVRYDYIKIARELFVMLRQSPEGRTLFSQLLHLMNRYCRGVIVEGVETPEEWRDVQNSPAFAAQGWFLSRPAPIETLNTAVLAL
VIMSS18378 1 716 0.0514058659217877 PF02554.14:CstA:34:405,PF13722.6:CstA_5TM:486:614 pyruvate:H+ symporter 716 501 22 365 16 Escherichia coli K-12 substr. MG1655 ecocyc::G7942-MONOMER 1 ecocyc MDTKKIFKHIPWVILGIIGAFCLAVVALRRGEHISALWIVVASVSVYLVAYRYYSLYIAQKVMKLDPTRATPAVINNDGLNYVPTNRYVLFGHHFAAIAGAGPLVGPVLAAQMGYLPGTLWLLAGVVLAGAVQDFMVLFISSRRNGASLGEMIKEEMGPVPGTIALFGCFLIMIIILAVLALIVVKALAESPWGVFTVCSTVPIALFMGIYMRFIRPGRVGEVSVIGIVLLVASIYFGGVIAHDPYWGPALTFKDTTITFALIGYAFVSALLPVWLILAPRDYLATFLKIGVIVGLALGIVVLNPELKMPAMTQYIDGTGPLWKGALFPFLFITIACGAVSGFHALISSGTTPKLLANETDARFIGYGAMLMESFVAIMALVAASIIEPGLYFAMNTPPAGLGITMPNLHEMGGENAPIIMAQLKDVTAHAAATVSSWGFVISPEQILQTAKDIGEPSVLNRAGGAPTLAVGIAHVFHKVLPMADMGFWYHFGILFEALFILTALDAGTRSGRFMLQDLLGNFIPFLKKTDSLVAGIIGTAGCVGLWGYLLYQGVVDPLGGVKSLWPLFGISNQMLAAVALVLGTVVLIKMKRTQYIWVTVVPAVWLLICTTWALGLKLFSTNPQMEGFFYMASQYKEKIANGTDLTAQQIANMNHIVVNNYTNAGLSILFLIVVYSIIFYGFKTWLAVRNSDKRTDKETPYVPIPEGGVKISSHH
VIMSS18586 1 173 0.0345919075144509 hypothetical protein (NCBI ptt file) 173 0 22 127 2 Helicobacter pylori 26695 VIMSS18586 1 MicrobesOnline MRFSIFFKVVALFMITLFSFGAFAYYFVSSQISHENYQNEMRHYQFVTTINEILNNYSDYRAIEDYLYKIGFRETTIENLEKVLAKRRHQLHHRNIGYAEVFKFSDMVFILLKKDEHFVLYKDLHSVSYRNYFLAITVGLLLILFLFLFVLQSLLPLRELRSQVKPSLKGIKA
VIMSS18841 1 200 0.083255 PF13439.6:Glyco_transf_4:15:186 cholesterol α-glucosyltransferase 389 172 22 200 0 Helicobacter pylori (strain ATCC 700392 / 26695) metacyc::HP0421-MONOMER 1 metacyc MVIVLVVDSFKDTSNGTSMTAFRFFEALKKRGHVMRVVAPHVDNLGSEEEGYYNLKERYIPLVTEISHKQHILFAKPDEKILRKAFKGADMIHTYLPFLLEKTAVKIAREMQVPYIGSFHLQPEHISYNMKLGWFSWFNMMLFSWFKSSHYRYIHHIHCPSKFIVEELEKYNYGGKKYAISNGFDPMFRFEHPQKSLFDT
VIMSS18949 1 218 0.156552752293578 cag pathogenicity island protein (cag11) (NCBI ptt file) 218 0 22 152 3 Helicobacter pylori 26695 VIMSS18949 1 MicrobesOnline MNDTTEHHGPNPLNAPPPSNSQSNDLLNLLDSLYPKGSLGEQRFHEALKNQEELKNILIEIEKLPQEKRYELLMQIGQAKQRIMEAYAHSFLGYIGGLEHLLGLCMGGIFVLFAIYFVFLRTSKNMELVESLKTKLKLQYFYYAFGVGAVLFFGLETIRSIYELYILGIGSTNDKVLFVLKNICFIGMGYLIYKVIKVIGIKNFINGLFTSKKQGGAE
VIMSS19319 1 527 0.826066603415559 PF02120.16:Flg_hook:401:482 Flg_hook domain-containing protein 527 82 22 527 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25564 1 SwissProt/TReMBL MPSPINPIHTNASANANALNSGAKNEDAKNAPKSASKDFSKILNQKISKDKTAPKENPNALKTTPQNSKEGAKEDAKTLEKTPTLPHQHAQNPAKDQQAPTLKDWLNHKKTTTPHETQHETHEANETNPKTPNETLNKNEKKPNGVTSSVHQTNLTNKNPITPTNHANNAIKNPTAPTDTKKEPKTLKDIQTLSQKHDLNASNIQAATTPENKNPLNASDQLALKTTQTPTNHTLAKNDAKNTANLSSVLQSLEKKEPQNKEHANPLNNEKKTPPLKEALEMNAIKRDKTLSKKKSEKTPIHAKTQTTAPSATPENAPKIPLKTPPLMPLIGANPPPNDNIPTPLEKEEKAKEASDNKEKTKETSNSAQNAQNTQASDKTSDNKSTAPKETIKHFTQQLKQEIQEYKPPMSRISMDLFPKELGKVEVIIQKVGKNLKVSVISHNNSLQTFLDNQQDLKNSLNALGFEGVDLSFSQDSSKEQQAPKDQPKEPFKEQELTPLKENALKSYQENTDNENQETSMQITLYA
VIMSS1935855 1 102 0.181533333333333 PF00934.20:PE:3:91 PE family immunomodulator PE15 102 89 22 102 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WIH1 1 SwissProt MTLRVVPESLAGASAAIEAVTARLAAAHAAAAPFIAAVIPPGSDSVSVCNAVEFSVHGSQHVAMAAQGVEELGRSGVGVAESGASYAARDALAAASYLSGGL
VIMSS1936188 95 504 0.0980336585365853 PE-PGRS family protein PE_PGRS62 504 0 22 410 0 Mycobacterium tuberculosis H37Rv YP_178019.1 1 RefSeq GGQLFGQIEAGQRAVSAAAAAAPGGAYGQLVANTATNLESLYGAWSANPFPFLRQIIANQQVYWQQIAAALANAVQNFPALVANLPAAIDAAVQQFLAFNAAYYIQQIISSQIGFAQLFATTVGQGVTSVIAGWPNLAAELQLAFQQLLVGDYNAAVANLGKAMTNLLVTGFDTSDVTIGTMGTTISVTAKPKLLGPLGDLFTIMTIPAQEAQYFTNLMPPSILRDMSQNFTNVLTTLSNPNIQAVASFDIATTAGTLSTFFGVPLVLTYATLGAPFASLNAIATSAETIEQALLAGNYLGAVGALIDAPAHALDGFLNSATVLDTPILVPTGLPSPLPPTVGITLHLPFDGILVPPHPVTATISFPGAPVPIPGFPTTVTVFGTPFMGMAPLLINYIPQQLALAIKPAA
VIMSS29571 1 155 0.404281935483871 ErpK (NCBI ptt file) 256 0 22 155 0 Borrelia burgdorferi B31 VIMSS29571 1 MicrobesOnline MEQLMNKKMFIICAIFALIVSCKNYASGEDVKKSLEQDLKGKVKGFLDTKKEEFFGDFKKPEAKVQPKDEESMQADEPQEQGEDQVVQGVAEDQKLKEEIEQKIKELKDKIEKSDPKSVSLKTYSDYEKEIEELKEKLKDKEKFEKELEILEKAL
VIMSS303640 1 431 0.0197429234338747 PF03594.13:BenE:47:422 Hypothetical protein ydcO (NCBI) 431 376 22 181 11 Escherichia coli CFT073 VIMSS303640 1 MicrobesOnline MVDKKRARFSMRLLRQNGSLSLVRYNGQMLRYLYVITHEVTMRLFSIPPPTLLAGFLAVLIGYASSAAIIWQAAIVAGATTAQISGWMTALGLAMGVSTLTLTLWYRVPVLTAWSTPGAALLVTGLQGLTLNEAIGVFIVTNVLIVLCGITGLFARLMRIIPHSLAAAMLAGILLRXGLQAFASLDGQFTLCGSMLLVWLATRAVAPRYAVIAAMIIGVVIVIAQGDVVTTDVVFKPVLPTYISPDFSFAHSLSVALPLFLVTMASQNAPGIAAMKAAGYSAPVSPLIVFTGLLALVFSPFGVYSVGIAAITAAICQSPEAHPDKDQRWLAAAVAGIFYLLAGLFGSAITGMMAALPVSWIQMLAGLALLSTIGGSLYQALHNERERDAAVVAFLVTASGLTLFGIGSAFWGLIAGGVCYVVLNLIANRNR
VIMSS31941 1 346 0.225481502890174 PF02470.20:MlaD:37:112 MCE-FAMILY PROTEIN MCE1B (NCBI) 346 76 22 323 1 Mycobacterium tuberculosis H37Rv VIMSS31941 1 MicrobesOnline MKITGTVVKLGIVSVVLLFFTVMIIVIFGQMRFDRTNGYTAEFSNVSGLRQGQFVRASGVEIGKVKALHLVDGGRRVRVEFNIDRSVPLYQSTTAQIRYSDLIGNRYVELKRGEGKGANDLLPPGGLIPLSRTSPALDLDALIGGFKPVFRALDPAKVNNIANALITVFQGQGGTINDILDQTAQLTSQIAERDQAIGEVVKNLNIVLDTTVKHRKEFDETVNNLENLITGLRNHSDQLAGGLAHISNGAGTVADLLAENRTLVRKAVSYLDAIQQPVIDQRVELDDLLHKTPTALTALGRANGTYGDFQNFYLCDLQIKWNGFQAGGPVRTVKLFSQPTGRCTPQ
VIMSS32053 1 342 0.298129824561404 ESX-3 secretion system protein EccA3; ESX conserved component A3; Type VII secretion system protein EccA3; T7SS protein EccA3 631 0 22 342 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WPI3 1 SwissProt MAGVGEGDSGGVERDDIGMVAASPVASRVNGKVDADVVGRFATCCRALGIAVYQRKRPPDLAAARSGFAALTRVAHDQCDAWTGLAAAGDQSIGVLEAASRTATTAGVLQRQVELADNALGFLYDTGLYLRFRATGPDDFHLAYAAALASTGGPEEFAKANHVVSGITERRAGWRAARWLAVVINYRAERWSDVVKLLTPMVNDPDLDEAFSHAAKITLGTALARLGMFAPALSYLEEPDGPVAVAAVDGALAKALVLRAHVDEESASEVLQDLYAAHPENEQVEQALSDTSFGIVTTTAGRIEARTDPWDPATEPGAEDFVDPAAHERKAALLHEAELQLA
VIMSS3221485 49 303 0.709366666666666 PF13825.6:Paramyxo_P_V_N:1:255 phosphoprotein 507 255 22 255 0 Measles morbillivirus NP_056919.1 1 RefSeq TCKEEEAGSSGLSKPCLSAIGSTEGGAPRIRGQGSGESDDDAETLGIPSRNLQASSTGLQCYHVYDHSGEAVKGIQDADSIMVQSGLDGDSTLSGGDDESENSDVDIGEPDTEGYAITDRGSAPISMGFRASDVETAEGGEIHELLKLQSRGNNFPKLGKTLNVPPPPNPSRASTSETPIKKGTDARLASFGTEIASLLTGGATQCARKSPSEPSGPGAPAGNVPECVSNAALIQEWTPESGTTISPRSQNNEEG
VIMSS33682 1 150 0.217261333333333 PF01475.19:FUR:17:124 FERRIC UPTAKE REGULATION PROTEIN FURA (FUR) (NCBI) 150 108 22 150 0 Mycobacterium tuberculosis H37Rv VIMSS33682 1 MicrobesOnline MSSVSSIPDYAEQLRTADLRVTRPRVAVLEAVNAHPHADTETIFGAVRFALPDVSRQAVYDVLHALTAAGLVRKIQPSGSVARYESRVGDNHHHIVCRSCGVIADVDCAVGEAPCLTASDHNGFLLDEAEVIYWGLCPDCSISDTSRSHP
VIMSS33760 1 142 0.191606338028169 PF00553.19:CBM_2:41:139 chitinase 142 99 22 119 1 Mycobacterium tuberculosis H37Rv NP_216503.1 1 RefSeq MAGLNIYVRRWRTALHATVSALIVAILGLAITPVASAATARATLSVTSTWQTGFIARFTITNSSTAPLTDWKLEFDLPAGESVLHTWNSTVARSGTHYVLSPANWNRIIAPGGSATGGLRGGLTGSYSPPSSCLLNGQYPCT
VIMSS353626 1 329 0.131395744680851 PF08885.11:GSCFA:21:258 hypothetical protein (NCBI ptt file) 329 238 22 329 0 Bacteroides thetaiotaomicron VPI-5482 VIMSS353626 1 MicrobesOnline MDFQTKVELPAGLPPVSHAERILLMGSCFAENMGRLLAENKFRVDMNPFGILYNPLSVSTALVEILKGKVYQEKDLFLYKECWHSPMHHGLFSASSPEEVLEKINTRLSQAHRSVHELDWLMLTFGTAYVYEQKETRQVVSNCHKLPESCFNRRILSVDEIVNEYTSLITSMVARNSHLKVLFTVSPIRHIRDGMHANQLSKSTLLLAIDRLQQLFPDHVFYFPSYEIVLDELRDYRYYADDMLHPSPLAVRYLWERFSEAFFSAETKQVITAIEDITKDLSHKPFHPESEAYQRFLGQIVLKIERLNGKYPYLDFQKETELCHIRLNP
VIMSS35684 1 675 0.19199437037037 PF03023.14:MurJ:48:521 Probable peptidoglycan biosynthesis protein MviN 1184 474 22 359 14 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJK3 1 SwissProt MRPSPGEVPTASQRQPELSDAALVSHSWAMAFATLISRITGFARIVLLAAILGAALASSFSVANQLPNLVAALVLEATFTAIFVPVLARAEQDDPDGGAAFVRRLVTLATTLLLGATTLSVLAAPLLVRLMLGTNPQVNEPLTTAFAYLLLPQVLVYGLSSVFMAILNTRNVFGPPAWAPVVNNVVAIATLAVYLAVPGELSVDPVRMGNAKLLVLGIGTTAGVFAQTAVLLVAIRREHISLRPLWGIDQRLKRFGAMAAAMVLYVLISQLGLVVGNRIASTAAASGPAIYNYTWLVLMLPFGMIGVTVLTVVMPRLSRNAAADDTPAVLADLSLATRLTMITLIPTVAFMTVGGPAIGSALFAYGNFGDVDAGYLGAAIALSAFTLIPYALVLLQLRVFYAREQPWTPITIIVVITGVKILGSLLAPHITGDPQLVAAYLGLANGLGFLAGTIVGYYILRRALRPDGGQLIGVGEARTVLVTVAASLLAGLLAHVADRLLGLSELTAHAGSVGSLLRLSVLALIMLPILAAVTLCARVPEARAALDAVRARIRSRRLKTGPQTQNVLDQSSRPGPVTYPERRRLAPPRGKSVVHEPIRRRPPEQVARAGRAKGPEVIDRPSENASFGAASGAELPRPVADELQLDAPAGRDPGPVSRPHPSDLQNGDLPADAAR
VIMSS44900 1 68 0.515011764705882 lipoprotein (NCBI ptt file) 68 0 22 68 0 Borrelia burgdorferi B31 VIMSS44900 1 MicrobesOnline MTKLMYAIFLSAILFVACETTRISDEMENTSDEDSKVTAPMTDKDMMKSMPDKNTKSMKQPMTKSMKK
VIMSS468 1 1005 0.631943582089552 PF07577.11:DUF1547:629:685,PF07577.11:DUF1547:751:810,PF07577.11:DUF1547:873:930 translocated actin-recruiting phosphoprotein 1005 175 22 1005 0 Chlamydia trachomatis D/UW-3/CX NP_219969.1 1 RefSeq MTNSISGYQPTVTTSTSSTTSASGASGSLGASSVSTTANATVTQTANATNSAATSSIQTTGETVVNYTNSASAPNVTVSTSSSSTQATATSNKTSQAVAGKITSPDTSESSETSSTSSSDHIPSDYDDVGSNSGDISNNYDDVGSNNGDISSNYDDAAADYEPIRTTENIYESIGGSRTSGPENTSGGAAAALNSLRGSSYSNYDDAAADYEPIRTTENIYESIGGSRTSGPENTSGGAAAALNSLRGSSYSNYDDAAADYEPIRTTENIYESIGGSRTSGPENTSDGAAAAALNSLRGSSYTTGPRNEGVFGPGPEGLPDMSLPSYDPTNKTSLLTFLSNPHVKSKMLENSGHFVFIDTDRSSFILVPNGNWDQVCSIKVQNGKTKEDLDIKDLENMCAKFCTGFSKFSGDWDSLVEPMVSAKAGVASGGNLPNTVIINNKFKTCVAYGPWNSQEASSGYTPSAWRRGHRVDFGGIFEKANDFNKINWGTQAGPSSEDDGISFSNETPGAGPAAAPSPTPSSIPIINVNVNVGGTNVNIGDTNVNTTNTTPTTQSTDASTDTSDIDDINTNNQTDDINTTDKDSDGAGGVNGDISETESSSGDDSGSVSSSESDKNASVGNDGPAMKDILSAVRKHLDVVYPGENGGSTEGPLPANQTLGDVISDVENKGSAQDTKLSGNTGAGDDDPTTTAAVGNGAEEITLSDTDSGIGDDVSDTASSSGDESGGVSSPSSESNKNTAVGNDGPSGLDILAAVRKHLDKVYPGDNGGSTEGPLQANQTLGDIVQDMETTGTSQETVVSPWKGSTSSTESAGGSGSVQTLLPSPPPTPSTTTLRTGTGATTTSLMMGGPIKADIITTGGGGRIPGGGTLEKLLPRIRAHLDISFDAQGDLVSTEEPQLGSIVNKFRQETGSRGILAFVESAPGKPGSAQVLTGTGGDKGNLFQAAAAVTQALGNVAGKVNLAIQGQKLSSLVNDDGKGSVGRDLFQAAAQTTQVLSALIDTVG
VIMSS541491 1 284 0.37199647887324 PF02771.16:Acyl-CoA_dh_N:111:220 Probable acyl-CoA dehydrogenase FadE24 468 110 22 284 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) P95187 1 SwissProt/TReMBL MTNTTSAANAAKPSGARTDRRGRTTGVGLAPHKRTGIDVALALLTPIVGQEFLDKYRLRDPLNRSLRYGVKTMFATAGAATRQFQRVQGLRGGPTRLKSSGRDYFDLTPDDDQKLIIETVDEFAEEVLRPAAHDADDAATYPSDLTAKAAELGITAINIPEDFDGIAEHRSSVTNVLVAEALAYGDMGLALPILAPGGVASALTHWGSADQQATYLKEFAGENVPQACVAITEPQPLFDPTRLKTTAVRTPSGYRLDGVKSLIPAAADAELFIVGAQLGGKPAL
VIMSS56756 375 795 0.352744893111639 PF01804.18:Penicil_amidase:12:408 hypothetical protein 795 397 22 421 0 Pseudomonas aeruginosa PAO1 NP_248996.1 1 RefSeq NDALGASSGKTPIAMWWAFLETENPVLDAFYQLNRADTLAKARAAASKIHSPGLNLVWANAAGDIGWWASAALPKRPEGVNPSFILDGSKGEADKSGFYPFADNPQEENPARGYIVSANFQPVPANGRPIPGYYNLADRGQWLDTQLADRGTKWNLDNSRALQLGNRTGYAPRLLAPLLPVLREVVDDAEGKRLVEQLAAWNGDYPVDSTAATLFNQLLFQIAEGALHDELGDAFFDSLIATRAIDSALPRLAGDADSPWWDDRRTERRETRADIVRTAWNASLAHLRGTLGNDPSGWLWGKAHTLTHEHALGQQALLRRLLNVGPFAAPGTHEVPNNLSAKIGPAPWAVTYGPSTRRLVDFADPTHSLGINPVGQSGVPFDGHYDDQAEAYIEGHYLPQHYEENEVKANSKGVLVLEPKR
VIMSS56923 1 172 0.145717441860465 PF08281.12:Sigma70_r4_2:111:163,PF04542.14:Sigma70_r2:15:79,PF04545.16:Sigma70_r4:117:163 probable sigma-70 factor, ECF subfamily (NCBI) 172 118 22 172 0 Pseudomonas aeruginosa PAO1 VIMSS56923 1 MicrobesOnline MSAGDVSNSEFVGSLYRDHRGWLLAWLNRNLGCRQRAEDLSQDTFVRLLGRPELPGLREPRAFLAKVARGLMIDHFRRAALEQAYLAELALVPEAEQPSAEEQYLILEDLREIDRLLGTLSLKARSAFLYSRLDGMPHAEIAERLGVSVPRVRQYLAQGLRQCYIALYGEPR
VIMSS58167 1 339 0.242186135693215 PF03958.17:Secretin_N:178:272 Type III secretion outer membrane protein PscC 600 95 22 339 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) Q9I319 1 SwissProt/TReMBL MRRLLIGGLLALLPGAVLRAQPLDWPSLPYDYVAQGESLRDVLANFGANYDASVIVSDKVNDQVSGRFDLESPQAFLQLMASLYNLGWYYDGTVLYVFKTTEMQSRLVRLEQVGEAELKRALTAAGIWEARFGWRADPSGRLVHVSGPGRYLELVEQTAQVLEQQYTLRSEKTGDLSVEIFPLRYAVAEDRKIEYRDDEIEAPGIASILSRVLSDANVVAVGDEPGKLRPGPQSSHAVVQAEPSLNAVVVRDHKDRLPMYRRLIEALDRPSARIEVGLSIIDINAENLAQLGVDWSAGIRLGNNKSIQIRTTGQDSEEGGGAGNGAVGSLVDSRGLDFL
VIMSS58519 68 375 0.244202597402597 PF02543.15:Carbam_trans_N:55:270 probable carbamoyl transferase (NCBI) 574 216 22 308 0 Pseudomonas aeruginosa PAO1 VIMSS58519 1 MicrobesOnline AGFDAGRHLDLYLDRVLEEARERPEGLPHLRKRIADEMANDKAKRGEFDAFVRANGLRGKVEYIDHHECHALGAFVCSPFDEALTLTCDGRGDFQSLTVTHYRADGGETVLQRETSVDSLGYFYGRITRLLGFKPNRHEGKITGLAAFGDAEKLLPLMNDMIRLENGRLRARCGELYLPSYDGYSDPLLQRCAAERPADVAAAAQRHSEDLLVAIAREHVARTGCANLCLAGGVFGNVKLNQRLREIPGVRDVYVLPCMGDGGLALAAAVAVAYRENGTRFPAPSMALGPDARSAAQNAELIASQYPQ
VIMSS59102 1 282 0.159864539007092 PF17200.4:sCache_2:51:196,PF08269.11:dCache_2:82:188,PF00672.25:HAMP:228:280 Methyl-accepting chemotaxis protein PA2652 561 199 22 236 2 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9I0I6 1 SwissProt MMRLTLKSKVLLLAMVPVLLFALVLSGGAVLILKKQADAEVKDTRERLLGDRRAELEHYVQIAMGSIQAEYDRSANGDLNARAEAIARLSKIKYGKDGYIFGYDSQVVRLFRGDSPVDVGKSFRDRRDPSGVYLNRELVEAGRNGSHYVTYTSPLPGNESVMVPKLSYTLYLPKWDMVIGSAINLDGVEAQLVEIKQDIDERIGTLIASIVGIAGVLLVVLLVIGLAVANAMLRPLHQIRQNLDDIAAGEGDLTRRLPVTSYDELGELAGSFNRFVEKIHGL
VIMSS6580867 1 597 0.196706867671692 PF11051.8:Mannosyl_trans3:170:440 Alpha-1,2-mannosyltransferase MNN2; Calcium resistance and vanadate sensitivity protein 4; Mannan synthesis protein MNN2; EC 2.4.1.- 597 271 22 577 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38069 1 SwissProt MLLTKRFSKLFKLTFIVLILCGLFVITNKYMDENTSVKEYKEYLDRYVQSYSNKYSSSSDAASADDSTPLRDNDEAGNEKLKSFYNNVFNFLMVDSPKGSTAKQYNEACLLKGDIGDRPDHYKDLYKLSAKELSKCLELSPDEVASLTKSHKDYVEHIATLVSPKGTYKGSGIATVGGGKFSLMAFLIIKTLRNMGTTLPVEVLIPPGDEGETEFCNKILPKYNSKCIYVSDILPRETIEKFVFKGYQFKSLALIASSFENLLLLDADNFPIKPLDNIFNEEPYVSTGLVMWPDFWRRTTHPLYYDIAGIAVDKKKRVRNSRDDITPPAVYTKDLKDLSDVPLSDLDGTIPDVSTESGQLMINKTKHLATALLSLFYNVNGPTWYYPIFSQKAAGEGDKETFIAAANFYGLSFYQVRTRTGVEGYHDEDGFHGVAMLQHDFVQDYGRYLNAMESIGNKYGGTKSADAIKFDKNYSLEKYTEEFFDNEDLNAKNHVDVMFIHSNFPKFDPYDLSKSNFLTTNGKPARSYTALKKVKNYDIELENFKVLNEYVCVNKNPFKYLDDLLGQDKTEWKRVCGYITDRLAFLESTHDKAIAGK
VIMSS6581595 1 889 0.269962542182227 Vacuolar protein sorting-associated protein 54; CPF1 genetically-interacting protein 1; Temperature-sensitive clathrin synthetic mutation protein 3 889 0 22 889 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12071 1 SwissProt MSISETPHNKSQGLQKAAGRPKIVVPEGSPSRNSDSGSFTIEGDTSLNDDLLSISGSVTPRARRSSRLSLDSITPRRSFDSRTLSVANSRSFGFENETHSGSMDFSPLGNNSIYEIVMNTRRKNWLNYPTVADIPQVSLSKNDLDDHWKTHVIEYVKNIKSDYQIFQSTNNIRNMNQMEQLKELREGENMHEESFEANLRQGDAELINSIPDFYFSDKFQLDNPRTFHKVLDAIDLFLTKLDMKRQAERDEAFSELRDRLNDFLDIVETLLVTEISKSSHKFFHALSEVDNIQKRALDTMSELKELAQNIKTIDAENIRKKISHLEMIFKRKNVEKLEQGLLQAKLVLNKTDECKSMYEENKLDNCLELIKSIDYLIKGDDSINEDVQSWTRCWPYKLSNLRTIPALSATREFLTNMKIEIGGKFSLQLSILLIDDLRSFCKSIKPKETLHRIQTGSNDKKQTIFTDNFSSKITELIVRLNRCEELTSAFDLYREKSITELKSIIKIYLPTENAHADNNHDEKHLNNGSTSGSKLSRLIKEQTPAEFQSMLVNIFTHALEALRRLYGHQKLLLDISLNELASVKSPNENQHNMITQLDIRTGINEIIRIIQLRTGKIIAVRRELNLSLRYDYFLKFYAICVIFIQECEVLSGEFLTKYLSNVLASQIKHYANAQSSKNYRNIKKKIDAEEWIPYIVDSSIQSDVNDIVSSIDIDPLSWTTILDMVGGSHDCENGRSEDKEKDEGNETYQGHRKSVVVGDKTFVASSSLLATIEVIKELMVLSINLPSIYLSNFEKLCYDALQYYNSSAMASVTQPGNSLLKTGRNLSIMGESLDCLAEFVIIVQRFYQRLSNSNRDFEPFDASHYTTLLGQFQASSNKIYMANAPPPPV
VIMSS6581700 1 304 0.619197697368422 Protein INO2 304 0 22 304 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P26798 1 SwissProt MQQATGNELLGILDLDNDIDFETAYQMLSSNFDDQMSAHIHENTFSATSPPLLTHELGIIPNVATVQPSHVETIPADNQTHHAPLHTHAHYLNHNPHQPSMGFDQALGLKLSPSSSGLLSTNESNAIEQFLDNLISQDMMSSNASMNSESHLHIRSPKKQHRYTELNQRYPETHPHSNTGELPTNTADVPTEFTTREGPHQPIGNDHYNPPPFSVPEIRIPDSDIPANIEDDPVKVRKWKHVQMEKIRRINTKEAFERLIKSVRTPPKENGKRIPKHILLTCVMNDIKSIRSANEALQHILDDS
VIMSS6582345 1 573 0.195887434554974 Protein DSE1; Daughter-specific expression protein 1 573 0 22 573 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40077 1 SwissProt MQDTKYYEPTNIFRQPAINIKKRSDKKRILQSMMTLSTYKKTWQNNTSKMNSPILRKASDNFNDYYTTKKLKSDYWKLYGVDESELSIPSDMSIVDNILLVSTMNEKDNLKLFEISAEKKLKELQTITVPGKPITCICLLPMVDFPPQIFPSSQINPNHNQLILTGHQDGIVNLIATSTYKGCAKIIKRFNHNKFLKSTVSTSIPILEITPKTAPILKVSPWNKTGFVSLLNDSLFIYDLKSNLDCIKTPIFLQSYPGINSFAVNEFHDPFLLALVGSKFGPNGISLLDLRTNLYIPDILDNSISAGCGKDHLQRKNTSLDCVWISNHHVAQSLNDKIQIWDIQSCDGKPVCELYAKKGYIESLKFNENTGALYSSDDQGFVICWDLQNLQNMKYGELVHGFNSISLDSESELLLTKQVFQCGNIIVSGMSDKNICLKSNDTKANGKGCGFLFLDMANDGSLVTLDNFCELGLHQICQVQFNVDTGKIIDGNGTGKSGISDSSMLSLSNESDHSMTETSDDMFSNSGNWDCSSANTVSEGRLNDDQEDIVFTKRMYSVNDVHLSGSTIDTTVV
VIMSS6582351 1 386 0.498503367875648 Yer130cp (RefSeq) 443 0 22 386 0 Saccharomyces cerevisiae VIMSS6582351 1 MicrobesOnline MSLYPLQRFESNDTVFSYTLNSKTELFNESRNNDKQHFTLQLIPNANANAKEIDNNNVEIINDLTGNTIVDNCVTTATSSNQLERRLSISDYRTENGNYYEYEFFGRRELNEPLFNNDIVENDDDIDLNNESDVLMVSDDELEVNERFSFLKQQPLDGLNRISSTNNLKNLEIHEFIIDPTENIDDELEDSFTTVPQSKKKVRDYFKLNIFGSSSSSNNNSNSLGCEPIQTENSSSQKMFKNRFFRSRKSTLIKSLPLEQENEVLINSGFDVSSNEESDESDHAIINPLKLVGNNKDISTQSIAKTTNPFKSGSDFKMIEPVSKFSNDSRKDLLAAISEPSSSPSPSAPSPSVQSSSSSHGLVVRKKTGSMQKTRGRKPSLIPDAS
VIMSS6582892 63 794 0.130266803278689 Putative protein of unknown function; contains a zinc finger motif similar to that of Adr1p (RefSeq) 794 0 22 732 0 Saccharomyces cerevisiae VIMSS6582892 1 MicrobesOnline LLSSYASMTGDKADIPVSLGVGDVDSTSPRDIKMETLVNSMIKVNSGLINIHYHSSNVEKMDKQQRCVIGKESSSLKKGKSRFKQVKSRLESSISVKILQEYSLDFISSRDILTFFRMGVSHLVENKIFQNFFPDLFSSLQNDELVESFWINKPFGLIIACLGMSISLNQDSQKLWFICCTNLYASSSKHDNDFDTEDILSQTEQHDVFALILFYSLLVMLENNIPVSNSIKKFDVFSMLQDILKPFTVASSSYHYLNSKENAWFIFDLWVNILRDSNNFNNDSLLIFGWFVNQEFISSNPLKDFIYKGPSMSTTDLTLKHINILADSAYVYFIIKKTYPQELPSDFRVHDLLVYLNECFVMQQPIKPETSANPSLFANVMNARITDCKSKSNWLLWETIWFEFINNLTLRNGTTRNIWFIDNFPQVSTSCLLHHSSSFVDETLITTNLSIISMLLNLKSFTLASLNPRNIQLITDIVSFQLKLFSSELIASSDVSPSQVSQLLVNPNVHLMLYFWFDTIYVQRQSYLSSTEKEEFEKVEVFVNDYIITHQKNLVTDLHSILFDFWSDSFIAYHILLHAIVSSLRDNILYPYLIYSPHLNDQTKALLTDISNWSCFALQQPFRKTSRGSLSGASDMKSFSVASCLPLSPNFLKRDSNCNKILLPPLDIKAIEPISTSNYTYVNSAPKQQEKEQPLLRATGNNINLVQTIVVPPQVNMESQEFSASSTDNKQS
VIMSS6583015 1 535 0.140822803738318 PF07247.12:AATase:23:529 Alcohol O-acetyltransferase 2; AATase 2; Acetyl-CoA:pregnenolone acetyltransferase; APAT; EC 2.3.1.84 535 507 22 535 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53296 1 SwissProt MEDIEGYEPHITQELIDRGHARRMGHLENYFAVLSRQKMYSNFTVYAELNKGVNKRQLMLVLKVLLQKYSTLAHTIIPKHYPHHEAYYSSEEYLSKPFPQHDFIKVISHLEFDDLIMNNQPEYREVMEKISEQFKKDDFKVTNRLIELISPVIIPLGNPKRPNWRLICLPGKDTDGFETWKNFVYVTNHCGSDGVSGSNFFKDLALLFCKIEEKGFDYDEEFIEDQVIIDYDRDYTEISKLPKPITDRIDYKPALTSLPKFFLTTFIYEHCNFKTSSESTLTARYSPSSNANASYNYLLHFSTKQVEQIRAQIKKNVHDGCTLTPFIQACFLVALYRLDKLFTKSLLEYGFDVAIPSNARRFLPNDEELRDSYKYGSNVGGSHYAYLISSFDIPEGDNDKFWSLVEYYYDRFLESYDNGDHLIGLGVLQLDFIVENKNIDSLLANSYLHQQRGGAIISNTGLVSQDTTKPYYVRDLIFSQSAGALRFAFGLNVCSTNVNGMNMDMSVVQGTLRDRGEWESFCKLFYQTIGEFASL
VIMSS6583608 1 502 0.129532669322709 PF02383.18:Syja_N:71:367 Phosphatidylinositol 4,5-bisphosphate 5-phosphatase INP51; Synaptojanin-like protein 1; EC 3.1.3.36 946 297 22 502 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40559 1 SwissProt MRLFIGRRSRSIVISSNNYCLSFQRLRSIPGASSQQRQLSKTPSVTIKSYPDTDLSSDSNYLEVKSCIFNGLLGLVCLNGDIYVAVISGVQNVGFPRWKLIDHQVRPSESIYKVLDVDFYSLENDVFDYLLCERSEQNYDKLIHEHPCGPLKKLFSDGTFYYSRDFDISNIVKNHGLSHNLEYTVDNQDLSFIWNANLASEVINWRSKISNEEKQLFANAGFLTFVIRGYCKTALIEDGPNTASITIISRISTESKQDTLELEGISEDGRVSLFVETEIVVTTEKFIFSYTQVNGSIPLFWESVESQLLYGKKIKVTKDSIEAQGAFDRHFDNLTSKYGVVSIVNIIKPKSESQEKLALTYKDCAESKGIKITNIEYSSSVLTKSPHKLLYLLKQDIYEFGAFAYDISRGIYFAKQTGVLRISAFDSIEKPNTVERLVSKEVLELTTNEIDVFELTSPFLDAHDKLWSENYYWLDRTYTKHTKNSGKYTKVYSKLFGSRVRL
VIMSS6583727 1 104 0.683873076923077 Uncharacterized protein YJL144W 104 0 22 104 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47009 1 SwissProt MLRRETSTIYRTHKKSNSSILRSQRDQTRVDSLVEESPMGDFGINNQPTQPGVIYYFVELTNLGIQENTSSNNNNNNNHGDDENGSRYGHGSSLGGDVHSRRCS
VIMSS6583798 1 1094 0.693307678244971 PF10407.9:Cytokin_check_N:72:142 Nucleolar protein NET1 1189 71 22 1094 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47035 1 SwissProt MYKLQVVLVPPSLQATMPIQFGYGPTIAESSQLLPNRTNMAQSAGDASLQYANLRSANVSFTPSYFNQSRFRKFLLFTKPTNTLLNLSDEIIDKCEKMYPSLQEDIEILSLQDNSGCDLDPDFLVKDVFNVNNIVRVILKNEIDLDDSAPVSLYKSVKRSKLNNGSPQSVQPQQQIPSSSGVLRIAKKRPPTGTTTTTTIRSATNGSMRVSTPLARQIYPPPSSKIVSNNSDDEDEDIGERSFLPPPTQPQSPPIRISSGIDAGKKIKSSIVEEDIVSRSATVDPDKTKQQRLLSGTPIMSTMTPNRVTLTGQRVVSEHAHKNELVFSASASSSSFANGGTAAVTAQDINRKPPVTTPRITSGMLKIPEPRISEIEKELKEGPSSPASILPAKAAKIPMKKPYLENGENYESDDSSSSENQETPETEPHSKASLQRSQSSIADNNGSPVKNSPLGDAMPHNVHLAELPKASNTSITKSSNGESWGKQQEHQPPRKSSLETIVEKKSQAEPSGIVEPKRMTNFLDDNQVREKEDTNDKLLEKEILPTIPHNDQPILASSDKSNGTLKSLAGKVSSNNNASKEDGTIINGTIEDDGNDNDEVDTTVRIVPQDSDSSSFPKSDLFKMIEGDDTDLPQWFKGKNSRTSGNSKNSKPYTTVLNKDIDNSKPDPRNILPQRTPRSAAKRAAQLLAGAKKNEVPQKSTEDSSSAASTDDESESGIETDFSSDDDFKRKNMSVPNNGPKDISLHSLKGSVVPVKDSKIINKEVDEERNDKRDSQKKSAVSESSVTNSKISEQMAKSFYPNSNKKQNEATKVETKPATQASSFPVVGGSPSVATKGTTSFNEEGNRKNVKTKAKNESAQIDRQQKETTSRVADLKSANIGGEDLNKKAEGSKEPEKASANIQDANDKNNSKEKEDSKSKQVSQKKLKMTDHLKEGNVQLPKPSANDKLKDLKAKFTNSKTLVPPGIISNEKNNSSANDDDSSSSGSSTEDESSSSSSSSDEETSTSRKARRVVVNTPREPVRSSSKIEAPSPSVNKKINATPDKIPVTQLMDMSSPPSVKSKTTSNPSSILHDLPRKVRPSLSSLSDLVSRGI
VIMSS6584538 1 347 0.102193948126801 PF00106.25:adh_short:4:238 3-keto-steroid reductase; EC 1.1.1.270 347 235 22 347 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12452 1 SwissProt MNRKVAIVTGTNSNLGLNIVFRLIETEDTNVRLTIVVTSRTLPRVQEVINQIKDFYNKSGRVEDLEIDFDYLLVDFTNMVSVLNAYYDINKKYRAINYLFVNAAQGIFDGIDWIGAVKEVFTNPLEAVTNPTYKIQLVGVKSKDDMGLIFQANVFGPYYFISKILPQLTRGKAYIVWISSIMSDPKYLSLNDIELLKTNASYEGSKRLVDLLHLATYKDLKKLGINQYVVQPGIFTSHSFSEYLNFFTYFGMLCLFYLARLLGSPWHNIDGYKAANAPVYVTRLANPNFEKQDVKYGSATSRDGMPYIKTQEIDPTGMSDVFAYIQKKKLEWDEKLKDQIVETRTPI
VIMSS6584549 1 133 0.380603007518797 PF13928.6:Flocculin_t3:32:75 Covalently-linked cell wall protein 12; Protein Alpha0.6 133 44 22 133 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12127 1 SwissProt MQFSTVASIAAVAAVASAAANVTTATVSQESTTLVTITSCEDHVCSETVSPALVSTATVTVDDVITQYTTWCPLTTEAPKNGTSTAAPVTSTEAPKNTTSAAPTHSVTSYTGAAAKALPAAGALLAGAAALLL
VIMSS6584585 1 730 0.392745616438356 PF10313.9:DUF2415:392:431 Putative protein of unknown function; YLR149C is not an essential gene (RefSeq) 730 40 22 730 0 Saccharomyces cerevisiae VIMSS6584585 1 MicrobesOnline MTIDGTGQSKEALQDERLNTGSDKVYQNYMMPALELYDAKVSINHWQLRDCIKPGSMNQSKLYYIYDHSIRVLDTDSSVLRSPVRRHNSIQPSNSGKNSTEKTSTKGSRTTGSYISKNLHVPSEKLVEFNFKPRCFTELNGLTVCGGLIGSDDKGFPSNWNRLAQDANISLPPPSQPINISKNISFPINSHYSNPNIWKGIVEFYNQETDTMMTFTLGQFINNCVTLYDRASMQFDLFACNNDGHLYQCDVSNRDVTLVKRYADLKFPLNNASLSHDGQTMVVSGDSNKFAVYNQNELTNQFSLHYDNHPSWGSSVNRVRRIPRFALPDESEYIENIYEAPNSDHGFYNSFSENDLQFATVFQNGTCAIYDIRNMATPMAEISSTRPHSHNGAFRVCRFSYGLDDLLFISEHQGRVHVVDTRNYVNHQVIVIPDKVNMEYINERKHNTNHNFTTNNNNENESNDSKNELQGADYRSLSRRRFSLPSMPNVTTEPWITMAQRIPKKYLEPQILPFPKVMDKISNESVLFSTKGSSSSDVAHPYKRRCSFRVRRVSTSAPTADYSNNNVNASLGTPAADSIATSSSNSAPQNLIDPLILSHQQASNDVFEDDEYYEAYNDVHSTYRVSSDYHGVSARAFESFLRPPSTPDLPSDDDNFAANSRNNRGTSNFLRRPVITTQESNEFSEENNISGIDWVEDRNGSSLIIGTDYGIMRWNINSWARRSFSSYDLC
VIMSS6584637 1 168 0.107527976190476 PF05328.12:CybS:27:159 Mitochondrial inner membrane protein SHH4; SDH4 homolog 168 133 22 168 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06236 1 SwissProt MSSTKFLKPLCRIRAFHTSIARSFTIPFLPKIPQKPGGVSGTANDSSYMPPESRAQGSYHWIVERGLSLAVLPLIAVPLVTTGPISTFTDTFLSLVLLGHCHIGFQSCIIDYISERVYGKVHHYAMYLLSLGSFLSFVGIYKLESQEAGLIASLKSLWDNKPVEKKRQ
VIMSS6585495 168 759 0.475761655405405 Rab guanine nucleotide exchange factor SEC2; GDP-GTP exchange factor SEC2 759 0 22 592 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17065 1 SwissProt TNNSNRYSTILSDSATSSSTSLNKVPTSYSLASQDIYSGIVYSPSISSIRYDISLYNEFLKFVAALPRCENIKATSTESKLIRRLVNDEIQPILKIDNASGIGWLVKKTLLSLIIDGLVVVEPLSGVNATYQIGYNSSSPAKQATSNMPKMFKFPLDSPPVAVHAACSFCGESRDDIIEHARMYILKTLHKTDDGKEQVTNTYPLCHWCLLKLRQTCEIFAFLRSLKVGAWHLEKLTTQNITKEDLEKFSEVTKHTKRDGRVSSQDKKTKRLSFMAGLGINSSTKNKPKMEIFSSETNAKPGQPTTNIQRAWLQLCKLRCILHWTHIGIWAVDDSISSKIGPLVEDDSDEDQNDAISVRLQDKALWKQDAKRPFSSSSAEESQKSDAFDFESGDMENEITGESSSDESSSDGSSTDNSTADSSSEDESSLADSTTSSADSSSPESIDNGEGDDTVTKDDKSSIKSANNNEENSDCGDKKGRSIIKKKAPQRKIQKKKLLQDLDDLEEQFREESAIDQTEFENAESNVKQNISSKRASSGDENSKKDNNEKTLKTNLTIGDKTQEQIGENSPSSGLHASSSNDDNFDDAQEQQ
VIMSS6586714 338 1133 0.240232537688442 PF04082.18:Fungal_trans:169:487 Zinc finger protein YPR022C 1133 319 22 796 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12139 1 SwissProt VMQDLFSTNFLNSDPLQSFMQELSEAPQVSIEDTFSDKNTIPPNEKPVQQDEGFQNPPVMFELPQDNIKIPKAQPKFNDNPSTSVKDNLSSQKLNINELKRRSSKDSGVGNNSSLNYKEQLRHSMKSVPSFFHPDPLTKYKISKEKCQEMFSFVPELRYVSIESIHKSLKSFWLNFHPQYGLLHKPSFHVDKQPAILNLALIMTGASFLGSEYREQISDPICGPLRWIIFSHADFQPPSKTYIIQSLLLVEGYEKTSTNRYLHERSFLHHGTTIQLLRRTPSLGGHPLMVKTGKTSGENSIQDPQEVYKRWIDFEMLKRIAFYAFYMDTTHAVVFGYWNLFINSNQIQLTLPCPDQVWESYDLSYETLMEHGYGSTKRDENNTFLSALMQLMKNVIQILRNNNIRRNKVNNGGIESTPTDLESTTDWNIQSLFGKKILLAGIISILFQCQEEVNGDYFITNFRGGITDHLGLSWKDILSFAMNYWLHEVQKSCTDPKACRISTPSEETLTNRKIDEDNGDGLCDDDLDLLSSDNPSNCKIPVIHISQIVLRILHHDYYIYAGAPWRMNVPIGRDEYDMISRRILQFAKDPYNGGVAVVYAFQFLFEMFIIKENNVPTVVKSYNINSDPVITRPYAIALTSLLIWSCNFALHGCEVSIWDNTEASKDECFQPDDSNGGNILGNTDNNGSTIANNNLKEKNNYIPIESFEVYLLRMYRNLYVDSSLDVVSFQNDVWAKASLLQHISNTHFLCGMMQFMRDIFNKSYWDLGREFGKLFDNCLERSLGKTSPTCHNMFDV
VIMSS666677 1 198 0.271843939393939 PF03816.14:LytR_cpsA_psr:99:193 Regulatory protein MsrR 327 95 22 175 1 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q7BHL7 1 SwissProt MDKETNDNEYRRQSEHRTSAPKRKKKKKIRKLPIILLIVVILLIALVVYIVHSYNSGVEYAKKHAKDVKVHQFNGPVKNDGKISILVLGADKAQGGQSRTDSIMVVQYDFINKKMKMMSVMRDIYADIPGYGKHKINSAYALGGPELLRKTLDKNLGINPEYYAVVDFTGFEKMIDELMPEGVPINVEKDMSKNIGVS
VIMSS75343 1 549 0.129183242258652 PF07906.13:Toxin_15:15:255 putative enterotoxin (NCBI ptt file) 549 241 22 549 0 Escherichia coli O157:H7 EDL933 VIMSS75343 1 MicrobesOnline MPIINKSASNYVEYISKNNPPYLSKKRDASINLNGKVSDCNGEIIWCRHIASYWSEFFCSNSGKIDYETFSSPQLLSKAIVIQENKGTNNIKGDVYFVENESWGSVIYNLFLQLEKENKSHTSLEVHSPGHAMALGIKIKNDKENKFVINFYDPNQTATHKRVFFCTNNICDIINLTAYDFLSEQCLKCYGLKEDTLSLFVDKTKSNDXNNVFIKKLPDNILQGVVINFAMGAGLREIIKKVYNDTRFTDLTKSQMKILCESKNVNNVPGLLLALQNGHDNVIDEYGTLIKKSNLNKEELIHILSARTLDGTIPGLYQALQNGHAQAIKSYGNLVLDTINKNIDLEYLLSAFKYEAHSSNKYTPGLFSAFQNGHADAIKAYCGVLGNSNLKRGEIIRMLEARNYDGAPGLLLAYQNGDINTIQSFFDSLIMLDISKDFIEELLTAKHYDFTGLSLAISHRHDHVVKLYGKLFKKLDTSPYKMSIILALAIDCERNNANIIIDSEYKSNKAVKEYVEILKEFNICPEKVAEYLSEFSGKHFLDVYNYYSN
VIMSS91927 56 110 0.132210909090909 PF02699.15:YajC:1:44 Sec translocon accessory complex subunit YajC 110 44 22 55 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11096-MONOMER 1 ecocyc IAKGDEVLTNGGLVGRVTKVAENGYIAIALNDTTEVVIKRDFVAAVLPKGTMKAL
WP_000116695.1 1 126 0.00499126984126983 PF03899.15:ATP-synt_I:13:108 ATP synthase accessory factor AtpI 126 96 22 37 4 Escherichia coli K-12 substr. MG1655 ecocyc::EG10106-MONOMER 0 ecocyc MSVSLVSRNVARKLLLVQLLVVIASGLLFSLKDPFWGVSAISGGLAVFLPNVLFMIFAWRHQAHTPAKGRVAWTFAFGEAFKVLAMLVLLVVALAVLKAVFLPLIVTWVLVLVVQILAPAVINNKG
WP_003411373.1 1 264 0.441258712121213 NlpC/P60 family protein 385 0 22 241 1 Mycobacterium tuberculosis variant africanum GM041182 WP_003411373.1 1 RefSeq MRLDQRWLIARVIMRSAIGFFASFTVSSGVLAANVLADPADDALAKLNELSRQAEQTTEALHSAQLDLNEKLAAQRAADQKLADNRTALDAARARLATFQTAVNKVAAATYMGGRTHGMDAILTAESPQLLIDRLSVQRVMAHQMSTQMARFKAAGEQAVKAEQAAAKSAADARSAAEQAAAVRANLQHKQSQLQVQIAVVKSQYVALTPEERTALADPGPVPAVAAIAPGAPPAALPPGAPPGDGPAPGVAPPPGGMPGLPFV
WP_010882475.1 1 514 0.155716926070039 PF02707.16:MOSP_N:1:195,PF02722.15:MOSP_C:317:514 hypothetical protein 514 393 22 514 0 Treponema pallidum subsp. pallidum str. Nichols WP_010882475.1 1 RefSeq MWAELRLKDLTVDFESPRPGQAFTLKKPKASFEATLHCYNAYLTIGKDPNCFINFAQLWDPFVTSDYKQEDVRYAPGFGGYGGKLGYRAQDIGGSGIGLDVGLLSFASNGMWDSGTAHSKYGFGADATLTYTHHRAERIKMELAGNATLEPQYTTGTEQGKNNEQKNRLLWSAGGRLTLTPGYGFRLVLALDVGNIHRSDADIGKTVNVQAKAAEAVSAAVTEFWAQVAQIMANGGVGEFFVKKVRGAALIAQVALVVSHLEGKLSNLLQSTLGLGAVVNQLTQGFAELLKKPDPAIALVTFFAWLHRLHVHELGADALLSMQWKWLSSGAYFATAGANMFGKRVFSRQLTDYLDCAAFLKLETKSGDPYTHLLTGLNAGVEARLYIPFTYTSYVNNGGIDYKKTTMRGPINLPVVGKTWLSYQIALGSHAWLKPYAVVYGTTNRFNTDKANNLLREKAMQYHVGFTVSPIEKVEFDARWEQGRLATAPYMLITEDISSDKHFGTFVCGLKIAW 1
XP_001348922.2 1 291 0.225803436426117 PF09687.10:PRESAN:134:267 Plasmodium exported protein (PHISTa), unknown function 291 134 22 268 1 Plasmodium falciparum 3D7 XP_001348922.2 1 RefSeq MEEENDLNHFVLKYILVIILGVLCAEFCVMVIHIMNSRVVPRAVWPNQSLHHVLPSVRITSAKRRRMNYNDDDAYDESHYNHNSTNNGNSDKAEDIWNGIDSIFNRIMQNIKDNSYKDYPSLHRVVDYNDLSRNLSREELNAVLRGLNDDTPRNDLISIWNHVVRINRDGMVDIINSILLYVNNFVRNYKNGKLDVKEILEELKIDEKSLRLFKTSSLKEISSCDFKYYNDFYTLLNNEKKIEDIKDLINSYMKFADDTKKKIYHNYIKQFKESFEKYIEKKNNTPKESTE
XP_001614842.1 1 1634 0.388474357405141 PF07462.11:MSP1_C:1002:1576 major blood-stage surface antigen Pv200 1751 575 22 1634 0 Plasmodium vivax XP_001614842.1 1 RefSeq MKALLFLFSFIFFVTKCQCETESYKQLVAKLDKLEALVVDGYELFHKKKLGENDIKVETNASANNNNNNQVSVLTSKIRNFLSKFLELQIPGHTDLLHLIRELAVEPNGIKYLVESYEEFNQLMHVINFHYDLLRAKLHDMCAHDYCKIPEHLKISDKELDMLKKVVLGYRKPLDNIKDDIGKLETFITKNKITIKNISDLIIAENKKRSGHPTTTTNGAGTQPANGSIAAASSETTQISGSSNSGSSSTGSSNSGSSSTGSSGTGSTGTGQSPPAAADASSTNANYEAKKIIYQAVYNTIFYTNQLQEAQKLIAVLEKRVKVLKEHKDIKVLLEQVAKEKEKLPSDYPNTTNLTNVHKEAESKIAELEKKIEAIAKTVNFDLDGLFTDAEELEYYLREKAKMAGTLIIPESTKSAGTPGKTVPTLKETYPHGISYALAENSIYELIEKIGSDETFGDLQNPDDGKQPKKGILINETKRKELLEKIMNKIKIEEDKLPNLKKEYEEKYKVYEAKVNEFKPAFNHFYEARLDNTLVENKFDDFKKKREAYMEEKKKLESCSYEQNSNLINKLKKQLTYLEDYVLRKDIADDEIKHFSFMEWKLKSEIYDLAQEIRKNENKLTIENKFDFSGVVELQVQKVLIIKKIEALKNVQNLLKNAKVKDDLYIPKVYKTSEKPEPYYLMVLKREIDKLKDFIPKIESMIATEKNKPTVAAADIVAKGQSLRGASETGTTGNTVNAQTAVVQPQHQVVNAVTVQPGTTGHQAQGGEAETQTNSVQAAQVQQTPAGAGGQVASTQTISQAPAPTQASPEPAPAAPPSTPAAAVAPAPTMSKLEYLEKLLDFLKSAYACHKHIFVTNSTMKKELLDQYKLNADEQNKINETKCDELDLLFNVQNNLPAMYSIYDSMSNELQNLYIELYQKEMVYNIYKNKDTDKKIKAFLETLKSKAAAPAQSAAKPSGQAGTTPVTTTAPVTTTTVTPSPQTSVVTSTPPTPQAEENRRVGGNSEEKPEADTAQVEKFYEKHLSQIDKYNDYFQKFLESQKDEITKMDETKWKALGAEIEELKKKLQVSLDHYGKYKLKLERLLKKKNKISNSKDQIKKLTSLKNKLERRQNLLNNPTSVLKNYTAFFNKKRETEKKEVENTLKNTEILLKYYKARAKYYIGEPFPLKTLSEESMQKEDNYLNLEKFRVLSRLEGRLGKNIELEKENISYLSSGLHHVLTELKEIIKNKKYSGNDHTKNIAAVKEALQAYQELIPKVTTQEGASTTAATLPVTVPSAVPGGLPGAGVPGAAAGLTPPPPAGSVPATGPGAAAGSTEENVAAKAQDYAEDYDKVIALPLFGNNDDDGEEDQVTTGEAESEAPEILVPAGISDYDVVYLKPLAGMYKTIKKQLENHVNAFNTNITDMLDSRLKKRNYFLEVLNSDLNPFKYSSSGEYIIKDPYKLLDLEKKKKLIGSYKYIGASIDMDLATANDGVTYYNKMGELYKTHLDGVKTEIKKVEDDIKKQDEELKKLGNVNSQDSKKNEFIAKKAELEKYLPFLNSLQKEYESLVSKVNTYTDNLKKVINNCQLEKKEAEITVKKLQDYNKMDEKLEEYKKSEKKNEVKSSGLLEKLMKSKLIKENESKEILSQLLNV
XP_005267544.1 152 766 0.671708617886178 apoptosis-stimulating of p53 protein 1 isoform X2 1158 0 22 615 0 Homo sapiens XP_005267544.1 1 RefSeq DSPTENSEQGGRQTQEQRTQRNVINVPGEKRTENGVGNPRVELTLSELQDMAARQQQQIENQQQMLVAKEQRLHFLKQQERRQQQSISENEKLQKLKERVEAQENKLKKIRAMRGQVDYSKIMNGNLSAEIERFSAMFQEKKQEVQTAILRVDQLSQQLEDLKKGKLNGFQSYNGKLTGPAAVELKRLYQELQIRNQLNQEQNSKLQQQKELLNKRNMEVAMMDKRISELRERLYGKKIQLNRVNGTSSPQSPLSTSGRVAAVGPYIQVPSAGSFPVLGDPIKPQSLSIASNAAHGRSKSANDGNWPTLKQNSSSSVKPVQVAGADWKDPSVEGSVKQGTVSSQPVPFSALGPTEKPGIEIGKVPPPIPGVGKQLPPSYGTYPSPTPLGPGSTSSLERRKEGSLPRPSAGLPSRQRPTLLPATGSTPQPGSSQQIQQRISVPPSPTYPPAGPPAFPAGDSKPELPLTVAIRPFLADKGSRPQSPRKGPQTVNSSSIYSMYLQQATPPKNYQPAAHSALNKSVKAVYGKPVLPSGSTSPSPLPFLHGSLSTGTPQPQPPSESTEKEPEQDGPAAPADGSTVESLPRPLSPTKLTPIVHSPLRYQSDADLEALRRKL
XP_005270045.1 119 729 0.667034860883798 zinc finger MIZ domain-containing protein 1 isoform X1 1073 0 22 611 0 Homo sapiens XP_005270045.1 1 RefSeq PPGKLPMQPPLSSMSSMKPTLSHSDGSFPYDSVPWQQNTNQPPGSLSVVTTVWGVTNTSQSQVLGNPMANANNPMNPGGNPMASGMTTSNPGLNSPQFAGQQQQFSAKAGPAQPYIQQSMYGRPNYPGSGGFGASYPGGPNAPAGMGIPPHTRPPADFTQPAAAAAAAAVAAAAATATATATATVAALQETQNKDINQYGPVCSSFQMGPTQAYNSQFMNQPGPRGPASMGGSMNPASMAAGMTPSGMSGPPMGMNQPRPPGISPFGTHGQRMPQQTYPGPRPQSLPIQNIKRPYPGEPNYGNQQYGPNSQFPTQPGQYPAPNPPRPLTSPNYPGQRMPSQPSSGQYPPPTVNMGQYYKPEQFNGQNNTFSGSSYSNYSQGNVNRPPRPVPVANYPHSPVPGNPTPPMTPGSSIPPYLSPSQDVKPPFPPDIKPNMSALPPPPANHNDELRLTFPVRDGVVLEPFRLEHNLAVSNHVFHLRPTVHQTLMWRSDLELQFKCYHHEDRQMNTNWPASVQVSVNATPLTIERGDNKTSHKPLHLKHVCQPGRNTIQITVTACCCSHLFVLQLVHRPSVRSVLQGLLKKRLLPAEHCITKIKRNFSSVAASSGNT
XP_006522172.1 454 1112 0.608367526555386 myocardin-related transcription factor B isoform X1 1112 0 22 659 0 Mus musculus XP_006522172.1 1 RefSeq SNLATGSIVAVSSATIVTSNPEVTVALPVTTLHNAVTSSVSTFKADLALPATSSVPHVENAHSPLPISPSPSEQSSLSTDDTNMTDTFTEIMTMMSPSQLLCSSPLRVVSHDDSLSPSSSTLSTLELDAAEKDRKLQEKEKQIEELKRKLEQEQKLVEVLKMQLEVEKRGQQRPPDPQPSDPPHPFNTSDPKHGSVGSSIKDEASLPDCSSPQQPITVPGHSVGQPISTGSQTLVAKKTVVVKQEVPMAQAEQQNVVSQFYLSSQGQPPALVAQPQALLTTQTTQLLLPVSIQGSNVTSVQLPVGSLQLQTPAQGRVQAQPHVAAATQVPAAALPSALTSALPQKQEAFPQHVLGQPQPVRKVFTNSAPNTVLQYQRQPGPTNQQPFVSKTSNPALQSRTAPLAPLQNGPSLASKPSSPPPPQQFVVQHSLFATPITKTKDPPRYEEAIKQARSTQPALPEVSSVHSQQMDDLFDILIKSGEISFPIKEEPSPISKMKPVTASITTMPVNTVVSRPPPQVQIAPPVSLEPVNSLSASLENQLEAFLDGTLPSATDTGPLQNSSEDRESFSLIEDLQNDLLSHSSMLYQSHSPMETSEAQLVSGTPCLSLDLSDSNLDNMEWLDITMPTTSSGLTPLSTTAPSMFSADFLDPQDLPLPWD
XP_011242520.1 1 192 0.637284375 jun dimerization protein 2 isoform X1 286 0 22 192 0 Mus musculus XP_011242520.1 1 RefSeq MVRRGLSWKGFSAHPAAAFASLPPGLCSILGVAAARPSRGCAYITARRVLLPRALGSRGRGAPPDRNAAGTSAAGPGPAPQAEEREHRREEGAGGGGGEAPPGRPATPPAMMPGQIPDPSVTAGSLPGLGPLTGLPSSALTTEELKYADIRNIGAMIAPLHFLEVKLGKRPQPVKSEVRPLFSRQSPRFQLD
XP_011516919.1 1 450 0.78169 Amyloid-beta A4 precursor protein-binding family A member 1; Adapter protein X11alpha; Neuron-specific X11 protein; Neuronal Munc18-1-interacting protein 1; Mint-1 837 0 22 450 0 Homo sapiens (Human) SwissProt::Q02410 1 SwissProt MNHLEGSAEVEVTDEAAGGEVNESVEADLEHPEVEEEQQQPPQQQHYVGRHQRGRALEDLRAQLGQEEEERGECLARSASTESGFHNHTDTAEGDVIAAARDGYDAERAQDPEDESAYAVQYRPEAEEYTEQAEAEHAEATHRRALPNHLHFHSLEHEEAMNAAYSGYVYTHRLFHRGEDEPYSEPYADYGGLQEHVYEEIGDAPELDARDGLRLYEQERDEAAAYRQEALGARLHHYDERSDGESDSPEKEAEFAPYPRMDSYEQEEDIDQIVAEVKQSMSSQSLDKAAEDMPEAEQDLERPPTPAGGRPDSPGLQAPAGQQRAVGPAGGGEAGQRYSKEKRDAISLAIKDIKEAIEEVKTRTIRSPYTPDEPKEPIWVMRQDISPTRDCDDQRPMDGDSPSPGSSSPLGAESSSTSLHPSDPVEASTNKESRKSLASFPTYVEVPGPC
XP_011525023.1 148 358 0.363331753554502 PF13895.6:Ig_2:6:78,PF13927.6:Ig_3:6:68,PF07679.16:I-set:5:80 sialic acid-binding Ig-like lectin 7 isoform X1 358 76 22 188 1 Homo sapiens XP_011525023.1 1 RefSeq ALGNSSSLSVLEGQSLRLVCAVDSNPPARLSWTWRSLTLYPSQPSNPLVLELQVHLGDEGEFTCRAQNSLGSQHVSLNLSLQQEYTGKMRPVSGVLLGAVGGAGATALVFLSFCVIFIVVRSCRKKSARPAADVGDIGMKDANTIRGSASQGNLTESWADDNPRHHGLAAHSSGEEREIQYAPLSFHKGEPQDLSGQEATNNEYSEIKIPK
XP_011527366.1 1 507 0.227967850098619 PF00083.24:Sugar_tr:33:342,PF07690.16:MFS_1:38:345 solute carrier family 2, facilitated glucose transporter member 10 isoform X5 507 313 22 280 10 Homo sapiens XP_011527366.1 1 RefSeq MSHSQDRTLDLLSQPQAAPLPVCHSPPVLPLCASVSLLGGLTFGYELAVISGALLPLQLDFGLSCLEQEFLVGSLLLGALLASLVGGFLIDCYGRKQAILGSNLVLLAGSLTLGLAGSLAWLVLGRAVVGFAISLSSMACCIYVSELVGPRQRGVLVSLYEAGITVGILLSYALNYALAGTPWGWRHMFGWATAPAVLQSLSLLFLPAGTDETATHKDLIPLQGGEAPKLGPGRPRYSFLDLFRARDNMRGRTTVGLGLVLFQQLTGQPNVLCYASTIFSSVGFHGGSSAVLASVGLGAVKVAATLTAMGLVDRAGRRALLLAGCALMALSVSGIGLVSFAVPMDSGPSCLAVPNATGQTGLPGDSGLLQDSSLPPIPRTNEDQREPILSTAKKTKPHPRSGDPSAPPRLALSSALPGPPLPARGHALLRWTALLCLMVFVSAFSFGFGPGPRSHHSPGALLLSHPSDLACPQRDLPCGDTRKSLRLLQQLQLGGQPLHQPLLPRSH
XP_011529667.1 1 690 0.426728985507246 PF01585.23:G-patch:552:593,PF01424.22:R3H:605:660,PF12656.7:G-patch_2:552:595 NF-kappa-B-repressing factor; NFkB-repressing factor; Protein ITBA4; Transcription factor NRF 690 100 22 690 0 Homo sapiens (Human) SwissProt::O15226 1 SwissProt MEKILQMAEGIDIGEMPSYDLVLSKPSKGQKRHLSTCDGQNPPKKQAGSKFHARPRFEPVHFVASSSKDERQEDPYGPQTKEVNEQTHFASMPRDIYQDYTQDSFSIQDGNSQYCDSSGFILTKDQPVTANMYFDSGNPAPSTTSQQANSQSTPEPSPSQTFPESVVAEKQYFIEKLTATIWKNLSNPEMTSGSDKINYTYMLTRCIQACKTNPEYIYAPLKEIPPADIPKNKKLLTDGYACEVRCQNIYLTTGYAGSKNGSRDRATELAVKLLQKRIEVRVVRRKFKHTFGEDLVVCQIGMSSYEFPPALKPPEDLVVLGKDASGQPIFNASAKHWTNFVITENANDAIGILNNSASFNKMSIEYKYEMMPNRTWRCRVFLQDHCLAEGYGTKKTSKHAAADEALKILQKTQPTYPSVKSSQCHTGSSPRGSGKKKDIKDLVVYENSSNPVCTLNDTAQFNRMTVEYVYERMTGLRWKCKVILESEVIAEAVGVKKTVKYEAAGEAVKTLKKTQPTVINNLKKGAVEDVISRNEIQGRSAEEAYKQQIKEDNIGNQLLRKMGWTGGGLGKSGEGIREPISVKEQHKREGLGLDVERVNKIAKRDIEQIIRNYARSESHTDLTFSRELTNDERKQIHQIAQKYGLKSKSHGVGHDRYLVVGRKRRKEDLLDQLKQEGQVGHYELVMPQAN
XP_016864980.1 60 118 0.0789254237288136 NADH dehydrogenase [ubiquinone] iron-sulfur protein 4, mitochondrial isoform X1 118 0 22 59 0 Homo sapiens XP_016864980.1 1 RefSeq VYLVPLCFTFCGLATPSLELATLFPASRHLEFLFTLPGCILTNPEDFIRSPSTYSSNAT
XP_016873776.1 1 397 0.461102267002519 PF15361.6:RIC3:15:165 protein RIC-3 isoform X1 397 151 22 354 2 Homo sapiens XP_016873776.1 1 RefSeq MAYSTVQRVALASGLVLALSLLLPKAFLSRGKRQEPPPTPEGKLGRFPPMMHHHQAPSDGQTPGARFQRSHLAEAFAKAKGSGGGAGGGGSGRGLMGQIIPIYGFGIFLYILYILFKLSKGKTTAEDGKCYTAMPGNTHRKITSFELAQLQEKLKETEAAMEKLINRVGPNGESTQTDHSDVYVHCLGVFTTKWLDCLIFLSRAQTVTSDQEKRLLHQLREITRVMKEGKFIDRFSPEKEAEEAPYMEDWEGYPEETYPIYDLSDCIKRRQETILVDYPDPKELSAEEIAERMGMIEEEESDHLGWESLPTDPRAQEDNSVTSCDPKPETCSCCFHEDEDPAVLAENAGFSADSYPEQEETTKEEWSQDFKDEGLGISTDKAYTGSMLRKRNPQGLE
XP_016875507.1 241 1213 0.51151798561151 early endosome antigen 1 isoform X2 1417 0 22 973 0 Homo sapiens XP_016875507.1 1 RefSeq TLMDNMTLERERESEKLKDECKKLQSQYASSEATISQLRSELAKGPQEVAVYVQELQKLKSSVNELTQKNQTLTENLLKKEQDYTKLEEKHNEESVSKKNIQATLHQKDLDCQQLQSRLSASETSLHRIHVELSEKGEATQKLKEELSEVETKYQHLKAEFKQLQQQREEKEQHGLQLQSEINQLHSKLLETERQLGEAHGRLKEQRQLSSEKLMDKEQQVADLQLKLSRLEEQLKEKVTNSTELQHQLDKTKQQHQEQQALQQSTTAKLREAQNDLEQVLRQIGDKDQKIQNLEALLQKSKENISLLEKEREDLYAKIQAGEGETAVLNQLQEKNHTLQEQVTQLTEKLKNQSESHKQAQENLHDQVQEQKAHLRAAQDRVLSLETSVNELNSQLNESKEKVSQLDIQIKAKTELLLSAEAAKTAQRADLQNHLDTAQNALQDKQQELNKITTQLDQVTAKLQDKQEHCSQLESHLKEYKEKYLSLEQKTEELEGQIKKLEADSLEVKASKEQALQDLQQQRQLNTDLELRATELSKQLEMEKEIVSSTRLDLQKKSEALESIKQKLTKQEEEKKILKQDFETLSQETKIQHEELNNRIQTTVTELQKVKMEKEALMTELSTVKDKLSKVSDSLKNSKSEFEKENQKGKAAILDLEKTCKELKHQLQVQMENTLKEQKELKKSLEKEKEASHQLKLELNSMQEQLIQAQNTLKQNEKEEQQLQGNINELKQSSEQKKKQIEALQGELKIAVLQKTELENKLQQQLTQAAQELAAEKEKISVLQNNYEKSQETFKQLQSDFYGRESELLATRQDLKSVEEKLSLAQEDLISNRNQIGNQNKLIQELKTAKATLEQDSAKKEQQLQERCKALQDIQKEKSLKEKELVNEKSKLAEIEEIKCRQEKEITKLNEELKSHKLESIKEITNLKDAKQLLIQQKLELQGKADSLKAAVEQEKRNQQILKDQVKKEEEEL
XP_016882773.1 1 198 0.791818686868687 BTB/POZ domain-containing protein KCTD15 isoform X2 198 0 22 198 0 Homo sapiens XP_016882773.1 1 RefSeq MVAEEEEEARAGRKGNRRKRKGRRSGSGRKGGRGGRSGDWGGASGARTSAAGSLGCRRLHRAARVKEMARLAASGRPGAPARPSPAAADRVSADVTGEGQRGRDPGRAARERGRGGLAPGSAPAPTDWQPSFFPSPAPSPGRRGGGCSPLCNRGVKKLVSERGSWSRTDALVGRNLGDSRQGVKPGASNGDYGTEESY
XP_016884712.1 248 649 0.201598258706468 PF00999.21:Na_H_Exchanger:5:235 sodium/hydrogen exchanger 6 isoform X1 649 231 22 267 6 Homo sapiens XP_016884712.1 1 RefSeq SSIVAYQPAGDNSHTFDVTAMFKSIGIFLGIFSGSFAMGAATGVVTALVTKFTKLREFQLLETGLFFLMSWSTFLLAEAWGFTGVVAVLFCGITQAHYTYNNLSTESQHRTKQLFELLNFLAENFIFSYMGLTLFTFQNHVFNPTFVVGAFVAIFLGRAANIYPLSLLLNLGRRSKIGSNFQHMMMFAGLRGAMAFALAIRDTATYARQMMFSTTLLIVFFTVWVFGGGTTAMLSCLHIRVGVDSDQEHLGVPENERRTTKAESAWLFRMWYNFDHNYLKPLLTHSGPPLTTTLPACCGPIARCLTSPQAYENQEQLKDDDSDLILNDGDISLTYGDSTVNTEPATSSAPRRFMGNSSEDALDRELAFGDHELVIRGTRLVLPMDDSEPPLNLLDNTRHGPA
XP_681936.1 1 662 0.705391540785497 PF07573.11:AreA_N:1:94,PF08550.10:DUF1752:105:131 Nitrogen regulatory protein areA 876 121 22 662 0 Emericella nidulans (strain FGSC A4 / ATCC 38163 / CBS 112.46 / NRRL 194 / M139) (Aspergillus nidulans) SwissProt::P17429 1 SwissProt MSGLTLGGGSGRVRPTQAAAAFPTSHDFADPDRSSVARNANRPSRRFAAPPQLSDDFSLESPTDSAQVHDNLLQDALFPEWKANSPRGVDSPDEMQKKDPLATQIWKLYSRTKAQLPNQERMENLTWRMMALSLRRQERERAQQQARASSQKSPVPGMSGIAQLRLSDRVSNTPTTTADTVSDAMNLDDFIIPFSPSDHPSPSTTKASEATTGAIPIKARRDQSASEATPVPASFPHPAQDQRRESEFGYVPRRVRKTSIDERQFFNLQIPSRKRPAESSPHVPPVSTSMLAHDPDFSHAVPEYTLDTSHGLSLQNQMNAQQLANAQNHTSPNMAFALDTFNLGDDPILPSAGPYQQQFTFSPSESPMTSGNPFANLYAQTPIASSLNSTDFFSPPPSGYQSTASTPQPAYDGEHSKYFDMPVDARSQRRVVPAYITQRSSNLSASLQPRYMYNQGGSSQDITQQNAHMGAQSSSMQSPGFSIPQHVDPTQVLNPNEFNGNHAAMFSFGADSDVEDDDGNQFSAGGLAMPAEFGDDSISDMNSNMAWETSYPNSFQSLPAFAAQHRKHVTIGSADMMDTPSEWNQGGSLGRTHESAASVSEVRNRDQDPRRQKIARTSSTPNTAQLLRQSMQNQSSHTSPNTPPESGLNSAAPSRPASPGGT
YP_009137136.1 1 420 0.581614285714286 PF02479.16:Herpes_IE68:165:291 Transcriptional regulator ICP22; Immediate-early protein IE68; Infected cell protein 22; ICP22 420 127 22 420 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P04485 1 SwissProt MADISPGAFAPCVKARRPALRSPPLGTRKRKRPSRPLSSESEVESDTALESEVESETASDSTESGDQDEAPRIGGRRAPRRLGGRFFLDMSAESTTGTETDASVSDDPDDTSDWSYDDIPPRPKRARVNLRLTSSPDRRDGVIFPKMGRVRSTRETQPRAPTPSAPSPNAMLRRSVRQAQRRSSARWTPDLGYMRQCINQLFRVLRVARDPHGSANRLRHLIRDCYLMGYCRARLAPRTWCRLLQVSGGTWGMHLRNTIREVEARFDATAEPVCKLPCLETRRYGPECDLSNLEIHLSATSDDEISDATDLEAAGSDHTLASQSDTEDAPSPVTLETPEPRGSLAVRLEDEFGEFDWTPQEGSQPWLSAVVADTSSVERPGPSDSGAGRAAEDRKCLDGCRKMRFSTACPYPCSDTFLRP
YP_081562.1 1 491 0.409654989816701 PF07340.11:Herpes_IE1:1:391 regulatory protein IE1 491 391 22 491 0 Human betaherpesvirus 5 YP_081562.1 1 RefSeq MESSAKRKMDPDNPDEGPSSKVPRPETPVTKATTFLQTMLRKEVNSQLSLGDPLFPELAEESLKTFEQVTEDCNENPEKDVLTELVKQIKVRVDMVRHRIKEHMLKKYTQTEEKFTGAFNMMGGCLQNALDILDKVHEPFEDMKCIGLTMQSMYENYIVPEDKREMWMACIKELHDVSKGAANKLGGALQAKARAKKDELRRKMMYMCYRNIEFFTKNSAFPKTTNGCSQAMAALQNLPQCSPDEIMSYAQKIFKILDEERDKVLTHIDHIFMDILTTCVETMCNEYKVTSDACMMTMYGGISLLSEFCRVLCCYVLEETSVMLAKRPLITKPEVISVMKRRIEEICMKVFAQYILGADPLRVCSPSVDDLRAIAEESDEEEAIVAYTLATAGASSSDSLVSPPESPVPATIPLSSVIVAENSDQEESEQSDEEQEEGAQEEREDTVSVKSEPVSEIEEVASEEEEDGAEEPTASGGKSTHPMVTRSKADQ
NP_000378.1 75 301 0.0904933920704846 PF00153.27:Mito_carr:34:124,PF00153.27:Mito_carr:133:221 Mitochondrial carnitine/acylcarnitine carrier protein; Carnitine/acylcarnitine translocase; CAC; Solute carrier family 25 member 20 301 180 21 186 2 Homo sapiens (Human) SwissProt::O43772 1 SwissProt MAAPIIGVTPMFAVCFFGFGLGKKLQQKHPEDVLSYPQLFAAGMLSGVFTTGIMTPGERIKCLLQIQASSGESKYTGTLDCAKKLYQEFGIRGIYKGTVLTLMRDVPASGMYFMTYEWLKNIFTPEGKRVSELSAPRILVAGGIAGIFNWAVAIPPDVLKSRFQTAPPGKYPNGFRDVLRELIRDEGVTSLYKGFNAVMIRAFPANAACFLGFEVAMKFLNWATPNL
NP_001002956.1 76 202 0.44998031496063 Endothelin-1 202 0 21 127 0 Canis lupus familiaris P13206 1 SwissProt/TReMBL TPEHIVPYGLGSPSRSKRSLKDLFITKATDHRKRCQCASQKDKKCWTFCQAGKELREQDSMEKGWNGHKKGKDCSELGEKSKQQQLVAGRKIRRLDAISNSIKTAFRVAKLKAELYRENKVTHNRTH
NP_001003943.1 1 129 0.514975968992248 PF15185.6:BMF:5:100 bcl-2-modifying factor isoform bmf-3 129 96 21 129 0 Homo sapiens NP_001003943.1 1 RefSeq MEPSQCVEELEDDVFQPEDGEPVTQPGSLLSADLFAQSLLDCPLSRLQLFPLTHCCGPGLRPTSQEDKATQTLSPASPSQGVMLPCGVTEEPQRLFYAPAEPKSCVVADPPLPAQPCFEWRREQERGRP
NP_001017408.1 1 268 0.396501492537314 Golgi-associated PDZ and coiled-coil motif-containing protein isoform b 454 0 21 268 0 Homo sapiens NP_001017408.1 1 RefSeq MSAGGPCPAAAGGGPGGASCSVGAPGGVSMFRWLEVLEKEFDKAFVDVDLLLGEIDPDQADITYEGRQKMTSLSSCFAQLCHKAQSVSQINHKLEAQLVDLKSELTETQAEKVVLEKEVHDQLLQLHSIQLQLHAKTGQSADSGTIKAKLERELEANKKEKMKEAQLEAEVKLLRKENEALRRHIAVLQAEVYGARLAAKYLDKELAGRVQQIQLLGRDMKGPAHDKLWNQLEAEIHLHRHKTVIRACRGRNDLKRPMQAPPGHDQDS
NP_001031670.1 1 350 0.0522902857142857 PF02535.22:Zip:46:347 Fe(2+) transport protein 2; Fe(II) transport protein 2; Iron-regulated transporter 2 350 302 21 163 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81850 1 SwissProt MATTKLVYILLILFTFTVSPAISTAPEHCDSGFDNPCINKAKALPLKIVAIVAILTTSLIGVTSPLFSRYISFLRPDGNGFMIVKCFSSGIILGTGFMHVLPDSFEMLSSKCLSDNPWHKFPFAGFVAMMSGLVTLAIDSITTSLYTGKNSVGPVPDEEYGIDQEKAIHMVGHNHSHGHGVVLATKDDGQLLRYQVIAMVLEVGILFHSVVIGLSLGATNDSCTIKGLIIALCFHHLFEGIGLGGCILQADFTNVKKFLMAFFFTGTTPCGIFLGIALSSIYRDNSPTALITIGLLNACSAGMLIYMALVDLLATEFMGSMLQGSIKLQIKCFTAALLGCAVMSVVAVWA
NP_001099008.1 1 1332 0.35811036036036 PF04931.13:DNA_pol_phi:72:836 myb-binding protein 1A isoform 1 1332 765 21 1332 0 Homo sapiens NP_001099008.1 1 RefSeq MESRDPAQPMSPGEATQSGARPADRYGLLKHSREFLDFFWDIAKPEQETRLAATEKLLEYLRGRPKGSEMKYALKRLITGLGVGRETARPCYSLALAQLLQSFEDLPLCSILQQIQEKYDLHQVKKAMLRPALFANLFGVLALFQSGRLVKDQEALMKSVKLLQALAQYQNHLQEQPRKALVDILSEVSKATLQEILPEVLKADLNIILSSPEQLELFLLAQQKVPSKLKKLVGSVNLFSDENVPRLVNVLKMAASSVKKDRKLPAIALDLLRLALKEDKFPRFWKEVVEQGLLKMQFWPASYLCFRLLGAALPLLTKEQLHLVMQGDVIRHYGEHVCTAKLPKQFKFAPEMDDYVGTFLEGCQDDPERQLAVLVAFSSVTNQGLPVTPTFWRVVRFLSPPALQGYVAWLRAMFLQPDLDSLVDFSTNNQKKAQDSSLHMPERAVFRLRKWIIFRLVSIVDSLHLEMEEALTEQVARFCLFHSFFVTKKPTSQIPETKHPFSFPLENQAREAVSSAFFSLLQTLSTQFKQAPGQTQGGQPWTYHLVQFADLLLNHSHNVTTVTPFTAQQRQAWDRMLQTLKELEAHSAEARAAAFQHLLLLVGIHLLKSPAESCDLLGDIQTCIRKSLGEKPRRSRTKTIDPQEPPWVEVLVEILLALLAQPSHLMRQVARSVFGHICSHLTPRALQLILDVLNPETSEDENDRVVVTDDSDERRLKGAEDKSEEGEDNRSSESEEESEGEESEEEERDGDVDQGFREQLMTVLQAGKALGGEDSENEEELGDEAMMALDQSLASLFAEQKLRIQARRDEKNKLQKEKALRRDFQIRVLDLVEVLVTKQPENALVLELLEPLLSIIRRSLRSSSSKQEQDLLHKTARIFTHHLCRARRYCHDLGERAGALHAQVERLVQQAGRQPDSPTALYHFNASLYLLRVLKGNTAEGCVHETQEKQKAGTDPSHMPTGPQAASCLDLNLVTRVYSTALSSFLTKRNSPLTVPMFLSLFSRHPVLCQSLLPILVQHITGPVRPRHQACLLLQKTLSMREVRSCFEDPEWKQLMGQVLAKVTENLRVLGEAQTKAQHQQALSSLELLNVLFRTCKHEKLTLDLTVLLGVLQGQQQSLQQGAHSTGSSRLHDLYWQAMKTLGVQRPKLEKKDAKEIPSATQSPISKKRKKKGFLPETKKRKKRKSEDGTPAEDGTPAATGGSQPPSMGRKKRNRTKAKVPAQANGTPTTKSPAPGAPTRSPSTPAKSPKLQKKNQKPSQVNGAPGSPTEPAGQKQHQKALPKKGVLGKSPLSALARKKARLSLVIRSPSLLQSGAKKKAQTLRFTISSSKK
NP_001118434.1 1 183 0.579334426229508 PF02309.16:AUX_IAA:57:172 auxin-induced protein 13 246 116 21 183 0 Arabidopsis thaliana NP_001118434.1 1 RefSeq MITELEMGKGESELELGLGLSLGGGTAAKIGKSGGGGAWGERGRLLTAKDFPSVGSKRAADSASHAGSSPPRSSQVVGWPPIGSHRMNSLVNNQATKSAREEEEAGKKKVKDDEPKDVTKKVNGKVQVGFIKVNMDGVAIGRKVDLNAHSSYENLAQTLEDMFFRTNPGTVGLTSQFTKPLRL
NP_001268383.1 45 183 0.490852517985612 PF16564.5:MBDa:3:72,PF14048.6:MBD_C:77:139 methyl-CpG-binding domain protein 3 isoform 2 259 133 21 139 0 Homo sapiens NP_001268383.1 1 RefSeq SRQRVRYDSSNQVKGKPDLNTALPVRQTASIFKQPVTKITNHPSNKVKSDPQKAVDQPRQLFWEKKLSGLNAFDIAEELVKTMDLPKGLQGVGPGCTDETLLSAIASALHTSTMPITGQLSAAVEKNPGVWLNTTQPLC
NP_001268961.1 1 196 0.586882653061224 HCLS1-associated protein X-1 isoform 2 196 0 21 196 0 Mus musculus NP_001268961.1 1 RefSeq MSVFDLFRGFFGFPGPRSHRDPFFGGMTRDDDDDDDDDDEAEEDRGAWGRESYAFDGSQPPEEFGFSFSPRGGMRFHGNFGFDDLLDDTWPVSPHSRAKEDKDLDSQVSQEGLGPLLQPQPKSYFKSISVTKITKPDGTVEERRTVVDSEGRRETTVTHQEAHDSSRSDPDSQRSSALDDPFSILDLLLGRWFRSR
NP_001273280.1 1 280 0.543415 PF15268.6:Dapper:20:220 dapper homolog 2 isoform c 280 201 21 280 0 Homo sapiens NP_001273280.1 1 RefSeq MWTPGGPPGSAGWDRRRLGARLRAAFAGLQELQGLRATQQERVRGALALQPPPAPAAPCGPHGLHGPEQQLEAALAALQEQLSRLRQQDIGLKTHLDQLDLQISKLQLDVGTASGEALDSDSRPSSGFYEMSDGGSCSLSTSCASVCSDHISPSLGSLLPVAQAHKARPSMGDWRPRSVDETTVPAWRPQATEEGARPPGSVEDAGQPWGTFWPRPVSTELCNAPGELDMHAPPAGCTSSSLTGVGSGLRGKCGLCGCQLPFCSVNTSSKTKSSGISRQQ
NP_001280563.1 1 424 0.505442216981132 deformed epidermal autoregulatory factor 1 homolog isoform b 490 0 21 401 1 Homo sapiens NP_001280563.1 1 RefSeq MEDSDSAAKQLGLAEAAAVAAAAAVAAAAAAAAGGEAEEPVLSRDEDSEEDADSEAERETPRVTAVAVMAAEPGHMDMGAEALPGPDEAAAAAAFAEVTTVTVANVGAAADNVFTTSVANAASISGHVLSGRTALQIGDSLNTEKATLIVVHTDGSIVETTGLKGPAAPLTPGPQSPPTPLAPGQEKGGTKYNWDPSVYDSELPVRCRNISGTLYKNRLGSGWDLKPSRCLLHLCCLLRRHDLITVTPSGQITTSGALTFDRASTVEATAVISESPAQGDVFAGATVQEASVQPPCRASHPEPHYPGYQDSCQIAPFPEAALPTSHPKIVLTSLPALAVPPPTPTKAAPPALVNGLELSEPRSWLYLEEMVNSLLNTAQQLKTLFEQAKHASTYREAATNQAKIHADAERKEVIHPPRLPKVLG
NP_001289186.1 1 160 0.00769687499999999 PF00822.20:PMP22_Claudin:1:153,PF13903.6:Claudin_2:60:153 peripheral myelin protein 22 isoform 1 160 153 21 68 4 Mus musculus NP_001289186.1 0 RefSeq MLLLLLGILFLHIAVLVLLFVSTIVSQWLVGNGHTTDLWQNCTTSALGAVQHCYSSSVSEWLQSVQATMILSVIFSVLALFLFFCQLFTLTKGGRFYITGFFQILAGLCVMSAAAIYTVRHSEWHVNTDYSYGFAYILAWVAFPLALLSGIIYVILRKRE
NP_001291651.1 1 237 0.702891139240507 pleckstrin homology domain-containing family O member 1 isoform b 237 0 21 237 0 Homo sapiens NP_001291651.1 1 RefSeq MAVASTSTSDGMLTLDLIQEEDPSPEEPTSCAESFRVDLDKSVAQLAGSRRRADSDRIQPSADRASSLSRPWEKTDKGATYTPQAPKKLTPTEKGRCASLEEILSQRDAASARTLQLRAEEPPTPALPNPGQLSRIQDLVARKLEETQELLAEVQGLGDGKRKAKDPPRSPPDSESEQLLLETERLLGEASSNWSQAKRVLQEVRELRDLYRQMDLQTPDSHLRQTTPHSQYRKSLM
NP_001296413.1 1 102 0.134625490196078 T-cell leukemia/lymphoma protein 1A isoform 3 102 0 21 79 1 Mus musculus NP_001296413.1 1 RefSeq MNNPDPVLQLLSALPRPGPTGEMLVLMFCLFASHVLFPLHWAPRRKLWPDAAPSHAAVACSAALVGPSALLTPWQGAPEKIPVLLTSSRCSVVSVPLMILAD
NP_001304789.1 1 188 0.300752659574468 PF03226.14:Yippee-Mis18:77:121 protein Mis18-beta isoform 2 188 45 21 188 0 Homo sapiens NP_001304789.1 1 RefSeq MAAQPLRHRSRCATPPRGDFCGGTERAIDQASFTTSMEWDTQVVKGSSPLGPAGLGAEEPAAGPQLPSWLQPERCAVFQCAQCHAVLADSVHLAWDLSRSLGAVVFSRVTNNVVLEAPFLVGIEGSLKGSYLLKTKAIVNASEMDIQNVPLSEKIAELKEKIVLTHNRLKSLMKILSEVTPDQSKPEN
NP_001309412.1 1 577 0.243513344887348 Hermansky-Pudlak syndrome 1 protein isoform i 577 0 21 577 0 Homo sapiens NP_001309412.1 1 RefSeq MATSCMSFTWLRPPDLAQRVQLWEHFQSLLWTYSRLREQEQCFAVEALERLIHPQLCELCIEALERHVIQAVNTSPERGGEEALHAFLLVHSKLLAFYSSHSASSLRPADLLALILLVQDLYPSESTAEDDIQPSPRRARSSQNIPVQQAWSPHSTGPTGGSSAETETDSFSLPEEYFTPAPSPGDQSSGSTIWLEGGTPPMDALQIAEDTLQTLVPHCPVPSGPRRIFLDANVKESYCPLVPHTMYCLPLWQGINLVLLTRSPSAPLALVLSQLMDGFSMLEKKLKEGPEPGASLRSQPLVGDLRQRMDKFVKNRGAQEIQSTWLEFKAKAFSKSEPGSSWELLQACGKLKRQLCAIYRLNFLTTAPSRGGPHLPQHLQDQVQRLMREKLTDWKDFLLVKSRRNITMVSYLEDFPGLVHFIYVDRTTGQMVAPSLNCSQKTSSELGKGPLAAFVKTKVWSLIQLARRYLQKGYTTLLFQEGDFYCSYFLWFENDMGYKLQMIEVPVLSDDSVPIGMLGGDYYRKLLRYYSKNRPTEAVRCYELLALHLSVIPTDLLVQQAGQLARRLWEASRIPLL
NP_001916.1 1 63 0.390436507936508 PF00879.18:Defensin_propep:1:51 Neutrophil defensin 4; Defensin, alpha 4; HNP-4; HP-4 97 51 21 63 0 Homo sapiens (Human) SwissProt::P12838 1 SwissProt MRIIALLAAILLVALQVRAGPLQARGDEAPGQEQRGPEDQDISISFAWDKSSALQVSGSTRGM
NP_002523.2 1 741 0.25524628879892 PF10168.9:Nup88:14:740 Nuclear pore complex protein Nup88; 88 kDa nucleoporin; Nucleoporin Nup88 741 727 21 741 0 Homo sapiens (Human) SwissProt::Q99567 1 SwissProt MAAAEGPVGDGELWQTWLPNHVVFLRLREGLKNQSPTEAEKPASSSLPSSPPPQLLTRNVVFGLGGELFLWDGEDSSFLVVRLRGPSGGGEEPALSQYQRLLCINPPLFEIYQVLLSPTQHHVALIGIKGLMVLELPKRWGKNSEFEGGKSTVNCSTTPVAERFFTSSTSLTLKHAAWYPSEILDPHVVLLTSDNVIRIYSLREPQTPTNVIILSEAEEESLVLNKGRAYTASLGETAVAFDFGPLAAVPKTLFGQNGKDEVVAYPLYILYENGETFLTYISLLHSPGNIGKLLGPLPMHPAAEDNYGYDACAVLCLPCVPNILVIATESGMLYHCVVLEGEEEDDHTSEKSWDSRIDLIPSLYVFECVELELALKLASGEDDPFDSDFSCPVKLHRDPKCPSRYHCTHEAGVHSVGLTWIHKLHKFLGSDEEDKDSLQELSTEQKCFVEHILCTKPLPCRQPAPIRGFWIVPDILGPTMICITSTYECLIWPLLSTVHPASPPLLCTREDVEVAESPLRVLAETPDSFEKHIRSILQRSVANPAFLKASEKDIAPPPEECLQLLSRATQVFREQYILKQDLAKEEIQRRVKLLCDQKKKQLEDLSYCREERKSLREMAERLADKYEEAKEKQEDIMNRMKKLLHSFHSELPVLSDSERDMKKELQLIPDQLRHLGNAIKQVTMKKDYQQQKMEKVLSLPKPTIILSAYQRKCIQSILKEEGEHIREMVKQINDIRNHVNF
NP_003565.4 147 294 0.614744594594595 vesicle-associated membrane protein-associated protein A isoform 1 294 0 21 125 1 Homo sapiens NP_003565.4 1 RefSeq APTVTSMSSINNTVATPASYHTKDDPRGLSVLKQEKQKNDMEPSKAVPLNASKQDGPMPKPHSVSLNDTETRKLMEECKRLQGEMMKLSEENRHLRDEGLRLRKVAHSDKPGSTSTASFRDNVTSPLPSLLVVIAAIFIGFFLGKFIL
NP_003636.2 245 620 0.127418617021277 PF00501.28:AMP-binding:9:243,PF13193.6:AMP-binding_C:255:328 Very long-chain acyl-CoA synthetase; VLACS; VLCS; Arachidonate--CoA ligase; Fatty acid transport protein 2; FATP-2; Fatty-acid-coenzyme A ligase, very long-chain 1; Long-chain-fatty-acid--CoA ligase; Phytanate--CoA ligase; Solute carrier family 27 member 2; THCA-CoA ligase; Very long-chain-fatty-acid-CoA ligase; EC 6.2.1.-; EC 6.2.1.15; EC 6.2.1.3; EC 6.2.1.24; EC 6.2.1.7 620 309 21 353 1 Homo sapiens (Human) SwissProt::O14975 1 SwissProt GTGLTFVSGLKADDVIYITLPFYHSAALLIGIHGCIVAGATLALRTKFSASQFWDDCRKYNVTVIQYIGELLRYLCNSPQKPNDRDHKVRLALGNGLRGDVWRQFVKRFGDICIYEFYAATEGNIGFMNYARKVGAVGRVNYLQKKIITYDLIKYDVEKDEPVRDENGYCVRVPKGEVGLLVCKITQLTPFNGYAGAKAQTEKKKLRDVFKKGDLYFNSGDLLMVDHENFIYFHDRVGDTFRWKGENVATTEVADTVGLVDFVQEVNVYGVHVPDHEGRIGMASIKMKENHEFDGKKLFQHIADYLPSYARPRFLRIQDTIEITGTFKHRKMTLVEEGFNPAVIKDALYFLDDTAKMYVPMTEDIYNAISAKTLKL
NP_004694.2 1 550 0.495744727272727 PF03528.15:Rabaptin:9:495 Rab GTPase-binding effector protein 1; Rabaptin-4; Rabaptin-5; Rabaptin-5alpha; Renal carcinoma antigen NY-REN-17 862 487 21 550 0 Homo sapiens (Human) SwissProt::Q15276 1 SwissProt MAQPGPASQPDVSLQQRVAELEKINAEFLRAQQQLEQEFNQKRAKFKELYLAKEEDLKRQNAVLQAAQDDLGHLRTQLWEAQAEMENIKAIATVSENTKQEAIDEVKRQWREEVASLQAVMKETVRDYEHQFHLRLEQERTQWAQYRESAEREIADLRRRLSEGQEEENLENEMKKAQEDAEKLRSVVMPMEKEIAALKDKLTEAEDKIKELEASKVKELNHYLEAEKSCRTDLEMYVAVLNTQKSVLQEDAEKLRKELHEVCHLLEQERQQHNQLKHTWQKANDQFLESQRLLMRDMQRMEIVLTSEQLRQVEELKKKDQEDDEQQRLNKRKDHKKADVEEEIKIPVVCALTQEESSAQLSNEEEHLDSTRGSVHSLDAGLLLPSGDPFSKSDNDMFKDGLRRAQSTDSLGTSGSLQSKALGYNYKAKSAGNLDESDFGPLVGADSVSENFDTASLGSLQMPSGFMLTKDQERAIKAMTPEQEETASLLSSVTQGMESAYVSPSGYRLVSETEWNLLQKEVHNAGNKLGRRCDMCSNYEKQLQGIQIQE
NP_004810.2 396 1274 0.329072810011377 PF12295.8:Symplekin_C:492:673 Symplekin 1274 182 21 879 0 Homo sapiens (Human) SwissProt::Q92797 1 SwissProt DITAEFLQPLLTPDNVANLVLISMVYLPEAMPASFQAIYTPVESAGTEAQIKHLARLMATQMTAAGLGPGVEQTKQCKEEPKEEKVVKTESVLIKRRLSAQGQAISVVGSLSSMSPLEEEAPQAKRRPEPIIPVTQPRLAGAGGRKKIFRLSDVLKPLTDAQVEAMKLGAVKRILRAEKAVACSGAAQVRIKILASLVTQFNSGLKAEVLSFILEDVRARLDLAFAWLYQEYNAYLAAGASGSLDKYEDCLIRLLSGLQEKPDQKDGIFTKVVLEAPLITESALEVVRKYCEDESRTYLGMSTLRDLIFKRPSRQFQYLHVLLDLSSHEKDKVRSQALLFIKRMYEKEQLREYVEKFALNYLQLLVHPNPPSVLFGADKDTEVAAPWTEETVKQCLYLYLALLPQNHKLIHELAAVYTEAIADIKRTVLRVIEQPIRGMGMNSPELLLLVENCPKGAETLVTRCLHSLTDKVPPSPELVKRVRDLYHKRLPDVRFLIPVLNGLEKKEVIQALPKLIKLNPIVVKEVFNRLLGTQHGEGNSALSPLNPGELLIALHNIDSVKCDMKSIIKATNLCFAERNVYTSEVLAVVMQQLMEQSPLPMLLMRTVIQSLTMYPRLGGFVMNILSRLIMKQVWKYPKVWEGFIKCCQRTKPQSFQVILQLPPQQLGAVFDKCPELREPLLAHVRSFTPHQQAHIPNSIMTILEASGKQEPEAKEAPAGPLEEDDLEPLTLAPAPAPRPPQDLIGLRLAQEKALKRQLEEEQKLKPGGVGAPSSSSPSPSPSARPGPPPSEEAMDFREEGPECETPGIFISMDDDSGLTEAALLDSSLEGPLPKETAAGGLTLKEERSPQTLAPVGEDAMKTPSPAAEDAREPEAKGNS
NP_005755.1 1 114 0.250116666666667 PF15807.5:MAP17:1:114 PDZK1-interacting protein 1; 17 kDa membrane-associated protein; Protein DD96 114 114 21 91 1 Homo sapiens (Human) SwissProt::Q13113 1 SwissProt MSALSLLILGLLTAVPPASCQQGLGNLQPWMQGLIAVAVFLVLVAIAFAVNHFWCQEEPEPAHMILTVGNKADGVLVGTDGRYSSMAASFRSSEHENAYENVPEEEGKVRSTPM
NP_009529.1 331 684 0.354759322033898 Multisite-specific tRNA:(cytosine-C(5))-methyltransferase; Multisite-specific tRNA:m5C-methyltransferase; tRNA (cytosine-5-)-methyltransferase NCL1; tRNA methyltransferase 4; EC 2.1.1.202 684 0 21 354 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38205 1 SwissProt KIRLVNCDDKLPGLIRSKGVSKWPVYDRNLTEKTKGDEGTLDSFFSPSEEEASKFNLQNCMRVYPHQQNTGGFFITVFEKVEDSTEAATEKLSSETPALESEGPQTKKIKVEEVQKKERLPRDANEEPFVFVDPQHEALKVCWDFYGIDNIFDRNTCLVRNATGEPTRVVYTVCPALKDVIQANDDRLKIIYSGVKLFVSQRSDIECSWRIQSESLPIMKHHMKSNRIVEANLEMLKHLLIESFPNFDDIRSKNIDNDFVEKMTKLSSGCAFIDVSRNDPAKENLFLPVWKGNKCINLMVCKEDTHELLYRIFGIDANAKATPSAEEKEKEKETTESPAETTTGTSTEAPSAAN
NP_009626.1 1 237 0.0360746835443038 PF08660.11:Alg14:57:236 UDP-N-acetylglucosamine transferase subunit ALG14; Asparagine-linked glycosylation protein 14 237 180 21 217 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38242 1 SwissProt MKTAYLASLVLIVSTAYVIRLIAILPFFHTQAGTEKDTKDGVNLLKIRKSSKKPLKIFVFLGSGGHTGEMIRLLENYQDLLLGKSIVYLGYSDEASRQRFAHFIKKFGHCKVKYYEFMKAREVKATLLQSVKTIIGTLVQSFVHVVRIRFAMCGSPHLFLLNGPGTCCIISFWLKIMELLLPLLGSSHIVYVESLARINTPSLTGKILYWVVDEFIVQWQELRDNYLPRSKWFGILV
NP_011315.3 1 203 0.179937438423645 PF01105.24:EMP24_GP25L:21:198 Endosomal protein P24B; 24 kDa endomembrane protein; Basic 24 kDa late endocytic intermediate component 203 178 21 157 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32803 1 SwissProt MASFATKFVIACFLFFSASAHNVLLPAYGRRCFFEDLSKGDELSISFQFGDRNPQSSSQLTGDFIIYGPERHEVLKTVRDTSHGEITLSAPYKGHFQYCFLNENTGIETKDVTFNIHGVVYVDLDDPNTNTLDSAVRKLSKLTREVKDEQSYIVIRERTHRNTAESTNDRVKWWSIFQLGVVIANSLFQIYYLRRFFEVTSLV
NP_012004.1 1 148 0.451104054054054 Putative cyclin-dependent kinase inhibitor SPL2; Suppressor of PLC1 deletion protein 2 148 0 21 148 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38839 1 SwissProt MGTYTPLIYNIYNVHIWVFTESQGQIGQMSPRGKMETAVSQGQHKQLKDGHQHKGRKLSEEIASLLRLKECRRLNPASYYTPRRTSQSQSLSGSTFKEYNEYINEKDSSRAQRQNAAAVLSKLAHDFWENDCVIDEDIFEDSSDEEQS
NP_012011.1 1 316 0.00790443037974684 PF12271.8:Chs7:3:302 Chitin synthase export chaperone 316 300 21 163 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38843 1 SwissProt MAFSDFAAICSKTPLPLCSVIKSKTHLILSNSTIIHDFDPLNLNVGVLPRCYARSIDLANTVIFDVGNAFINIGALGVILIILYNIRQKYTAIGRSEYLYFFQLTLLLIIFTLVVDCGVSPPGSGSYPYFVAIQIGLAGACCWALLIIGFLGFNLWEDGTTKSMLLVRGTSMLGFIANFLASILTFKAWITDHKVATMNASGMIVVVYIINAIFLFVFVICQLLVSLLVVRNLWVTGAIFLGLFFFVAGQVLVYAFSTQICEGFKHYLDGLFFGSICNVFTLMMVYKTWDMTTDDDLEFGVSVSKDGDVVYDNGFM
NP_012126.1 1 823 0.366383232077764 PF08693.10:SKG6:496:533,PF05345.12:He_PIG:189:229 Axial budding pattern protein 2; Bud site selection protein 10; Suppressor of RHO3 protein 4 823 79 21 800 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38928 1 SwissProt MTQLQISLLLTATISLLHLVVATPYEAYPIGKQYPPVARVNESFTFQISNDTYKSSVDKTAQITYNCFDLPSWLSFDSSSRTFSGEPSSDLLSDANTTLYFNVILEGTDSADSTSLNNTYQFVVTNRPSISLSSDFNLLALLKNYGYTNGKNALKLDPNEVFNVTFDRSMFTNEESIVSYYGRSQLYNAPLPNWLFFDSGELKFTGTAPVINSAIAPETSYSFVIIATDIEGFSAVEVEFELVIGAHQLTTSIQNSLIINVTDTGNVSYDLPLNYVYLDDDPISSDKLGSINLLDAPDWVALDNATISGSVPDELLGKNSNPANFSVSIYDTYGDVIYFNFEVVSTTDLFAISSLPNINATRGEWFSYYFLPSQFTDYVNTNVSLEFTNSSQDHDWVKFQSSNLTLAGEVPKNFDKLSLGLKANQGSQSQELYFNIIGMDSKITHSNHSANATSTRSSHHSTSTSSYTSSTYTAKISSTSAAATSSAPAALPAANKTSSHNKKAVAIACGVAIPLGVILVALICFLIFWRRRRENPDDENLPHAISGPDLNNPANKPNQENATPLNNPFDDDASSYDDTSIARRLAALNTLKLDNHSATESDISSVDEKRDSLSGMNTYNDQFQSQSKEELLAKPPVQPPESPFFDPQNRSSSVYMDSEPAVNKSWRYTGNLSPVSDIVRDSYGSQKTVDTEKLFDLEAPEKEKRTSRDVTMSSLDPWNSNISPSPVRKSVTPSPYNVTKHRNRHLQNIQDSQSGKNGITPTTMSTSSSDDFVPVKDGENFCWVHSMEPDRRPSKKRLVDFSNKSNVNVGQVKDIHGRIPEML
NP_012386.1 1 663 0.182361990950226 PF12937.7:F-box-like:51:93,PF00646.33:F-box:47:84 F-box protein DAS1; DST1-delta 6-azauracil sensitivity protein 1 663 47 21 663 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47005 1 SwissProt MPFQDYFQKKKAAFINRNNKSNADASALRDINDININFAAKSKNYVFPLTKLPDELMQEVFSHLPQPDRLQLCLVNKRLNKIATKLLYRRIYLNDSNVVKSDFMHLAINWTLLNLPSSLKEEESRDIANCKLKKLIETLQNNIHITEVIQWIRINWDLDSTLQRSILSILCNQGKSLQRLENVTDPACNDIISNGHFSRSNVSSFDMAPPNSLPEMVVPENYIPNLTKYLSQRISSRLSHMTLFIDPLKLFNYLYPLDIKLQIIDLKLHWRREFYNNDYFVKKIRPGNPLTKLSEVFDKRTLKILTIISWNDTLLKRETEMLKDFKEFENLEDLSLISIKQDVHILVDLFSSLTNLKRLKMDFLEEYVPEPTNPHIFLSILLACSKLQFIDLRYDGLIPQIINIQENKFQLNQQCNCTNCQIVFSDILKGKIFMFPEDYYIHDLQDIAAKDIFKMMKYLSLLPYSKACDAYPSVRTQPMNLTNFVTKMNRNLLEYRNSKSQLVPKIVNNPHQHSTVTSTSTAHMSEPEMIIIDDDDDDDEINAAIPPSSDDTAATISTDLELPHESLTKRDIIMCYHALIHHFKSIYVTFLKSFPHLRFLMLNDIPTIVMEENNERIFEPVFYHYDYKSNLYGWSKESNKNLENDSNNNNNNSDTIARIATVM
NP_012488.3 1 842 0.166250593824228 Cullin-8; Cullin-C; Regulator of Ty1 transposition protein 101 842 0 21 842 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47050 1 SwissProt MINESVSKREGFHESISRETSASNALGLYNKFNDERNPRYRTMIAELHEFFHLTLAETITETDVKELECNKEKAAKFRKLMPKMLNNCRELTQRKSYIPYNSEFNGNDEKQKKFQLLHQHQIVLSFQEFCDELAKLIIDAHVLSFLTRCDYSYEIIPKNWTSFYKLFQYVMGAVGPIISYVPVNYPMIRKELGFETLTIFQYYDSKLFECMKSHFGREFSTLVSATIHHYIHMFPITNTMLEKEVPMLRIMSNCNFSIEGLSPKDFYMKTLRQYYCEESNLRPRLETFKNFKVLLTRNALLASLFSPEWVSDANDLFISHLLLNKKSISEYIEIGKDTYDEEKERYFKTETHFSLLMFRNAFEAKNMLSKFKEFCDDAVSEKLKAAYGSNHDTERLFDEVVQLANVDHLKIYSDSIEYHLCNLLGSTSKAIEQYVKYFESHLFIIVRKIKTTKKDLPRDMKIKYLNENLPILRLKFVNLPTFPNFFERSIFRKTILQSDQNSSFIKDILPVYKDSLMELFKQRIITNVSQEDEMRYRDQYQPYLSQFFQPVEVMADLRIKYASFLSFYENIEAAVKFGKTYNENNSKSFFPLIFDRERIPKVFQQSNEVKKNFVLPQEMDDTWNQFLRNYHEQNKVEDSDASKKELYPMWNLHHCEVESPYIIQDGTNLIFELTLFQTCVLTLFNESDHLTLQVISEQTKLAYKDLALVLKSFCNYKILTRDIDNTYSINESFKPDMKKVKNGKLRVVLPRTASLQSSNTGGERTSSAHHEGSNSQWTQELLKACITRSVKSERNGLDYDHLFETVKQQIKGFSVGEFKDALAKLLRDKFITRDESTATYKY
NP_012705.1 1 616 0.144455844155844 PF00083.24:Sugar_tr:127:562,PF07690.16:MFS_1:150:507 Carboxylic acid transporter protein homolog 616 436 21 354 12 Saccharomyces cerevisiae CharProtDB::CH_091331 1 CharProtDB MSSSITDEKISGEQQQPAGRKLYYNTSTFAEPPLVDGEGNPINYEPEVYNPDHEKLYHNPSLPAQSIQDTRDDELLERVYSQDQGVEYEEDEEDKPNLSAASIKSYALTRFTSLLHIHEFSWENVNPIPELRKMTWQNWNYFFMGYFAWLSAAWAFFCVSVSVAPLAELYDRPTKDITWGLGLVLFVRSAGAVIFGLWTDKSSRKWPYITCLFLFVIAQLCTPWCDTYEKFLGVRWITGIAMGGIYGCASATAIEDAPVKARSFLSGLFFSAYAMGFIFAIIFYRAFGYFRDDGWKILFWFSIFLPILLIFWRLLWPETKYFTKVLKARKLILSDAVKANGGEPLPKANFKQKMVSMKRTVQKYWLLFAYLVVLLVGPNYLTHASQDLLPTMLRAQLGLSKDAVTVIVVVTNIGAICGGMIFGQFMEVTGRRLGLLIACTMGGCFTYPAFMLRSEKAILGAGFMLYFCVFGVWGILPIHLAELAPADARALVAGLSYQLGNLASAAASTIETQLADRYPLERDASGAVIKEDYAKVMAILTGSVFIFTFACVFVGHEKFHRDLSSPVMKKYINQVEEYEADGLSISDIVEQKTECASVKMIDSNVSKTYEEHIETV
NP_013044.1 44 298 0.228527450980392 Uncharacterized protein YLL056C 298 0 21 255 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12177 1 SwissProt DPAAKILRGDLKDLEILKKGATESDGVIHLGFVHDFKNFEQCCEIDRQATVAMLESLKGSNKPFLYTNGTLSLRPNKVANEQDGIDEDSKILRAVTEQVALSYKDKGVSARIVRLPFSVHGKGDKAFVPILMNIAKAAGKSGYVGQGTNAWAAVHRLDTAPLFRLVLEKGKTGQVYHCVGEQGIPFKDIARVIGEILNVPVASIPVDDAESHFGFLTCFVTRDGPVSSEGTRKELGWQPQQIGLLEDIRANYSLN
NP_013452.1 43 209 0.0908502994011976 PF00153.27:Mito_carr:9:53,PF00153.27:Mito_carr:65:156 Mitochondrial dicarboxylate transporter; DTP; Dicarboxylate carrier 1 298 137 21 167 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06143 1 SwissProt AAPMPKPTLFRMLESILANEGVVGLYSGLSAAVLRQCTYTTVRFGAYDLLKENVIPREQLTNMAYLLPCSMFSGAIGGLAGNFADVVNIRMQNDSALEAAKRRNYKNAIDGVYKIYRYEGGLKTLFTGWKPNMVRGILMTASQVVTYDVFKNYLVTKLDFDASKNYT
NP_013636.1 1 666 0.141515615615616 PF13323.6:HPIH:10:160,PF12349.8:Sterol-sensing:216:361 3-hydroxy-3-methylglutaryl-coenzyme A reductase 1; HMG-CoA reductase 1; EC 1.1.1.34 1054 297 21 513 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P12683 1 SwissProt MPPLFKGLKQMAKPIAYVSRFSAKRPIHIILFSLIISAFAYLSVIQYYFNGWQLDSNSVFETAPNKDSNTLFQECSHYYRDSSLDGWVSITAHEASELPAPHHYYLLNLNFNSPNETDSIPELANTVFEKDNTKYILQEDLSVSKEISSTDGTKWRLRSDRKSLFDVKTLAYSLYDVFSENVTQADPFDVLIMVTAYLMMFYTIFGLFNDMRKTGSNFWLSASTVVNSASSLFLALYVTQCILGKEVSALTLFEGLPFIVVVVGFKHKIKIAQYALEKFERVGLSKRITTDEIVFESVSEEGGRLIQDHLLCIFAFIGCSMYAHQLKTLTNFCILSAFILIFELILTPTFYSAILALRLEMNVIHRSTIIKQTLEEDGVVPSTARIISKAEKKSVSSFLNLSVVVIIMKLSVILLFVFINFYNFGANWVNDAFNSLYFDKERVSLPDFITSNASENFKEQAIVSVTPLLYYKPIKSYQRIEDMVLLLLRNVSVAIRDRFVSKLVLSALVCSAVINVYLLNAARIHTSYTADQLVKTEVTKKSFTAPVQKASTPVLTNKTVISGSKVKSLSSAQSSSSGPSSSSEEDDSRDIESLDKKIRPLEELEALLSSGNTKQLKNKEVAALVIHGKLPLYALEKKLGDTTRAVAVRRKALSILAEAPVLASDR
NP_013734.1 1 417 0.353989928057554 PF00649.18:Copper-fist:2:40 Metal-binding activator 1 417 39 21 417 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35192 1 SwissProt MIIFNGNKYACASCIRGHRSSTCRHSHRMLIKVRTRGRPSPMAIRDAILVDSTSQSTEYENGAQIEGDCCSAMNQQPILFVRASAVRKARMINGKLHILMEEGFTAHEPKDISTFTDDGNKYITETEFLRKHSPKAPATGTISPDSTKSSSSSEKKERSRLQQEPIRHFSNCCKKDKSQNPASNGKTNKAPSDDIFTPYGSLESTSAFNDILQENYNSSVPGAHDSSETLTPQSTTTIAAPHSSDVASKVEVLTHKGIFLSTQCSCEDESCPCVNCLIHRSEEELNSYIQQSGVPLTNIGEAQITDKMMDYLDDCKCTDKECICPPDNCTCDGCFSHSTNIIPFEKFFFYGILNARLTRKTQIKFKGKLVPSKYWWDFLKLQVPLMTDAQLELLDIHAWFQKLVSNYAPHLSDATTS
NP_014244.1 60 274 0.385013488372093 CDC48-associated ubiquitin-like/zinc finger protein 1; CDC48-associated UBL/Zn-finger protein 1 274 0 21 215 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53899 1 SwissProt HKTEKSPSKSRDGSSSNDEAYFKSLLPERASVRIQRVSETREPLRGSNTAKVSSTLNSKTLDKIFKFFQRNEKRKSNNKSKKNFGSSSNKIIQLANLKKIAKGDPKIPMQNRIYIWCYLVDGDETDIAKEDTRMPLYINKMWPVGRAMDYLSIQLNVKSSTLTNSSSNDKFQLCKLKEGKQVSFYNIGASLRVTNEIKDLDTLYLVHNNADEKSN
NP_014280.3 1 493 0.204385801217039 PF10288.9:CTU2:323:445 Cytoplasmic tRNA 2-thiolation protein 2; Needs CLA4 to survive protein 2; Thiolation of uridine in cytoplasmic tRNA protein 2 493 123 21 493 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53923 1 SwissProt MECQRCPASARNPATVESRKEKFCDECFIKFVSTKQRKQMMKDEYFRNLFKVIYPFEKEGSVSKILLPLSHSDSGSLVMLDIVHDLLLEQTKQHNNRTGFTVDVLTVFTEENVSVIKERMESLINEKMSQLNKISNIFNVHFIDVNEFFNNASEVSTFIIDNENFEIFSKSKSVDDSNILTLKEILGKYCLNNSSRSDLISIIKTQLIKHFAYENGYNAIMWGHSMTKLSEVIISLVVKGKGSQIATFLDSESFDTLNNKPCKYKNLYPMKDLLSVEIESFLQIRNLAQFLINVEETNVKPNCLIARKSLPSLGQQKLVKNMTINEITNKYFQDIQNDYSNIISTVLRTADKLTQPKSSMAKPSQCQICQSKIYTNPSNWLNRITVTSPYPVETTEEKYLFKQWQDSKLGQSHTHYVELLNEIKQGASNSLDVEDGDVKLCYGCLILLNTSIKDKNLVWPKVDTMDITANATNKNKELSQILDQFEINSDGEE
NP_015167.1 1 557 0.55197342908438 Altered inheritance of mitochondria protein 44 758 0 21 557 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99299 1 SwissProt MIIRAPIRTKTKSFRGDQMDFKFPSNESLPRGTLEEYHLNNHHLLNDVFAAENGVSRDEDGNSQTLSDYTSTSNTNTNSGYSSNGYYSFANISDNTTSSPRIVINQNETARLTSSDSNKSDFFASHDFPGNDSLHYSSSNVVKNQLHSMEAIPEGNITGSISTAFQTIPTADNVSYDIAPSSASSLLPRKSTSKSAILPSTQEAKPMTKLNMEKDIKTIELNNSVVPKPKKKLNRVPTIRRVESSRFSNSRYSSSVSSKSSSSRCSLKRSKAIRCKGGLLYYFTSLGIKIKKKLRKLRLVLRRRLFSYNVQKVPSATNSKTTKSKANINNKSKKRGTNLVNKNSNSTPRQKKSQRYVSNLQRSISSKSLVPVLAPQKKTKPLTVDTKFKANHPQSEDSKVGSNTPRSPLVSYTPSLRRTNSSIRRAASILTASATMTPANNKNSFISVPDNVSHAVTRNSSMYSRSRLVRSKPSTALNAIARQPSIVVENKVIPLSMNRYSIKEEDEYVIDTSSMRELSPVNSVCSSDYDRESSESYSNYADAMETTEVDNKDRVEC
NP_032277.3 1 96 0.891218750000001 PF01101.18:HMG14_17:1:92 Non-histone chromosomal protein HMG-14; High mobility group nucleosome-binding domain-containing protein 1 96 92 21 96 0 Mus musculus (Mouse) SwissProt::P18608 1 SwissProt MPKRKVSADGAAKAEPKRRSARLSAKPAPAKVDAKPKKAAGKDKASDKKVQIKGKRGAKGKQADVADQQTTELPAENGETENQSPASEEEKEAKSD
NP_032330.1 1 143 0.59120979020979 Syndecan-2; SYND2; Fibroglycan; Heparan sulfate proteoglycan core protein; HSPG; CD362 antigen 202 0 21 143 0 Mus musculus (Mouse) SwissProt::P43407 1 SwissProt MQRAWILLTLGLMACVSAETRTELTSDKDMYLDNSSIEEASGVYPIDDDDYSSASGSGADEDIESPVLTTSQLIPRIPLTSAASPKVETMTLKTQSITPAQTESPEETDKEEVDISEAEEKLGPAIKSTDVYTEKHSDNLFKR
NP_032623.1 1 104 0.213571153846154 matrix Gla protein precursor 104 0 21 104 0 Mus musculus NP_032623.1 1 RefSeq MKSLLPLAILAALAVATLCYESHESMESYEISPFINRRNANTFMSPQQRWRAKAQKRVQERNKPAYEINREACDDYKLCERYAMVYGYNAAYNRYFRQRRGAKY
NP_037230.2 1 357 0.0248112044817927 PF01569.21:PAP2:61:192 glucose-6-phosphatase 357 132 21 155 9 Rattus norvegicus NP_037230.2 0 RefSeq MEERMNVLHDFGIQSTRYLQVNYEDSQDWFVLVSVIADLRNAFYVLFPIWFHIQETVGINLLWVAVVGDWFNLVFKWILFGQRPYWWVLDTDYYSNSSVPLIKQFPVTCETGPGSPSGHAMGTAGVYYVMVTSTLAIFRGKKKSTYGFRCLNVVLWLGYWAVQLNVCLSRIYLAAHFPHQVVAGVLSGIAVAETFSHIRGIYNASLQRYCLITFFLFGFALGFYLLLKGLGVDLLWTLEKAKRWCERPEWVHLDTTPFASLFKNLGTLLGLGLALNSSMYRKSCKGELRKSLPFRLACIVASLGLLHLFDSLKPPSQIESIFYILSFCKSATVPFASVSLIPYCLARLLGQTHKKSL
NP_037286.1 1 179 0.642827932960894 CCAAT/enhancer-binding protein delta; C/EBP delta; Transcription factor CELF 268 0 21 179 0 Rattus norvegicus (Rat) SwissProt::Q03484 1 SwissProt MSAALFSLDSPARGAPWPTEPAAFYEPGRVGKPGRGPEPGDLGEPGSTTPAMYDDESAIDFSAYIDSMAAVPTLELCHDEIFADLFNSNHKAAGAGSLELLQGGPTRPPGVGSIARGPLKREPDWGDGDAPGSLLPAQVAVCAQTVVSLAAAAQPTPPTSPEPPRGSPGPSLAPGPVRE
NP_038475.2 246 823 0.182882179930796 PF00002.24:7tm_2:290:529,PF01825.21:GPS:236:278 Adhesion G protein-coupled receptor E2; EGF-like module receptor 2; EGF-like module-containing mucin-like hormone receptor-like 2; CD312 antigen 823 283 21 427 7 Homo sapiens (Human) SwissProt::Q9UHX3 1 SwissProt PRHGIPNNQKDTVCEDMTFSTWTPPPGVHSQTLSRFFDKVQDLGRDYKPGLANNTIQSILQALDELLEAPGDLETLPRLQQHCVASHLLDGLEDVLRGLSKNLSNGLLNFSYPAGTELSLEVQKQVDRSVTLRQNQAVMQLDWNQAQKSGDPGPSVVGLVSIPGMGKLLAEAPLVLEPEKQMLLHETHQGLLQDGSPILLSDVISAFLSNNDTQNLSSPVTFTFSHRSVIPRQKVLCVFWEHGQNGCGHWATTGCSTIGTRDTSTICRCTHLSSFAVLMAHYDVQEEDPVLTVITYMGLSVSLLCLLLAALTFLLCKAIQNTSTSLHLQLSLCLFLAHLLFLVAIDQTGHKVLCSIIAGTLHYLYLATLTWMLLEALYLFLTARNLTVVNYSSINRFMKKLMFPVGYGVPAVTVAISAASRPHLYGTPSRCWLQPEKGFIWGFLGPVCAIFSVNLVLFLVTLWILKNRLSSLNSEVSTLRNTRMLAFKATAQLFILGCTWCLGILQVGPAARVMAYLFTIINSLQGVFIFLVYCLLSQQVREQYGKWSKGIRKLKTESEMHTLSSSAKADTSKPSTVN
NP_038580.2 1 443 0.474509480812641 PF15905.5:HMMR_N:15:339 Hyaluronan mediated motility receptor; Intracellular hyaluronic acid-binding protein; Receptor for hyaluronan-mediated motility; CD168 antigen 794 325 21 443 0 Mus musculus (Mouse) SwissProt::Q00547 1 SwissProt MSFPKAPLKRFNDPSGCAPSPGAYDVKTSEATKGPVSFQKSQRFKNQRESQQNLNIDKDTTLLASAKKAKKSVSKKDSQKNDKDVKRLEKEIRALLQERGTQDKRIQDMESELEKTEAKLNAAVREKTSLSASNASLEKRLTELTRANELLKAKFSEDGHQKNMRALSLELMKLRNKRETKMRSMMVKQEGMELKLQATQKDLTESKGKIVQLEGKLVSIEKEKIDEKCETEKLLEYIQEISCASDQVEKCKVDIAQLEEDLKEKDREILSLKQSLEENITFSKQIEDLTVKCQLLETERDNLVSKDRERAETLSAEMQILTERLALERQEYEKLQQKELQSQSLLQQEKELSARLQQQLCSFQEEMTSEKNVFKEELKLALAELDAVQQKEEQSERLVKQLEEETKSTAEQLTRLDNLLREKEVELEKHIAAHAQAILIAQE
NP_055282.1 185 465 0.32523024911032 PF13778.6:DUF4174:151:269,PF02494.16:HYR:1:75,PF00084.20:Sushi:80:135 Sushi repeat-containing protein SRPX2; Sushi-repeat protein upregulated in leukemia 465 250 21 281 0 Homo sapiens (Human) SwissProt::O60687 1 SwissProt RCPHSREKMAEPEKLTARVYWDPPLVKDSADGTITRVTLRGPEPGSHFPEGEHVIRYTAYDRAYNRASCKFIVKVQVRRCPTLKPPQHGYLTCTSAGDNYGATCEYHCDGGYDRQGTPSRVCQSSRQWSGSPPICAPMKINVNVNSAAGLLDQFYEKQRLLIISAPDPSNRYYKMQISMLQQSTCGLDLRHVTIIELVGQPPQEVGRIREQQLSANIIEELRQFQRLTRSYFNMVLIDKQGIDRDRYMEPVTPEEIFTFIDDYLLSNQELTQRREQRDICE
NP_056006.1 294 781 0.52943893442623 Serine/threonine-protein kinase SIK2; Qin-induced kinase; Salt-inducible kinase 2; SIK-2; Serine/threonine-protein kinase SNF1-like kinase 2; EC 2.7.11.1 926 0 21 488 0 Homo sapiens (Human) SwissProt::Q9H0K1 1 SwissProt GEFNEQVLRLMHSLGIDQQKTIESLQNKSYNHFAAIYFLLVERLKSHRSSFPVEQRLDGRQRRPSTIAEQTVAKAQTVGLPVTMHSPNMRLLRSALLPQASNVEAFSFPASGCQAEAAFMEEECVDTPKVNGCLLDPVPPVLVRKGCQSLPSNMMETSIDEGLETEGEAEEDPAHAFEAFQSTRSGQRRHTLSEVTNQLVVMPGAGKIFSMNDSPSLDSVDSEYDMGSVQRDLNFLEDNPSLKDIMLANQPSPRMTSPFISLRPTNPAMQALSSQKREVHNRSPVSFREGRRASDTSLTQGIVAFRQHLQNLARTKGILELNKVQLLYEQIGPEADPNLAPAAPQLQDLASSCPQEEVSQQQESVSTLPASVHPQLSPRQSLETQYLQHRLQKPSLLSKAQNTCQLYCKEPPRSLEQQLQEHRLQQKRLFLQKQSQLQAYFNQMQIAESSYPQPSQQLPLPRQETPPPSQQAPPFSLTQPLSPVLEPS
NP_056968.1 147 519 0.043169436997319 PF02163.22:Peptidase_M50:13:354 Membrane-bound transcription factor site-2 protease; Endopeptidase S2P; Sterol regulatory element-binding proteins intramembrane protease; SREBPs intramembrane protease; EC 3.4.24.85 519 342 21 258 5 Homo sapiens (Human) SwissProt::O43462 1 SwissProt VPGINLPVNQLTYFFTAVLISGVVHEIGHGIAAIREQVRFNGFGIFLFIIYPGAFVDLFTTHLQLISPVQQLRIFCAGIWHNFVLALLGILALVLLPVILLPFYYTGVGVLITEVAEDSPAIGPRGLFVGDLVTHLQDCPVTNVQDWNECLDTIAYEPQIGYCISASTLQQLSFPVRAYKRLDGSTECCNNHSLTDVCFSYRNNFNKRLHTCLPARKAVEATQVCRTNKDCKKSSSSSFCIIPSLETHTRLIKVKHPPQIDMLYVGHPLHLHYTVSITSFIPRFNFLSIDLPVVVETFVKYLISLSGALAIVNAVPCFALDGQWILNSFLDATLTSVIGDNDVKDLIGFFILLGGSVLLAANVTLGLWMVTAR
NP_058807.1 1 670 0.0839291044776119 PF03137.20:OATP:21:597,PF07690.16:MFS_1:24:408,PF07648.15:Kazal_2:440:486 Solute carrier organic anion transporter family member 1A1; Organic anion-transporting polypeptide 1; OATP-1; Sodium-independent organic anion transporter 1; Solute carrier family 21 member 1 670 577 21 440 10 Rattus norvegicus (Rat) SwissProt::P46720 1 SwissProt MEETEKKIATQEGRLFSKMKVFLLSLTCACLTKSLSGVYMNSMLTQIERQFDISTSVAGLINGSFEIGNLFFIVFVSYFGTKLHRPVVIGIGCVIMGLGCLLMSLPHFFMGRYEYETTISPTGNLSSNSFLCMENRTQTLKPTQDPAECVKEMKSLMWICVMVGNIIRGIGETPIVPLGISYIEDFAKSENSPLYIGILEMGKVAGPIFGLLLGSYCAQIYVDIGSVNTDDLTITPSDTRWVGAWWIGFLVCAGVNILTSIPFFFLPKALPKKGQQENVAVTKDGKVEKYGGQAREENLGITKDFLTFMKRLFCNPIYMLFILTSVLQVNGFINKFTFLPKYLEQQYGKSTAEAIFLIGVYSLPPICLGYLIGGFIMKKFKITVKKAAYLAFCLSVFEYLLFLCHFMLTCDNAAVAGLTTSYKGVQHQLHVESKVLADCNTRCSCSTNTWDPVCGDNGVAYMSACLAGCKKFVGTGTNMVFQDCSCIQSLGNSSAVLGLCKKGPECANRLQYFLILTIIISFIYSLTAIPGYMVFLRCVKSEEKSLGVGLHTFCIRVFAGIPAPVYFGALIDRTCLHWGTLKCGQRGACRMYDINSFRHIYLGLPIALRGSSYLPAFFILILMRKFQFPGDIDSSATDHTEMMLGEKESEHTDVHGSPQVENDGELKTKL
NP_060240.3 1 296 0.0423256756756757 PF01151.18:ELO:31:264 Elongation of very long chain fatty acids protein 2; 3-keto acyl-CoA synthase ELOVL2; ELOVL fatty acid elongase 2; ELOVL FA elongase 2; Very long chain 3-ketoacyl-CoA synthase 2; Very long chain 3-oxoacyl-CoA synthase 2; EC 2.3.1.199 296 234 21 146 7 Homo sapiens (Human) SwissProt::Q9NXB9 0 SwissProt MEHLKAFDDEINAFLDNMFGPRDSRVRGWFMLDSYLPTFFLTVMYLLSIWLGNKYMKNRPALSLRGILTLYNLGITLLSAYMLAELILSTWEGGYNLQCQDLTSAGEADIRVAKVLWWYYFSKSVEFLDTIFFVLRKKTSQITFLHVYHHASMFNIWWCVLNWIPCGQSFFGPTLNSFIHILMYSYYGLSVFPSMHKYLWWKKYLTQAQLVQFVLTITHTMSAVVKPCGFPFGCLIFQSSYMLTLVILFLNFYVQTYRKKPMKKDMQEPPAGKEVKNGFSKAYFTAANGVMNKKAQ
NP_060496.2 101 963 0.408037775202781 PF10254.9:Pacs-1:448:858 Phosphofurin acidic cluster sorting protein 1; PACS-1 963 411 21 863 0 Homo sapiens (Human) SwissProt::Q6VY07 1 SwissProt MNLYATWEVDRSSSSCVPRLFSLTLKKLVMLKEMDKDLNSVVIAVKLQGSKRILRSNEIVLPASGLVETELQLTFSLQYPHFLKRDANKLQIMLQRRKRYKNRTILGYKTLAVGLINMAEVMQHPNEGALVLGLHSNVKDVSVPVAEIKIYSLSSQPIDHEGIKSKLSDRSPDIDNYSEEEEESFSSEQEGSDDPLHGQDLFYEDEDLRKVKKTRRKLTSTSAITRQPNIKQKFVALLKRFKVSDEVGFGLEHVSREQIREVEEDLDELYDSLEMYNPSDSGPEMEETESILSTPKPKLKPFFEGMSQSSSQTEIGSLNSKGSLGKDTTSPMELAALEKIKSTWIKNQDDSLTETDTLEITDQDMFGDASTSLVVPEKVKTPMKSSKTDLQGSASPSKVEGVHTPRQKRSTPLKERQLSKPLSERTNSSDSERSPDLGHSTQIPRKVVYDQLNQILVSDAALPENVILVNTTDWQGQYVAELLQDQRKPVVCTCSTVEVQAVLSALLTRIQRYCNCNSSMPRPVKVAAVGGQSYLSSILRFFVKSLANKTSDWLGYMRFLIIPLGSHPVAKYLGSVDSKYSSSFLDSGWRDLFSRSEPPVSEQLDVAGRVMQYVNGAATTHQLPVAEAMLTCRHKFPDEDSYQKFIPFIGVVKVGLVEDSPSTAGDGDDSPVVSLTVPSTSPPSSSGLSRDATATPPSSPSMSSALAIVGSPNSPYGDVIGLQVDYWLGHPGERRREGDKRDASSKNTLKSVFRSVQVSRLPHSGEAQLSGTMAMTVVTKEKNKKVPTIFLSKKPREKEVDSKSQVIEGISRLICSAKQQQTMLRVSIDGVEWSDIKFFQLAAQWPTHVKHFPVGLFSGSKAT
NP_064314.2 333 710 0.416661111111111 PF10607.9:CLTH:53:357,PF08513.11:LisH:18:42 ran-binding protein 9 710 330 21 378 0 Mus musculus NP_064314.2 1 RefSeq AQIDRFPIGDREGEWQTMIQKMVSSYLVHHGYCATAEAFARSTDQTVLEELASIKNRQRIQKLVLAGRMGEAIETTQQLYPSLLERNPNLLFTLKVRQFIEMVNGTDSEVRCLGGRSPKSQDSYPVSPRPFSSPSMSPSHGMSIHSLAPGKSSTAHFSGFESCSNGVISNKAHQSYCHSKHQLSSLTVPELNSLNVSRSQQVNNFTSNDVDMETDHYSNGVGETSSNGFLNGSSKHDHEMEDCDTEMEVDCSQLRRQLCGGSQAAIERMIHFGRELQAMSEQLRRECGKNTANKKMLKDAFSLLAYSDPWNSPVGNQLDPIQREPVCSALNSAILETHNLPKQPPLALAMGQATQCLGLMARSGVGSCAFATVEDYLH
NP_064515.2 1 106 0.322950943396226 PF15063.6:TC1:11:85 Transcriptional and immune response regulator; Thyroid cancer protein 1; TC-1 106 75 21 106 0 Homo sapiens (Human) SwissProt::Q9NR00 1 SwissProt MKAKRSHQAVIMSTSLRVSPSIHGYHFDTASRKKAVGNIFENTDQESLERLFRNSGDKKAEERAKIIFAIDQDVEEKTRALMALKKRTKDKLFQFLKLRKYSIKVH
NP_064652.2 123 470 0.698216666666667 Transcriptional regulator Kaiso; Zinc finger and BTB domain-containing protein 33 671 0 21 348 0 Mus musculus (Mouse) SwissProt::Q8BN78 1 SwissProt VKSISGTEQDGTAETLPSSSSDKSLDMEKSKDEAQDNGATVMPIITESFSLSAEDNEMKKIIVTDSDDDDDDDVIFCSEILPAKEDLPSNNTATQVQPNPASVAISEVTPCASNNSPPVTNITPTQLPTPVNQATLSQTQGSEELLVSSASTHLTPNIILLNQAPLTAPPSASSSLPNHMSSSVNVLVQNQQTPNSAVLTGNKAEEEEEIIDDDDDIISSSPDSAVSNTSLVPQADNSKSTTLDGSLTQKMQIPVLPQEPPSNSLKISDVITRNTNDPGLRSKHVMEGQKIITLDTATEIEGLSTGCKVYANIGEDTYDIVIPVKDDPDGGEAKLDNELPKTSGSEPP
NP_065789.1 819 1771 0.537788772298005 PF07693.14:KAP_NTPase:5:135 Kinase D-interacting substrate of 220 kDa; Ankyrin repeat-rich membrane-spanning protein 1771 131 21 953 0 Homo sapiens (Human) SwissProt::Q9ULH0 1 SwissProt LNSVLRDSNINGHDYMRNIVHLPVFLNSRGLSNARKFLVTSATNGDVPCSDTTGIQEDADRRVSQNSLGEMTKLGSKTALNRRDTYRRRQMQRTITRQMSFDLTKLLVTEDWFSDISPQTMRRLLNIVSVTGRLLRANQISFNWDRLASWINLTEQWPYRTSWLILYLEETEGIPDQMTLKTIYERISKNIPTTKDVEPLLEIDGDIRNFEVFLSSRTPVLVARDVKVFLPCTVNLDPKLREIIADVRAAREQISIGGLAYPPLPLHEGPPRAPSGYSQPPSVCSSTSFNGPFAGGVVSPQPHSSYYSGMTGPQHPFYNRPFFAPYLYTPRYYPGGSQHLISRPSVKTSLPRDQNNGLEVIKEDAAEGLSSPTDSSRGSGPAPGPVVLLNSLNVDAVCEKLKQIEGLDQSMLPQYCTTIKKANINGRVLAQCNIDELKKEMNMNFGDWHLFRSTVLEMRNAESHVVPEDPRFLSESSSGPAPHGEPARRASHNELPHTELSSQTPYTLNFSFEELNTLGLDEGAPRHSNLSWQSQTRRTPSLSSLNSQDSSIEISKLTDKVQAEYRDAYREYIAQMSQLEGGPGSTTISGRSSPHSTYYMGQSSSGGSIHSNLEQEKGKDSEPKPDDGRKSFLMKRGDVIDYSSSGVSTNDASPLDPITEEDEKSDQSGSKLLPGKKSSERSSLFQTDLKLKGSGLRYQKLPSDEDESGTEESDNTPLLKDDKDRKAEGKVERVPKSPEHSAEPIRTFIKAKEYLSDALLDKKDSSDSGVRSSESSPNHSLHNEVADDSQLEKANLIELEDDSHSGKRGIPHSLSGLQDPIIARMSICSEDKKSPSECSLIASSPEENWPACQKAYNLNRTPSTVTLNNNSAPANRANQNFDEMEGIRETSQVILRPSSSPNPTTIQNENLKSMTHKRSQRSSYTRLSKDPPELHAAASSESTGFGEERESIL
NP_066305.2 1 149 0.558422818791946 T-cell leukemia homeobox protein 3 291 0 21 149 0 Homo sapiens NP_066305.2 1 RefSeq MEAPASAQTPHPHEPISFGIDQILNSPDQDSAPAPRGPDGASYLGGPPGGRPGATYPSLPASFAGLGAPFEDAGSYSVNLSLAPAGVIRVPAHRPLPGAVPPPLPSALPAMPSVPTVSSLGGLNFPWMESSRRFVKDRFTAAAALTPFT
NP_067370.3 464 999 0.603493283582089 PF00012.20:HSP70:234:346 Hypoxia up-regulated protein 1; GRP-170; 140 kDa Ca(2+)-binding protein; CBP-140 999 113 21 536 0 Mus musculus (Mouse) SwissProt::Q9JKR6 1 SwissProt HNKRVLFSRMGPYPQRKVITFNRYSHDFNFHINYGDLGFLGPEDLRVFGSQNLTTVKLKGVGESFKKYPDYESKGIKAHFNLDESGVLSLDRVESVFETLVEDSPEEESTLTKLGNTISSLFGGGTSSDAKENGTDAVQEEEESPAEGSKDEPAEQGELKEEAEPPAEETSQPPPSEPKGDAAREGEKPDEKESGDKPEAQKPNEKGQAGPEGAAPAPEEDKKPKPARKQKMVEEIGVELAVLDLPDLPEDELARSVQKLEELTLRDLEKQEREKAANSLEAFIFETQDKLYQPEYQEVSTEEQREEISGKLSATSTWLEDEGFGATTVMLKDKLAELRKLCQGLFFRVEERRKWPERLSALDNLLNHSSIFLKGARLIPEMDQVFTEVEMTTLEKVINDTWAWKNATLAEQAKLPATEKPVLLSKDIEAKMMALDREVQYLLNKAKFTKPRPRPKDKNGTRAEPPLNASAGDQEEKVIPPAGQTEEAKPILEPDKEETGTEPADSEPLELGGPGAGPEQEEQSAGQKRPSKNDEL
NP_067708.1 673 1671 0.755168368368368 SH3 and multiple ankyrin repeat domains protein 3 1740 0 21 999 0 Rattus norvegicus NP_067708.1 1 RefSeq RRRAPPPPKRAPSTTLTLRSKSMTAELEELASIRRRKGEKLDEILAVAAEPTLRPDIADADSRAATVKQRPTSRRITPAEISSLFERQGLPGPEKLPGSLRKGIPRTKSVGEDEKLASLLEGRFPRSTSMQDTVREGRGIPPPPQTAPPPPPAPYYFDSGPPPTFSPPPPPPGRAYDTVRSSFKPGLEARLGAGAAGLYDSGTPLGPLPYPERQKRARSMIILQDSAPEVGDVPRPAPAATPPERPKRRPRPSGPDSPYANLGAFSASLFAPSKPQRRKSPLVKQLQVEDAQERAALAVGSPGPVGGSFAREPSPTHRGPRPGGLDYSSGEGLGLTFGGPSPGPVKERRLEERRRSTVFLSVGAIEGNPPSADLPSLQPSRSIDERLLGTGATTGRDLLLPSPVSALKPLVGGPNLGPSSSTFIHPLTGKPLDPSSPLALALAARERALASQTPSRSPTPVHSPDADRPGPLFVDVQTRDSERGPLASPAFSPRSPAWIPVPARREAEKPTREERKSPEDKKSMILSVLDTSLQRPAGLIVVHATSNGQEPNRLGAEEERPGTPELAPTPMQAAAVAEPMPSPRAQPPGNIPADPGPSQGNSEEEPKLVFAVNLPPAQLSSNDEETREELARIGLVPPPEEFANGILLATPPPGPGPLPTTVPSPASGKPSSELPPAPESAADSGVEEADTRSSSDPHLETTSTISTVSSMSTLSSESGELTDTHTSFADGHTFLLEKPPVPPKPKLKSPLGKGPVTFRGPLLKQSSDSELMAQQHHATSTGLTSAAGPARPRYLFQRRSKLWGDPVESRGLPGPEDDKPTVISELSSRLQQLNKDTRSLGEEPVGGLGSLLDPAKKSPIAAARCAVVPSAGWLFSSLGELSTISAQRSPGGPGGGASYSVRPSGRYPVARRAPSPVKPASLERVEGLGAGVGGAGRPFGLTPPTILKSSSLSIPHEPKEVRFVVRSASARSRSPSPSPLPSPSPGSGPSAGPRRPFQQ
NP_079106.3 1 534 0.201921535580525 PF01553.21:Acyltransferase:114:226,PF13833.6:EF-hand_8:432:482 Lysophosphatidylcholine acyltransferase 1; LPC acyltransferase 1; LPCAT-1; LysoPC acyltransferase 1; 1-acylglycerophosphocholine O-acyltransferase; 1-alkylglycerophosphocholine O-acetyltransferase; Acetyl-CoA:lyso-platelet-activating factor acetyltransferase; Acetyl-CoA:lyso-PAF acetyltransferase; Lyso-PAF acetyltransferase; LysoPAFAT; Acyltransferase-like 2; Phosphonoformate immuno-associated protein 3; EC 2.3.1.23; EC 2.3.1.67 534 164 21 511 1 Homo sapiens (Human) SwissProt::Q8NF37 1 SwissProt MRLRGCGPRAAPASSAGASDARLLAPPGRNPFVHELRLSALQKAQVALMTLTLFPVRLLVAAAMMLLAWPLALVASLGSAEKEPEQPPALWRKVVDFLLKAIMRTMWFAGGFHRVAVKGRQALPTEAAILTLAPHSSYFDAIPVTMTMSSIVMKAESRDIPIWGTLIQYIRPVFVSRSDQDSRRKTVEEIKRRAQSNGKWPQIMIFPEGTCTNRTCLITFKPGAFIPGAPVQPVVLRYPNKLDTITWTWQGPGALEILWLTLCQFHNQVEIEFLPVYSPSEEEKRNPALYASNVRRVMAEALGVSVTDYTFEDCQLALAEGQLRLPADTCLLEFARLVRGLGLKPEKLEKDLDRYSERARMKGGEKIGIAEFAASLEVPVSDLLEDMFSLFDESGSGEVDLRECVVALSVVCRPARTLDTIQLAFKMYGAQEDGSVGEGDLSCILKTALGVAELTVTDLFRAIDQEEKGKITFADFHRFAEMYPAFAEEYLYPDQTHFESCAETSPAPIPNGFCADFSPENSDAGRKPVRKKLD
NP_080278.3 1 381 0.612802624671916 PF15686.5:LYRIC:6:381 Protein LYRIC; 3D3/LYRIC; Lysine-rich CEACAM1 co-isolated protein; Metadherin; Metastasis adhesion protein 579 376 21 358 1 Mus musculus (Mouse) SwissProt::Q80WJ7 1 SwissProt MAARSWQDELAQQAEEGSARLRELLSVGLGFLRTELGLDLGLEPKRYPGWVILVGTGALGLLLLFLLGYGWAAACAGARKKRRSPPRKREEAAPPTPAPDDLAQLKNLRSEEQKKKNRKKLPEKPKPNGRTVEVPEDEVVRNPRSITAKQAPETDKKNEKSKKNKKKSKSDAKAVQNSSRHDGKEVDEGAWETKISHREKRQQRKRDKVLTDSGSLDSTIPGIENIITVTTEQLTTASFPVGSKKNKGDSHLNVQVSNFKSGKGDSTLQVSSRLNENLTVNGGGWSEKSVKLSSQLSEEKWNSVPPASAGKRKTEPSAWTQDTGDTNANGKDWGRNWSDRSIFSGIGSTAEPVSQSTTSDYQWDVSRNQPYIDDEWSGLNG
NP_109618.1 1 210 0.609616190476191 Cyclic AMP-dependent transcription factor ATF-5; cAMP-dependent transcription factor ATF-5; Activating transcription factor 5-alpha/beta; BZIP protein ATF7; NAP1; NRIF3-associated protein; Transcription factor ATFx; Transcription factor-like protein ODA-10 283 0 21 210 0 Mus musculus (Mouse) SwissProt::O70191 1 SwissProt MSLLATLGLELDRALLPASGLGWLVDYGKLPLAPAPLGPYEVLGGALEGGLPGGGEPLAGDGFSDWMTERVDFTALLPLEAPLPPGTLPPPSPAPPDLEAMASLLKKELEQMEDFFLDAPLLPPPSPPPPPPPAAAPSLPLPLPLPTFDLPQPPTLDTLDLLAVYCRSEAGPGDSGLSTLPVPQQPPPLAPLPSPARPAPYPSPASTRGD
NP_112200.2 1 406 0.149551231527094 PF09335.11:SNARE_assoc:196:298 Vacuole membrane protein 1; Transmembrane protein 49 406 103 21 274 6 Homo sapiens (Human) SwissProt::Q96GC9 1 SwissProt MAENGKNCDQRRVAMNKEHHNGNFTDPSSVNEKKRREREERQNIVLWRQPLITLQYFSLEILVILKEWTSKLWHRQSIVVSFLLLLAVLIATYYVEGVHQQYVQRIEKQFLLYAYWIGLGILSSVGLGTGLHTFLLYLGPHIASVTLAAYECNSVNFPEPPYPDQIICPDEEGTEGTISLWSIISKVRIEACMWGIGTAIGELPPYFMARAARLSGAEPDDEEYQEFEEMLEHAESAQDFASRAKLAVQKLVQKVGFFGILACASIPNPLFDLAGITCGHFLVPFWTFFGATLIGKAIIKMHIQKIFVIITFSKHIVEQMVAFIGAVPGIGPSLQKPFQEYLEAQRQKLHHKSEMGTPQGENWLSWMFEKLVVVMVCYFILSIINSMAQSYAKRIQQRLNSEEKTK
NP_113654.4 1 1246 0.25922239165329 PF08626.11:TRAPPC9-Trs120:284:358,PF08626.11:TRAPPC9-Trs120:458:767,PF08626.11:TRAPPC9-Trs120:970:1198 trafficking protein particle complex subunit 9 isoform a 1246 614 21 1246 0 Homo sapiens NP_113654.4 1 RefSeq MVPAGDQDRAPHRGKPAQAGARTSRASRALRSWRRSQAARATVTHPRGGHDRGSHGGYREGHRGCRRDPQWASAGPPPLSFTEEVKFELRALKDWDFKMSVPDYMQCAEDHQTLLVVVQPVGIVSEENFFRIYKRICSVSQISVRDSQRVLYIRYRHHYPPENNEWGDFQTHRKVVGLITITDCFSAKDWPQTFEKFHVQKEIYGSTLYDSRLFVFGLQGEIVEQPRTDVAFYPNYEDCQTVEKRIEDFIESLFIVLESKRLDRATDKSGDKIPLLCVPFEKKDFVGLDTDSRHYKKRCQGRMRKHVGDLCLQAGMLQDSLVHYHMSVELLRSVNDFLWLGAALEGLCSASVIYHYPGGTGGKSGARRFQGSTLPAEAANRHRPGAQEVLIDPGALTTNGINPDTSTEIGRAKNCLSPEDIIDKYKEAISYYSKYKNAGVIELEACIKAVRVLAIQKRSMEASEFLQNAVYINLRQLSEEEKIQRYSILSELYELIGFHRKSAFFKRVAAMQCVAPSIAEPGWRACYKLLLETLPGYSLSLDPKDFSRGTHRGWAAVQMRLLHELVYASRRMGNPALSVRHLSFLLQTMLDFLSDQEKKDVAQSLENYTSKCPGTMEPIALPGGLTLPPVPFTKLPIVRHVKLLNLPASLRPHKMKSLLGQNVSTKSPFIYSPIIAHNRGEERNKKIDFQWVQGDVCEVQLMVYNPMPFELRVENMGLLTSGVEFESLPAALSLPAESGLYPVTLVGVPQTTGTITVNGYHTTVFGVFSDCLLDNLPGIKTSGSTVEVIPALPRLQISTSLPRSAHSLQPSSGDEISTNVSVQLYNGESQQLIIKLENIGMEPLEKLEVTSKVLTTKEKLYGDFLSWKLEETLAQFPLQPGKVATFTINIKVKLDFSCQENLLQDLSDDGISVSGFPLSSPFRQVVRPRVEGKPVNPPESNKAGDYSHVKTLEAVLNFKYSGGPGHTEGYYRNLSLGLHVEVEPSVFFTRVSTLPATSTRQCHLLLDVFNSTEHELTVSTRSSEALILHAGECQRMAIQVDKFNFESFPESPGEKGQFANPKQLEEERREARGLEIHSKLGICWRIPSLKRSGEASVEGLLNQLVLEHLQLAPLQWDVLVDGQPCDREAVAACQVGDPVRLEVRLTNRSPRSVGPFALTVVPFQDHQNGVHNYDLHDTVSFVGSSTFYLDAVQPSGQSACLGALLFLYTGDFFLHIRFHEDSTSKELPPSWFCLPSVHVCALEAQA
NP_113664.1 239 497 0.307224710424711 PF03815.19:LCCL:51:141,PF03815.19:LCCL:151:245 cysteine-rich secretory protein LCCL domain-containing 2 precursor 497 186 21 259 0 Homo sapiens NP_113664.1 1 RefSeq TYTPKPETDEMNEVETAPIPEENHVWLQPRVMRPTKPKKTSAVNYMTQVVRCDTKMKDRCKGSTCNRYQCPAGCLNHKAKIFGTLFYESSSSICRAAIHYGILDDKGGLVDITRNGKVPFFVKSERHGVQSLSKYKPSSSFMVSKVKVQDLDCYTTVAQLCPFEKPATHCPRIHCPAHCKDEPSYWAPVFGTNIYADTSSICKTAVHAGVISNESGGDVDVMPVDKKKTYVGSLRNGVQSESLGTPRDGKAFRIFAVRQ
NP_114171.2 1 446 0.608580044843049 Serine/threonine-protein kinase haspin; Germ cell-specific gene 2 protein; H-haspin; Haploid germ cell-specific nuclear protein kinase; EC 2.7.11.1 798 0 21 446 0 Homo sapiens (Human) SwissProt::Q8TF76 1 SwissProt MAASLPGPGSRLFRTYGAADGRRQRRPGREAAQWFPPQDRRRFFNSSGSSDASIGDPSQSDDPDDPDDPDFPGSPVRRRRRRPGGRVPKDRPSLTVTPKRWKLRARPSLTVTPRRLGLRARPPQKCSTPCGPLRLPPFPSRDSGRLSPDLSVCGQPRDGDELGISASLFSSLASPCPGSPTPRDSVISIGTSACLVAASAVPSGLHLPEVSLDRASLPCSQEEATGGAKDTRMVHQTRASLRSVLFGLMNSGTPEDSEFRADGKNMRESCCKRKLVVGNGPEGPGLSSTGKRRATGQDSCQERGLQEAVRREHQEASVPKGRIVPRGIDRLERTRSSRKSKHQEATETSLLHSHRFKKGQKLGKDSFPTQDLTPLQNVCFWTKTRASFSFHKKKIVTDVSEVCSIYTTATSLSGSLLSECSNRPVMNRTSGAPSSWHSSSMYLLSP
NP_177638.2 247 741 0.309475757575757 PF02493.20:MORN:366:382,PF02493.20:MORN:384:406,PF02493.20:MORN:407:429 Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 3 741 63 21 495 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6F6B5 1 SwissProt GMHGNFIDVMHKDLKELEGSEVKTILESYKEAKVGFGVGHNLKTSILRAIYDCPFFRPGLKDLNAIICIVASSVPLQKKDVKTILRTFRQTMEYTGDIIVSTVHEPDLEPKVRVTTFFILSSSEVETSNKGNIFSGLVPFVLNIFTRYRSQLQKETNIGLGETPVSIKDSADSTDVKTSNQNIEEFEIDSEDLLEVSENGDDSEYPLKEGEPSRNSRLDLKDENVEDFGAIQREPIANWSMDQGYQIEQKWQADSGDTAVLSLGIVNLPVGVRPSKKLNSNLSVASQLSRKADSREESFFNPNGSTKDSSDTASTLLSEKYADFTKQRNLSARASSMLEAERDSSKRWSPILEMQYRGGLFKGRCQGGLPEGKGRLVLGDGSIYDGMWHNGKRSGLGTFYFKNGDVFQGTWREDLIHGKGWFYFHKGDRWFANFWKGKASGEGRFYSKSGEIFFGHFKDGWRHGQFLCIDLDGTRYSETWDDGVLIDRKQVDAGD
NP_177686.1 1 215 0.340961860465116 PF00643.24:zf-B_box:3:34,PF00643.24:zf-B_box:57:95 B-box zinc finger protein 21; AtBBX21; Protein LONG HYPOCOTYL UNDER SHADE; Protein SALT TOLERANCE HOMOLOG 2 331 71 21 215 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQZ7 1 SwissProt MKIRCDVCDKEEASVFCTADEASLCGGCDHQVHHANKLASKHLRFSLLYPSSSNTSSPLCDICQDKKALLFCQQDRAILCKDCDSSIHAANEHTKKHDRFLLTGVKLSATSSVYKPTSKSSSSSSSNQDFSVPGSSISNPPPLKKPLSAPPQSNKIQPFSKINGGDASVNQWGSTSTISEYLMDTLPGWHVEDFLDSSLPTYGFSKSGDDDGVLP
NP_181340.1 1 262 0.0258469465648855 PF02544.16:Steroid_dh:112:262,PF06966.12:DUF1295:143:217,PF04191.13:PEMT:151:227 Steroid 5-alpha-reductase DET2; AtDET2; Protein COMPACT ROSETTE 1; Protein DEETIOLATED 2; EC 1.3.1.22 262 151 21 132 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38944 0 SwissProt MEEIADKTFFRYCLLTLIFAGPPTAVLLKFLQAPYGKHNRTGWGPTVSPPIAWFVMESPTLWLTLLLFPFGRHALNPKSLLLFSPYLIHYFHRTIIYPLRLFRSSFPAGKNGFPITIAALAFTFNLLNGYIQARWVSHYKDDYEDGNWFWWRFVIGMVVFITGMYINITSDRTLVRLKKENRGGYVIPRGGWFELVSCPNYFGEAIEWLGWAVMTWSWAGIGFFLYTCSNLFPRARASHKWYIAKFKEEYPKTRKAVIPFVY
NP_187239.1 1 54 0.000883333333333333 PF01679.17:Pmp3:6:52 Low temperature and salt responsive protein family 54 47 21 8 2 Arabidopsis thaliana NP_187239.1 0 RefSeq MSTATFVDIIIAILLPPLGVFLRFGCGVEFWICLVLTLLGYIPGIIYAIYVLTK
NP_191059.2 1 346 0.342773988439307 PF00847.20:AP2:121:170 AP2-like ethylene-responsive transcription factor SMZ; Protein SCHLAFMUTZE 346 50 21 346 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6PV68 1 SwissProt MLDLNLKIFSSYNEDQDRKVPLMISTTGEEESNSSSSSTTDSAARDAFIAFGILKRDDDLVPPPPPPPHKETGDLFPVVADARRNIEFSVEDSHWLNLSSLQRNTQKMVKKSRRGPRSRSSQYRGVTFYRRTGRWESHIWDCGKQVYLGGFDTAYAAARAYDRAAIKFRGLDADINFVVDDYRHDIDKMKNLNKVEFVQTLRRESASFGRGSSKYKGLALQKCTQFKTHDQIHLFQNRGWDAAAIKYNELGKGEGAMKFGAHIKGNGHNDLELSLGISSSSESIKLTTGDYYKGINRSTMGLYGKQSSIFLPMATMKPLKTVAASSGFPFISMTSSSSSMSNCFDP
NP_194200.1 320 704 0.376092987012987 PF16158.5:N_BRCA1_IG:66:167 Protein NBR1 homolog; AtNBR1; At4g24690 704 102 21 385 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SB64 1 SwissProt SVMGNEGDYTRMDKPVSVQHLHPFRGPFTQFPNPWLSHPVPRATNGGAPLRCTRPKLDSRFVLDVNVIDGTVVAPSAPFTKIWKMRNSGSLVWPQGTQIVWIGGDRFCNSLSVDLQIPKEGVPIYSELDVKVDFVAPELPGRYISYWRMATSDGAKFGQRVWVLIHVDASLKNSVVNEFHGLNLNASPSLDENFPSEFLGIMNYESAQPGSSSVNPGTVKGTDLEGEVGETQAVEKENLLVGEAHPAIPHGHSPSSSSSSFNMVDFPSMPAVEVLSGGSSSTTKDVPVPLQEDIEKNDVEITMLKELEEMGFKEIDLNKEILRDNEYNLEQSVDALCGVSEWDPILEELQEMGFCDDVTNKRLLKKNNGSIKGVVMDLLTGEKEA
NP_194404.1 1 245 0.155318775510204 PF05042.13:Caleosin:64:230 Peroxygenase 1; AtPXG1; Caleosin-1; Embryo-specific protein 1 (ATS1); EC 1.11.2.3 245 167 21 222 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81270 1 SwissProt MGSKTEMMERDAMATVAPYAPVTYHRRARVDLDDRLPKPYMPRALQAPDREHPYGTPGHKNYGLSVLQQHVSFFDIDDNGIIYPWETYSGLRMLGFNIIGSLIIAAVINLTLSYATLPGWLPSPFFPIYIHNIHKSKHGSDSKTYDNEGRFMPVNLELIFSKYAKTLPDKLSLGELWEMTEGNRDAWDIFGWIAGKIEWGLLYLLARDEEGFLSKEAIRRCFDGSLFEYCAKIYAGISEDKTAYY
NP_196232.1 146 402 0.293594552529183 PF09325.10:Vps5:27:249 Sorting nexin 1; AtSNX1; Vacuolar protein sorting-associated protein 5 homolog 402 223 21 257 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FG38 1 SwissProt FRFQETSIFKKPADLMQMFRDVQSKVSDAVLGKEKPVEETTADYEKLKHYIFELENHLTEAQKHAYRLVKRHRELGQSLLDFGKAVKLLGACEGEPTGKAFSDLGTKSELLSIKLQKEAQQVLMNFEEPLKDYVRYVQSIKATIAERGTAFKQHCELSETTKLKEINLDKLMLTRSDKVGEAEIEYREIKAESEEATRRFERIVKRMEDEIVRFQEQKTEEMGVAFHQFAKGQARLANSVADAWRSLLPKLEASYSV
NP_196450.1 1 239 0.569655230125523 PF03634.13:TCP:31:107 Transcription factor TCP21; Protein CCA1 HIKING EXPEDITION; Protein CHE 239 77 21 239 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FTA2 1 SwissProt MADNDGAVSNGIIVEQTSNKGPLNAVKKPPSKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTASLSTSSPFTLGKRVVRAEEGESGGGGGGGLTVGHTMGTSLMGGGGSGGFWAVPARPDFGQVWSFATGAPPEMVFAQQQQPATLFVRHQQQQQASAAAAAAMGEASAARVGNYLPGHHLNLLASLSGGANGSGRREDDHEPR
NP_198802.1 1 92 0.482482608695652 PF00010.26:HLH:22:59 Transcription factor PRE1; Basic helix-loop-helix protein 136; AtbHLH136; bHLH 136; Protein BANQUO 1; Protein PACLOBUTRAZOL RESISTANCE 1; bHLH transcription factor bHLH136 92 38 21 92 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLE9 1 SwissProt MSNRRSRQSSSAPRISDNQMIDLVSKLRQILPEIGQRRRSDKASASKVLQETCNYIRNLNREVDNLSERLSQLLESVDEDSPEAAVIRSLLM
NP_200711.1 1 170 0.204354705882353 PF04145.15:Ctr:44:85,PF04145.15:Ctr:103:146 Copper transporter 1; AtCOPT1 170 86 21 124 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39065 1 SwissProt MDHDHMHGMPRPSSSSSSSPSSMMNNGSMNEGGGHHHMKMMMHMTFFWGKNTEVLFSGWPGTSSGMYALCLIFVFFLAVLTEWLAHSSLLRGSTGDSANRAAGLIQTAVYTLRIGLAYLVMLAVMSFNAGVFLVALAGHAVGFMLFGSQTFRNTSDDRKTNYVPPSGCAC
NP_217170.1 1 81 0.226533333333333 Antitoxin Rv2654c 81 0 21 81 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJ11 1 SwissProt MSGHALAARTLLAAADELVGGPPVEASAAALAGDAAGAWRTAAVELARALVRAVAESHGVAAVLFAATAAAAAAVDRGDPP
NP_217871.1 1 129 0.225628682170543 PF05305.14:DUF732:15:108 DUF732 domain-containing protein 129 94 21 106 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O50383 1 SwissProt/TReMBL MNLRRHQTLTLRLLAASAGILSAAAFAAPAQANPVDDAFIAALNNAGVNYGDPVDAKALGQSVCPILAEPGGSFNTAVASVVARAQGMSQDMAQTFTSIAISMYCPSVMADVASGNLPALPDMPGLPGS
NP_218177.1 1 350 0.273766571428572 Uncharacterized protein MT3760 350 0 21 350 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WKX6 1 SwissProt MLTDPGLRDELDRVAAAVGVRVVHLGGRHPVSRKTWSAAAAVVLDHAAADRCGRLALPRRTHVSVLTGTEAATATWAAAITVGAQHVLRMPEQEGELVRELAEAAESARDDGICGAVVAVIGGRGGAGASLFAVALAQAAADALLVDLDPWAGGIDLLVGGETAPGLRWPDLALQGGRLNWSAVRAALPRPRGISVLSGTRRGYELDAGPVDAVIDAGRRGGVTVVCDLPRRLTDATQAALDAADLVVLVSPCDVRACAAAATMAPVLTAINPNLGLVVRGPSPGGLRAAEVADVAGVPLLASMRAQPRLAEQLEHGGLRLRRRSVLASAARRVLGVLPRAGSGRHGRAA
NP_415535.1 1 502 0.0237916334661355 PF00474.17:SSF:35:437 proline:Na+ symporter 502 403 21 236 12 Escherichia coli K-12 substr. MG1655 ecocyc::PUTP-MONOMER 0 ecocyc MAISTPMLVTFCVYIFGMILIGFIAWRSTKNFDDYILGGRSLGPFVTALSAGASDMSGWLLMGLPGAVFLSGISESWIAIGLTLGAWINWKLVAGRLRVHTEYNNNALTLPDYFTGRFEDKSRILRIISALVILLFFTIYCASGIVAGARLFESTFGMSYETALWAGAAATILYTFIGGFLAVSWTDTVQASLMIFALILTPVIVIISVGGFGDSLEVIKQKSIENVDMLKGLNFVAIISLMGWGLGYFGQPHILARFMAADSHHSIVHARRISMTWMILCLAGAVAVGFFGIAYFNDHPALAGAVNQNAERVFIELAQILFNPWIAGILLSAILAAVMSTLSCQLLVCSSAITEDLYKAFLRKHASQKELVWVGRVMVLVVALVAIALAANPENRVLGLVSYAWAGFGAAFGPVVLFSVMWSRMTRNGALAGMIIGALTVIVWKQFGWLGLYEIIPGFIFGSIGIVVFSLLGKAPSAAMQKRFAEADAHYHSAPPSRLQES
NP_444509.2 1 214 0.492576168224299 PF11652.8:FAM167:130:214 Protein FAM167A 214 85 21 214 0 Homo sapiens (Human) SwissProt::Q96KS9 1 SwissProt MSVPQIHVEEVGAEEGAGAAAPPDDHLRSLKALTEKLRLETRRPSYLEWQARLEEHTWPFPRPAAEPQASLEEGERGGQEPLLPLREAGQHPPSARSASQGARPLSTGKLEGFQSIDEAIAWLRKELTEMRLQDQQLARQLMRLRGDINKLKIEHTCRLHRRMLNDATYELEERDELADLFCDSPLASSFSLSTPLKLIGVTKMNINSRRFSLC
NP_477152.1 1034 2417 0.661204552023123 PF05923.12:APC_r:33:56,PF05923.12:APC_r:112:132,PF05923.12:APC_r:214:237 APC-like, isoform A 2417 69 21 1384 0 Drosophila melanogaster NP_477152.1 1 RefSeq PRRNSVAGSGQNVDSPPVVIPASLQPLRSVFEDDLSSFNVEHTPAQFSTATSLSNLSIVDDEKAPASVAEEDNEDELLLANCINMGMQRKPTEAVKSTVVNSEVDVAEETIRSYCTEDTPALLSKVPSNTNLSVISMSSTDPKDATAGQAQMYAHQLSDDVSSNASDCGGASGHLLQQCIRDGMKKPLGEATSDPIAMLRRGGNELPGYLPSADEMNKFLVEDSPCNFSVVSGLSNLTVGSSLVGPAVQLKETEPSSADQNPEMKAKPGKQEQVRRPPHWQDDSLSSLSIDSEDDTNLLSQAIAAGCNRPKSNLGFSSNGKRSSSLSSSQPIAINAATSASSLNSAMTVRKSQQQESYSSVDSSDSNDNQSKSLFELCILKGMYKTKEPGARAQQMQEQPIVGSSSVQSNPSLKQFDSLPVQLPSSGQVKRQRHHHHHHHHRERERERKDEKLLQECINTGISKKINAVPKNVLATSAAALEPCHPMAATTSASALSTAAPDVEQKAHATSNPQQQSSTHPSSHILPNPIDAIATVTDTVRSPAAPNQGNGNASQNGLETATGSKDLDSEDRSSDESNQSFIMETMVRLDSALNETCISGASEKHKDPDLMLKSVERLTMEFVTSAEQLRSSSHNHSSSNSHKNNSSNNTWNESTCPNDVSFPSVSQTAPVLASLSLDEDATEARSLHELIEITPTNEQQPESLEGETDTLVNGHADSYSGSSGGLNFQLGGQVQNAGVRLEPQRLLFNGTSASIMTNSTMIAFEARALAENLLQPAATDDDTTEMTFSLNSLDLDNIRPPSGMESLNSCYQDHSQPSSLRQAMPSKSPRFARKMFPANLVARRALGHLAGSAESVNSSCNLLDNIKPPSLMDELLDSMISVDSIQSEVADGEQDCSMATTISVSNYETAACDDQTMTVLQSCFDEDEDATMNDYSSAESTPKHGSTPSPNRRSLTPKDKRRLTKDRFKTYTIATSCEMEAPEANETLQIEIVEAAVPVATPSPRANGRRRGSAERYKTQLIECPLALIQPQPDDCPSEQLSSIRAMMQQFTFITDINIGHSQETCESTDHPEDAGESPECDQNSETESCDGQEPDQLPPPPSIVDLRTSVVKPTTLEPATAVKLVRGRKKPAYVSPYSMQSQRNSNNAAPSKKKTLSPTIAKRSLVPGGSGVRLPAKKKPTPPPEPAPARLERQGTFVKDEPTNSNVQVPVVETKPAQTSPTHRASKLPTKKGTASGGSPSKAGSPKRIPLAPARRMTPQRANTSLRLAAGKSHAASRVVSGRVSSTTPPSRSNSNLNGSSAAAAAAAKINHAQSRIANIWKRVDEAKTKQSSSNLRTQKTKSSNMLNANGTKPTLLRSSTFDNTPSTAGGVKSKLPVVGARK
NP_477340.1 1 318 0.31411320754717 PF00688.18:TGFb_propeptide:44:305 Protein 60A; Protein glass bottom boat 455 262 21 295 1 Drosophila melanogaster (Fruit fly) SwissProt::P27091 1 SwissProt MSGLRNTSEAVAVLASLGLGMVLLMFVATTPPAVEATQSGIYIDNGKDQTIMHRVLSEDDKLDVSYEILEFLGIAERPTHLSSHQLSLRKSAPKFLLDVYHRITAEEGLSDQDEDDDYERGHRSRRSADLEEDEGEQQKNFITDLDKRAIDESDIIMTFLNKRHHNVDELRHEHGRRLWFDVSNVPNDNYLVMAELRIYQNANEGKWLTANREFTITVYAIGTGTLGQHTMEPLSSVNTTGDYVGWLELNVTEGLHEWLVKSKDNHGIYIGAHAVNRPDREVKLDDIGLIHRKVDDEFQPFMIGFFRGPELIKATAHS
NP_493031.1 198 671 0.696865400843882 HSF_DOMAIN domain-containing protein 671 0 21 474 0 Caenorhabditis elegans NP_493031.1 1 RefSeq RTVEDKQVNEQTQQNLEVVMAEMRAMREKAKNMEDKMNKLTKENRDMWTQMGSMRQQHARQQQYFKKLLHFLVSVMQPGLSKRVAKRGVLEIDFCAANGTAGPNSKRARMNSEEGPYKDVCDLLESLQRETQEPFSRRFTNNEGPLISEVTDEFGNSPVGRGSAQDLFGDTFGAQSSRYSDGGATSSREQSPHPIISQPQSNSAGAHGANEQKPDDMYMGSGPLTHENIHRGISALKRDYQGASPASGGPSTSSSAPSGAGAGARMAQKRAAPYKNATRQMAQPQQDYSGGFVNNYSGFMPSDPSMIPYQPSHQYLQPHQKLMAIEDQHHPTTSTSSTNADPHQNLYSPTLGLSPSFDRQLSQELQEYFTGTDTSLESFRDLVSNHNWDDFGNNVPLDDDEEGSEDPLRQLALENAPETSNYDGAEDLLFDNEQQYPENGFDVPDPNYLPLADEEIFPHSPALRTPSPSDPNLV
NP_563806.1 601 1699 0.483252411282984 Helicase protein MOM1; Protein MAINTENANCE OF METHYLATION; Protein MORPHEUS MOLECULE 1; EC 3.6.4.- 2001 0 21 1099 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M658 1 SwissProt VGQRFGPKSYEHGIYSSKKNSAINNFNKESQCCVLLLETRACSQTIKLLRADAFILFGSSLNPSHDVKHVEKIKIESCSERTKIFRLYSVCTVEEKALILARQNKRQNKAVENLNRSLTHALLMWGASYLFDKLDHFHSSETPDSGVSFEQSIMDGVIHEFSSILSSKGGEENEVKLCLLLEAKHAQGTYSSDSTLFGEDHIKLSDEESPNIFWSKLLGGKNPMWKYPSDTPQRNRKRVQYFEGSEASPKTGDGGNAKKRKKASDDVTDPRVTDPPVDDDERKASGKDHMGALESPKVITLQSSCKSSGTDGTLDGNDAFGLYSMGSHISGIPEDMLASQDWGKIPDESQRRLHTVLKPKMAKLCQVLHLSDACTSMVGNFLEYVIENHRIYEEPATTFQAFQIALSWIAALLVKQILSHKESLVRANSELAFKCSRVEVDYIYSILSCMKSLFLEHTQGLQFDCFGTNSKQSVVSTKLVNESLSGATVRDEKINTKSMRNSSEDEECMTEKRCSHYSTATRDIEKTISGIKKKYKKQVQKLVQEHEEKKMELLNMYADKKQKLETSKSVEAAVIRITCSRTSTQVGDLKLLDHNYERKFDEIKSEKNECLKSLEQMHDVAKKKLAEDEACWINRIKSWAAKLKVCVPIQSGNNKHFSGSSNISQNAPDVQICNNANVEATYADTNCMASKVNQVPEAENTLGTMSGGSTQQVHEMVDVRNDETMDVSALSREQLTKSQSNEHASITVPEILIPADCQEEFAALNVHLSEDQNCDRITSAASDEDVSSRVPEVSQSLENLSASPEFSLNREEALVTTENRRTSHVGFDTDNILDQQNREDCSLDQEIPDELAMPVQHLASVVETRGAAESDQYGQDICPMPSSLAGKQPDPAANTESENLEEAIEPQSAGSETVETTDFAASHQGDQVTCPLLSSPTGNQPAPEANIEGQNINTSAEPHVAGPDAVESGDYAVIDQETMGAQDACSLPSGSVGTQSDLGANIEGQNVTTVAQLPTDGSDAVVTGGSPVSDQCAQDASPMPLSSPGNHPDTAVNIEGLDNTSVAEPHISGSDACEMEISEPGPQVERSTFANLFHEGGVE
NP_564583.1 1 379 0.587973350923482 PF00010.26:HLH:316:361 Transcription factor bHLH122 379 46 21 379 0 Arabidopsis thaliana Q9C690 1 SwissProt/TReMBL MESEFQQHHFLLHDHQHQRPRNSGLIRYQSAPSSYFSSFGESIEEFLDRPTSPETERILSGFLQTTDTSDNVDSFLHHTFNSDGTEKKPPEVKTEDEDAEIPVTATATAMEVVVSGDGEISVNPEVSIGYVASVSRNKRPREKDDRTPVNNLARHNSSPAGLFSSIDVETAYAAVMKSMGGFGGSNVMSTSNTEASSLTPRSKLLPPTSRAMSPISEVDVKPGFSSRLPPRTLSGGFNRSFGNEGSASSKLTALARTQSGGLDQYKTKDEDSASRRPPLAHHMSLPKSLSDIEQLLSDSIPCKIRAKRGCATHPRSIAERVRRTKISERMRKLQDLVPNMDTQTNTADMLDLAVQYIKDLQEQVKALEESRARCRCSSA
NP_565574.1 1 221 0.479884162895928 WRKY DNA-binding protein 17 321 0 21 221 0 Arabidopsis thaliana NP_565574.1 1 RefSeq MTVDIMRLPKMEDQTAIQEAASQGLKSMEHLIRVLSNRPEERNVDCSEITDFTVSKFKKVISLLNRSGHARFRRGPVHSPPSSSVPPPVKVTTPAPTQISAPAPVSFVQANQQSVTLDFTRPSVFGAKTKSSEVVEFAKESFSVSSNSSFMSSAITGDGSVSKGSSIFLAPAPAVPVTSSGKPPLSGLPYRKRCFEHDHSEGFSGKISGSGNGKCHCKKSR
NP_568446.2 1 558 0.500986738351254 PF00072.24:Response_reg:52:163,PF06203.14:CCT:509:551 Two-component response regulator-like APRR5; Pseudo-response regulator 5 558 155 21 558 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6LA42 1 SwissProt MTSSEEVVEVTVVKAPEAGGGKLSRRKIRKKDAGVDGLVKWERFLPKIALRVLLVEADDSTRQIIAALLRKCSYRVAAVPDGLKAWEMLKGKPESVDLILTEVDLPSISGYALLTLIMEHDICKNIPVIMMSTQDSVNTVYKCMLKGAADYLVKPLRRNELRNLWQHVWRRQTSLAPDSFPWNESVGQQKAEGASANNSNGKRDDHVVSGNGGDAQSSCTRPEMEGESADVEVSARDAVQMECAKSQFNETRLLANELQSKQAEAIDFMGASFRRTGRRNREESVAQYESRIELDLSLRRPNASENQSSGDRPSLHPSSASAFTRYVHRPLQTQCSASPVVTDQRKNVAASQDDNIVLMNQYNTSEPPPNAPRRNDTSFYTGADSPGPPFSNQLNSWPGQSSYPTPTPINNIQFRDPNTAYTSAMAPASLSPSPSSVSPHEYSSMFHPFNSKPEGLQDRDCSMDVDERRYVSSATEHSAIGNHIDQLIEKKNEDGYSLSVGKIQQSLQREAALTKFRMKRKDRCYEKKVRYESRKKLAEQRPRIKGQFVRQVQSTQAP
NP_569110.1 208 474 0.658331086142322 tumor necrosis factor receptor superfamily member 1B precursor 474 0 21 244 1 Rattus norvegicus NP_569110.1 1 RefSeq TPSAVPRTIYVSQPEPTRSQPMDQEPGPSQTPHIPVSLGSTPIIEPSITGGISLPIGLIVGLTTLGLLMLGLANCFILVQRKKKPSCLQRETMVPHLPDDKSQDAIGLEQQHLLTTAPSSSSSSLESSASAGDRRAPPGGHPQARVTAEAQGSQEACAGSRSSDSSHGSHGTHVNVTCIVNVCSSSDHSSQCSSQASTTVGDPDANPSGSPKDEQVPFSQEECPSQSQWETTETLQNHDKPFPLGVPDVGMKPNQPGWYDQIAVKVP
NP_598254.2 1 147 0.44552925170068 PF02044.17:Bombesin:43:56 Gastrin-releasing peptide; GRP 147 14 21 147 0 Rattus norvegicus (Rat) SwissProt::P24393 1 SwissProt MRGSELSLLLLALVLCQAPRGPAAPVSTGAGGGTVLAKMYPRGSHWAVGHLMGKKSTDELPPLYAADRDGLKEQLRGYIRWEEAARNLLGLLEAAGNRSHQPPQDQPLGSLQPTWDPEDGSYFSDAQNAKLVDSLLQVLKGKEGTAS
NP_612435.1 1 547 0.69088957952468 PF15388.6:FAM117:167:476 Glucocorticoid-induced transcript 1 protein 547 310 21 547 0 Homo sapiens (Human) SwissProt::Q86VQ1 1 SwissProt MSTASSSSSSSSSQTPHPPSQRMRRSAAGSPPAVAAAGSGNGAGGGGGVGCAPAAGAGRLLQPIRATVPYQLLRGSQHSPTRPPVAAAAASLGSLPGPGAARGPSPSSPTPPAAAAPAEQAPRAKGRPRRSPESHRRSSSPERRSPGSPVCRADKAKSQQVRTSSTIRRTSSLDTITGPYLTGQWPRDPHVHYPSCMKDKATQTPSCWAEEGAEKRSHQRSASWGSADQLKEQIAKLRQQLQRSKQSSRHSKEKDRQSPLHGNHITISHTQATGSRSVPMPLSNISVPKSSVSRVPCNVEGISPELEKVFIKENNGKEEVSKPLDIPDGRRAPLPAHYRSSSTRSIDTQTPSVQERSSSCSSHSPCVSPFCPPESQDGSPCSTEDLLYDRDKDSGSSSPLPKYASSPKPNNSYMFKREPPEGCERVKVFEEMASRQPISAPLFSCPDKNKVNFIPTGSAFCPVKLLGPLLPASDLMLKNSPNSGQSSALATLTVEQLSSRVSFTSLSDDTSTAGSMEASVQQPSQQQQLLQELQGEDHISAQNYVII
NP_659543.1 1 72 0.383527777777778 Urocortin-2; Urocortin II; Ucn II 113 0 21 49 1 Mus musculus (Mouse) SwissProt::Q99ML8 0 SwissProt MMTRWALVVFVVLMLDRILFVPGTPIPTFQLLPQNSLETTPSSVTSESSSGTTTGPSASWSNSKASPYLDTR
NP_705837.1 1 459 0.125554466230937 PF01390.20:SEA:86:159 Transmembrane protease serine 6; Matriptase-2; EC 3.4.21.- 811 74 21 436 1 Homo sapiens (Human) SwissProt::Q8IU80 1 SwissProt MLLLFHSKRMPVAEAPQVAGGQGDGGDGEEAEPEGMFKACEDSKRKARGYLRLVPLFVLLALLVLASAGVLLWYFLGYKAEVMVSQVYSGSLRVLNRHFSQDLTRRESSAFRSETAKAQKMLKELITSTRLGTYYNSSSVYSFGEGPLTCFFWFILQIPEHRRLMLSPEVVQALLVEELLSTVNSSAAVPYRAEYEVDPEGLVILEASVKDIAALNSTLGCYRYSYVGQGQVLRLKGPDHLASSCLWHLQGPKDLMLKLRLEWTLAECRDRLAMYDVAGPLEKRLITSVYGCSRQEPVVEVLASGAIMAVVWKKGLHSYYDPFVLSVQPVVFQACEVNLTLDNRLDSQGVLSTPYFPSYYSPQTHCSWHLTVPSLDYGLALWFDAYALRRQKYDLPCTQGQWTIQNRRLCGLRILQPYAERIPVVATAGITINFTSQISLTGPGVRVHYGLYNQSDPCP
NP_714928.1 1 992 0.388793245967741 Ellis-van Creveld syndrome protein; DWF-1 992 0 21 969 1 Homo sapiens (Human) SwissProt::P57679 1 SwissProt MARGGAACKSDARLLLGRDALRPAPALLAPAVLLGAALGLGLGLWLGCRAGRQRTRHQKDDTQNLLKNLESNAQTPSETGSPSRRRKREVQMSKDKEAVDECEPPSNSNITAFALKAKVIYPINQKFRPLADGSSNPSLHENLKQAVLPHQPVEASPSSSLGSLSQGEKDDCSSSSSVHSATSDDRFLSRTFLRVNAFPEVLACESVDVDLCIYSLHLKDLLHLDTALRQEKHMMFIQIFKMCLLDLLPKKKSDDELYQKILSKQEKDLEELEKGLQVKLSNTEMSGAGDSEYITLADVEKKEREYSEQLIDNMEAFWKQMANIQHFLVDQFKCSSSKARQLMMTLTERMIAAEGLLCDSQELQALDALERTMGRAHMAKVIEFLKLQVQEETRCRLAAISHGLELLAGEGKLSGRQKEELLTQQHKAFWQEAERFSREFVQRGKDLVTASLAHQVEGTAKLTLAQEEEQRSFLAEAQPTADPEKFLEAFHEVLERQRLMQCDLEEEENVRATEAVVALCQELYFSTVDTFQKFVDALFLQTLPGMTGLPPEECDYLRQEVQENAAWQLGKSNRFRRQQWKLFQELLEQDQQVWMEECALSSVLQTHLREDHEGTIRGVLGRLGGLTEESTRCVLQGHDLLLRSALRRLALRGNALATLTQMRLSGKKHLLQELREQRALEQGSSQCLDEHQWQLLRALEARVLEEASRLEEEAQQTRLQLQQRLLAEAQEVGQLLQQHMECAIGQALLVHARNAATKSRAKDRDDFKRTLMEAAVESVYVTSAGVSRLVQAYYQQIGRIMEDHEERKLQHLKTLQGERMENYKLRKKQELSNPSSGSRTAGGAHETSQAVHQRMLSQQKRFLAQFPVHQQMRLHAQQQQAGVMDLLEAQLETQLQEAEQNFISELAALARVPLAESKLLPAKRGLLEKPLRTKRKKPLPQERGDLGVPNNEDLASGDQTSGSLSSKRLSQQESEAGDSGNSKKMLKRRSNL
NP_724534.1 1 963 0.619164589823469 PF06297.14:PET:196:280,PF00412.22:LIM:288:347,PF00412.22:LIM:353:407 prickle, isoform A 963 200 21 963 0 Drosophila melanogaster NP_724534.1 1 RefSeq MDTPNQMPVELERPISRTPLTQISYLQKIPTLPRHFSPSGQGLATPPALGSGGMGLPSSSSASALYAAQAAAGILPTSPLPLQRHQQYLPPHHQQHPGAGMGPGPGSGAAAGPPLGPQYSPGCSANPKYSNAQLPPPPHHHHQLSPALSTPSPPSLLHHPAGGTSSASAHAPFLGGPHMDMQRQSHSDDDSGCALEEYTWVPPGLRPDQVRLYFSQIPDDKVPYVNSPGEQYRVRQLLHQLPPHDNEVRYCHSLTDEERKELRLFSTQRKRDALGRGNVRQLMSARPCDGCDDLISTGDIAVFATRLGPNASWHPACFACSVCRELLVDLIYFHRDGRMYCGRHHAETLKPRCSACDEIILADECTEAEGRAWHMNHFACHECDKQLGGQRYIMREGKPYCLHCFDAMFAEYCDYCGEAIGVDQGQMSHDGQHWHATDECFSCNTCRCSLLGRAFLPRRGAIYCSIACSKGEPPTPSDSSGTGMYTTPTPPTQRVRPHPQAPLPARIPSSHASSSPPMSPQQQQQHQATFNQAMYQMQSQQMEAAGGLVDQSKSYAASDSDAGVVKDLEHGGHMGGGDLTDFSGGRASSTSQNLSPLNSPGDFQPHFLPKPMELQRDGVYNFNEMSSNLDAAWSAKPTNSYHLQRQLLENPHTASMPELAGKLVAPPAHMQHLSQLHAVSSHQFQQHEYADILHPPPPPPGEIPELPTPNLSVASTALPPELMGSPTHSAGDRSLNTPMSTQSASHAPPHPVSILSGASSSSPMSGEPAKKKGVRFEGIPDTLPRSRSYSGNGAGTSGGGERERDRDKDKEGGGRHGHGHSSRRRRRRKSSSSSSHHRSGSGHRSHSTTRADTYAPAQPLSSSYQGPPSVLQAANLVHESPSRQQREREREREREESEESDVCSTCSSSSSSSEDYMMMYQLPQRRHYGGVRVSYVPNDALAYDRKRKPSELGGDKDKNCIIS
NP_732623.2 1 499 0.552623446893787 PF00651.31:BTB:23:118,PF04500.16:FLYWCH:412:473 modifier of mdg4, isoform AF 499 158 21 499 0 Drosophila melanogaster NP_732623.2 1 RefSeq MADDEQFSLCWNNFNTNLSAGFHESLCRGDLVDVSLAAEGQIVKAHRLVLSVCSPFFRKMFTQMPSNTHAIVFLNNVSHSALKDLIQFMYCGEVNVKQDALPAFISTAESLQIKGLTDNDPAPQPPQESSPPPAAPHVQQQQIPAQRVQRQQPRASARYKIETVDDGLGDEKQSTTQIVIQTTAAPQATIVQQQQPQQAAQQIQSQQLQTGTTTTATLVSTNKRSAQRSSLTPASSSAGVKRSKTSTSANVMDPLDSTTETGATTTAQLVPQQITVQTSVVSAAEAKLHQQSPQQVRQEEAEYIDLPMELPTKSEPDYSEDHGDAAGDAEGTYVEDDTYGDMRYDDSYFTENEDAGNQTAANTSGGGVTATTSKAVVKQQSQNYSESSFVDTSGDQGNTEAQDIIRKRGIMIVKGTKGKPKLLMGGYEYYRNNSRGSKTYWLCARNRYMRCAARIITCSVTGELIIKNQQHNHDTLNQPKTEIKEKPLSSSLKSKDPEH
NP_783629.1 1 188 0.630428191489362 PF09514.10:SSXRD:157:187,PF01352.27:KRAB:25:62 Protein SSX2; Cancer/testis antigen 5.2; CT5.2; Synovial sarcoma, X breakpoint 2; Tumor antigen HOM-MEL-40 188 69 21 188 0 Homo sapiens (Human) SwissProt::Q16385 1 SwissProt MNGDDAFARRPTVGAQIPEKIQKAFDDIAKYFSKEEWEKMKASEKIFYVYMKRKYEAMTKLGFKATLPPFMCNKRAEDFQGNDLDNDPNRGNQVERPQMTFGRLQGISPKIMPKKPAEEGNDSEEVPEASGPQNDGKELCPPGKPTTSEKIHERSGPKRGEHAWTHRLRERKQLVIYEEISDPEEDDE
NP_851109.1 1 446 0.0596390134529148 PF01490.18:Aa_trans:35:430,PF03222.13:Trp_Tyr_perm:39:168 Lysine histidine transporter 1 446 396 21 198 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKS8 0 SwissProt MVAQAPHDDHQDDEKLAAARQKEIEDWLPITSSRNAKWWYSAFHNVTAMVGAGVLGLPYAMSQLGWGPGIAVLVLSWVITLYTLWQMVEMHEMVPGKRFDRYHELGQHAFGEKLGLYIVVPQQLIVEIGVCIVYMVTGGKSLKKFHELVCDDCKPIKLTYFIMIFASVHFVLSHLPNFNSISGVSLAAAVMSLSYSTIAWASSASKGVQEDVQYGYKAKTTAGTVFNFFSGLGDVAFAYAGHNVVLEIQATIPSTPEKPSKGPMWRGVIVAYIVVALCYFPVALVGYYIFGNGVEDNILMSLKKPAWLIATANIFVVIHVIGSYQIYAMPVFDMMETLLVKKLNFRPTTTLRFFVRNFYVAATMFVGMTFPFFGGLLAFFGGFAFAPTTYFLPCVIWLAIYKPKKYSLSWWANWVCIVFGLFLMVLSPIGGLRTIVIQAKGYKFYS
NP_859049.2 1 287 0.180216027874565 PF00134.23:Cyclin_N:105:211,PF08613.11:Cyclin:113:210 cyclin-Y isoform 2 287 107 21 287 0 Homo sapiens NP_859049.2 1 RefSeq MEFNPSDHPRASTIFLSKSQTDVREKRKSLFINHHPPGQIARKYSSCSTIFLDDSTVSQPNLKYTIKCVALAIYYHIKNRDPDGRMLLDIFDENLHPLSKSEVPPDYDKHNPEQKQIYRFVRTLFSAAQLTAECAIVTLVYLERLLTYAEIDICPANWKRIVLGAILLASKVWDDQAVWNVDYCQILKDITVEDMNELERQFLELLQFNINVPSSVYAKYYFDLRSLAEANNLSFPLEPLSRERAHKLEAISRLCEDKYKDLRRSARKRSASADNLTLPRWSPAIIS
NP_880571.1 736 2618 0.383133828996283 PF05594.14:Fil_haemagg:353:402,PF05594.14:Fil_haemagg:507:569,PF05594.14:Fil_haemagg:664:697,PF05594.14:Fil_haemagg:708:803,PF05594.14:Fil_haemagg:776:842,PF05594.14:Fil_haemagg:826:900,PF05594.14:Fil_haemagg:949:1060 Filamentous hemagglutinin 3590 452 21 1883 0 Bordetella pertussis (strain Tohama I / ATCC BAA-589 / NCTC 13251) P12255 1 SwissProt/TReMBL SLAAKGELTVSAARAATVAELKSLDNISVTGGERVSVQSVNSASRVAISAHGALDVGKVSAKSGIGLEGWGAVGADSLGSDGAISVSGRDAVRVDQARSLADISLGAEGGATLGAVEAAGSIDVRGGSTVAANSLHANRDVRVSGKDAVRVTAATSGGGLHVSSGRQLDLGAVQARGALALDGGAGVALQSAKASGTLHVQGGEHLDLGTLAAVGAVDVNGTGDVRVAKLVSDAGADLQAGRSMTLGIVDTTGDLQARAQQKLELGSVKSDGGLQAAAGGALSLAAAEVAGALELSGQGVTVDRASASRARIDSTGSVGIGALKAGAVEAASPRRARRALRQDFFTPGSVVVRAQGNVTVGRGDPHQGVLAQGDIIMDAKGGTLLLRNDALTENGTVTISADSAVLEHSTIESKISQSVLAAKGDKGKPAVSVKVAKKLFLNGTLRAVNDNNETMSGRQIDVVDGRPQITDAVTGEARKDESVVSDAALVADGGPIVVEAGELVSHAGGIGNGRNKENGASVTVRTTGNLVNKGYISAGKQGVLEVGGALTNEFLVGSDGTQRIEAQRIENRGTFQSQAPAGTAGALVVKAAEAIVHDGVMATKGEMQIAGKGGGSPTVTAGAKATTSANKLSVDVASWDNAGSLDIKKGGAQVTVAGRYAEHGEVSIQGDYTVSADAIALAAQVTQRGGAANLTSRHDTRFSNKIRLMGPLQVNAGGAVSNTGNLKVREGVTVTAASFDNETGAEVMAKSATLTTSGAARNAGKMQVKEAATIVAASVSNPGTFTAGKDITVTSRGGFDNEGKMESNKDIVIKTEQFSNGRVLDAKHDLTVTASGQADNRGSLKAGHDFTVQAQRIDNSGTMAAGHDATLKAPHLRNTGQVVAGHDIHIINSAKLENTGRVDARNDIALDVADFTNTGSLYAEHDATLTLAQGTQRDLVVDQDHILPVAEGTLRVKAKSLTTEIETGNPGSLIAEVQENIDNKQAIVVGKDLTLSSAHGNVANEANALLWAAGELTVKAQNITNKRAALIEAGGNARLTAAVALLNKLGRIRAGEDMHLDAPRIENTAKLSGEVQRKGVQDVGGGEHGRWSGIGYVNYWLRAGNGKKAGTIAAPWYGGDLTAEQSLIEVGKDLYLNAGARKDEHRHLLNEGVIQAGGHGHIGGDVDNRSVVRTVSAMEYFKTPLPVSLTALDNRAGLSPATWNFQSTYELLDYLLDQNRYEYIWGLYPTYTEWSVNTLKNLDLGYQAKPAPTAPPMPKAPELDLRGHTLESAEGRKIFGEYKKLQGEYEKAKMAVQAVEAYGEATRRVHDQLGQRYGKALGGMDAETKEVDGIIQEFAADLRTVYAKQADQATIDAETDKVAQRYKSQIDAVRLQAIQPGRVTLAKALSAALGADWRALGHSQLMQRWKDFKAGKRGAEIAFYPKEQTVLAAGAGLTLSNGAIHNGENAAQNRGRPEGLKIGAHSATSVSGSFDALRDVGLEKRLDIDDALAAVLVNPHIFTRIGAAQTSLADGAAGPALARQARQAPETDGMVDARGLGSADALASLASLDAAQGLEVSGRRNAQVADAGLAGPSAVAAPAVGAADVGVEPVTGDQVDQPVVAVGLEQPVATVRVAPPAVALPRPLFETRIKFIDQSKFYGSRYFFEQIGYKPDRAARVAGDNYFDTTLVREQVRRALGGYESRLPVRGVALVAKLMDSAGTVGKALGLKVGVAPTAQQLKQADRDFVWYVDTVIDGQKVLAPRLYLTEATRQGITDQYAGGGALIASGGDVTVNTDGHDVSSVNGLIQGRSVKVDAGKGKVVVADSKGAGGGIEADDEVDVSGRDIGIEGGKLRGKDVRLKADTVKVATSMRYDDKGRLAARGDGALDAQGGQLHIEAKR
NP_899056.2 1 424 0.0648245283018868 PF07690.16:MFS_1:27:330,PF07690.16:MFS_1:244:419 Solute carrier family 22 member 18; Beckwith-Wiedemann syndrome chromosomal region 1 candidate gene A protein; Efflux transporter-like protein; Imprinted multi-membrane-spanning polyspecific transporter-related protein 1; Organic cation transporter-like protein 2; ORCTL-2; Solute carrier family 22 member 1-like; Tumor-suppressing STF cDNA 5 protein; Tumor-suppressing subchromosomal transferable fragment candidate gene 5 protein; p45-Beckwith-Wiedemann region 1 A; p45-BWR1A 424 393 21 200 10 Homo sapiens (Human) SwissProt::Q96BI1 0 SwissProt MQGARAPRDQGRSPGRMSALGRSSVILLTYVLAATELTCLFMQFSIVPYLSRKLGLDSIAFGYLQTTFGVLQLLGGPVFGRFADQRGARAALTLSFLAALALYLLLAAASSPALPGVYLLFASRLPGALMHTLPAAQMVITDLSAPEERPAALGRLGLCFGVGVILGSLLGGTLVSAYGIQCPAILAALATLLGAVLSFTCIPASTKGAKTDAQAPLPGGPRASVFDLKAIASLLRLPDVPRIFLVKVASNCPTGLFMVMFSIISMDFFQLEAAQAGYLMSFFGLLQMVTQGLVIGQLSSHFSEEVLLRASVLVFIVVGLAMAWMSSVFHFCLLVPGLVFSLCTLNVVTDSMLIKAVSTSDTGTMLGLCASVQPLLRTLGPTVGGLLYRSFGVPVFGHVQVAINTLVLLVLWRKPMPQRKDKVR
NP_938204.2 1 773 0.221267529107374 C-Maf-inducing protein; c-Mip; Truncated c-Maf-inducing protein; Tc-Mip 773 0 21 773 0 Homo sapiens (Human) SwissProt::Q8IY22 1 SwissProt MDVTSSSGGGGDPRQIEETKPLLGGDVSAPEGTKMGAVPCRRALLLCNGMRYKLLQEGDIQVCVIRHPRTFLSKILTSKFLRRWEPHHLTLADNSLASATPTGYMENSVSYSAIEDVQLLSWENAPKYCLQLTIPGGTVLLQAANSYLRDQWFHSLQWKKKIYKYKKVLSNPSRWEVVLKEIRTLVDMALTSPLQDDSINQAPLEIVSKLLSENTNLTTQEHENIIVAIAPLLENNHPPPDLCEFFCKHCRERPRSMVVIEVFTPVVQRILKHNMDFGKCPRLRLFTQEYILALNELNAGMEVVKKFIQSMHGPTGHCPHPRVLPNLVAVCLAAIYSCYEEFINSRDNSPSLKEIRNGCQQPCDRKPTLPLRLLHPSPDLVSQEATLSEARLKSVVVASSEIHVEVERTSTAKPALTASAGNDSEPNLIDCLMVSPACSTMSIELGPQADRTLGCYVEILKLLSDYDDWRPSLASLLQPIPFPKEALAHEKFTKELKYVIQRFAEDPRQEVHSCLLSVRAGKDGWFQLYSPGGVACDDDGELFASMVHILMGSCYKTKKFLLSLAENKLGPCMLLALRGNQTMVEILCLMLEYNIIDNNDTQLQIISTLESTDVGKRMYEQLCDRQRELKELQRKGGPTRLTLPSKSTDADLARLLSSGSFGNLENLSLAFTNVTSACAEHLIKLPSLKQLNLWSTQFGDAGLRLLSEHLTMLQVLNLCETPVTDAGLLALSSMKSLCSLNMNSTKLSADTYEDLKAKLPNLKEVDVRYTEAW
NP_973922.1 1 212 0.239075943396226 PF03360.16:Glyco_transf_43:162:206 Probable beta-1,4-xylosyltransferase IRX9H; Protein IRREGULAR XYLEM 9 homolog; Xylan xylosyltransferase IRX9H; EC 2.4.2.- 394 45 21 192 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SXC4 1 SwissProt MASIRRTLSPMYHDRSHENGGSHKGFTIGGSSSKHNSSQFLSYLTKLLGVTSDPKSSRRGPWRRPFYQFLVFFLLGFVLGLTPFGKMEDVNGSDRFSFEIKQPYVEERLENRKREEAAVDAVSFVAETENGKKEVNFVPKKLLIVVTPTYNRAMQAYYLNRVAQTLRLVESPVLWIVVEGNVASFETSEILRKTGVMYRHLVCKRNMTSIKD
NP_990519.2 125 312 0.560125 PF12336.8:SOXp:1:71 transcription factor SOX-2 312 71 21 188 0 Gallus gallus NP_990519.2 1 RefSeq GLLAPGTNTMTTGVGVGATLGAGVNQRMDSYAHMNGWTNGGYGMMQEQLGYPQHPGLNAHNAAQMQPMHRYDVSALQYNSMTSSQTYMNGSPTYSMSYSQQGTPGMALGSMGSVVKTESSSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPEPAAPSRLHMSQHYQSAPVPGTAINGTLPLSHM
NP_996781.1 1 102 0.122663725490196 PF15183.6:MRAP:1:72 melanocortin-2 receptor accessory protein isoform beta 102 72 21 79 1 Homo sapiens NP_996781.1 0 RefSeq MANGTNASAPYYSYEYYLDYLDLIPVDEKKLKAHKHSIVIAFWVSLAAFVVLLFLILLYMSWSASPQMSFNTDESLLHSEVLPQTRAISCDELQAPREEGAA
O13144 1 258 0.354957364341085 PF00688.18:TGFb_propeptide:51:190 Nodal-related 1 392 140 21 235 1 Danio rerio O13144 1 SwissProt/TReMBL MFSCGLLSVVLALAVGLVSCTGNLAGFQETLRNKMRAAGRNGGGRAGHGRHLTRYPLYMMHLYRTLLTGDEKHFSHENPTLYESDSVLSLVAKSCHQVGDKFAVTFDMSSISASDDVQRAELRIRLPHLRSELEVDIYHASTPECERSPCEEVRVHLGTLNANPINSTFRSSWRIFNITALLKYWLHQSERVPFEEPTQMPPMAEGHKSVHHPTANRVMMVVYSKQNRAKTSTLIRTAEHSKYVALDRAGGGSEPVPR
O43264 1 779 0.213452118100128 PF06248.13:Zw10:9:563 Centromere/kinetochore protein zw10 homolog 779 555 21 779 0 Homo sapiens (Human) SwissProt::O43264 1 SwissProt MASFVTEVLAHSGRLEKEDLGTRISRLTRRVEEIKGEVCNMISKKYSEFLPSMQSAQGLITQVDKLSEDIDLLKSRIESEVRRDLHVSTGEFTDLKQQLERDSVVLSLLKQLQEFSTAIEEYNCALTEKKYVTGAQRLEEAQKCLKLLKSRKCFDLKILKSLSMELTIQKQNILYHLGEEWQKLIVWKFPPSKDTSSLESYLQTELHLYTEQSHKEEKTPMPPISSVLLAFSVLGELHSKLKSFGQMLLKYILRPLASCPSLHAVIESQPNIVIIRFESIMTNLEYPSPSEVFTKIRLVLEVLQKQLLDLPLDTDLENEKTSTVPLAEMLGDMIWEDLSECLIKNCLVYSIPTNSSKLQQYEEIIQSTEEFENALKEMRFLKGDTTDLLKYARNINSHFANKKCQDVIVAARNLMTSEIHNTVKIIPDSKINVPELPTPDEDNKLEVQKVSNTQYHEVMNLEPENTLDQHSFSLPTCRISESVKKLMELAYQTLLEATTSSDQCAVQLFYSVRNIFHLFHDVVPTYHKENLQKLPQLAAIHHNNCMYIAHHLLTLGHQFRLRLAPILCDGTATFVDLVPGFRRLGTECFLAQMRAQKGELLERLSSARNFSNMDDEENYSAASKAVRQVLHQLKRLGIVWQDVLPVNIYCKAMGTLLNTAISEVIGKITALEDISTEDGDRLYSLCKTVMDEGPQVFAPLSEESKNKKYQEEVPVYVPKWMPFKELMMMLQASLQEIGDRWADGKGPLAAAFSSSEVKALIRALFQNTERRAAALAKIK
O75462 173 422 0.34713 PF00041.21:fn3:64:140 Cytokine receptor-like factor 1; Cytokine-like factor 1; CLF-1; ZcytoR5 422 77 21 250 0 Homo sapiens (Human) SwissProt::O75462 1 SwissProt YKLRWYGQDNTCEEYHTVGPHSCHIPKDLALFTPYEIWVEATNRLGSARSDVLTLDILDVVTTDPPPDVHVSRVGGLEDQLSVRWVSPPALKDFLFQAKYQIRYRVEDSVDWKVVDDVSNQTSCRLAGLKPGTVYFVQVRCNPFGIYGSKKAGIWSEWSHPTAASTPRSERPGPGGGACEPRGGEPSSGPVRRELKQFLGWLKKHAYCSNLSFRLYDQWRAWMQKSHKTRNQDEGILPSGRRGTARGPAR
O83337 1 756 0.214396957671957 PF02707.16:MOSP_N:96:288,PF02722.15:MOSP_C:553:756 Tpr protein G 756 397 21 756 0 Treponema pallidum (strain Nichols) O83337 1 SwissProt/TReMBL MGCMRWGSVLCVVVGVGASGGVLGQEFSPKLTGSATLEWGISYGKGVGSHGQAPGAVMGTGPYNLKHGFRTTNTVGVSFPLVMRTTHTRRGQHPALYAELKVADLQADLSQGKAGFAVKRKGKVEATLHCYGAYLTIGKNPTFLTNFARLWKPWVTAQYQEDAVQYAPGFGGLGGKVGYRAQDIGGSGVSLDVGFLSFASNGAWDSTDPTHSKYGFGADLKLMYARAGHPLCTVELASNVTLEDGYLIGAQKDANNQNKDKLLWNVGGRLTLEPGAGFRFSFALDAGNQHQSAQDFQNRTQRAQSELTALSNNLFQGESQKQEAWLDEYAKKVLDAVTAATETALQSRGNAYITAVSNVKVTPPVAATLLTNLKVFITDPPTPSPLPALPAFSLMGQVLLQYDAEQVVKGFEQVQTQIVAEINQKVQAAVAQSKAAAQAFINGLTKAIEDVADALLAPHKGNPMSLFNLPDQQKLLKDDLADLIPKLTAEATKFFTEGQTFVTEEVKKKTDALDAGQQIRQAIQNLRASAWRAFLMGVSAVCLYLDTYNVAFDALFTAQWKWLSSGIYFATAPANVFGTRVLDNTIASCGDFAGFLKLETKSGDPYTHLLTGLDAGVETRVYIPLTYALYKNNGGTAVRGIQEKEYIRPPVVGKAWCSYRIPVQDYGWVKPSVTVHASTNRAHLNAPAAGGAVGATYLTKEYCAQLRAGISASLIEKTVFSLDWEQGMLSDVPYLLVSECLTQGIGRIVCGVTLSW
O93507 1 174 0.671748275862069 T-cell acute lymphocytic leukemia protein 1 homolog; TAL-1; Stem cell protein; zSCL 324 0 21 174 0 Danio rerio (Zebrafish) (Brachydanio rerio) SwissProt::O93507 1 SwissProt MMEKLKSEQFPLSPSAEGCASPPRGDGDARGKQEGTTAETGEHRLPEELNGVAKETAHHATELKKEVAVIELSRRGGSADIKGRELKAELSHKVQTTELCRPPIPLPLPPRDPLSDTRMVQLSPPAFPLPARAMLYSNMTTPLATINSGFAGDAEQYGMYPSNRVKRRPAPYEV
O95279 245 499 0.653773333333334 Potassium channel subfamily K member 5 499 0 21 255 0 Homo sapiens O95279 1 SwissProt/TReMBL KVSMFVEVHKAIKKRRRRRKESFESSPHSRKALQVKGSTASKDVNIFSFLSKKEETYNDLIKQIGKKAMKTSGGGETGPGPGLGPQGGGLPALPPSLVPLVVYSKNRVPTLEEVSQTLRSKGHVSRSPDEEAVARAPEDSSPAPEVFMNQLDRISEECEPWDAQDYHPLIFQDASITFVNTEAGLSDEETSKSSLEDNLAGEESPQQGAEAKAPLNMGEFPSSSESTFTSTESELSVPYEQLMNEYNKANSPKGT
P15365 1 543 0.0907535911602209 PF07690.16:MFS_1:90:467,PF06779.14:MFS_4:111:275 allantoate permease 543 378 21 269 12 Saccharomyces cerevisiae CharProtDB::CH_091629 1 CharProtDB MSADASTNSNASLDEKNLNITSEAEIKNEDVTAEPVLSTVLSPNGKIVYISDKVDEAMKLAEEAKEIEVTPEEDRKLRWKIDYCMFPLMCILYAVQFMDKISTSSAAVMGLRTDLKMHGDQYSWVTSAFYFGYLFMNLGPVQFIFQRTSHMSKMLAVFIVIWGMLLALHAAPTVKYPSFIVLRVLLGCAESVVTPCFTIITAQYWKTEEQFTRVSIWFGMNGLGSILINAIAYGVYIHQDSYAIKGWRTLFVITGVITIFIGILIFLWIPDDPSKARFLSKREKLMVVQRIRSNQQGFGNHEIKKYQIIEALKDVRTWLYFLFTVSSNIPNGGISSFMSILLNSDFGYSSKETLLMGLPTGAVELVGCPLFGILAVYAANKKIPFWKYKLSWAIFAAVLALIASCMLGFATNSKKARLAGAYLWYISPVSFICVLSNISANSSGYSKKWTVSSINLVAYAAANLAGPQTFIAKQAPKYHGAKVAMVVCYAVMIVLLSILLIVNLRENKRRDKIAAERGFPEETENLEFSDLTDFENPNFRYTL
P25042 124 368 0.60710693877551 Repressor ROX1; Heme-dependent repression factor; Hypoxic function repressor 368 0 21 245 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25042 1 SwissProt PFNNNIVLMKRAHSLSPSSSVSSSNSYQFQLNNDLKRLPIPSVNTSNYMVSRSLSGLPLTHDKTARDLPQLSSQLNSIPYYSAPHDPSTRHHYLNVAQAQPRANSTPQLPFISSIINNSSQTPVTTTTTSTTTATSSPGKFSSSPNSSVLENNRLNSINNSNQYLPPPLLPSLQDFQLDQYQQLKQMGPTYIVKPLSHTRNNLLSTTTPTHHHIPHIPNQNIPLHQIINSSNTEVTAKTSLVSPK
P25639 1 631 0.140455625990491 PF10355.9:Ytp1:332:621,PF10348.9:DUF2427:85:188 Uncharacterized membrane protein YCR061W 631 394 21 404 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25639 1 SwissProt MVRFVSILSLFGCAATLVTAHDDMDMDMDMDMDMDMNIDTTTSQSIDVSSTASIVPVPHEPKHLHGLPILQSPSLTPAERLYWENYNTTTYFTTQAGNRSALRYHIITLLLVAFVLYPVSLALSAARSRWYLPLLFVNLCICISSVMALSVFKNTFPEEDWYAHNIYGTTSVLLLVFMLVHFFAAVLSVPVSLASKKEYRPVDTIPLNDLESTPVMVNSARGSPSPSSNRDTLFSLSSDTTTATATNNNKRRRAEGEDEGDNTSNHDTLRDEDYDNDDDEIASIEAPPLLPQDIPVFRILFTNTKYQMLAAHLSCVANVVFHMLTYPLFMYIFVDLIIGFAVGNLLGKGIRIFNLLAHWIKGGVFFTLGVVSLARYCGFAAKYGWAWNNISFTSQLTQTRSSNLLFRFAPAGTFTMEFVESFLIFFYGSTNIFLEHLAGNGGAWTAKDLQHVSIAFMFIGTGLCGLLTEYKLNHWRFEHARKRPQTDVVAATPGYSPNPFPAFTIFWTGILMSQHAQSSQFSTTIHTQWGYLLSYGSFFRLLTFLILFLVPNTNSAASKPFTELITSFCLLCGGLVFMESTDQSIEAMEYRGFTPMFTFNLSVGFVSLLMAWEMILFIWKDWLIKTRKTSL
P36930 1 112 0.352375892857143 PF08220.12:HTH_DeoR:8:60,PF00392.21:GntR:9:60,PF08279.12:HTH_11:8:50 Putative galactitol utilization operon repressor 112 53 21 112 0 Escherichia coli (strain K12) P36930 1 SwissProt/TReMBL MTMNSFERRNKIIQLVNEQGTVLVQDLAGVFAASEATIRADLRFLEQKGVVTRFHGGAAKIMSGNSETETQEVGFKERFQLASAPKNRIAQAAVKMIHEGMTDPTHVIWTQA
P36935 1 261 0.0430954022988506 Uncharacterized 30.4 kDa protein in S-V intergenic region 261 0 21 192 3 Escherichia phage P2 P36935 1 SwissProt/TReMBL MVSYNVTNVWGLIVFFLCSFAVLAFFSFGKSNLMRLIAHYFNFGYSDKKLKRLDREWRDIQLFKIINGINVSGIENVRMIQQGLIDGKLKTSYFFLTRIWGDITKPPHIIKTIIVILASIFYILLACYIHNEQSVIVRDATGIPYKNMMYYVYSDKVLLSFKNKAVEFNKTYSLADCKRLQNVFIKDTLPEIACNKLLQLNEEDSEWLSQEIKDNNSHKKALLILSLVYFTSGLVIFLSYTKFFYANKKVLEYKASNKNHS
P38347 1 419 0.179502863961814 PF10294.9:Methyltransf_16:216:384 Protein-lysine N-methyltransferase EFM2; Elongation factor methyltransferase 2; EC 2.1.1.- 419 169 21 419 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38347 1 SwissProt MFDPLDLYTPDDIQVEALQFNLAEREPKDPCSPQRDEILTAVDEEESDDDDTIIDNLDLPSVKYAPPEVILCILILLKPDRQVNFNQETGKNKSVLEVCKSHGLEPDLLKRLLTWYTEEWPNKRLNSLEKICNKIPMLRFTVSKELLLGYYTSVLKKYNNSCGLNEEIIQELLKELSSRISENCGRTAQPSIVRYFELRNLSTSIPLHEPSLTADNLGWKTWGSSLILSQLVVDHLDYLHTTNVNMLANSDIKQIKVLELGAGTGLVGLSWALKWKELYGTENIEIFVTDLPEIVTNLKKNVSLNNLGDFVQAEILDWTNPHDFIDKFGHENEFDVILIADPIYSPQHPEWVVNMISKFLAASGTCHLEIPLRAKYAKEREVLKLLLKESDLKVVEERHSEGVDDWGAVKYLYRQIVRN
P40563 1 679 0.822683946980855 PF11489.8:Aim21:156:539 Altered inheritance of mitochondria protein 21 679 384 21 679 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40563 1 SwissProt MPSEVTPKVPERPSRRKTSELFPLSGSESGDIKANSEPPTPAGTPNVPTRRPILKAKTMTSFESGMDQESLPKVPLQRPVRRSTTEELNNVMNNTSKELEEIESLISKHNIHNVSRKKSPTSVEEGKVAAIHQNGQRSASDNKTSTNPSPLEKNEHEGAEGNESAISPSNLVNKSNNEVTEHSDSEDLTEKQKVHAALDNEAGDRSHFEEKLIPGDMKVQVDVSKDVEEGSLNALPPSGITESDDKAEKFTKHPESSLEELQKHQEQQEEKIFQNPTDEESTTSLNEKQEGKDNMEVNSQPQGPSDTETVIAATSSNVPSQIASEEENDVPVIPRSRPKKDFEAHVQKEELPNTQEKRVSEECDSTLISTEEESKIPKIPSERPKRRAPPPVPKKPSSRIAAFQEMLQKQQQQDLHNNGNSSATTASADIAKKHTDSSITSDTTKADFTSKLNGLFALPGMVNPGQLPPSLEKKLSSPDTESKLGPQDQSQAKTGPLGGTRRGRGPRGRKLPSKVASVEKIEEDDNTNKIEIFNNWNVSSSFSKEKVLIDTTPGEQAERALDEKSKSIPEEQREQSPNKMEAALCPFELDEKEKLPANAESDPLSQLPQTNAVGNRKAISEESLSPSEAIANRDQNDTTEIQEQQMEDQMEVDMERELSGGYEDVDSALHSEEASFHSL
P41813 432 862 0.742012993039443 Fork head protein homolog 2 862 0 21 431 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41813 1 SwissProt KVGKIRRGSSVARQLQLHMAKFNSLPMEMDYRLSLNMAQPPKRQLQSHNVLEPSNNNIIEGFVQHVPSKGNLPASQQSQPPVSHQNQSQQPPPQEQRQEIQFTFADTQNRNIALARPIKTPQLQAPNSNANLNQNNMKEYKESLHPPAISISQMNRQSPNNALVSFTNACANSKIINNISDSADKSTNNNGGTKMNLPAISTSSLDENGNLEPTTTTSSGNSNSVPQTGTTTSSLAANSLRLSQPYDTLLRSPTKAFHITAMEAYTPERGSANRARSPLHSNSNNTNNNGANNSNLQTSGMENKQTGLVLDSNVLKSMESNNDNRRLTPSTSKSQNVKSSPGVWNLLQFSSTNNTPAADSGGNKRGFSINPDIKAKENENATSEKDSDSNSNDLETKDINSSPLKNQGGSTANAKELILDTDGAKISIINN
Q04671 1 838 0.137480787589499 PF03600.16:CitMHS:342:775,PF00939.19:Na_sulph_symp:385:522,PF00939.19:Na_sulph_symp:629:825 P protein; Melanocyte-specific transporter protein; Pink-eyed dilution protein homolog 838 484 21 580 12 Homo sapiens (Human) SwissProt::Q04671 1 SwissProt MHLEGRDGRRYPGAPAVELLQTSVPSGLAELVAGKRRLPRGAGGADPSHSCPRGAAGQSSWAPAGQEFASFLTKGRSHSSLPQMSSSRSKDSCFTENTPLLRNSLQEKGSRCIPVYHPEFITAEESWEDSSADWERRYLLSREVSGLSASASSEKGDLLDSPHIRLRLSKLRRCVQWLKVMGLFAFVVLCSILFSLYPDQGKLWQLLALSPLENYSVNLSSHVDSTLLQVDLAGALVASGPSRPGREEHIVVELTQADALGSRWRRPQQVTHNWTVYLNPRRSEHSVMSRTFEVLTRETVSISIRASLQQTQAVPLLMAHQYLRGSVETQVTIATAILAGVYALIIFEIVHRTLAAMLGSLAALAALAVIGDRPSLTHVVEWIDFETLALLFGMMILVAIFSETGFFDYCAVKAYRLSRGRVWAMIIMLCLIAAVLSAFLDNVTTMLLFTPVTIRLCEVLNLDPRQVLIAEVIFTNIGGAATAIGDPPNVIIVSNQELRKMGLDFAGFTAHMFIGICLVLLVCFPLLRLLYWNRKLYNKEPSEIVELKHEIHVWRLTAQRISPASREETAVRRLLLGKVLALEHLLARRLHTFHRQISQEDKNWETNIQELQKKHRISDGILLAKCLTVLGFVIFMFFLNSFVPGIHLDLGWIAILGAIWLLILADIHDFEIILHRVEWATLLFFAALFVLMEALAHLHLIEYVGEQTALLIKMVPEEQRLIAAIVLVVWVSALASSLIDNIPFTATMIPVLLNLSHDPEVGLPAPPLMYALAFGACLGGNGTLIGASANVVCAGIAEQHGYGFSFMEFFRLGFPMMVVSCTVGMCYLLVAHVVVGWN
Q07507 1 201 0.0856497512437811 PF14704.6:DERM:43:191 Dermatopontin; Tyrosine-rich acidic matrix protein; TRAMP 201 149 21 201 0 Homo sapiens (Human) SwissProt::Q07507 1 SwissProt MDLSLLWVLLPLVTMAWGQYGDYGYPYQQYHDYSDDGWVNLNRQGFSYQCPQGQVIVAVRSIFSKKEGSDRQWNYACMPTPQSLGEPTECWWEEINRAGMEWYQTCSNNGLVAGFQSRYFESVLDREWQFYCCRYSKRCPYSCWLTTEYPGHYGEEMDMISYNYDYYIRGATTTFSAVERDRQWKFIMCRMTEYDCEFANV 1
Q08245 1 113 0.674534513274336 Protein ZEO1; Zeocin resistance protein 1 113 0 21 113 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08245 1 SwissProt MSEIQNKAETAAQDVQQKLEETKESLQNKGQEVKEQAEASIDNLKNEATPEAEQVKKEEQNIADGVEQKKTEAANKVEETKKQASAAVSEKKETKKEGGFLKKLNRKIASIFN
Q15506 1 151 0.610867549668875 PF02197.17:RIIa:14:51,PF00612.27:IQ:117:133 Sperm surface protein Sp17 151 55 21 151 0 Homo sapiens Q15506 1 SwissProt/TReMBL MSIPFSNTHYRIPQGFGNLLEGLTREILREQPDNIPAFAAAYFESLLEKREKTNFDPAEWGSKVEDRFYNNHAFEEQEPPEKSDPKQEESQISGKEEETSVTILDSSEEDKEKEEVAAVKIQAAFRGHIAREEAKKMKTNSLQNEEKEENK
Q39081 75 255 0.51191049723757 PF01486.17:K-box:11:100 Transcription factor CAULIFLOWER; AtCAL; Agamous-like MADS-box protein AGL10 255 90 21 181 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39081 1 SwissProt YAERQLIAPDSHVNAQTNWSMEYSRLKAKIELLERNQRHYLGEELEPMSLKDLQNLEQQLETALKHIRSRKNQLMNESLNHLQRKEKEIQEENSMLTKQIKERENILRTKQTQCEQLNRSVDDVPQPQPFQHPHLYMIAHQTSPFLNMGGLYQEEDQTAMRRNNLDLTLEPIYNYLGCYAA
SwissProt::O75943 1 681 0.320133333333333 PF03215.15:Rad17:84:269 Cell cycle checkpoint protein RAD17; hRad17; RF-C/activator 1 homolog 681 186 21 681 0 Homo sapiens (Human) SwissProt::O75943 1 SwissProt MSKTFLRPKVSSTKVTDWVDPSFDDFLECSGVSTITATSLGVNNSSHRRKNGPSTLESSRFPARKRGNLSSLEQIYGLENSKEYLSENEPWVDKYKPETQHELAVHKKKIEEVETWLKAQVLERQPKQGGSILLITGPPGCGKTTTLKILSKEHGIQVQEWINPVLPDFQKDDFKGMFNTESSFHMFPYQSQIAVFKEFLLRATKYNKLQMLGDDLRTDKKIILVEDLPNQFYRDSHTLHEVLRKYVRIGRCPLIFIISDSLSGDNNQRLLFPKEIQEECSISNISFNPVAPTIMMKFLNRIVTIEANKNGGKITVPDKTSLELLCQGCSGDIRSAINSLQFSSSKGENNLRPRKKGMSLKSDAVLSKSKRRKKPDRVFENQEVQAIGGKDVSLFLFRALGKILYCKRASLTELDSPRLPSHLSEYERDTLLVEPEEVVEMSHMPGDLFNLYLHQNYIDFFMEIDDIVRASEFLSFADILSGDWNTRSLLREYSTSIATRGVMHSNKARGYAHCQGGGSSFRPLHKPQWFLINKKYRENCLAAKALFPDFCLPALCLQTQLLPYLALLTIPMRNQAQISFIQDIGRLPLKRHFGRLKMEALTDREHGMIDPDSGDEAQLNGGHSAEESLGEPTQATVPETWSLPLSQNSASELPASQPQPFSAQGDMEENIIIEDYESDGT
SwissProt::P98078 192 665 0.711166455696202 Disabled homolog 2; Adaptor molecule disabled-2; Differentially expressed in ovarian carcinoma 2; DOC-2; Mitogen-responsive phosphoprotein 766 0 21 474 0 Mus musculus (Mouse) SwissProt::P98078 1 SwissProt GSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNSPTESKDILLVDLNSEIDTNQNSLRENPFLTNGVTSCSLPRPKPQASFLPENAFSANLNFFPTPNPDPFRDDPFAQPDQSAPSSFDSLTSPDQKKASLSSSSTPQSKGPLNGDTDYFGQQFDQLSNRTGKPEAQGGPWPYPSSQTQQAVRTQNGVSEREQNGFHIKSSPNPFVGSPPKGLSVPNGVKQDLESSVQSSAHDSIAIIPPPQSTKPGRGRRTAKSSANDLLASDIFASEPPGQMSPTGQPAVPQSNFLDLFKGNAPAPVGPLVGLGTVPVTPPQAGPWTPVVYSPSTTVVPGAIISGQPPSFRQPLVFGTTPAVQVWNQSPSFATPASPPPPTVWCPTTSVAPNAWSSTSPLGNPFQSNNIFPPPTMSTQSSPQPMMSSVLATPPQPPPRNGPLKDIPSDAFTGLDPLGDKEVKEVKEMFKDFQLRQPPLVP
SwissProt::Q69027 1 110 0.377247272727273 PF00739.19:X:1:110 Protein X; HBx; Peptide X; pX 154 110 21 110 0 Hepatitis B virus genotype C subtype ayr (isolate Human/Japan/Okamoto/-) (HBV-C) SwissProt::Q69027 1 SwissProt MAARLCCQLDPARDVLCLRPVGAESRGRPVSGPFGPLPSPSSSAVPADHGAHLSLRGLPVCAFSSAGPCALRFTSARSMETTVNAHQVLPKVLHKRTLGLSAMSTTDLEA
SwissProt::Q9D666 1 671 0.350767958271237 PF09387.10:MRP:65:415 SUN domain-containing protein 1; Protein unc-84 homolog A; Sad1/unc-84 protein-like 1 913 351 21 625 2 Mus musculus (Mouse) SwissProt::Q9D666 1 SwissProt MDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVTTASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHDSSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKGGNKAATQGNGELAAEVASSNGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRGVSFYLDRTLWLAKSTSSSFASFIVQLFQVVLMKLNFETYKLKGYESRAYESQSYETKSHESEAHLGHCGRMTAGELSRVDGESLCDDCKGKKHLEIHTATHSQLPQPHRVAGAMGRLCIYTGDLLVQALRRTRAAGWSVAEAVWSVLWLAVSAPGKAASGTFWWLGSGWYQFVTLISWLNVFLLTRCLRNICKVFVLLLPLLLLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQRVDDSKGMHRPGPLPPSPPPKVDHKASQWPQESDMGQKVASLSAQCHNHDERLAELTVLLQKLQIRVDQVDDGREGLSLWVKNVVGQHLQEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDVLRKLTEKSEAIQKELEETKLKAGSRDEEQPLLDRVQHLELELNLLKSQLSDWQHLKTSCEQAGARIQETVQLMFSEDQQGGSLEWLLEKLSSRFV
VIMSS10078257 288 636 0.458114040114613 C-terminal binding protein AN; CtBP; Protein ANGUSTIFOLIA; Protein DETORQUEO 636 0 21 349 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23702 1 SwissProt GPQWMEAWVKEMPNVLILPRSADYSEEVWMEIREKAISILHSFFLDGVIPSNTVSDEEVEESEASEEEEQSPSKHEKLAIVESTSRQQGESTLTSTEIVRREASELKESLSPGQQHVSQNTAVKPEGRRSRSGKKAKKRHSQQKYMQKTDGSSGLNEESTSRRDDIAMSDTEEVLSSSSRCASPEDSRSRKTPLEVMQESSPNQLVMSSKKFIGKSSELLKDGYVVALYAKDLSGLHVSRQRTKNGGWFLDTLSNVSKRDPAAQFIIAYRNKDTVGLRSFAAGGKLLQINRRMEFVFASHSFDVWESWSLEGSLDECRLVNCRNSSAVLDVRVEILAMVGDDGITRWID
VIMSS10078671 136 721 0.29684180887372 PF01852.19:START:117:330 Homeobox-leucine zipper protein HDG2; HD-ZIP protein HDG2; Homeodomain GLABRA 2-like protein 2; Homeodomain transcription factor HDG2; Protein HOMEODOMAIN GLABROUS 2 721 214 21 586 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94C37 1 SwissProt EKLRNDNLRYREALANASCPNCGGPTAIGEMSFDEHQLRLENARLREEIDRISAIAAKYVGKPVSNYPLMSPPPLPPRPLELAMGNIGGEAYGNNPNDLLKSITAPTESDKPVIIDLSVAAMEELMRMVQVDEPLWKSLVLDEEEYARTFPRGIGPRPAGYRSEASRESAVVIMNHVNIVEILMDVNQWSTIFAGMVSRAMTLAVLSTGVAGNYNGALQVMSAEFQVPSPLVPTRETYFARYCKQQGDGSWAVVDISLDSLQPNPPARCRRRASGCLIQELPNGYSKVTWVEHVEVDDRGVHNLYKHMVSTGHAFGAKRWVAILDRQCERLASVMATNISSGEVGVITNQEGRRSMLKLAERMVISFCAGVSASTAHTWTTLSGTGAEDVRVMTRKSVDDPGRPPGIVLSAATSFWIPVPPKRVFDFLRDENSRNEWDILSNGGVVQEMAHIANGRDTGNCVSLLRVNSANSSQSNMLILQESCTDPTASFVIYAPVDIVAMNIVLNGGDPDYVALLPSGFAILPDGNANSGAPGGDGGSLLTVAFQILVDSVPTAKLSLGSVATVNNLIACTVERIKASMSCETA
VIMSS10079027 1 481 0.442330353430354 PF14144.6:DOG1:259:334,PF00170.21:bZIP_1:177:218,PF07716.15:bZIP_2:176:220 Transcription factor TGA9; Protein TGACG (TGA) motif-binding protein 9; bZIP transcription factor 21; AtbZIP21 481 121 21 481 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93XM6 1 SwissProt MANHRMSEATNHNHNHHLPYSLIHGLNNNHPSSGFINQDGSSSFDFGELEEAIVLQGVKYRNEEAKPPLLGGGGGATTLEMFPSWPIRTHQTLPTESSKSGGESSDSGSANFSGKAESQQPESPMSSKHHLMLQPHHNNMANSSSTSGLPSTSRTLAPPKPSEDKRKATTSGKQLDAKTLRRLAQNREAARKSRLRKKAYVQQLESSRIKLSQLEQELQRARSQGLFMGGCGPPGPNITSGAAIFDMEYGRWLEDDNRHMSEIRTGLQAHLSDNDLRLIVDGYIAHFDEIFRLKAVAAKADVFHLIIGTWMSPAERCFIWMAGFRPSDLIKILVSQMDLLTEQQLMGIYSLQHSSQQAEEALSQGLEQLQQSLIDTLAASPVIDGMQQMAVALGKISNLEGFIRQADNLRQQTVHQLRRILTVRQAARCFLVIGEYYGRLRALSSLWLSRPRETLMSDETSCQTTTDLQIVQSSRNHFSNF
VIMSS10079567 1 502 0.110114541832669 PF07690.16:MFS_1:51:407 High affinity nitrate transporter 2.5; AtNRT2:5 502 357 21 266 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LPV5 1 SwissProt MEVEGKGGEAGTTTTTAPRRFALPVDAENKATTFRLFSVAKPHMRAFHLSWFQFFCCFVSTFAAPPLLPVIRENLNLTATDIGNAGIASVSGAVFARIVMGTACDLFGPRLASAALTLSTAPAVYFTAGIKSPIGFIMVRFFAGFSLATFVSTQFWMSSMFSGPVVGSANGIAAGWGNLGGGATQLIMPIVFSLIRNMGATKFTAWRIAFFIPGLFQTLSAFAVLLFGQDLPDGDYWAMHKSGEREKDDVGKVISNGIKNYRGWITALAYGYCFGVELTIDNIIAEYFFDRFHLKLQTAGIIAASFGLANFFARPGGGIFSDFMSRRFGMRGRLWAWWIVQTSGGVLCACLGQISSLTVSIIVMLVFSVFVQAACGLTFGVVPFISRRSLGVVSGMTGAGGNVGAVLTQLIFFKGSTYTRETGITLMGVMSIACSLPICLIYFPQWGGMFCGPSSKKVTEEDYYLAEWNDEEKEKNLHIGSQKFAETSISERGRATTTHPQT
VIMSS10079700 1 190 0.211571052631579 PF05757.11:PsbQ:66:190 Photosynthetic NDH subunit of lumenal location 2, chloroplastic; PsbQ-like protein 1 190 125 21 190 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XI73 1 SwissProt MSSFTTTNTPPPYLLRKIYHRRVNQPFSVVCCTGEPQQDIFTRRRTLTSLITFTVIGGATSSALAQEKWGTRSFIKEKYFMPGLSPEDAAARIKQTAEGLRDMREMLDHMSWRYVIFYIRLKQAYLSQDLTNAMNILPESRRNDYVQAANELVENMSELDFYVRTPKVYESYLYYEKTLKSIDNVVEFLA
VIMSS10080376 84 252 0.143304142011834 PF01357.21:Pollen_allerg_1:77:154,PF03330.18:DPBB_1:7:65 Expansin-A11; AtEXPA11; Alpha-expansin-11; At-EXP11; AtEx11; Ath-ExpAlpha-1.14 252 137 21 169 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LNU3 1 SwissProt DHAADSRWCLKGASVVITATNFCPPNFALPNNNGGWCNPPLKHFDMAQPAWEKIGIYRGGIVPVVFQRVSCYKKGGVRFRINGRDYFELVNIQNVGGAGSIKSVSIKGSKTGWLAMSRNWGANWQSNAYLDGQALSFSITTTDGATRVFLNVVPSSWSFGQIYSSNVQF
VIMSS10080504 1 431 0.414764733178655 PF04554.13:Extensin_2:92:144,PF04554.13:Extensin_2:148:200,PF04554.13:Extensin_2:204:248 Extensin-3; AtExt3; AtExt5; Extensin-3/5; Protein ROOT-SHOOT-HYPOCOTYL-DEFECTIVE 431 151 21 431 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FS16 1 SwissProt MGSPMASLVATLLVLTISLTFVSQSTANYFYSSPPPPVKHYTPPVKHYSPPPVYHSPPPPKKHYEYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKKHYVYKSPPPPVKHYSPPPVYHSPPPPKEKYVYKSPPPPPVHHYSPPHHPYLYKSPPPPYHY
VIMSS10081043 95 259 0.221047272727273 PF01357.21:Pollen_allerg_1:73:150,PF03330.18:DPBB_1:5:62 ATEXPA10 (ARABIDOPSIS THALIANA EXPANSIN A 10); structural constituent of cell wall (RefSeq) 259 136 21 165 0 Arabidopsis thaliana VIMSS10081043 1 MicrobesOnline NDGKWCLPGSIVVTATNFCPPNNALANNNGGWCNPPLEHFDLAQPVFQRIAQYRAGIVPVSYRRVPCRRRGGIRFTINGHSYFNLVLITNVGGAGDVHSAAIKGSRTVWQAMSRNWGQNWQSNSYLNGQALSFKVTTSDGRTVVSFNAAPAGWSYGQTFAGGQFR
VIMSS10086342 1 299 0.502030100334448 PF00643.24:zf-B_box:4:47,PF00643.24:zf-B_box:55:89 B-box zinc finger protein 22; Protein DOUBLE B-BOX 3; Protein LIGHT-REGULATED ZINC FINGER PROTEIN 1; Protein SALT TOLERANCE HOMOLOG 3 299 79 21 299 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYM2 1 SwissProt MKIQCNVCEAAEATVLCCADEAALCWACDEKIHAANKLAGKHQRVPLSASASSIPKCDICQEASGFFFCLQDRALLCRKCDVAIHTVNPHVSAHQRFLLTGIKVGLESIDTGPSTKSSPTNDDKTMETKPFVQSIPEPQKMAFDHHHHQQQQEQQEGVIPGTKVNDQTSTKLPLVSSGSTTGSIPQWQIEEIFGLTDFDQSYEYMENNGSSKADTSRRGDSDSSSMMRSAEEDGEDNNNCLGGETSWAVPQIQSPPTASGLNWPKHFHHHSVFVPDITSSTPYTGSSPNQRVGKRRRRF
VIMSS10091889 1 1043 0.616360690316396 PF02179.16:BAG:596:670 BAG family molecular chaperone regulator 6; Bcl-2-associated athanogene 6; CaM-binding protein 1 1043 75 21 1043 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82345 1 SwissProt MMPVYMDPSQPCQMRPQEYYYQGFGNNSQHMAMDAPPPCHGSCVHGNFPAYWPPCYPPQVPYHQCCMNRSAFHPPHASYAPSCYVHPPFPVGYQPWFDVEKDVPGKHHCGKCSSQMCDLKKDRGVVIEEHEPEIEKGEAVLPVRSTNCPYPIIWIPHENARNQEYRSSLGLGKHNQPPAEVRAPDNMTIQKSFPESWRGCFPFDESSMKSLVQNQDSKKAQNGKTVEAPFDISKFKSLLQGQDMKEAQIQKNKEELGQLTYPTSWVPSRRKRDDVEASESSNEDRKKMQNGKTVEYPFDISMIKSLIQGQDVKEAQNQKNKEEPGQVPYPIFWIPSYGKRKDVEASESKESSNEGRNLESCPSDLHRNEGQITQAKGKEGNFECNVLSDAEEKSSVINIPVANHLQEPRNIPVKLSENHLPKPTEPTKRIAKNEPVKSTKKEQSSSSSEASKLPPVCLRVDPLPKERNGGSKSVSHPKRMEKSKETKIAAPLSSKKAESRTVPEACNVKCEDANAEMKMAEGSLNALRTEKGSVESNSNLQEESNGEIIKPCEAKENREQPAKKSFTEEEAARIIQSMYRGYDVRRWEPIKKLKEIATVREQMGDVKKRIEALEASTDQHIEEKEIVVNGELVMNLLLKLDAVEGLHPSIREFRKALATELSSIQDKLDSLKNSCASAEKEAVKEQVEIKSQPSDSPVNLEHSQLTEENKMVSDTNLEKVLRLSPEEHPMSVLNRTDEKQAESAAETEEGYGLFETLATDSKQATENAAAASSTTIPEKIGEVETVVPGNPPSADGNGMTVTNVEENKAMVVESLEEPINELPQMVEETETNSIRDPENASEVSEAETNSSENENRKGEDDIVLHSEKNVELSELPVGVIDEETQPLSQDPSSSYTREGNMTAMDPKTASQEETEVDHSPNNSKGIGQQTSEPQDEKEQSPETEVIVKEQPLETEVILNEQAPEPEITEPGISKETKKLMEENQRFKETMETLVKAGREQLEVISKLTSRVKSLEKKLSHKKKTQIRRRASKPMSVSPTDAVL
VIMSS10093667 446 1224 0.247219640564826 disease resistance protein (NBS-LRR class), putative (RefSeq) 1424 0 21 779 0 Arabidopsis thaliana VIMSS10093667 1 MicrobesOnline IDLLYQPRSSRRLEDIGNDYLGDLVAQSFFQRLDITMTSFVMHDLMNDLAKAVSGDFCFRLEDDNIPEIPSTTRHFSFSRSQCDASVAFRSICGAEFLRTILPFNSPTSLESLQLTEKVLNPLLNALSGLRILSLSHYQITNLPKSLKGLKLLRYLDLSSTKIKELPEFVCTLCNLQTLLLSNCRDLTSLPKSIAELINLRLLDLVGTPLVEMPPGIKKLRSLQKLSNFVIGRLSGAGLHELKELSHLRGTLRISELQNVAFASEAKDAGLKRKPFLDGLILKWTVKGSGFVPGSFNALACDQKEVLRMLEPHPHLKTFCIESYQGGAFPKWLGDSSFFGITSVTLSSCNLCISLPPVGQLPSLKYLSIEKFNILQKVGLDFFFGENNSRGVPFQSLQILKFYGMPRWDEWICPELEDGIFPCLQKLIIQRCPSLRKKFPEGLPSSTEVTISDCPLRAVSGGENSFRRSLTNIPESPASIPSMSRRELSSPTGNPKSDASTSAQPGFASSSQSNDDNEVTSTSSLSSLPKDRQTEDFDQYETQLGSLPQQFEEPAVISARYSGYISDIPSTLSPYMSRTSLVPDPKNEGSILPGSSSYQYHQYGIKSSVPSPRSSEAIKPSQYDDDETDMEYLKVTDISHLMELPQNLQSLHIDSCDGLTSLPENLTESYPNLHELLIIACHSLESFPGSHPPTTLKTLYIRDCKKLNFTESLQPTRSYSQLEYLFIGSSCSNLVNFPLSLFPKLRSLSIRDCESFKTFSIHAGLGDDRIALESLEIRD
VIMSS10094945 1 362 0.231525414364641 PF01501.20:Glyco_transf_8:216:361 Galacturonosyltransferase 8; Glycosyltransferase QUASIMODO1; EC 2.4.1.- 559 146 21 339 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSG3 1 SwissProt MANHHRLLRGGGSPAIIGGRITLTAFASTIALFLFTLSFFFASDSNDSPDLLLPGVEYSNGVGSRRSMLDIKSDPLKPRLIQIRKQADDHRSLALAYASYARKLKLENSKLVRIFADLSRNYTDLINKPTYRALYDSDGASIEESVLRQFEKEVKERIKMTRQVIAEAKESFDNQLKIQKLKDTIFAVNEQLTNAKKQGAFSSLIAAKSIPKGLHCLAMRLMEERIAHPEKYTDEGKDRPRELEDPNLYHYAIFSDNVIAASVVVNSAVKNAKEPWKHVFHVVTDKMNLGAMQVMFKLKEYKGAHVEVKAVEDYTFLNSSYVPVLKQLESANLQKFYFENKLENATKDTTNMKFRNPKYLSI
VIMSS10097109 1 288 0.134711111111111 PF00067.22:p450:35:286 Cytochrome P450 94B3; Jasmonoyl-L-amino acid 12-hydroxylase; Jasmonoyl-isoleucine-12-hydroxylase; EC 1.14.14.48 506 252 21 268 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SMP5 1 SwissProt MAFLLSFLILAFLITIIFFLSSSSTKKVQENTTYGPPSYPLIGSILSFNKNRHRLLQWYTELLRLSPSQTILVPLLGNRRTIITTNPLNVEYILKTNFFNFPKGKPFTDLLGDLLGGGIFNVDGHSWSSQRKLASHEFSTRSLRSFAFEVLKDEVENRLVPVLSTAADVGTTVDLQDVLKRFAFDVVCKVSLGWDPDCLDLTRPVNPLVEAFDTAAEISARRATEPIYAVWKTKRVLNVGSERKLREAIRTVHVLVSEIVRAKKKSLEIGTGAEAKQDLLSRFLAAGH
VIMSS10098094 1 280 0.184525714285714 PF11900.8:DUF3420:199:253,PF00651.31:BTB:18:155 Regulatory protein NPR6; BTB/POZ domain-containing protein NPR6; Protein BLADE-ON-PETIOLE 1 467 193 21 280 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M1I7 1 SwissProt MSNTFEESLKSMSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCESDPSQPGAEPANQTGSGARAAAVGGVIPVNSVGYEVFLLLLQFLYSGQVSIVPHKHEPRSNCGDRGCWHTHCTAAVDLSLDILAAARYFGVEQLALLTQKHLTSMVEKASIEDVMKVLIASRKQDMHQLWTTCSYLIAKSGLPQEILAKHLPIELVAKIEELRLKSSMPLRSLMPHHHDLTSTLDLEDQKIRRMRRALDSSDVELVKLMVMGEGLNLDESLA
VIMSS10098201 1 329 0.683749240121581 PF00170.21:bZIP_1:204:248,PF07716.15:bZIP_2:202:249,PF03131.17:bZIP_Maf:200:255 Basic leucine zipper 61; AtbZIP61; bZIP protein 61 329 56 21 329 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2K4 1 SwissProt MAQLPPKIPTMTTPNWPDFSSQKLPSIAATAAAAATAGPQQQNPSWMDEFLDFSATRRGTHRRSISDSIAFLEPPSSGVGNHHFDRFDDEQFMSMFNDDVHNNNHNHHHHHSINGNVGPTRSSSNTSTPSDHNSLSDDDNNKEAPPSDHDHHMDNNVANQNNAAGNNYNESDEVQSQCKTEPQDGPSANQNSGGSSGNRIHDPKRVKRILANRQSAQRSRVRKLQYISELERSVTSLQTEVSVLSPRVAFLDHQRLLLNVDNSAIKQRIAALAQDKIFKDAHQEALKREIERLRQVYHQQSLKKMENNVSDQSPADIKPSVEKEQLLNV
VIMSS10098276 1 393 0.152767684478372 PF01545.21:Cation_efflux:74:314 Metal tolerance protein A2; AtMTP3; AtMTPa2 393 241 21 258 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXS1 1 SwissProt MVTPKLHLDLSLTKKMKDHIHEHDHMVQICGEVSSGETSLVGIKKTCGEAPCGFSDAKTSSIEAQERAASMRKLLIAVLLCAIFIVVEVVGGIKANSLAILTDAAHLLSDVAAFAISLFSLWASGWKANPQQSYGFFRIEILGALVSIQMIWLLAGILVYEAIVRLNNGSGEVEGSLMFAVSAVGLLVNIAMAILLGHDHGHGHGHSHDNGHGHSHDHGHGIAATEHHHDSGHDESQLSDVLIEQKKQRNVNIQGAYLHVLGDSIQSVGVMIGGAIIWYKPEWKILDLICTLVFSVIVLGTTIGMLRNILEVLMESTPREIDPTMLEKGVCEIEEVVAVHELHIWAITVGKLLLACHVKIRPEAEADMVLDKIIDYIKREHNISHVTIQIERQ
VIMSS10101162 275 664 0.549798717948718 Two-component response regulator ARR2; Receiver-like protein 5 664 0 21 390 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZWJ9 1 SwissProt GGVSQHQGNMNHSFMTGQDQSFGPLSSLNGFDLQSLAVTGQLPPQSLAQLQAAGLGRPTLAKPGMSVSPLVDQRSIFNFENPKIRFGDGHGQTMNNGNLLHGVPTGSHMRLRPGQNVQSSGMMLPVADQLPRGGPSMLPSLGQQPILSSSVSRRSDLTGALAVRNSIPETNSRVLPTTHSVFNNFPADLPRSSFPLASAPGISVPVSVSYQEEVNSSDAKGGSSAATAGFGNPSYDIFNDFPQHQQHNKNISNKLNDWDLRNMGLVFSSNQDAATATATAAFSTSEAYSSSSTQRKRRETDATVVGEHGQNLQSPSRNLYHLNHVFMDGGSVRVKSERVAETVTCPPANTLFHEQYNQEDLMSAFLKQEGIPSVDNEFEFDGYSIDNIQV
VIMSS10101792 82 207 0.330634126984127 Transcription factor DYT1; Basic helix-loop-helix protein 22; AtbHLH22; bHLH 22; Protein DYSFUNCTIONAL TAPETUM 1; Transcription factor EN 49; bHLH transcription factor bHLH022 207 0 21 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81900 1 SwissProt KNLLETFHEMEEAPPEIDEEQTDPMIKPEVETSDLNEEMKKLGIEENVQLCKIGERKFWLKIITEKRDGIFTKFMEVMRFLGFEIIDISLTTSNGAILISASVQTQELCDVEQTKDFLLEVMRSNP
VIMSS10103588 1 525 0.320113333333334 PF03360.16:Glyco_transf_43:181:427 Probable beta-1,4-xylosyltransferase IRX14; Protein IRREGULAR XYLEM 14; Xylan xylosyltransferase IRX14; EC 2.4.2.- 525 247 21 502 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L707 1 SwissProt MKLSALHQSYLNRRSNSFRSPTSLDSSVDGSGKSLIAVFWLILHCLCCLISLVLGFRFSRLVFFFLFSTSSTNLYSLPFRPDLPVKHLDVHTIGRTLDPGANGTTVVATATKSSRVVVGRHGIRIRPWPHPNPVEVMKAHQIIGRVQKEQKMIFGMKSSKMVIAVTPTYVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGATNETGLIIAKSGLRTIHVGIDQRMPNTWEDRSKLEVFMRLQALRVVREEKLDGIVMFADDSNMHSMELFDEIQNVKWFGTVSVGILAHSGNAEEMVLSMEKRKEMEKEEEEESSSLPVQGPACNSTDQLIGWHIFNTLPYAGKSAVYIDDVAAVLPQKLEWSGFVLNSRLLWEEAENKPEWVKDFGSLNENEGVESPLSLLKDPSMVEPLGSCGRQVLLWWLRVEARADSKFPPGWIIDPPLEITVAAKRTPWPDVPPEPPTKKKDQMPLSQGNTVVVIPKQQQHPTKIRKPKRKSKKSKHEPRPTDTTTQVYSSSSKHQERN
VIMSS10104270 146 412 0.582452808988764 Heat stress transcription factor A-3; AtHsfA3; AtHsf-17 412 0 21 267 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GYY1 1 SwissProt RSPQSNQTCCSSTSQSQGSPTEVGGEIEKLRKERRALMEEMVELQQQSRGTARHVDTVNQRLKAAEQRQKQLLSFLAKLFQNRGFLERLKNFKGKEKGGALGLEKARKKFIKHHQQPQDSPTGGEVVKYEADDWERLLMYDEETENTKGLGGMTSSDPKGKNLMYPSEEEMSKPDYLMSFPSPEGLIKQEETTWSMGFDTTIPSFSNTDAWGNTMDYNDVSEFGFAAETTSDGLPDVCWEQFAAGITETGFNWPTGDDDDNTPMNDP
VIMSS10104920 512 1069 0.128778853046595 PF03552.14:Cellulose_synt:1:546,PF13632.6:Glyco_trans_2_3:226:378 Cellulose synthase A catalytic subunit 5 [UDP-forming]; AtCesA5; EC 2.4.1.12 1069 546 21 423 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L778 1 SwissProt YVSREKRPGFDHHKKAGAMNSLIRVSGVLSNAPYLLNVDCDHYINNSKALREAMCFMMDPQSGKKICYVQFPQRFDGIDKSDRYSNRNVVFFDINMKGLDGLQGPIYVGTGCVFRRQALYGFDAPKKKKTKRMTCNCWPKWCLFCCGLRKNRKSKTTDKKKKNREASKQIHALENIEEGTKGTNDAAKSPEAAQLKLEKKFGQSPVFVASAGMENGGLARNASPASLLREAIQVISCGYEDKTEWGKEIGWIYGSVTEDILTGFKMHSHGWRSVYCTPKIPAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERLSYINSVVYPWTSIPLLVYCSLPAICLLTGKFIVPEISNYASILFMALFGSIAVTGILEMQWGKVGIDDWWRNEQFWVIGGVSAHLFALFQGLLKVLAGVETNFTVTSKAADDGEFSELYIFKWTSLLIPPTTLLIINVIGVIVGISDAISNGYDSWGPLFGRLFFAFWVILHLYPFLKGLLGKQDRMPTIILVWSILLASILTLLWVRVNPFVAKGGPILEICGLDCL
VIMSS10105069 65 189 0.480304 Ethylene-responsive transcription factor SHINE 2 189 0 21 125 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LFN7 1 SwissProt VKSEEGSDHVKDVNSPLMSPKSLSELLNAKLRKSCKDLTPSLTCLRLDTDSSHIGVWQKRAGSKTSPTWVMRLELGNVVNESAVDLGLTTMNKQNVEKEEEEEEAIISDEDQLAMEMIEELLNWS
VIMSS10105701 131 337 0.365765700483092 PF04106.12:APG5:1:200 Autophagy protein 5; Protein autophagy 5; AtAPG5 337 200 21 207 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FFI2 1 SwissProt QYIINGNCKNVMNMSQSDQEDLWTSVMNGDLDAYTRLSPKLKMGTVEDEFSRKTSLSSPQSQQVVPETEVAGQVKTARIPVRLYVRSLNKDFENLEDVPEIDTWDDISYLNRPVEFLKEEGKCFTLRDAIKSLLPEFMGDRAQTSGEERSIDDTEEADGSREMGEIKLVRIQGIEMKLEIPFSWVVNNLMNPEFYLHISVLVKAPQR
VIMSS10106474 1 277 0.546636462093863 PF00170.21:bZIP_1:120:166,PF07716.15:bZIP_2:120:169,PF12498.8:bZIP_C:189:266,PF03131.17:bZIP_Maf:118:172 Basic leucine zipper 9; AtbZIP9; bZIP protein 9; Basic leucine zipper OPAQUE 2 homolog 2; Basic leucine zipper O2 homolog 2 277 133 21 277 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FUD3 1 SwissProt MDNHTAKDIGMKRSASELALQEYLTTSPLDPCFDLMNRDYTCELRDSLLWSEGLFPAGPFRDAQSSICENLSADSPVSANKPEVRGGVRRTTSGSSHVNSDDEDAETEAGQSEMTNDPNDLKRIRRMNSNRESAKRSRRRKQEYLVDLETQVDSLKGDNSTLYKQLIDATQQFRSAGTNNRVLKSDVETLRVKVKLAEDLVARGSLTSSLNQLLQTHLSPPSHSISSLHYTGNTSPAITVHSDQSLFPGMTLSGQNSSPGLGNVSSEAVSCVSDIWP
VIMSS10106652 154 830 0.315573412112259 Phosphoglucan, water dikinase, chloroplastic; EC 2.7.9.5 1196 0 21 677 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6ZY51 1 SwissProt NSGNFSVVCHWDATRETLDLPQEVGNDDDVGDGGHERDNHDVGDDRVVGSENGAQLQKSTLGGQWQGKDASFMRSNDHGNREVGRNWDTSGLEGTALKMVEGDRNSKNWWRKLEMVREVIVGSVEREERLKALIYSAIYLKWINTGQIPCFEDGGHHRPNRHAEISRLIFRELEHICSKKDATPEEVLVARKIHPCLPSFKAEFTAAVPLTRIRDIAHRNDIPHDLKQEIKHTIQNKLHRNAGPEDLIATEAMLQRITETPGKYSGDFVEQFKIFHNELKDFFNAGSLTEQLDSMKISMDDRGLSALNLFFECKKRLDTSGESSNVLELIKTMHSLASLRETIIKELNSGLRNDAPDTAIAMRQKWRLCEIGLEDYFFVLLSRFLNALETMGGADQLAKDVGSRNVASWNDPLDALVLGVHQVGLSGWKQEECLAIGNELLAWRERDLLEKEGEEDGKTIWAMRLKATLDRARRLTAEYSDLLLQIFPPNVEILGKALGIPENSVKTYTEAEIRAGIIFQISKLCTVLLKAVRNSLGSEGWDVVVPGSTSGTLVQVESIVPGSLPATSGGPIILLVNKADGDEEVSAANGNIAGVMLLQELPHLSHLGVRARQEKIVFVTCDDDDKVADIRRLVGKFVRLEASPSHVNLILSTEGRSRTSKSSATKKTDKNSLSKKK
VIMSS10108183 1 457 0.583040262582057 PF02701.15:zf-Dof:136:192 Cyclic dof factor 2; Dof zinc finger protein DOF5.2; AtDOF5.2 457 57 21 457 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93ZL5 1 SwissProt MADPAIKLFGKTIPLPELGVVDSSSSYTGFLTETQIPVRLSDSCTGDDDDEEMGDSGLGREEGDDVGDGGGESETDKKEEKDSECQEESLRNESNDVTTTTSGITEKTETTKAAKTNEESGGTACSQEGKLKKPDKILPCPRCNSMETKFCYYNNYNVNQPRHFCKKCQRYWTAGGTMRNVPVGAGRRKNKSPASHYNRHVSITSAEAMQKVARTDLQHPNGANLLTFGSDSVLCESMASGLNLVEKSLLKTQTVLQEPNEGLKITVPLNQTNEEAGTVSPLPKVPCFPGPPPTWPYAWNGVSWTILPFYPPPAYWSCPGVSPGAWNSFTWMPQPNSPSGSNPNSPTLGKHSRDENAAEPGTAFDETESLGREKSKPERCLWVPKTLRIDDPEEAAKSSIWETLGIKKDENADTFGAFRSSTKEKSSLSEGRLPGRRPELQANPAALSRSANFHESS
VIMSS10109016 1 169 0.564956213017751 WRKY transcription factor 8; WRKY DNA-binding protein 8 326 0 21 169 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FL26 1 SwissProt MSHEIKDLNNYHYTSSYNHYNINNQNMINLPYVSGPSAYNANMISSSQVGFDLPSKNLSPQGAFELGFELSPSSSDFFNPSLDQENGLYNAYNYNSSQKSHEVVGDGCATIKSEVRVSASPSSSEADHHPGEDSGKIRKKREVRDGGEDDQRSQKVVKTKKKEEKKKEP
VIMSS10111150 71 196 0.370246825396825 PF01486.17:K-box:25:94 Agamous-like MADS-box protein AGL70; MADS box FLC1-like nuclear protein 3; Protein AGAMOUS-like 70; Protein MADS AFFECTING FLOWERING 3 196 70 21 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSR7 1 SwissProt EIHHADELKALDLAEKIRNYLPHKELLEIVQSKLEESNVDNVSVDSLISMEEQLETALSVIRAKKTELMMEDMKSLQEREKLLIEENQILASQVGKKTFLVIEGDRGMSRENGSGNKVPETLSLLK
VIMSS104811 1 412 0.074051213592233 PF00535.26:Glycos_transf_2:53:215,PF13632.6:Glyco_trans_2_3:129:333,PF13641.6:Glyco_tranf_2_3:49:268,PF13506.6:Glyco_transf_21:112:269,PF03142.15:Chitin_synth_2:120:228,PF10111.9:Glyco_tranf_2_2:54:142,PF13704.6:Glyco_tranf_2_4:56:138 Poly-beta-1,6-N-acetyl-D-glucosamine synthase; PNAG synthase; Poly-beta-1,6-GlcNAc synthase; Biofilm polysaccharide intercellular adhesin synthesis protein IcaA; Biofilm PIA synthesis protein IcaA; Intercellular adhesion protein A; N-acetylglucosaminyltransferase IcaA; EC 2.4.1.- 412 285 21 320 4 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q9RQP9 1 SwissProt MQFFNFLLFYPVFMSIYWIVGSIYFYFTREIRYSLNKKPDINVDELEGITFLLACYNESETIEDTLSNVLALKYEKKEIIIINDGSSDNTAELIYKIKENNDFIFVDLQENRGKANALNQGIKQASYDYVMCLDADTIVDQDAPYYMIENFKHDPKLGAVTGNPRIRNKSSILGKIQTIEYASLIGCIKRSQTLAGAVNTISGVFTLFKKSAVVDVGYWDTDMITEDIAVSWKLHLRGYRIKYEPLAMCWMLVPETLGGLWKQRVRWAQGGHEVLLRDFFSTMKTKRFPLYILMFEQIISILWVYIVLLYLGYLFITANFLDYTFMTYSFSIFLLSSFTMTFINVIQFTVALFIDSRYEKKNMAGLIFVSWYPTVYWIINAAVVLVAFPKALKRKKGGYATWSSPDRGNTQR
VIMSS107949 1 398 0.239019849246231 PF07683.14:CobW_C:238:351,PF02492.19:cobW:52:174 hypothetical protein (NCBI) 398 237 21 398 0 Mycobacterium tuberculosis CDC1551 VIMSS107949 1 MicrobesOnline MRTPVILVAGQDHTDEVTGALLRRTGTVVVEHRFDGHVVRRMTATLSRGELITTEDALEFAHGCVSCTIRDDLLVLLRRLHRRDNVGRIVVHLAPWLEPQPICWAIDHVRVCVGHGYPDGPAALDVRVAAVVTCVDCVRWLPQSLGEDELPDGRTVAQVTVGQAEFADLLVLTHPEPVAVAVLRRLAPRARITGGVDRVELALAHLDDNSRRGRTDTPHTPLLAGLPPLAADGEVAIVEFSARRPFHPQRLHAAVDLLLDGVVRTRGRLWLANRPDQVMWLESAGGGLRVASAGKWLAAMAASEVAYVDLERRLFADLMWVYPFGDRHTAMTVLVCGADPTDIVNALNAALLSDDEMASPQRWQSYVDPFGDWHDDPCHEMPDAAGEFSAHRNSGESR
VIMSS11481 1 203 0.254525615763547 PF01726.16:LexA_DNA_bind:1:65,PF00717.23:Peptidase_S24:114:179 Transcription regulator LexA; LexA-related repressor 203 131 21 203 0 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P73722 1 SwissProt MEPLTRAQKELFDWLVSYIDETQHAPSIRQMMRAMNLRSPAPIQSRLERLRNKGYVDWTDGKARTLRILHQKPKGVSVIGELKGGELVEADAEEVEKIDFAPLMKKSSVFALRVMSNDLVDDFIVEGDMLILRSVTGEEEIEDGELVAASIKGGKIAIKRYYQDGTKVVLKASNNKGPGQELKASDVEIQGILMGVWRNFQGV
VIMSS117 1 141 0.142108510638298 PF17628.2:IncD:1:141 hypothetical protein (NCBI ptt file) 141 141 21 95 2 Chlamydia trachomatis D/UW-3/CX VIMSS117 1 MicrobesOnline MTKVYANSIQQERVVDRIALLERCLDPSNSLPTAKRLVAVAVATILAVALLVVAGLLFSGVLCSPVSVLAASLFFGVGAFLLGGALVGGVLTTEAVTRERLHRSQTLMWNNLCCKTAEVEQKISTASANAKSNDKTRKLGE
VIMSS14165 1 301 0.124118936877077 PF00126.27:HTH_1:9:67,PF03466.20:LysR_substrate:99:286 DNA-binding transcriptional activator NhaR 301 247 21 301 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD00474 1 ecocyc MSMSHINYNHLYYFWHVYKEGSVVGAAEALYLTPQTITGQIRALEERLQGKLFKRKGRGLEPSELGELVYRYADKMFTLSQEMLDIVNYRKESNLLFDVGVADALSKRLVSSVLNAAVVEGEPIHLRCFESTHEMLLEQLSQHKLDMIISDCPIDSTQQEGLFSVRIGECGVSFWCTNPPPEKPFPACLEERRLLIPGRRSMLGRKLLNWFNSQGLNVEILGEFDDAALMKAFGAMHNAIFVAPTLYAYDFYADKTVVEIGRVENVMEEYHAIFAERMIQHPAVQRICNTDYSALFSPAVR
VIMSS16316 1 135 0.128898518518519 PF13187.6:Fer4_9:37:82,PF12838.7:Fer4_7:36:81 ferredoxin-type protein 164 47 21 135 0 Escherichia coli K-12 substr. MG1655 ecocyc::NAPF-MONOMER 1 ecocyc MKIDASRRGILTGRWRKASNGIRPPWSGDESHFLTHCTRCDACINACENNILQRGAGGYPSVNFKNNECSFCYACAQACPESLFSPRHTRAWDLQFTIGDACLAYQSVECRRCQDSCEPMAIIFRPTLSGIYQPQ
VIMSS17687 1 338 0.0734713017751479 PF01501.20:Glyco_transf_8:29:276,PF08437.10:Glyco_transf_8C:278:334,PF18404.1:Glyco_transf_24:45:234 UDP-glucose:(glucosyl)LPS α-1,2-glucosyltransferase (EC 2.4.1.58) 338 305 21 320 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11353-MONOMER 1 ecocyc MDSFPAIEIDKVKAWDFRLANINTSECLNVAYGVDANYLDGVGVSITSIVLNNRHINLDFYIIADVYNDGFFQKIAKLAEQNQLRITLYRINTDKLQCLPCTQVWSRAMYFRLFAFQLLGLTLDRLLYLDADVVCKGDISQLLHLGLNGAVAAVVKDVEPMQEKAVSRLSDPELLGQYFNSGVVYLDLKKWADAKLTEKALSILMSKDNVYKYPDQDVMNVLLKGMTLFLPREYNTIYTIKSELKDKTHQNYKKLITESTLLIHYTGATKPWHKWAIYPSVKYYKIALENSPWKDDSPRDAKSIIEFKKRYKHLLVQHHYISGIIAGVCYLCRKYYRK
VIMSS18953 1 126 0.216353968253968 cag pathogenicity island protein (cag14) (NCBI ptt file) 126 0 21 80 2 Helicobacter pylori 26695 VIMSS18953 1 MicrobesOnline MLPTKTRIRDPNKQELTQPKIKGLIMGKILASLLGGGTNLFTGLSSDLFSMILNFLFFLMLMMGLNEILGKKFNLPMDNIKNFMAEVLKNGFDSIKNMGSALVGNGFGSNKSDKTTNKMSVSQVRL
VIMSS18956 1 306 0.455495098039216 cag pathogenicity island protein (cag17) (NCBI ptt file) 306 0 21 306 0 Helicobacter pylori 26695 VIMSS18956 1 MicrobesOnline MKSIFKKLGSVALYSLVIYGGLNAINTALLPSEYKELVALGFKKIKTLHQRHDDEEVTKEEKEFATNALREKLRNDRARAEQIQKNIEAFEKKNNSSIQKKAAKHKGLQELNEINATPLNDNPNSNSSTETKSNKDDNFDEMINKVNGAFVKPATPLVPDEWRTPEIEIIINECIISSNDYDGLRKCLIKGIKDQKILAPLLEKIQEIETENNKFSRQHLSGLKLALNNSNNRTFLIASCAICEKRKKEMEQENNYQDTTNASEFGATDTKENEAKDAAFSNNRSKSELPNSVINQIEQSIAHGKK
VIMSS19241 1 273 0.0702230769230769 PF01755.17:Glyco_transf_25:28:218 β-N-acetylglucosaminylglycopeptide β-1,4-galactosyltransferase (EC 2.4.1.38) 273 191 21 273 0 Helicobacter pylori (strain ATCC 700392 / 26695) metacyc::HP0826-MONOMER 1 metacyc MRVFAISLNQKVCDTFGLVFRDTTTLLNSINATHHQAQIFDAIYSKTFEGGLHPLVKKHLHPYFITQNIKDMGITTNLISEVSKFYYALKYHAKFMSLGELGCYASHYSLWEKCIELNEAICILEDDITLKEDFKEGLDFLEKHIQELGYIRLMHLLYDASVKSEPLSHKNHEIQERVGIIKAYSEGVGTQGYVITPKIAKVFLKCSRKWVVPVDTIMDATFIHGVKNLVLQPFVIADDEQISTIARKEEPYSPKIALMRELHFKYLKYWQFV
VIMSS1936131 163 393 0.399036363636364 PF12484.8:PE_PPE_C:147:226 PPE family protein 393 80 21 231 0 Mycobacterium tuberculosis H37RvSiena WP_003900072.1 1 RefSeq TEALLPFEDAPLITNPGGLLEQAVAVEEAIDTAAANQLMNNVPQALQQLAQPAQGVVPSSKLGGLWTAVSPHLSPLSNVSSIANNHMSMMGTGVSMTNTLHSMLKGLAPAAAQAVETAAENGVWAMSSLGSQLGSSLGSSGLGAGVAANLGRAASVGSLSVPPAWAAANQAVTPAARALPLTSLTSAAQTAPGHMLGGLPLGHSVNAGSGINNALRVPARAYAIPRTPAAG
VIMSS19923 161 877 0.310959693165969 PF00593.24:TonB_dep_Rec:234:715 Iron-regulated outer membrane protein (FrpB) 877 482 21 717 0 Helicobacter pylori (strain ATCC 700392 / 26695) O26042 1 SwissProt/TReMBL AKAEAAFYTNFGYRMNATAAYRGKNWDILAYYNHQNIFYYRDGNNAFRNVFHPNYDLQDPSNSDMSVGTPSEVNSVLAKINGYINETDSISVSYNLTRDNSTRLLRPNTTSALSKANDPGSQPAPFVIDFGKELAHTINFNHNLSLKYKHEGGPNFNQPRVESTAFLGVRGGNYNPVVNPFAYNSNEPANPDYIPEVKEWCNNPDNISQCTQGAIRPSNGGYQIGYGTPNSINWQGTSDSSGGAQAGYGQLNAISTSANVYHGLVPKNPDYDMTPPNAQNPSANDWTLGNADAEGTLARRIFLINSGVNFKVTHPISEDYGNVFEYGMIYQNLSVFSGLDKGKNGYYKNNIDPNDPNGPGLPYRHYYTDQSSQYPQNLNTPNPLYRNMPQNSHAIGNIIGGFMQANYNILSNVIVGAGTRYDIYTLLDKNGRTHVTSGFSPSATVLYNPIESIGLKVSYAYVTKGALPGDGVLMRDPTVIYQRNLRPAIGQNVEFNVDFNSKYFNVRGAAFYQVINNFINSYGQDTSKNGGGNATAKNMSGNLPETINIYGYEVSGNVRYKNFLGTFSVARSWPTARGHLLADTYALAATTGNVFILKADYDVRRWGLTLTWLSRFVTNMYYEGYSIYYPQYGLIKIHKPGYGVHNVFINWTPPSKKWQGLRISAVFNNILNKQYVDQTSVFQASADAPASDMIPKGKRMALPAPGFNARFEVSYQF 5
VIMSS2197274 1 107 0.407267289719626 hypothetical protein (NCBI) 107 0 21 107 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2197274 1 MicrobesOnline MTHSPVKQMLKEAGLKMSLARLKVIDALWEASGEQGRVPIRTLHRRLSETGTPLSLVSIRQVLGRLVESGLVTLDEQDVYSPGKASWGGAAGSAAPERRAAANEQRL
VIMSS2198416 1 414 0.199029951690821 hypothetical protein (NCBI) 414 0 21 414 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2198416 1 MicrobesOnline MGLFNAAANLFGQVYERATQVAATAVTAVADVARTLVGSTVDAVEQQVHEALTSVHDAVASVEQALEGTVGAVAGTLGEIAGDALDTVGSAVGTLGGLAGNSLAIADDLLHGRVEPEDYLKLVGDLGQGVGATVGHAWEFGSDSLKAANDLYHAAYQGGSLSLGNSDGLLGVNVIGSLLGGNHDQGVIPDSRGILGPLPINVSFTEQGLTFGGETPLTPLVTAALALNPITAPLVPVLAPLNLGGLGVVGSVDKEGFSAKFYGIAGPSYNLGVANLGGTLELGSYNEVKLLSWNEVTLKDLPIVGGILGYVPVVKDIGVSLPTLDLHFDSKLYAQTIAGVDVAGVGPKGQIELVHDLDNDLSIVAGIAGDTAHSALDGAGKVLAALLGHGGEAAPPTIQLIGADPAPEHQALAA
VIMSS260380 1 484 0.118602479338843 PF02378.18:PTS_EIIC:134:415,PF00367.20:PTS_EIIB:10:42 ORFID:MW0166~hypothetical protein, similar to sucrose phosphotransferase enzyme II (NCBI ptt file) 484 315 21 262 10 Staphylococcus aureus subsp. aureus MW2 VIMSS260380 1 MicrobesOnline MTKEQQLAERIIAAVGGMDNIDSVMNCMTRVRIKVLDENKVDDQELRHIDGVMGVIHDERIQVVVGPGTVNKVANHMAELSGVKLGDPIPHHHNDSEKMDYKSYAADKAKANKEAHKAKQKNGKLNKVLKSIANIFIPLIPAFIGAGLIGGIAAVLSNLMVAGYISGAWITQLITVFNVIKDGMLAYLAIFTGINAAKEFGATPGLGGVIGGTTLLTGIAGKNILMNVFTGEPLQPGQGGIIGVIFAVWILSIVEKRLHKIVPNAIDIIVTPTIALLIVGLLTIFIFMPLAGFVSDSLVSVVNGIISIGGVFSGFIIGASFLPLVMLGLHHIFTPIHIEMINQSGATYLLPIAAMAGAGQVGAALALWVRCKRNTTLRNTLKGALPVGFLGIGEPLIYGVTLPLGRPFLTACIGGGIGGAVIGGIGHIGAKAIGPSGVSLLPLISDNMYLGYIAGLLAAYAGGFVCTYLFGTTKAMRQTDLLGD
VIMSS29486 1 196 0.524251020408163 ErpG (NCBI ptt file) 196 0 21 196 0 Borrelia burgdorferi B31 VIMSS29486 1 MicrobesOnline MNKKMKNLIICAVFVLIISCKIDASSEDLKQNVKEKVEGFLDKELMQGDDPNNSLFNPPPVLPASSHDNTPVLKAVQAKDGGQQEGKEEKEKEIQELKDKIDKRKKELEEARKKFQEFKEQVESATGESTEKVKKQGNIGQKALKYAKELGVNGSYSVNDGTNTNDFVKKVIDDALKNIEEELEKLAEPQNIEDKK
VIMSS32122 1 235 0.628770638297872 PF01025.19:GrpE:47:187 stress response protein GrpE 235 141 21 235 0 Mycobacterium tuberculosis H37Rv NP_214865.1 1 RefSeq MTDGNQKPDGNSGEQVTVTDKRRIDPETGEVRHVPPGDMPGGTAAADAAHTEDKVAELTADLQRVQADFANYRKRALRDQQAAADRAKASVVSQLLGVLDDLERARKHGDLESGPLKSVADKLDSALTGLGLVAFGAEGEDFDPVLHEAVQHEGDGGQGSKPVIGTVMRQGYQLGEQVLRHALVGVVDTVVVDAAELESVDDGTAVADTAENDQADQGNSADTSGEQAESEPSGS
VIMSS32221 1 967 0.179122957600827 PF03176.15:MMPL:59:388,PF03176.15:MMPL:613:943 Siderophore exporter MmpL4 967 661 21 715 11 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJV3 1 SwissProt MSTKFANDSNTNARPEKPFIARMIHAFAVPIILGWLAVCVVVTVFVPSLEAVGQERSVSLSPKDAPSFEAMGRIGMVFKEGDSDSFAMVIIEGNQPLGDAAHKYYDGLVAQLRADKKHVQSVQDLWGDPLTAAGVQSNDGKAAYVQLSLAGNQGTPLANESVEAVRSIVESTPAPPGIKAYVTGPSALAADMHHSGDRSMARITMVTVAVIFIMLLLVYRSIITVVLLLITVGVELTAARGVVAVLGHSGAIGLTTFAVSLLTSLAIAAGTDYGIFIIGRYQEARQAGEDKEAAYYTMYRGTAHVILGSGLTIAGATFCLSFARMPYFQTLGIPCAVGMLVAVAVALTLGPAVLHVGSRFGLFDPKRLLKVRGWRRVGTVVVRWPLPVLVATCAIALVGLLALPGYKTSYNDRDYLPDFIPANQGYAAADRHFSQARMKPEILMIESDHDMRNPADFLVLDKLAKGIFRVPGISRVQAITRPEGTTMDHTSIPFQISMQNAGQLQTIKYQRDRANDMLKQADEMATTIAVLTRMHSLMAEMASTTHRMVGDTEEMKEITEELRDHVADFDDFWRPIRSYFYWEKHCYGIPICWSFRSIFDALDGIDKLSEQIGVLLGDLREMDRLMPQMVAQIPPQIEAMENMRTMILTMHSTMTGIFDQMLEMSDNATAMGKAFDAAKNDDSFYLPPEVFKNKDFQRAMKSFLSSDGHAARFIILHRGDPQSPEGIKSIDAIRTAAEESLKGTPLEDAKIYLAGTAAVFHDISEGAQWDLLIAAISSLCLIFIIMLIITRAFIAAAVIVGTVALSLGASFGLSVLLWQHILAIHLHWLVLAMSVIVLLAVGSDYNLLLVSRFKQEIGAGLKTGIIRSMGGTGKVVTNAGLVFAVTMASMAVSDLRVIGQVGTTIGLGLLFDTLIVRSFMTPSIAALLGRWFWWPLRVRSRPARTPTVPSETQPAGRPLAMSSDRLG
VIMSS32759 1 855 0.115779064327486 PF02687.21:FtsX:290:386,PF02687.21:FtsX:755:847,PF12704.7:MacB_PCD:28:234 PROBABLE ADHESION COMPONENT TRANSPORT TRANSMEMBRANE PROTEIN ABC TRANSPORTER (NCBI) 855 397 21 625 10 Mycobacterium tuberculosis H37Rv VIMSS32759 1 MicrobesOnline MNDQAPVAYAPLWRTAWRRLRQRPFQYILLVLGIALGVAMIVAIDVSSNSAQRAFDLSAAAITGKSTHRLVSGPAGVDQQLYVDLRRHGYDFSAPVIEGYVLARGLGNRAMQFMGTDPFAESAFRSPLWSNQNIAELGGFLTRPNGVVLSRQVAQKYGLAVGDRIALQVKGAPTTVTLVGLLTPADEVSNQKLSDLIIADISTAQELFHMPGRLSHIDLIIKDEATATRIQQRLPAGVRMETSDTQRDTVKQMTDAFTVNLTALSLIALLVGIFLIYNTVTFNVVQRRPFFAILRCLGVTREQLFWLIMTESLVAGLIGTGLGLLIGIWLGEGLIGLVTQTINDFYFVINVRNVSVSAESLLKGLIIGIFAAMLATLPPAIEAMRTVPASTLRRSSLESKITKLMPWLWVAWFGLGSFGVLMLWLPGNNLVVAFVGLFSVLIALALIAPPLTRFVMLRLAPGLGRLLGPIGRMAPRNIVRSLSRTSIAIAALMMAVSLMVGVSISVGSFRQTLANWLEVTLKSDVYVSPPTLTSGRPSGNLPVDAVRNISKWPGVRDAVMARYSSVFAPDWGREVELMAVSGDISDGKRPYRWIDGNKDTLWPRFLAGKGVMLSEPMVSRQHLQMPPRPITLMTDSGPQTFPVLAVFSDYTSDQGVILMDRASYRAHWQDDDVTTMFLFLASGANSGALIDQLQAAFAGREDIVIQSTHSVREASMFIFDRSFTITIALQLVATVVAFIGVLSALMSLELDRAHELGVFRAIGMTTRQLWKLMFIETGLMGGMAGLMALPTGCILAWILVRIINVRSFGWTLQMHFESAHFLRALLVAVVAALAAGMYPAWRLGRMTIRTAIREE
VIMSS33545 1 103 0.3659 PF03861.14:ANTAR:27:70 hypothetical protein (NCBI) 103 44 21 103 0 Mycobacterium tuberculosis H37Rv VIMSS33545 1 MicrobesOnline MGSTGGSQPMTANRGPAAISSGSNSGRVLDTARGILIALRRCPAETAFDELHNAAQRHRLPVFEIAWALVHLAVEGSTPCRSFVDAQSAARREWGQLFAHAAA
VIMSS33752 88 481 0.0288776649746193 PF13520.6:AA_permease_2:7:370,PF00324.21:AA_permease:31:373 POSSIBLE CONSERVED PERMEASE (NCBI) 481 367 21 170 10 Mycobacterium tuberculosis H37Rv VIMSS33752 0 MicrobesOnline RRIGIYVGVTHAITASIAWGVLASFFVSTLLRVAFPDKAWADAEQLFSVKTLTFLGFIGVLLAINLFGNRAIKWANGTSTVGKAFALSAFIVGGLWIITTQHVNNYATAWSAYSATPYSLLGVAEIGKGTFSSMALATIVALYAFTGFESIANAAEEMDAPDRNLPRAIPIAIFSVGAIYLLTLTVAMLLGSNKIAASDDTVKLAAAIGNATFRTIIVVGALISMFGINVAASFGAPRLWTALADSGVLPTRLSRKNQYDVPMVSFAITASLALAFPLALRFDNLHLTGLAVIARFVQFIIVPIALIALARSQAVEHAAVRRNAFTDKVLPLVAIVVSVGLAVSYDYRCIFLVRGGPNYFSIALIVITFVVVPAMAYLHYYRIIRRVGDRPSTR
VIMSS35428 1 84 0.2219 Apoptosis inhibitor Rv3654c 84 0 21 84 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O69622 1 SwissProt MVARHRAQAAADLASLAAAARLPSGLAAACARATLVARAMRVEHAQCRVVDLDVVVTVEVAVAFAGVATATARAGPAKVPTTPG
VIMSS35579 1 627 0.114591387559809 Terminal beta-(1->2)-arabinofuranosyltransferase; EC 2.4.2.- 627 0 21 431 9 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O53582 1 SwissProt MVRVSLWLSVTAVAVLFGWGSWQRRWIADDGLIVLRTVRNLLAGNGPVFNQGERVEANTSTAWTYLLYVGGWVGGPMRLEYVALALAMVLSLLGMVLLMLGTGRLYAPSLRGRRAIMLPAGALVYIAVPPARDFATSGLESGLVLAYLGLLWWMMVCWSQPLRARPDSQMFLGALAFVAGCSVLVRPEFALIGGLALIMMLIAARTWRRRVLIVLAGGFLPVAYQIFRMGYYGLLVPSTALAKDAAGDKWSQGMIYVSNFNRPYALWVPLVLSVPLGLLLMTARRRPSFLRPVLAPDYGRVARAVQSPPAVVAFIVGSGVLQALYWIRQGGDFMHGRVLLAPLFCLLAPVGVIPILLPDGKDFSRETGRWLVGALSGLWLGIAGWSLWAANSPGMGDDATRVTYSGIVDERRFYAQATGHAHPLTAADYLDYPRMAAVLTALNNTPEGALLLPSGNYNQWDLVPMIRPSSGTAPGGKPAPKPQHAVFFTNMGMLGMNVGLDVRVIDQIGLVNPLAAHTERLKHARIGHDKNLFPDWVIADGPWVKWYPGIPGYIDQQWVTQAEAALQCPATRAVLNSVRAPITLHRFLSNVLHSYEFTRYRIDRVPRYELVRCGLDVPDGPGPPPRE
VIMSS35639 1 103 0.218051456310679 PF10824.8:T7SS_ESX_EspC:1:100 ESX-1 secretion-associated protein EspF 103 100 21 103 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJD1 1 SwissProt MTGFLGVVPSFLKVLAGMHNEIVGDIKRATDTVAGISGRVQLTHGSFTSKFNDTLQEFETTRSSTGTGLQGVTSGLANNLLAAAGAYLKADDGLAGVIDKIFG
VIMSS423 1 1770 0.467214124293785 PF07548.11:ChlamPMP_M:1202:1432,PF03797.19:Autotransporter:1484:1750,PF02415.17:Chlam_PMP:885:908 Putative outer membrane protein C (NCBI ptt file) 1770 522 21 1770 0 Chlamydia trachomatis D/UW-3/CX VIMSS423 1 MicrobesOnline MKFMSATAVFAAALSSVTEASSIQDQIKNTDCNVSKLGYSTSQAFTDMMLADNTEYRAADSVSFYDFSTSSRLPRKHLSSSSEASPTTEGVSSSSSGETDEKTEEELDNGGIIYAREKLTISESQDSLSNQSIELHDNSIFFGEGEVIFDHRVALKNGGAIYGEKEVVFENIKSLLVEVNIAVEKGGSVYAKERVSLENVTEATFSSNGGEQGGGGIYSEQDMLISDCNNVHFQGNAAGATAVKQCLDEEMIVLLAECVDSLSEDTLDSTPETEQTESNGNQDGSSETEDTQVSESPESTPSPDDVLGKGGGIYTEKSLTITGITGTIDFVSNIATDSGAGVFTKENLSCTNTNSLQFLKNSAGQHGGGAYVTQTMSVTNTTSESITTPPLIGEVIFSENTAKGHGGGICTNKLSLSNLKTVTLTKNSAKESGGAIFTDLASIPITDTPESSTPSSSSPASTPEVVASAKINRFFASTAKPAAPSLTEAESDQTDQTETSDTNSDIDVSIENILNVAINQNTSAKKGGAIYGKKAKLSRINNLELSGNSSQDVGGGLCLTESVEFDAIGSLLSHYNSAAKEGGAIHSKTVTLSNLKSTFTFADNTVKAIVESTPEAPEEIPPVEGEESTATEDPNSNTEGSSANTNLEGSQGDTADTGTGDVNNESQDTSDTGNAESEEQLQDSTQSNEENTLPNSNIDQSNENTDESSDSHTEEITDESVSSSSESGSSTPQDGGAASSGAPSGDQSISANACLAKSYAASTDSSPVSNSSGSEEPVTSSSDSDVTASSDNPDSSSSGDSAGDSEEPTEPEAGSTTETLTLIGGGAIYGETVKIENFSGQGIFSGNKAIDNTTEGSSSKSDVLGGAVYAKTLFNLDSGSSRRTVTFSGNTVSSQSTTGQVAGGAIYSPTVTIATPVVFSKNSATNNANNTTDTQRKDTFGGAIGATSAVSLSGGAHFLENVADLGSAIGLVPGTQNTETVKLESGSYYFEKNKALKRATIYAPVVSIKAYTATFNQNRSLEEGSAIYFTKEASIESLGSVLFTGNLVTLTLSTTTEGTPATTSGDVTKYGAAIFGQIASSNGSQTDNLPLKLIASGGNICFRNNEYRPTSSDTGTSTFCSIAGDVKLTMQAAKGKTISFFDAIRTSTKKTGTQATAYDTLDINKSEDSETVNSAFTGTILFSSELHENKSYIPQNVVLHSGSLVLKPNTELHVISFEQKEGSSLVMTPGSVLSNQTVADGALVINNMTIDLSSVEKNGIAEGNIFTPPELRIIDTTTGGSGGTPSTDSESNQNSDDTEEQNNNDASNQGESANGSSSPAVAAAHTSRTRNFAAAATATPTTTPTATTTTSNQVILGGEIKLIDPNGTFFQNPALRSDQQISLLVLPTDSSKMQAQKIVLTGDIAPQKGYTGTLTLDPDQLQNGTISVLWKFDSYRQWAYVPRDNHFYANSILGSQMLMVTVKQGLLNDKMNLARFEEVSYNNLWISGLGTMLSQVGTPTSEEFTYYSRGASVALDAKPAHDVIVGAAFSKMIGKTKSLKRENNYTHKGSEYSYQASVYGGKPFHFVINKKTEKSLPLLLQGVISYGYIKHDTVTHYPTIRERNKGEWEDLGWLTALRVSSVLRTPAQGDTKRITVYGELEYSSIRQKQFTETEYDPRYFDNCTYRNLAIPMGLAFEGELSGNDILMYNRFSVAYMLSIYRNSPTCKYQVLSSGEGGEIICGVPTRNSARGEYSTQLYLGPLWTLYGSYTIEADAHTLAHMMNCGARMTF
VIMSS45982 1 1243 0.119068061142397 PF12950.7:TaqI_C:1029:1182,PF07669.11:Eco57I:808:918 Type IIG restriction enzyme/methyltransferase recognizing CCYGA, ?; TCRGG with methylation at 5(6mA) 1243 265 21 1243 0 Campylobacter jejuni subsp. jejuni FDAARGOS_263 REBASE::Cje263II 1 REBASE MHFTLLNEKDFFNPYYRKKQIMQNEFDIFSKALMQYLERLESSQSENEDYLVANALSPFLTMLNFKTHIKTKQKGKSEIDLSISKDEFSKDLEVLIEAKKPNSKEFITHTKVNSKALHETILYYFRNREYSFSLKFIIITDFYKFYIFKISEFEELFYKNPSFKKLFEEFCNPNSLFKGNTEEFYKEVAKLIENSKENLKGFLIDLTFLKDKQKSNFKNLASIYKTFHRDFLLSEFNPNDANSLNNAFYKELLYILGLYESKQNSKLIIAKSEESKEEQGTFYTAINSKLKEENFETILKLLILWLNRILFLKLIESNLVRFNDDKNLKFLNFKKIPDFDKLSELFFEVLAKEKSTRKKSEFTYLPYLNSSLFEKQSIENTLEISSLSNDLKLFYYKNTVLKDDKCKAKKGQVGLLEYLFEFLDSFDFGSDDEQSEILSQKELISSSVLGNVFEKLNGYKEGSFYTPSFITSYMCKESITKVVLDKFNAQFDLDAKDISELRKSLRKEDKKAQKELLNSIKICDPAVGSGHFLVSALNVMLSIYDELNLFDEEFYLEVQNDEILITGRKGEFIEYKRPSTPKDKTHLIQQELFHTKKDIIENNLFGVDINPNSCEITKLRLWIELLKHSFYQSFDDGNYHDLKTLPNIDINIKCGNSLVSYFETGKSLNHYPNIKERMGKYKRIVKDYKEGFYTDKSHINQEIKNLKISFKNFCFADKFKKEMKSFNDKCEKYSKKYGNFLAVDDENLKFFVSANLTLFDFDEKEAIKEFANLKKEYDNIFNLESNHPFEWRFEFPEILDDDGNFKGFDLIIGNPPYIRQEELKELKPHLAKNYKVYKGTSDIYTYFYELGFNVLKDRGGVLSYITSNKYTRAGYGEALREFLLKNVKFLEYTDLNGIKVFDSATVDTSILCFEKSKSKDNKFKYLALSNEILKTCAYDIGLYKDFAEFSQNSLSKESFTFSDENTSALKAKIERIGTPLKEWYGLNINYGIKTGLNEAFIITTEKRNEILANCKDEAEKERTAKLIRKMLRGRDIKRYSYEWAGLWVIGTFPSLKIDIEQYPALKQYLSQFLPHIEQSGEKGCRKKTSNKWFETQDNIAYYEEFEKEKIVWAEMTKEACFVYDNSNFFTNQTCYFFTHCDYKYLLAILNSRLIVYYMQYISSHLGQGAFRWIRQYIEKLPIPKINSKNEKLADELINLVDEILKAKEQDKNANTQELENKINSLVYKLYNLTEEEIKIIEGK 1
VIMSS47514 1 696 0.138446695402299 PF03239.14:FTR1:349:553,PF03239.14:FTR1:545:640 putative integral membrane protein (NCBI ptt file) 696 292 21 549 7 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47514 1 MicrobesOnline MKIFKIIFLIISIFLSSSAFARVDDYINEANLIKDMLKQSIETYKKGDNLGAKKLSEDAYFQHFENMEGSIGRNIGRKAITMERKFVNLRRMYKDEAPLTQINALIDSLYYDLDEVAPILQNGYRLKAEASDINYDKAKAEKSSLEANAKREADAEALIAQMMGVDKKDLAQSSLTTQAPIPINNDTSKLTDDNASTDLQAAAAMDVRLQFILDNISTKFSQAANAFKEKNYQTSKDFLNDALFSDYRNTKVEILVNKFTKAGNDQKIQQAIRTLIRQINDAKIDEKGLRDGLDNIEEQIFDVFLQIPNSELSSLQISGFNDETKGKDYAKVSNDIKLALDEILKNYDGFSASIVDDLQGIYLDIFEASGMENKIGAVDSGLKLKIESLFSKSVALIKASTDKKELEATFNDLEQLIASSVDKIQDSTPYSLFIWALGIILREGLEALIIVVAIVSYLVQSGNKNRLNIAYSALFTGVILSFVTAFGVSWLFKENAGQSRELIEGITMLIAVLLLFYVGFWLLSNAQNKKWTSFIKQGAIDAISNNSAKTLWITVFLAVYREGAETVLFYQALLFDAKTSTDFGAVFGGLGLGILILIVLYFLLKAGAIRIPVKQFFYITSYIIFYMVFVFTGKGIAELIEGKVIIPSLIPMNFEPILWLGIYPYYETLIPQFIVLIMLIIGILITKQISKKGVKS
VIMSS536057 1 307 0.273789576547231 hypothetical protein (NCBI) 307 0 21 307 0 Mycobacterium avium subsp. paratuberculosis str. k10 VIMSS536057 1 MicrobesOnline MTSAQNESQALGDLAARQLANATKTVPQLSTITPRWLLHLLNWVPVEAGIYRVNRVVNPEQVAIKAEAGAGSEEPLPQTYVDYETSPREYTLRSISTLVDIHTRVSDLYSSPHDQIAQQLRLTIETIKERQELELINSPEYGLLAQATPEQTIQTLAGAPTPDDLDALITKVWKTPSFFLTHPLGIAAFGREATYRGVPPPVVSLFGAQFITWRGIPLIPSDKVPVEDGKTKFILVRTGEERQGVVGLFQPGLVGEQAPGLSVRFTGINQSAIATYLVTLYTSLAVLTDDALAVLDDVAVDQFHEYK
VIMSS539598 1 226 0.423330973451328 hypothetical protein 226 0 21 226 0 Mycobacterium tuberculosis H37Rv NP_215781.1 1 RefSeq MVLARPDAVFAPARNRCHVSLPVNAMSLKMKVCNHVIMRHHHMHGRRYGRPGGWQQAQQPDASGAAEWFAGRLPEDWFDGDPTVIVDREEITVIGKLPGLESPEEESAARASGRVSRFRDETRPERMTIADEAQNRYGRKVSWGVEVGGERILFTHIAVPVMTRLKQPERQVLDTLVDAGVARSRSDALAWSVKLVGEHTEEWLAKLRTAMSAVDDLRAQGPDLPA
VIMSS541310 1 229 0.0990943231441048 PGL/p-HBAD biosynthesis rhamnosyltransferase; EC 2.4.1.- 449 0 21 229 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WN09 1 SwissProt MRVSCVYATASRWGGPPVASEVRGDAAISTTPDAAPGLAARRRRILFVAEAVTLAHVVRPFALAQSLDPSRYEVHFACDPRYNQLLGPLPFRHHAIHTIPSERFFGNLTQGRFYAMRTLRKYVEADLRVLDEIAPDLVVGDLRISLSVSARLAGIPYIAIANAYWSPYAQRRFPLPDVIWTRLFGVRLVKLLYRLERPLLFALQCMPLNWVRRRHGLSSLGWNLCRIFT
VIMSS543 1 298 0.207525503355705 hypothetical protein 298 0 21 252 2 Chlamydia trachomatis D/UW-3/CX NP_220044.1 1 RefSeq MASICGRLGSGTGNALKAFFTQPNNKMARVVNKTKGMDKTIKVAKSAAELTANILEQAGGAGSSAHITASQVSKGLGDARTVVALGNAFNGALPGTVQSAQSFFSHMKAASQKTQEGDEGLTADLCVSHKRRAAAAVCSIIGGITYLATFGAIRPILFVNKMLAKPFLSSQTKANMGSSVSYIMAANHAASVVGAGLAISAERADCEARCARIAREESLLEVPGEENACEKKVAGEKAKTFTRIKYALLTMLEKFLECVADVFKLVPLPITMGIRAIVAAGCTFTSAIIGLCTFCARA
VIMSS55527 1 429 0.189657575757576 PF13519.6:VWA_2:88:194,PF14559.6:TPR_19:378:429,PF00092.28:VWA:88:220 conserved hypothetical protein (NCBI ptt file) 646 185 21 383 2 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55527 1 MicrobesOnline MSSLIFLYPHWLGLLVPLLLLAAWRGLRQNQRGLIAPHLAQALGIETRTRRSFGGVLALSWIVATLAMAGPSWQSAERPSVQNSAARVLIMDMSRSMYATDLAPNRLTQARYKALDLLKGWQEGSTGLVAYAADAYVVSPLTSDSATLANLLPNLSPDIMPYQGSDAAAAVSLAITMLQQSGHQQGDLILITDDMSVTEREKLISLLQGSPWRLVTLAIGTPSGAPIPLGDGSLLKDRQGQTVIAKTAFDQLQQLSQSVQGVLTAYRADGADVAHILSLTQQPIDIAESTSRQAITERVNNGYWLVLPLLIAALCLFRRGVIFSLLLLFGVSLPNQQAWASAWLNQDQQAMRMFNNEQYAQAAEAFRDPRWQGAARYYAKDYQGAIDAYSQIANPDTATQYNLANAYAQAGELQKAQDLYEHVLKQEPN
VIMSS60326 157 431 0.0356770909090909 PF07690.16:MFS_1:2:212 nitrite extrusion protein 1 431 211 21 125 7 Pseudomonas aeruginosa PAO1 NP_252566.1 0 RefSeq VAFGWRMVPQVYSVAMLVTAVLFWLFTWTDPAHLKGAAEASQRPNLAKQLAPLAELRVWRFGLYYFFVFGGFVALALWLPKYYIAEYGLDLKTASFITMLFTLPSGLIRALGGWFSDHYGARSVNWGVFWVCLVCLFFLSYPQTTMTIHGIQGDLSLGIGLNVWLFTFLVFVVGIAQGFGKASVYRIIHDYYPSNMGTVGGMVGVIGGLGGFCLPILFGYAADHIGVRSSCFMLLFGLTVVCMVWMHYAIKQQRRIDGQAASADVDLPPTLPTHS
VIMSS6578192 207 1147 0.409769713071201 PF13418.6:Kelch_4:36:78,PF13418.6:Kelch_4:86:132,PF01344.25:Kelch_1:36:78,PF01344.25:Kelch_1:86:132,PF13854.6:Kelch_5:34:68,PF13854.6:Kelch_5:84:122,PF13415.6:Kelch_3:47:94,PF13415.6:Kelch_3:99:144,PF13964.6:Kelch_6:36:79,PF07646.15:Kelch_2:36:77,PF07646.15:Kelch_2:86:132 Tip elongation aberrant protein 1; Altered polarity protein 8; Cell polarity protein tea1 1147 111 21 941 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P87061 1 SwissProt LLDYYFNDLVCFDLNNLNTSDSRWELASVVNDPPPARAGHVAFTFSDKLYIFGGTDGANFFNDLWCYHPKQSAWSKVETFGVAPNPRAGHAASVVEGILYVFGGRASDGTFLNDLYAFRLSSKHWYKLSDLPFTPSPRSSHTLSCSGLTLVLIGGKQGKGASDSNVYMLDTSRFRLGSVPTTSGRQRNTSFFSNSTGNTNPSAFNGLLTSSRIPSYNGSKVRSTSHPSRQQYIGSSNSRFNTRHQTISTPVSGRASNDLPSPVVPTRSNSSSILQPSYNLNSHSSDRRNTNDDDQSSLNSQQLSNQAKAQGEVSPTLSFVPSSHSMEQGNGSVASANNAQSEAATRSINSISEVSEVRFPEQSSVKTVDERKSLDGRITSVTLETLVEKYSELSKQQIVEWFKSKLYEILRDSASKIDSLTEKLKVANAEKNAALCEAALEKVPLAKHNKLSDGTFSTPDKENVQSTNDAHIMQENFSLHKALEVMRETSSDLDKQLKDATASQKELIVQTSSFQKELVEERERHNAISKRLQEIESLYRDRELLVTNLEDQLVDQTVTINKFAFERDQFRERSMGFENTIKDLTRKMEATDMLNVSLHESLRSVQTENSELVTEMALLKAELVKKQAIIDANANIYDKLTADHTNYETVSADINQNLKETLDKLLNGSSDFKNNEIELLHDQIRITNAKLEKREKLINASKYIEDTLRSEIQEAAEKVSNLEFSNFNLKEENSNMQLQLMKALEQRNTGAKQLVNLRMQLSTATSELDMLKLKLRTTALALEESPDDYSDILSILRADMSPFHDLHKQCGVLIDTLNGVKRGFGIFEKKFTDYHKFLENISDKLKSEEDTSLETPIHENQSIQSDQIKEVGEVLSAIKSLSDSVMLLKNQIDDLAKEKLPLSSSDDEKVNIKEKTDFMKLLVKSGLSNPPAKEPVHDNEN
VIMSS6581266 1 1226 0.60682862969005 PF00249.31:Myb_DNA-binding:672:714,PF00249.31:Myb_DNA-binding:890:932 Probable DNA-binding protein SNT1; SANT domain-containing protein 1 1226 86 21 1226 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25357 1 SwissProt MGYPPPTRRLGDKKRYHYSNNPNRRHPSAVYSKNSFPKSSNNGFVSSPTADNSTNPSVTPSTASVPLPTAAPGSTFGIEAPRPSRYDPSSVSRPSSSSYSSTRKIGSRYNPDVERSSSTTSSTPESMNTSTITHTNTDIGNSRYSRKTMSRYNPQSTSSTNVTHFPSALSNAPPFYVANGSSRRPRSMDDYSPDVTNKLETNNVSSVNNNSPHSYYSRSNKWRSIGTPSRPPFDNHVGNMTTTSNTNSIHQREPFWKANSTTILKSTHSQSSPSLHTKKFHDANKLDKPEASVKVETPSKDETKAISYHDNNFPPRKSVSKPNAPLEPDNIKVGEEDALGKKEVHKSGREIAKEHPTPVKMKEHDELEARAKKVSKINIDGKQDEIWTTAKTVASAVEVSKESQKELTRSVERKESPEIRDYERAYDPKALKTDVTKLTVDNDNKSYEEPLEKVEGCIFPLPKAETRLWELKNQKRNKIISEQKYLLKKAIRNFSEYPFYAQNKLIHQQATGLILTKIISKIKKEEHLKKINLKHDYFDLQKKYEKECEILTKLSENLRKEEIENKRKEHELMEQKRREEGIETEKEKSLRHPSSSSSSRRRNRADFVDDAEMENVLLQIDPNYKHYQAAATIPPLILDPIRKHSYKFCDVNNLVTDKKLWASRILKDASDNFTDHEHSLFLEGYLIHPKKFGKISHYMGGLRSPEECVLHYYRTKKTVNYKQLLIDKNKKRKMSAAAKRRKRKERSNDEEVEVDESKEESTNTIEKEEKSENNAEENVQPVLVQGSEVKGDPLGTPEKVENMIEQRGEEFAGELENAERVNDLKRAHDEVGEESNKSSVIETNNGVQIMDPKGAVQNGYYPEETKELDFSLENALQRKKHKSAPEHKTSYWSVRESQLFPELLKEFGSQWSLISEKLGTKSTTMVRNYYQRNAARNGWKLLVDETDLKRDGTSSESVQQSQILIQPERPNINAYSNIPPQQRPALGYFVGQPTHGHNTSISSIDGSIRPFGPDFHRDTFSKISAPLTTLPPPRLPSIQFPRSEMAEPTVTDLRNRPLDHIDTLADAASSVTNNQNFSNERNAIDIGRKSTTISNLLNNSDRSMKSSFQSASRHEAQLEDTPSMNNIVVQEIKPNITTPRSSSISALLNPVNGNGQSNPDGRPLLPFQHAISQGTPTFPLPAPRTSPISRAPPKFNFSNDPLAALAAVASAPDAMSSFLSKKENNN
VIMSS6581306 1 1427 0.122485914505957 PF09497.10:Med12:113:175 Mediator of RNA polymerase II transcription subunit 12; Mediator complex subunit 12; Suppressor of RNA polymerase B 8 1427 63 21 1427 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25648 1 SwissProt MNNGSGRYLLTPPDDLHPYVPSSKPQEQVYPDFKPWEHTAAEDQILANFVAKGFYHTPMVNFESISARSSVHESLVTQSNILSQQFDKIIKIREDHINKIPSNSTTTLHGPGFQLPNRITLTDHRKETWLHELSSSHTSLVKIGKFIPHGLKRRQVIEQCYLKFIPLKRAIWLIKCCYFIEWKSNHKKKRSNAAGADDAISMHLLKDWTDTFVYILEKLIFDMTNHYNDSQQLRTWKRQISYFLKLLGNCYSLRLINKEIFHHWLVEFINKMENFEFLPLSLHILMIFWNDICQIDTNAPVAATITSSQKEPFFLVTKITDMLLHKYYIVSSSKSMINDENYIINDIKKNNKIKLNILKILSSLILKIFQEQSLEVFIFPTSNWEIYKPLLFEIVSNADTNQNSDMKKKLELISYRNESLKNNSSIRNVIMSASNANDFQLTIVTCKQFPKLSCIQLNCIDTQFTKLLDDNPTEFDWPTYVDQNPLTMHKIIQLILWSIHPSRQFDHYESNQLVAKLLLLRINSTDEDLHEFQIEDAIWSLVFQLAKNFSAQKRVVSYMMPSLYRLLNILITYGIIKVPTYIRKLISSGLLYLQDSNDKFVHVQLLINLKISPLMKSQYNMVLRNVMEYDVKFYEIFNFDQLVEITEQIKMRILSNDITNLQLSKTPLSIKIMVAEWYLSHLCSGILSSVNRTVLLKIFKIFCIDLEVFHHFFKWIEFIVYHQLLSDIESLEALMDILLCYQKLFSQFINDHILFTKTFIFIYKKVLKEKDVPAYNVTSFMPFWKFFMKNFPFVLKVDNDLRIELQSVYNDEKLKTEKLKNDKSEVLKVYSMINNSNQAVGQTWNFPEVFQVNIRFLLHNSEIIDTNTSKQFQKARNNVMLLIATNLKEYNKFMSIFLKRKDFTNKNLIQLISLKLLTFEVTQNVLGLEYIIRLLPINLENNDGSYGLFLKYHKEQFIKSNFEKILLTCYELEKKYHGNECEINYYEILLKILITYGSSPKLLATSTKIIMLLLNDSVENSSNILEDILYYSTCPSETDLNDIPLGSGQPDNDTVVTNDDKSDDDDHTVDEIDHVEYYVMMDFANLWVFQAFTCFCIKKIMENNEPAMAMEDLKNFIFQIIEITNSNDLCSQIFDQLKDMQTIEMITQIVEKDFCTSCLQNNNQKIDDNYIVVVIEIITSLSMRFQRETSGMIVISMENYHLLIKIIRQLSELNEGNLSKREIQIDAVLKIFSFHQDSIFQRIIADLSADKPTSPFIDSICKLFDKISFNLRLKLFLYEILSSLKSFAIYSSTIDAPAFHTSGKVELPKKLLNLPPFQVSSFVKETKLHSGDYGEEEDADQEESFSLNLGIGIVEIAHENEQKWLIYDKKDHKYVCTFSMEPYHFISNYNTKYTDDMATGSNDTTAFNDSCVNLSLFDARFERKNPH
VIMSS6582075 1 656 0.298415243902439 PF08144.11:CPL:426:570 Pumilio homology domain family member 6 656 145 21 656 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04373 1 SwissProt MAPLTKKTNGKRSAKEVSHSEKKLAKKPRISIDSSDEESELSKKEDAVSSSSDDDDLDDLSTSDSEAEEEADELDISDDSEEHENENEEKEGKDKSEGGENGNHTEQRKLLKERKMQRKSGTQVQQIKSVWERLRVKTPPLPKQIREKLSNEIWELSKDCISDLVLKHDASRIVQTLVKYSSKDRREQIVDALKGKFYVLATSAYGKYLLVKLLHYGSRSSRQTIINELHGSLRKLMRHREGAYVVEDLFVLYATHEQRQQMIKEFWGSEYAVFRETHKDLTIEKVCESSIEKRNIIARNLIGTITASVEKGSTGFQILHAAMREYVKIANEKEISEMIELLHEQFAELVHTPEGSDVACTLVARANAKERKLILKALKNHAEKLIKNEYGNIVFITILNCVDDTVLVFKTFSPTVKEHLQEFIIDKFGRRPWLYILLGLDGKYFSPIVKNELLRYIELSKATSKKDPLQRRHELLSKFAPMFLSTISKDYSSILTENLGCQFIAEVLINDELYAQLNEKDQEKYQQVLNNILTTFKGDITEEEHPIHRAFSTRLLKALIQGGKWNNKEKKVIPLKNVQGLGVPFAEKLYDEIIDSSNLLEWINNADSSFTIVALYETLKDQKEGKPFLKDLRGVQSKITTDESNKGSQLLAKLLK
VIMSS6582189 117 551 0.364926896551724 PF03853.15:YjeF_N:190:382,PF09532.10:FDF:1:85 Enhancer of mRNA-decapping protein 3 551 278 21 435 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39998 1 SwissProt KDVFAQLKQNDDILPENRLQGHNRKQTQLQQNNYQNDELVIPDAKKDSWNKISSRNEQSTHQSQPQQDAQDDLVLEDDEHEYDVDDIDDPKYLPITQSLNITHLIHSATNSPSINDKTKGTVINDKDQVLAKLGQMIISQSRSNSTSLPAANKQTTIRSKNTKQNIPMATPVQLLEMESITSEFFSINSAGLLENFAVNASFFLKQKLGGRARLRLQNSNPEPLVVILASDSNRSGAKALALGRHLCQTGHIRVITLFTCSQNELQDSMVKKQTDIYKKCGGKIVNSVSSLESAMETLNSPVEIVIDAMQGYDCTLSDLAGTSEVIESRIKSMISWCNKQRGSTKVWSLDIPNGFDAGSGMPDIFFSDRIEATGIICSGWPLIAINNLIANLPSLEDAVLIDIGIPQGAYSQRTSLRKFQNCDLFVTDGSLLLDL
VIMSS6583138 1 235 0.0623182978723404 PF00674.18:DUP:76:171 DUP240 protein YHL044W 235 96 21 189 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38727 1 SwissProt MSSELLISNSKPRPEGLRKLCEGETVILPRDITPSKCAYFLKQNIVFISYIFIHIIITIILNRLALSAHGNTLIIILAALLITISLFLLLLLPYLSCSRYKLRCLDDDCKFKLLAEVITHKPNMDLSTWDRIAYDMNQFVYDRRICADRSFFYDGSYCYQVFKKLVATPYLVNSNMNSIYADLEMRSNGATNINDSGNSSLHIELGTYIFKALAVFRNSVDKYWEDKYPEMGVTV
VIMSS6583338 1 239 0.0475803347280335 PF04750.14:Far-17a_AIG1:13:221 UPF0641 membrane protein YHR140W 239 209 21 107 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38842 0 SwissProt MMSCLVPTRFTLTLNTACLLTSTWGFVRATSVVLPPSLSKAGHKQFLTIISIIATIINNAVNISNYYIQRNNKMNLETKKKSDFISRHVTLPVSLVLESIVATVYWPLRLFFVNLIMHGVESTAKTPFPMTVDMAIHLYPILYLLADHYLSGSGTKFKLSNKHAWLIVTSLAFSYFQYLAFLIDAGQGQAYPYPFLDVNEPYKSIIFVVVATITWAYYVFYQKFPPKYIKKSAKKGDKN
VIMSS6583700 1 396 0.191886616161616 PF10287.9:DUF2401:105:338,PF10290.9:DUF2403:27:93 GPI-anchored cell wall protein of unknown function; induced in response to cell wall damaging agents and by mutations in genes involved in cell wall biogenesis; sequence similarity to YBR162C/TOS1, a covalently bound cell wall protein (RefSeq) 396 301 21 396 0 Saccharomyces cerevisiae VIMSS6583700 1 MicrobesOnline MLQSIVLSVCMFMLHTVAASGPQSYQKLDFTNVGFTGSYVDVNKFKDITNNESCTCEVGDRVWFSGKNAPLADYLSVHFRGPLKLKQFAFYTSPGFTVNNSRSSSDWNRLAYYESSSKTADNVTFLNHGGEASPCLGNALSYASSNGTGSASEATVLADGTLISSDQEYIIYSNVSCPKSGYDKGCGVYRSGIPAYYGYGGTTKMFLFEFEMPTETEKNSSSIGYYDLPAIWLLNDHIARTSQYPTNANCSCWASGCGEYDIFEAMNGTEKNHLYSTFHTFQGIEDLGTGIQSYGYITRNTTGTMKGGVVFDSSGNVVSFISDATPFNGTVSADTVNDLLAAIPENETYSSQLMSISATAPSTTSLSNGVRLTNMQNGVWYYILAIFTAFTQVVLI
VIMSS6583957 1 280 0.144318571428571 PF01231.18:IDO:23:273 Indoleamine 2,3-dioxygenase; IDO; Biosynthesis of nicotinic acid protein 2; EC 1.13.11.52 453 251 21 280 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47125 1 SwissProt MNNTSITGPQVLHRTKMRPLPVLEKYCISPHHGFLDDRLPLTRLSSKKYMKWEEIVADLPSLLQEDNKVRSVIDGLDVLDLDETILGDVRELRRAYSILGFMAHAYIWASGTPRDVLPECIARPLLETAHILGVPPLATYSSLVLWNFKVTDECKKTETGCLDLENITTINTFTGTVDESWFYLVSVRFEKIGSACLNHGLQILRAIRSGDKGDANVIDGLEGLAATIERLSKALMEMELKCEPNVFYFKIRPFLAGWTNMSHMGLPQGVRYGAEGQYRI
VIMSS6584662 1 265 0.576577735849056 PF08597.10:eIF3_subunit:1:265 Eukaryotic translation initiation factor 3 subunit J; eIF3j; Eukaryotic translation initiation factor 3 30 kDa subunit; eIF-3 30 kDa 265 265 21 265 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05775 1 SwissProt MSWDDEAINGSMGNDDAVLMDSWDAEIGDDEPVMQSWDAEEEEKKPAPKPKKEQPKKVKKGKESSADRALLDIDTLDEKTRKELIKKAEMESDLNNAADLFAGLGVAEEHPRARALQKEQEEQALKRPAFTKDTPIETHPLFNAETKREYQDLRKALTAAITPMNKKSPLNYSSSLAIDLIRDVAKPMSIESIRQTVATLNVLIKDKEREERQARLARVRGGTATGGAGKKKVKGKTNLGGAFKKDQDFDLDGPDDFEFGDDDFM
VIMSS6584691 1 369 0.130827913279133 F-box protein UCC1; Ubiquitination of citrate synthase in the glyoxylate cycle protein 1 369 0 21 369 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05947 1 SwissProt MNQSDSSLMDLPLEIHLSLLEYVPNELRAVNKYFYVLHNHSYKEKSLAWIAEDNYIWAVVKHSLCLYVKSLDPLRQHAREIIQETKEPGFNVPLCMTKYIADSWYIVYNALQYPGKIINMGWDKYTKSQDSNGSDSTSNFNSRPKERTLMQSLTALPVNFWSRRKDEPTPVNVWFYVKNAHVARYIPKIITEIGICNYGPKQIVASAGYINELITSEGIYCVNLGHLPRLYDEQIFEGTGTTHLPLELKAIDRTDSDVCINGDLVLLGYDFIPYQISKPWLLFRIEPVNSIEAIFNYSECSFSYQFAWSLACLQSEEKISFPRDTIIGHGLPYKPSKLIRIFVYKHPEQKQDLGQEIALPNWNTPYLRR
VIMSS6585057 1 360 0.826046388888889 Serine/threonine-protein phosphatase PP-Z1; EC 3.1.3.16 692 0 21 360 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P26570 1 SwissProt MGNSSSKSSKKDSHSNSSSRNPRPQVSRTETSHSVKSAKSNKSSRSRRSLPSSSTTNTNSNVPDPSTPSKPNLEVNHQRHSSHTNRYHFPSSSHSHSNSQNELLTTPSSSSTKRPSTSRRSSYNTKAAADLPPSMIQMEPKSPILKTNNSSTHVSKHKSSYSSTYYENALTDDDNDDKDNDISHTKRFSRSSNSRPSSIRSGSVSRRKSDVTHEEPNNGSYSSNNQENYLVQALTRSNSHASSLHSRKSSFGSDGNTAYSTPLNSPGLSKLTDHSGEYFTSNSTSSLNHHSSRDIYPSKHISNDDDIENSSQLSNIHASMENVNDKNNNITDSKKDPNEEFNDIMQSSGNKNAPKKFKKP
VIMSS6585307 1 449 0.248753229398664 PF00561.20:Abhydrolase_1:151:392,PF12146.8:Hydrolase_4:150:367 monoacylglycerol lipase (EC 3.1.1.23) 449 243 21 449 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) metacyc::G3O-32893-MONOMER 1 metacyc MRLKELLPNFLIVHQEVPEDPIAFKSTDKRENENKEITIPELIDTKVPELADGATDTLYGLLVNGHLQTAYGSFRHFDNIYKVQYKRMIIKYPHGGEGTVDFAVNGRSTKRRKVEKEYVPTSQPVFNGNLKRRYSYYSPDDPKLNSDDAKPMLIILHGLTGGSRESYVRAIVHEITTKYDFEACVFNARGCCYSAITTPLLYNGGWTNDIRYCVNDLRKRFPNRKFYMMGFSLGASIMTNYLGEESDRTKIECAISVSNPFDLYNSAYFINSTPMGSRFYSPALGHNLLRMVRNHLSTLEENPDFKDVIEKHLKKIRTVRQFDNLLTGPMFGYKNAEEYYKNASSYKRIPGIRTPFIALHAQDDPIVGGDLPIDQIKSNPYTLLLETSTGGHVGWFKDRSGRRWYAEPLCRFLKIFHDEITVKGLKPDLENVQLPDPNCEPIATTFRAN
VIMSS6585459 1 297 0.0750228956228956 PF01027.20:Bax1-I:48:290 Bax inhibitor 1; BH3 domain-containing protein BXI1 297 243 21 152 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48558 1 SwissProt MSGPPPPYEEQSSHLYGQPASSQDGNAFIPEDFKYSTVVISCEPIIRQRFMHKVYSLLSCQLLASLSFCYWASVSTSLQNFIMSHIALFYICMVVSLVSCIWLAVSPRPEDYEASVPEPLLTGSSEEPAQEQRRLPWYVLSSYKQKLTLLSIFTLSEAYCLSLVTLAYDKDTVLSALLITTIVVVGVSLTALSERFENVLNSATSIYYWLNWGLWIMIGMGLTALLFGWNTHSSKFNLLYGWLGAILFTAYLFIDTQLIFRKVYPDEEVRCAMMLYLDIVNLFLSILRILANSNDDN
VIMSS6585697 1 316 0.436887341772152 Vacuolar membrane protein YNL058C 316 0 21 293 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53947 1 SwissProt MVKKNFIPSVSLVRRDLPTLVTTTTSSTALSKPTSSVVSETSSKSLPSLTSSAFSTSSGATSSSSLIVASITPPSTAGNPFILNAADKPNGTVYIAVGAVIGAIFISILIWWLVSSYLSRRFTMTNSYANDSKNLYRGHHKHSSSLQSNPFDINDEKSYMQDDWDSMSQLESSQYEDAASPFNPIQDPFTDNRRSLFISPTLQVSQYEKSHSRHQSKDTNIFIDDPFLYVGTYLEEEEEEEEERKLNLNRPQRAASPERKEKKINSMEGYHKRNQSSLGLIPVASATSNTSSPKKAHKRQAPSMFLDDVLNGREII
VIMSS6585780 1 224 0.247145535714286 PF04588.13:HIG_1_N:124:164 Respiratory supercomplex factor 2, mitochondrial; Altered inheritance of mitochondria protein 38 224 41 21 184 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53721 1 SwissProt MKILTQDEIEAHRSHTLKGGIEGALAGFAISAIIFKVLPRRYPKFKPSTLTWSIKTALWITPPTVLTAICAEEASNNFDATMYGSGSSSEDALDEHRRWKSLSTKDKFVEGLSNNKYKIITGAWAASLYGSWVIVNKDPIMTKAQKIVQARMYAQFITVGLLLASVGLSMYENKLHPNKQKVNEMRRWENALRVAEEEERLEKEGRRTGYVSNEERINSKIFKS
VIMSS6585814 147 515 0.731385907859078 UBP3-associated protein BRE5; Brefeldin-A sensitivity protein 5 515 0 21 369 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53741 1 SwissProt PYVLTDASLSQSNEENSVSAVEEDKIRHESGVEKEKEKEKSPEISKPKAKKETVKDTTAPTESSTQEKPIVDHSQPRAIPVTKESKIHTETVPSSTKGNHKQDEVSTEELGNVTKLNEKSHKAEKKAAPIKTKEGSVEAINAVNNSSLPNGKEVSDEKPVPGGVKEAETEIKPIEPQVSDAKESGNNASTPSSSPEPVANPPKMTWASKLMNENSDRISKNNTTVEYIRPETLPKKPTERKFEMGNRRDNASANSKNKKKPVFSTVNKDGFYPIYIRGTNGLREEKLRSALEKEFGKVMRITAADNFAVVDFETQKSQIDALEKKKKSIDGIEVCLERKTVKKPTSNNPPGIFTNGTRSHRKQPLKRKD
VIMSS6586375 1 406 0.663801231527093 Protein VTS1; VTI1-2 suppressor protein 1 523 0 21 406 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08831 1 SwissProt MKHPYEEFPTGSKSPYNMSRGAHPGAVLLSPQSSAINKNNPGSNSGNNQGNSSVTANVLSPQSHSMSLNDMLDQQSFMLDTAGTRAQPLQQQQQQQQQQQQASLPSLNIQTVSSTAAGSAIVSPMMQSPKALQSTLSSTSMYLDSFQRSPNNILGIPSQSGSIPLPQSRQSQQQSQSQKNDPNMGTNFSQDINQLCSWISMLNSSQQNTVMDNILSILNDDVLKYTKLKIETLTNTPFISPPLPAIASPIPNRDDTQILNIDSVFSSSPITNDPENTDNLLYQNWSPQPHSIPISQPIYDNITDASQRSKSAEPHVNSSPNLIPVQKQFNNGNSTKYKKLPSENPNYLSHSLSSSHSFFQPKKRSNMGNEYNSHHHHSLHHPLHNTTSYFSNTSRPSGTDLNKSNQ
VIMSS6586458 1 210 0.17567380952381 PF00069.25:Pkinase:30:162 Serine/threonine-protein kinase ENV7; Late endosome and vacuole interface protein 7; EC 2.7.11.1 364 133 21 210 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12003 1 SwissProt MISIVLELFQNLCCCRGFSDATIRVNDKRYRIQRLLGEGGMSFVYLVQLSKNSLIIDNGIATPELYALKKIICPSVESISNGMREIENYKRFQSPYVIKSIDSQVMQEKDGSKTIYIVLPYYSLGSLQDSINRRLLEGTFVSEAECVRIMLGVTRGLLCLHDPASRQDNATSRVNVDAVSMTYSDETAMLLEDTPLEMDMLSSNSAGSIA
VIMSS6586667 1 241 0.299485477178423 PF08585.12:RMI1_N:46:214 RecQ-mediated genome instability protein 1 241 169 21 241 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02685 1 SwissProt MSFSSILSQDITDDITPPAYSATLGSREQIVFRAYQNEPWLAGTASNLILDKKLVIVDRELLFQVLMVENITKSKLTQIDDIKTKLDPKKQKVDRLRSGAQGNGAKKYEVITQVDMEDDGNVADNNCAKENNSNNNSSAAKNKAVFKLTLQSKSGDVFFAINSTPISWSSCMLGSKIVILPGTVFNRGVFILKDSQVIFLGGINRVWNENRDQKFCDYLESKLQRDKQLVNGGSKKRKAND
VIMSS6963626 1 317 0.362045110410095 hypothetical protein (RefSeq) 317 0 21 294 1 Akkermansia muciniphila ATCC BAA-835 VIMSS6963626 1 MicrobesOnline MSNWITDNKPAAMVAGVGLLLFLGLSATGYIVNSKRSELDKKISIAAKEIKSANAAEITPSRSSNEELEKELNRYAKAVGSLETAYKPFLASSALVPTTPTAFQNELKTFRDSLISSCKKKNILITDTSSWLGFQVYSTQAPSVQAASTLGFELKAINSLVNKLAECGLSKFIKVYRPQLPIETPANNPEESDEADQAPWTPMPLEIAFQGDRESVLKAMNAITGMQDYLFTVNSIRIRNERMMPPPIANPAAAKPAAAQPATGAASLTPADEAAAPAAPAIQQVIKPYMGKEQVFVQVSLNLVHFNQPKAQEPSED
VIMSS71777 1 250 0.409020800000001 putative capsid morphogenesis protein encoded in CP-933I (NCBI ptt file) 250 0 21 250 0 Escherichia coli O157:H7 EDL933 VIMSS71777 1 MicrobesOnline MTIPEQNNNPIVEISIPVSTQQALEKVNATKSAWLEARRQQKAAADNIATIRQRRAEMEATTNALNEEWRTLFRESQGVVSKEMKKLRTEIALGRETLEDFDELLAAQESENALLPQEAAELAGKYIHAHDTLVGIRAKQIWEDFMQSHGKALIQTLSLLKSTMGREASAVVGVVHSVNDPDTLLKDFIHKHITRPALTNDAMPEQDPVFKLAGVAPDYAARLDFSNKLSPAAMHKMKVRQERAEKEKAV
VIMSS96104 1 357 0.248457422969188 PF02463.19:SMC_N:3:354,PF13175.6:AAA_15:1:48,PF13476.6:AAA_23:5:43,PF13514.6:AAA_27:1:93 DNA repair protein RecF 357 354 21 357 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10828-MONOMER 1 ecocyc MSLTRLLIRDFRNIETADLALSPGFNFLVGANGSGKTSVLEAIYTLGHGRAFRSLQIGRVIRHEQEAFVLHGRLQGEERETAIGLTKDKQGDSKVRIDGTDGHKVAELAHLMPMQLITPEGFTLLNGGPKYRRAFLDWGCFHNEPGFFTAWSNLKRLLKQRNAALRQVTRYEQLRPWDKELIPLAEQISTWRAEYSAGIAADMADTCKQFLPEFSLTFSFQRGWEKETEYAEVLERNFERDRQLTYTAHGPHKADLRIRADGAPVEDTLSRGQLKLLMCALRLAQGEFLTRESGRRCLYLIDDFASELDDERRGLLASRLKATQSQVFVSAISAEHVIDMSDENSKMFTVEKGKITD
WP_000735558.1 1 168 0.302959523809524 hypothetical protein 168 0 21 168 0 Staphylococcus aureus subsp. aureus str. Newman WP_000735558.1 1 RefSeq MKKLLTASIIACSVVMGVGLVNTSAEAASGNSIDTVKQLIKGDQSLENVKIGESIKDVLTKYKNPMYSYNEDGTEHYYEFHTKKGMLLVTTDGKKNNGKVTHISMMYNDANGPTYQAVKNYVGKAVTHTEYSKVAGNFGYIEKGKTTYQFASAPKDKNIKLYRIDLEK
WP_001092000.1 1 312 0.371871153846154 PF05802.11:EspB:45:309 type III secretion system LEE translocon pore-forming subunit EspB 312 265 21 289 1 Escherichia coli O157:H7 str. EDL933 WP_001092000.1 1 RefSeq MNTIDNTQVTMVNSASESTTGASSAVAASALSIDSSLLTDGKVDICKLMLEIQKLLGKMVTLLQDYQQKQLAQSYQIQQAVFESQNKAIEEKKAAATAALVGGIISSALGILGSFAAMNNAAKGAGEIAEKASSASSKAAGAASEVANKALVKATESVADVAEEASSAMQKAMATTTKAASRASGVADDVAKASDFAEDLADAAEKTSRINKLLNSVDKLTNTTAFVAVTSLAEGTKTLPTTISESVKSTHEVNEQRAKSLENFQQGNLELYKQDVRRTQDDITTRLRDITSAVRDLLEVQNRMGQSGRLAG
WP_003879250.1 1 298 0.11046644295302 PF01545.21:Cation_efflux:23:212 cation transporter 298 190 21 164 6 Mycobacterium avium subsp. paratuberculosis Pt145 WP_003879250.1 1 RefSeq MGAGHNHTPAETGDARLIPRMVMAAAILAAFFVVELVTSLLINSIALLADAGHMLTDVVAVFMGLAAVTLARRGSSSPARTYGWHRAEVFTAVANAGLLIGVSVFILYEAIQRLREAPAVPGVPMIAVALAGLAANFVVALLLRSHSSGSLAVKGAYLEVIADTVGSLGVLIAGVVTVTTRWPYADVVVAVLVALWVLPRAISLARDALRILSESSPTHIDVEELRAALGAVDGVTGVHDLHVWTLSPGKDMCTAHLISTGDSARVLRDARAVLSARGLAHATVQIDCPDDTECSDSF
WP_003904825.1 1 512 0.290587109375 PF04185.14:Phosphoesterase:43:423 phospholipase C 512 381 21 512 0 Mycobacterium tuberculosis H37Ra WP_003904825.1 1 RefSeq MSRREFLTKLTGAGAAAFLMDWAAPVIEKAYGAGPCPGHLTDIEHIVLLMQENRSFDHYFGTLSSTNGFNAASPAFQQMGWNPMTQALDPAGVTIPFRLDTTRGPFLDGECVNDPEHQWVGMHLAWNGGANDNWLPAQATTRAGPYVPLTMGYYTRQDIPIHYLLADTFTICDGYHCSLLTGTLPNRLYWLSANIDPAGTDGGPQLVEPGFLPLQQFSWRIMPENLEDAGVSWKVYQNKGLGRFINTPISNNGLVQAFRQAADPRSNLARYGIAPTYPGDFAADVRANRLPKVSWLVPNILQSEHPALPVALGAVSMVTALRILLSNPAVWEKTALIVSYDENGGFFDHVTPPTAPPGTPGEFVTVPNIDAVPGSGGIRGPLGLGFRVPCIVISPYSRGPLMVSDTFDHTSQLKLIRARFGVPVPNMTAWRDGVVGDMTSAFNFATPPNSTRPNLSHPLLGALPKLPQCIPNVVLGTTDGALPSIPYRVPYPQVMPTQETTPVRGTPSGLCS
XP_001347310.1 1 631 0.630171790808241 EMP1-trafficking protein 631 0 21 608 1 Plasmodium falciparum 3D7 XP_001347310.1 1 RefSeq MENIINKKNTNGGNSNIFVLLKYSIYTILLWIVTITYNNYTYNGYNNDGSKQVRCKRLLSEPAVEFDTIFDVFKESFLDQMGCSDQDKDEIKNAMKIYYDNIDMNALSNEIQTNDNFFNEFGNDVNSINKIMKTDITNEQGTSADNNTTENNVSTSQVDEQPEASTSGVERMKERSNLFANNLTEEKNDTGDIKKEENKSKPSQLDGNNHSETSSHQGEKNNENETEKKTDQNETGTKKPSKYTMNLDSPLLKGSSEGETSSKKAQEKSVEPTKKPSKYTMNLDSPLLKGSSGSETSSTKESNDSNGATKKPSKYTMNLDSPLLKGSSGGKTSSNKESNESNEPTKKPSKYTMNLDSPLLKGSSGGETSSNKESNENNEPTKKPSKYTMNLDSPLLKGSSEGETSSNKESNESNEPTKKPSKYTMNLDSPLLKGSSGGETSSNKESNENNEPTKKPSKYTMNLDSPLLKGSSEGETSSNKESNESNEPTKKPSKYTMNLDSPLLKGSSEGETSSNEKQEESNVATKKPSKYTLNLDSPLLKSETKSDVKRGSNKSFSLENIGKLDIGSLLAQNLELLKGFALNFQTLSLFFLVFVGQFYPKHFQKAAIFIGMVNVFLECKRLYGRSQKKLK
XP_001347630.1 1 213 0.500874178403756 merozoite surface protein 6 371 0 21 213 0 Plasmodium falciparum 3D7 XP_001347630.1 1 RefSeq MNKIYNITFLFILLNLYINENNFIRNELINEKNHNLRNGSMYNNDKILSKNEVDTNIESNENSIHESGHKIDGEEVLKANVDDITYKKKNVDDSEIPFSGYDIQATYQFPSTSGGNNVIPLPIKQSGENQYTVTSISGIQKGANGLTGATENITQVVQANSETNKNPTSHSNSTTTSLNNNILGWEFGGGAPQNGAAEDKKTEYLLEQIKIPS
XP_005251193.1 892 1800 0.160477227722773 PF09324.10:DUF1981:329:410 brefeldin A-inhibited guanine nucleotide-exchange protein 1 isoform X3 1800 82 21 909 0 Homo sapiens XP_005251193.1 1 RefSeq IPTKSSKQNVASEKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAFSVGLQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKPRYISGTVRGREGSLTGTKDQAPDEFVGLGLVGGNVDWKQIASIQESIGETSSQSVVVAVDRIFTGSTRLDGNAIVDFVRWLCAVSMDELLSTTHPRMFSLQKIVEISYYNMGRIRLQWSRIWEVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCIAQMVNSQAANIRSGWKNIFSVFHLAASDQDESIVELAFQTTGHIVTLVFEKHFPATIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRHCAKYVSDRPQAFKEYTSDDMNVAPEDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKTYGHTYEKHWWQDLFRIVFRIFDNMKLPEQQTEKAEWMTTTCNHALYAICDVFTQYLEVLSDVLLDDIFAQLYWCVQQDNEQLARSGTNCLENVVILNGEKFTLEIWDKTCNCTLDIFKTTIPHALLTWRPNSGETAPPPPSPVSEKPLDTISQKSVDIHDSIQPRSVDNRPQAPLVSASAVNEEVSKIKSTAKFPEQKLFAALLIKCVVQLELIQTIDNIVFFPATSKKEDAENLAAAQRDAVDFDVRVDTQDQGMYRFLTSQQLFKLLDCLLESHRFAKAFNSNNEQRTALWKAGFKGKSKPNLLKQETSSLACGLRILFRMYMDESRVSAWEEVQQRLLNVCSEALSYFLTLTSESHREAWTNLLLLFLTKVLKISDNRTKRTL
XP_006512689.1 386 801 0.587901201923077 PF06621.12:SIM_C:10:319 single-minded homolog 1 isoform X1 801 310 21 416 0 Mus musculus XP_006512689.1 1 RefSeq SKPTFSYTSSSTPTISDNRKGAKSRLSSSKSKSRTSPYPQYSGFHTERSESDHDSQWGGSPLTDTASPQLLDPERPGSQHELSCAYRQFPDRSSLCYGFALDHSRLVEDRHFHTQACEGGRCEAGRYFLGAPPTGRDPWWGSRAALPLTKASPESREAYENSMPHITSIHRIHGRGHWDEDSVVSSPDPGSASESGDRYRTEQYQNSPHEPSKIETLIRATQQMIKEEENRLQLRKAPPDQLASINGAGKKHSLCFANYQQPPPTGEVCHSSALASTSPCDHIQQREGKMLSPHENDYDNSPTALSRISSPSSDRITKSSLILAKDYLHSDMSPHQTAGDHPAISPNCFGSHRQYFDKHAYTLTGYALEHLYDSETIRNYSLGCNGSHFDVTSHLRMQPDPAQGHKGTSVIITNGS
XP_006520036.1 470 971 0.341838446215139 PF00041.21:fn3:69:134 oncostatin-M-specific receptor subunit beta isoform X1 971 66 21 479 1 Mus musculus XP_006520036.1 1 RefSeq ENEAKPTESEHYCVWAPALSTNLSLDLQPYKIRITANNSMGASPESLMVLSNDSGHEEVKEKTIKGIKDAFNISWEPVSGDTMGYVVDWCAHSQDQRCDLQWKNLGPNTTSTTITSDDFKPGVRYNFRIFERSVEHKARLVEKQRGYTQELAPLVNPKVEIPYSTPNSFVLRWPDYDSDFQAGFIKGYLVYVKSKEMQCNQPWERTLLPDNSVLCKYDINGSETKTLTVENLQPESLYEFFVTPYTSAGPGPNETFTKVTTPDARSHMLLQIILPMTLCVLLSIIVCYWKSQWVKEKCYPDIPNPYKSSILSLIKSKKNPHLIMNVKDCIPDVLEVINKAEGSKTQCVGSGKLHIEDVPTKPPIVPTEKDSSGPVPCIFFENFTYDQSAFDSGSHGLIPGPLKDTAHQLGLLAPPNKFQNVLKNDYMKPLVESPTEETSLIYVSQLASPMCGDKDTLATEPPVPVHGSEYKRQMVVPGSLASPSLKEDNSLTSTVLLGQGEQ
XP_006525534.1 1 1140 0.738710701754385 synaptopodin isoform X1 1140 0 21 1140 0 Mus musculus XP_006525534.1 1 RefSeq MLGAHFPPPPLGASEGRAAPCTFQIPDGSYRCLALEAEESSSEDGLQGEVRLVDLEEEGTSQSRANHGTPPLSRAPAIIQPSSCHREARGGFQRSDRPSHDWDVVQARKVMTASGSSSPVPRVAQKPALGRSTSFTENDLKEAKARSQQIAAQLTTPPSSNSRGVQLFNRRRQRVNEFTLESRGQRSPKLNQEALQTGRPLSPIGHAPGPSVKPTSPSKPGSPKHPSPQSPSRGVAGHIMEGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQSRETQQSSPNPPDTEVPSPAADINQNPSSPNATLTTLASSSHHSQPTADINQNPPAAITPVPQNSSQAQCSPNGTLDSKPGTLCADDGQSPVPAEEVRSSILLIDKVSAPPSAASTFSREATPLSSSGPPAADLMSSSLLIDMQPSTLVAPAEQEVPGHVAVTTPTKVYSEVHLTLAKPASVVNRTARPFGIQSPGTSQIEQSPMMGRRQFGEKAWAPPASSMADRSPQPQRHIMSRSPMVERRLLGQRSPVLERRPLGNFTPPPTYAETLSTAPVASRVRSPPSYSTLYPSSDPKPSHLKGQVAPANKTGILEESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDHGEVGMEEEPFALGAEASNFQQEPIARDRASPAAAEEAVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQSRMEKYVIESSGHAELARCPSPTMSLPSSWKYTTNAPGGFRVASLSPARTPPASLYHGYLPENGVLRPEPTKQQPYQMRPSLYALSPVKEPAKASSRATSSRTPSRTVSPRAASPAKPSSLDLVPNLPRAGLPPSPALPRPSRSSPGLYTAPVQDSLQPTAVSPTYSSDISPVSPSRAWSPRAKQAPRPSFSTRNAGIEAQDRPESLPTSPPWTPAASRPPSSLDGWVSPGPWEPGRGSSMSSPPPLPPPPPMSPSWSERSVSPLRSETEARPPSRQLQALLARNIINAARRKSASPRPAPAETLRPFSPPQGPPPPPARMRSPQPASPARNFRGAAFSPIPRSPLPIGPSSCASPRSPQAAPSRPFPYRRSPTDSDVSLDSEDSGLKSPGILGYNICPRGWNGSLRLKRGSLPTEASCTT
XP_006526757.1 1 827 0.156551753325272 PF01553.21:Acyltransferase:216:355 glycerol-3-phosphate 1-O-acyltransferase (EC 2.3.1.15) 827 140 21 827 0 Mus musculus BRENDA::Q61586 1 BRENDA MEESSVTVGTIDVSYLPSSSEYSLGRCKHTSEDWVDCGFKPTFFRSATLKWKESLMSRKRPFVGRCCYSCTPQSWERFFNPSIPSLGLRNVIYINETHTRHRGWLARRLSYILFVQERDVHKGMFATSVTENVLSSSRVQEAIAEVAAELNPDGSAQQQSKAIQKVKRKARKILQEMVATVSPGMIRLTGWVLLKLFNSFFWNIQIHKGQLEMVKAATETNLPLLFLPVHRSHIDYLLLTFILFCHNIKAPYIASGNNLNIPVFSTLIHKLGGFFIRRRLDETPDGRKDILYRALLHGHVVELLRQQQFLEIFLEGTRSRSGKTSCARAGLLSVVVDTLSSNTIPDILVIPVGISYDRIIEGHYNGEQLGKPKKNESLWSVARGVIRMLRKNYGYVRVDFAQPFSLKEYLEGQSQKPVSAPLSLEQALLPAILPSRPNDVADEHQDLSSNESRNPADEAFRRRLIANLAEHILFTASKSCAIMSTHIVACLLLYRHRQGIHLSTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQLLGNCVTITHTSRKDEFFITPSTTVPSVFELNFYSNGVLHVFIMEAIIACSIYAVLNKRCSGGSAGGLGNLISQEQLVRKAASLCYLLSNEGTISLPCQTFYQVCHETVGKFIQYGILTVAEQDDQEDVSPGLAEQQWDKKLPELNWRSDEEDEDSDFGEEQRDCYLKVSQSKEHQQFITFLQRLLGPLLEAYSSAAIFVHNFSGPVPESEYLQKLHRYLITRTERNVAVYAESATYCLVKNAVKMFKDIGVFKETKQKRVSVLELSSTFLPQCNRQKLLEYILSFVVL
XP_006711500.2 1 422 0.132384597156398 PF01545.21:Cation_efflux:11:244 zinc transporter 10 isoform X1 422 234 21 292 6 Homo sapiens XP_006711500.2 1 RefSeq MGRYSGRSFRLILMCVVSILLFVMELVIAYVGNSLSLASDAFAVLSHFVSMIIGFFGVRASNIKQHKKSTYGFLRADVVGAFGNTIFAVALMFSILVEAIKRYINPQKTEEPILVLSAGIIGLFFNVLNYVIFLDCCYCAAPKPQGDMEAGDSFNTQNEPEDMMKKEKKSEALNIRGVLLHVMGDALGSVVVVITAIIFYVLPLKSEDPCNWQCYIDPSLTVLMVIIILSSAFPLIKETAAILLQMVPKGVNMEELMSKLSAVPGISSVHEVHIWELVSGKIIATLHIKYPKDRGYQDASTKIREIFHHAGIHNVTIQFENVDLKEPLEQKDLLLLCNSPCISKGCAKQLCCPPGALPLAHVNGCAEHNGGPSLDTYGSDGLSRRDAREVAIEVSLDSCLSDHGQSLNKTQEDQCYVNRTHF
XP_010804480.2 1 259 0.346831274131274 PF00363.18:Casein:173:251 beta-casein isoform X1 259 79 21 259 0 Bos taurus XP_010804480.2 1 RefSeq MPLNTIYKQPQNQIIIHSAPPSLLVLYFGKKELRAMKVLILACLVALALARELEELNVPGEIVESLSSSEESITRINKKIEKFQSEEQQQTEDELQDKIHPFAQTQSLVYPFPGPIHNSLPQNIPPLTQTPVVVPPFLQPEVMGVSKVKEAMAPKHKEMPFPKYPVEPFTERQSLTLTDVENLHLPLPLLQSWMHQPHQPLPPTVMFPPQSVLSLSQSKVLPVPQKAVPYPQRDMPIQAFLLYQEPVLGPVRGPFPIIV
XP_011242778.1 1 610 0.137991147540984 PF02106.15:Fanconi_C:23:552 Fanconi anemia group C protein homolog isoform X4 610 530 21 610 0 Mus musculus XP_011242778.1 1 RefSeq MCAQHFLCCLAGCCEGTVLLSEMAQESADLASDCQSWLQKLSAWEQASSEETQKDTCLHLSGFQEFLRQMYEILKEMDSDAILERFPTIGQLLAKACWNPLILAYDESQKIVIWCLCCLMNKEPRTSAESGLNSWIRVWAVLLELQAEFQGLLSHVLSAFRFDMKEVCLFTKSLGYESIDYYPSLLKNMVLSLVSELRESHLNGLSTQSRMAPERMMSLSEVCVPLVTLPDMEPLVEALLTYHGHEPQEVLAPEFFEAVNEAFLSEKIVLPTSSVVSLWFRHLPSLEKATLHLFEKLFSSKIICLRRMECCIRESFLPQAACQPAIFRIVDEMFRFVLLETDGAPEVLAALQVFTSCLVEALKKENKQLTFALRTYFPYGAPCLAAALSQHPEAIPQGHRLQPLLHISQLLREAVEDCTRGSPRNPFESWFLFVHFGGWVDLAVAELLLREEAEPPAGLLWLLVFYYSPQDGSQQREQSMVELKVLINRLLMLLRSGPLSATDLQEAAESPSGDPRPPVCGQLVRRLLLSLLLWTPEGHAIVWEAVTHNTDMDTPSGINHQGRASFWPVFPLGLHMPTPRAGLLSSPPHPGKLPPLAPVSSLSHTVWSLV
XP_011523599.1 1 531 0.277357627118644 PF05600.12:DUF773:30:528 CDK5 regulatory subunit-associated protein 3 isoform X1 531 499 21 531 0 Homo sapiens XP_011523599.1 1 RefSeq MRRQSMTSATRDLHTALDGKATQGGKKDHQHVPIDIQTSKLLDWLVDRRHCSLKWQSLVLTIREKINAAIQDMPESEEIAQLLSGSYIHYFHCLRILDLLKGTEASTKNIFGRYSSQRMKDWQEIIALYEKDNTYLVELSSLLVRNVNYEIPSLKKQIAKCQQLQQEYSRKEEECQAGAAEMREQFYHSCKQYGITGENVRGELLALVKDLPSQLAEIGAAAQQSLGEAIDVYQASVGFVCESPTEQVLPMLRFVQKRGNSTVYEWRTGTEPSVVERPHLEELPEQVAEDAIDWGDFGVEAVSEGTDSGISAEAAGIDWGIFPESDSKDPGGDGIDWGDDAVALQITVLEAGTQAPEGVARGPDALTLLEYTETRNQFLDELMELEIFLAQRAVELSEEADVLSVSQFQLAPAILQGQTKEKMVTMVSVLEDLIGKLTSLQLQHLFMILASPRYVDRVTEFLQQKLKQSQLLALKKELMVQKQQEALEEQAALEPKLDLLLEKTKELQKLIEADISKRYSGRPVNLMGTSL
XP_011528737.1 252 841 0.521117118644068 E3 ubiquitin-protein ligase ZNRF3 isoform X1 841 0 21 590 0 Homo sapiens XP_011528737.1 1 RefSeq VCVETSNLSRGRQQRVTLPVHYPGRVHRTNAIPAYPTRTSMDSHGNPVTLLTMDRHGEQSLYSPQTPAYIRSYPPLHLDHSLAAHRCGLEHRAYSPAHPFRRPKLSGRSFSKAACFSQYETMYQHYYFQGLSYPEQEGQSPPSLAPRGPARAFPPSGSGSLLFPTVVHVAPPSHLESGSTSSFSCYHGHRSVCSGYLADCPGSDSSSSSSSGQCHCSSSDSVVDCTEVSNQGVYGSCSTFRSSLSSDYDPFIYRSRSPCRASEAGGSGSSGRGPALCFEGSPPPEELPAVHSHGAGRGEPWPGPASPSGDQVSTCSLEMNYSSNSSLEHRGPNSSTSEVGLEASPGAAPDLRRTWKGGHELPSCACCCEPQPSPAGPSAGAAGSSTLFLGPHLYEGSGPAGGEPQSGSSQGLYGLHPDHLPRTDGVKYEGLPCCFYEEKQVARGGGGGSGCYTEDYSVSVQYTLTEEPPPGCYPGARDLSQRIPIIPEDVDCDLGLPSDCQGTHSLGSWGGTRGPDTPRPHRGLGATREEERALCCQARALLRPGCPPEEAGAVRANFPSALQDTQESSTTATEAAGPRSHSADSSSPGA
XP_011542723.1 1 368 0.505485597826087 N-acetyltransferase ESCO2; Establishment factor-like protein 2; EFO2; EFO2p; hEFO2; Establishment of cohesion 1 homolog 2; ECO1 homolog 2; EC 2.3.1.- 601 0 21 368 0 Homo sapiens (Human) SwissProt::Q56NI9 1 SwissProt MAALTPRKRKQDSLKCDSLLHFTENLFPSPNKKHCFYQNSDKNEENLHCSQQEHFVLSALKTTEINRLPSANQGSPFKSALSTVSFYNQNKWYLNPLERKLIKESRSTCLKTNDEDKSFPIVTEKMQGKPVCSKKNNKKPQKSLTAKYQPKYRHIKPVSRNSRNSKQNRVIYKPIVEKENNCHSAENNSNAPRVLSQKIKPQVTLQGGAAFFVRKKSSLRKSSLENEPSLGRTQKSKSEVIEDSDVETVSEKKTFATRQVPKCLVLEEKLKIGLLSASSKNKEKLIKDSSDDRVSSKEHKVDKNEAFSSEDSLGENKTISPKSTVYPIFSASSVNSKRSLGEEQFSVGSVNFMKQTNIQKNTNTRDTS
XP_016855706.1 105 220 0.29649224137931 SLAM family member 6 isoform X3 220 0 21 93 1 Homo sapiens XP_016855706.1 1 RefSeq DVKIQYTDTKMILFMVSGICIVFGFIILLLLVLRKRRDSLSLSTQRTQGPESARNLEYVSVSPTNNTVYASVTHSNRETEIWTPRENDTITIYSTINHSKESKPTFSRATALDNVV
XP_016875067.1 1 180 0.0119366666666667 PF10277.9:Frag1:1:167 DNA damage-regulated autophagy modulator protein 1 isoform X2 180 167 21 88 4 Homo sapiens XP_016875067.1 0 RefSeq MINFSAFLGAATMYTRYKIVQKQNQTCYFSTPVFNLVSLVLGLVGCFGMGIVANFQELAVPVVHDGGALLAFVCGVVYTLLQSIISYKSCPQWNSLSTCHIRMVISAVSCAAVIPMIVCASLISITKLEWNPREKDYVYHVVSAICEWTVAFGFIFYFLTFIQDFQSVTLRISTEINGDI
XP_016876140.1 1 423 0.215311820330969 PF00020.18:TNFR_c6:34:72,PF00020.18:TNFR_c6:75:114 Tumor necrosis factor receptor superfamily member 19; TRADE; Toxicity and JNK inducer 423 79 21 400 1 Homo sapiens (Human) SwissProt::Q9NS68 1 SwissProt MALKVLLEQEKTFFTLLVLLGYLSCKVTCESGDCRQQEFRDRSGNCVPCNQCGPGMELSKECGFGYGEDAQCVTCRLHRFKEDWGFQKCKPCLDCAVVNRFQKANCSATSDAICGDCLPGFYRKTKLVGFQDMECVPCGDPPPPYEPHCASKVNLVKIASTASSPRDTALAAVICSALATVLLALLILCVIYCKRQFMEKKPSWSLRSQDIQYNGSELSCFDRPQLHEYAHRACCQCRRDSVQTCGPVRLLPSMCCEEACSPNPATLGCGVHSAASLQARNAGPAGEMVPTFFGSLTQSICGEFSDAWPLMQNPMGGDNISFCDSYPELTGEDIHSLNPELESSTSLDSNSSQDLVGGAVPVQSHSENFTAATDLSRYNNTLVESASTQDALTMRSQLDQESGAVIHPATQTSLQVRQRLGSL
XP_016877524.1 321 1200 0.597532727272726 synemin isoform X1 1200 0 21 880 0 Homo sapiens XP_016877524.1 1 RefSeq PEIVIWAEHVENMPSEFRNKSYHYTDSLLQRENERNLFSRQKAPLASFNHSSALYSNLSGHRGSQTGTSIGGDARRGFLGSGYSSSATTQQENSYGKAVSSQTNVRTFSPTYGLLRNTEAQVKTFPDRPKAGDTREVPVYIGEDSTIARESYRDRRDKVAAGASESTRSNERTVILGKKTEVKATREQERNRPETIRTKPEEKMFDSKEKASEERNLRWEELTKLDKEARQRESQQMKEKAKEKDSPKEKSVREREVPISLEVSQDRRAEVSPKGLQTPVKDAGGGTGREAEARELRFRLGTSDATGSLQGDSMTETVAENIVTSILKQFTQSPETEASADSFPDTKVTYVDRKELPGERKTKTEIVVESKLTEDVDVSDEAGLDYLLSKDIKEVGLKGKSAEQMIGDIINLGLKGREGRAKVVNVEIVEEPVSYVSGEKPEEFSVPFKVEEVEDVSPGPWGLVKEEEGYGESDVTFSVNQHRRTKQPQENTTHVEEVTEAGDSEGEQSYFVSTPDEHPGGHDRDDGSVYGQIHIEEESTIRYSWQDEIVQGTRRRTQKDGAVGEKVVKPLDVPAPSLEGDLGSTHWKEQARSGEFHAEPTVIEKEIKIPHEFHTSMKGISSKEPRQQLVEVIGQLEETLPERMREELSALTREGQGGPGSVSVDVKKVQGAGGSSVTLVAEVNVSQTVDADRLDLEELSKDEASEMEKAVESVVRESLSRQRSPAPGSPDEEGGAEAPAAGIRFRRWATRELYIPSGESEVAGGASHSSGQRTPQGPVSATVEVSSPTGFAQSQVLEDVSQAARHIKLGPSEVWRTERMSYEGPTAEVVEFWKLGSLKVPTVSFPGEGSLPGLQTAVFSLCPHMVEREIISLVSLLIKH
XP_016878417.1 150 376 0.526600440528635 PF00018.28:SH3_1:98:139,PF14604.6:SH3_9:98:140 NADPH oxidase organizer 1; NADPH oxidase regulatory protein; Nox organizer 1; Nox-organizing protein 1; SH3 and PX domain-containing protein 5 376 43 21 227 0 Homo sapiens (Human) SwissProt::Q8NFA2 1 SwissProt PLSRAAGRLSIHSLEAQSLRCLQPFCTQDTRDRPFQAQAQESLDVLLRHPSGWWLVENEDRQTAWFPAPYLEEAAPGQGREGGPSLGSSGPQFCASRAYESSRADELSVPAGARVRVLETSDRGWWLCRYGDRAGLLPAVLLRPEGLGALLSGTGFRGGDDPAGEARGFPEPSQATAPPPTVPTRPSPGAIQSRCCTVTRRALERRPRRQGRPRGCVDSVPHPTTEQ
XP_016878982.1 1 352 0.101703693181818 PF00566.18:RabGAP-TBC:49:253 TBC1 domain family member 24 559 205 21 352 0 Homo sapiens (Human) SwissProt::Q9ULP9 1 SwissProt MDSPGYNCFVDKDKMDAAIQDLGPKELSCTELQELKQLARQGYWAQSHALRGKVYQRLIRDIPCRTVTPDASVYSDIVGKIVGKHSSSCLPLPEFVDNTQVPSYCLNARGEGAVRKILLCLANQFPDISFCPALPAVVALLLHYSIDEAECFEKACRILACNDPGRRLIDQSFLAFESSCMTFGDLVNKYCQAAHKLMVAVSEDVLQVYADWQRWLFGELPLCYFARVFDVFLVEGYKVLYRVALAILKFFHKVRAGQPLESDSVKQDIRTFVRDIAKTVSPEKLLEKAFAIRLFSRKEIQLLQMANEKALKQKGITVKQKSVSLSKRQFVHLAVHAENFRSEIVSVREMRD
XP_016880152.1 91 986 0.482639508928571 PF00643.24:zf-B_box:2:42,PF00917.26:MATH:194:310 E3 ubiquitin-protein ligase TRIM37 isoform X4 986 158 21 896 0 Homo sapiens XP_016880152.1 1 RefSeq EKDKCENHHEKLSVFCWTCKKCICHQCALWGGMHGGHTFKPLAEIYEQHVTKVNEEVAKLRRRLMELISLVQEVERNVEAVRNAKDERVREIRNAVEMMIARLDTQLKNKLITLMGQKTSLTQETELLESLLQEVEHQLRSCSKSELISKSSEILMMFQQVHRKPMASFVTTPVPPDFTSELVPSYDSATFVLENFSTLRQRADPVYSPPLQVSGLCWRLKVYPDGNGVVRGYYLSVFLELSAGLPETSKYEYRVEMVHQSCNDPTKNIIREFASDFEVGECWGYNRFFRLDLLANEGYLNPQNDTVILRFQVRSPTFFQKSRDQHWYITQLEAAQTSYIQQINNLKERLTIELSRTQKSRDLSPPDNHLSPQNDDALETRAKKSACSDMLLEGGPTTASVREAKEDEEDEEKIQNEDYHHELSDGDLDLDLVYEDEVNQLDGSSSSASSTATSNTEENDIDEETMSGENDVEYNNMELEEGELMEDAAAAGPAGSSHGYVGSSSRISRRTHLCSAATSSLLDIDPLILIHLLDLKDRSSIENLWGLQPRPPASLLQPTASYSRKDKDQRKQQAMWRVPSDLKMLKRLKTQMAEVRCMKTDVKNTLSEIKSSSAASGDMQTSLFSADQAALAACGTENSGRLQDLGMELLAKSSVANCYIRNSTNKKSNSPKPARSSVAGSLSLRRAVDPGENSRSKGDCQTLSEGSPGSSQSGSRHSSPRALIHGSIGDILPKTEDRQCKALDSDAVVVAVFSGLPAVEKRRKMVTLGANAKGGHLEGLQMTDLENNSETGELQPVLPEGASAAPEEGMSSDSDIECDTENEEQEEHTSVGGFHDSFMVMTQPPDEDTHSSFPDGEQIGPEDLSFNTDENSGRPLFYSPYPDLVSKSLLREMVLV
XP_016880805.1 1 140 0.326557857142857 T-cell antigen CD7 isoform X1 140 0 21 117 1 Homo sapiens XP_016880805.1 1 RefSeq MHRLQLSDTGTYTCQAITEVNVYGSGTLVLVTEEQSQGWHRCSDAPPRASALPAPPTGSALPDPQTASALPDPPAASALPAALAVISFLLGLGLGVACVLARTQIKKLCSWRDKNSAACVVYEDMSHSRCNTLSSPNQYQ
XP_022712080.1 367 1954 0.175931108312342 PF07422.13:s48_45:216:313,PF07422.13:s48_45:562:667,PF07422.13:s48_45:905:1014,PF07422.13:s48_45:1273:1403 6-cysteine protein 2287 445 21 1588 0 Plasmodium berghei ANKA XP_022712080.1 1 RefSeq IYLEVEYHYSKCINLDRKNYKLRFYFLSQDFADYELKFSCNIINIHSQKKKTVIFGDGMAKSDYNLKIIDDDSKTIKYFNDIPYQICNFDYNLSKQSEVQICEKTINEFSLFIYNCEQIKDKRIVYGKEPTNTIKYLNNVFPINKFTDLFFNTKDIDIPEINDQFKGFKFFMTSFINHGSYPLTIECGVTNGGTSYKRAIILLHVRTDLKDRPVSFCDFRKGELYNYLNAYTEGDVCIIISKSNTSFGFRCPVNTKKMPKNCFTQVYEKGYLNDANKINTKNVINYSFENPEYALAGFNYTLTKSYQFECHCVDKETEQIVKTVLVKYVNEDEIYDYNDFPMVNHKPIIAHPNKTHLCDFMSSSNILSPKTEDPVNYVCNVFPKPLEYVALHCPTNIVDVENENDISRLSKEMHKEEIKAELRIKLRKRNIYSMSYHTPKNAPSYVIDKNMQSVSSITDVIPGIIVLDQVSEKISKMEKNENGIYDASITPDKNDEANILYKKYIGKDNNISNGFFIFQLPPYIETNETIEFLCINSSTKKNLNIGNNGIMTVHIRKDGTKIDGCYFYKNKPQYNFLKESIKMGSNKECKLKSDGDLEYFGILCSTQNNFFLSPNNCFSQMYTESNELINIKNVDPDFDVFSDNKGLSYLKISQKYLGYSKLICYCNDKNKVSSIDGTPMIQQNKIVVEFNVSSKNAFGVQKTNYTKISDFLVGYEFSNKKATPIFRKKHVCDFTKKSNSLEPVNEIDTIHSCYIHLEHNLNMVQVKCPKIIKSDDIFDGNTIIDTKVTSRSSQQNNFYLENTDVEPEEIEKYKNIEYIPENDEVMHLDKKEKLDDILPGVIILDKNKMFKEKGHFTFVTPLIVEKVLILKIYCDNTKTIINNMKGKKGITVIRISQNTTKNKFYGCDFSGNSKKTFYYSNVYDLEKKNEFCEIELKENIVVSLNCPTGKINPKNCFRNVYIKSNMNEQTTENIENIFNEIKVIDADYFINNSSTFLMISKITKKEFDFYCTCEDYKTKNIGTIYIKNYEYLDSKPKYKNKQISYIDVVPYYLNDTYVCDFTESHYSILQRKNINIDEILKKYLGMIKTYEDEEYKHYNLNLKLKKEIMKKKYIEYLKQKIKEFEESPFNITKYDNQIVSFRCNIDLNPFDKFVIKCPPKKSGNTYVKGQEYSDSISTVIEGVQFENFTYRSNLGLNENKMLEKLNKVLFGSLLINKNTNSSFFEQGTLELIISPYSESSKNIVFSCEYLEKDESKGIIGTASIFIKKNDNKILGCHFIDKSFDVNSLEETNEHLTSMAYKNNSFVFEINLIEGKSVYCDIEAIENDVVGLSCPYNFITTPENCFEYVQIEGTDKELETHKLDNLLYGVKIFKNEMYKHNYTPTYIILPKRINKSLKIFCACNSITSIQVGIIQINIIGNDLNNWFKKEVIHNIYAYQRQHYFYDFSSGALSITSENENPISILSDKTHDSNNESDVDANEIPQLNRLRLNSQEDSASTEKYKSNDPIDQEKYNDDNILNPLRTKKVYEVTINASEFSTIKIVCPLRNYEQFKQSKISPENFFEYVLVKNKEIDAEKNISLLNLEHID 1
XP_710873.2 94 843 0.6586384 PF00172.18:Zn_clus:674:708 Transcriptional regulatory protein UME6 843 35 21 750 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59MD2 1 SwissProt YFQTPIDQLFQFDNNPNSSFNPTRPPNIHTNQPYVSPYLHSAPLTNHSDLQPQPPPASLSDSELGLGLVKQQQDSLPPQQQAQSQPTPLLNQQHPFYNEYINDMPSTQHPYLIFNNTNAAHSTTSLPNLDAANPSSILPQSALNQSEYYKVTNGSMSNDPQPSTFLYNLHNHSADLVMNNDLEFSNASFDSIGGVSVSAAATGAGAGAGDYSHTNITSNFAQTNLHSSSQQSGVLPPPPSLPPPPTSLPSQQSQNQYLDVVPPQQLTNNALKTEANSPLITDSANFTTSQPQFENTILEQSPIFSNNTILSGIDEPSKEKSPNMLNSTLPLSKSTPHHIYQPSSSSSSHVRHNKITKKSSLSRLNTSSKKNLRANLVHSLSTPTELDDANNNNNDIVTPPKTLSPLLRKKTSFINVKKENDIDDSHDINCKNFSIDIDDMDDEDVLSDFSQPPPPIGGVSNDTMRSLAPVGGVGLGSSGNITGYRSLSNASSTSSHGSHHGLPVMNIFRHNPDSTKPSTPSPILSTASSSSTLSNKKVVKKLRGRKSKTKMNKSSIKTEQVVNEEPQVKNYKDDNDNDNDNGHGHDHDDENGGVSSDVGTGSNSTPSTTTKGKKMKLNVDLNNGGGSGTGSGSCSDENTSGNGTNTNTDSTSTSNPMVKKKHTRRRLLPRSKKGCWICRIKHLKCDEVTPICGGCAKFGLQCDYSSEKPAYVTDKILRQKKLTEVSLIRKRNQAKTKISRKKSSNDITND
XP_715420.2 1 299 0.221479933110368 PF13933.6:HRXXH:15:241,PF14521.6:Aspzincin_M35:78:223 pH-regulated antigen PRA1; 58 kDa fibrinogen-binding mannoprotein 299 227 21 299 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::P87020 1 SwissProt MNYLLFCLFFAFSVAAPVTVTRFVDASPTGYDWRADWVKGFPIDSSCNATQYNQLSTGLQEAQLLAEHARDHTLRFGSKSPFFRKYFGNETASAEVVGHFDNVVGADKSSILFLCDDLDDKCKNDGWAGYWRGSNHSDQTIICDLSFVTRRYLTQLCSSGYTVSKSKTNIFWAGDLLHRFWHLKSIGQLVIEHYADTYEEVLELAQENSTYAVRNSNSLIYYALDVYAYDVTIPGEGCNGDGTSYKKSDFSSFEDSDSGSDSGASSTASSSHQHTDSNPSATTDANSHCHTHADGEVHC
XP_748660.1 1 462 0.304602813852814 PF13523.6:Acetyltransf_8:277:420 N(5)-hydroxyornithine:cis-anhydromevalonyl coenzyme A-N(5)-transacylase sidF; Hydroxyornithine transacylase sidF; Siderophore biosynthesis protein F; EC 2.3.1.- 462 144 21 462 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WF55 1 SwissProt MATQSSTELPQINMTTAEPTSANKRTYPWVKLPHPYLTSYAIHVVSESTPRVLQLRLHDDQKGQALPEPLHSASLTYTDIAFDEAAQEIPDNDNSPWARSRRAPGTSFHWTGQEPPTLGQIWNVIHALFLTYPQHEIVRLDLNGSGKDIIREECLRTGLAVPFPSPRVPFGTENRPSETDTLILLRSAFWQGAGSPVGPRPIWAVDHGIHGLLRRSGSSYPPLAQNYEFSMKFPSERIYTRHPIRPQKPAPGSLVYSRYIPHLDQHFSLMVVDWQNEEHLQLFHKWQNDPRVAKGWNETGDLEHHRNYLRQLHEDKHVLCLFGRFDDFPFSYFEVYWAKEDHYGAHYDAGDYDRGRHSLVGESSVRGAYRVNAWWSSLIHYIFLDEPRTMCVVGEPKATNTTVLSYENAHGLTVQKYVDLGHKRSVHVYCSREKWFQLCPLFWDGRERPLESSDRMAWDAKL
NP_001012745.1 1 246 0.12920162601626 PF01553.21:Acyltransferase:78:164 1-acyl-sn-glycerol-3-phosphate acyltransferase beta isoform b precursor 246 87 20 186 3 Homo sapiens NP_001012745.1 1 RefSeq MELWPCLAAALLLLLLLVQLSRAAEFYAKVALYCALCFTVSAVASLVCLLRHGGRTVENMSIIGWFVRSFKYFYGLRFEVRDPRRLQEARPCVIVSNHQSILDMMGLMEVLPERCVQIAKRELLFLGPVGLIMYLGGVFFINRQRSSTAMTVMADLGERMVRENVPIVPVVYSSFSSFYNTKKKFFTSGTVTVQVLEAIPTSGLTAADVPALVDTCHRAMRTTFLHISKTPQENGATAGSGVQPAQ
NP_001028866.1 400 1133 0.221226566757493 sterol regulatory element-binding protein 2 1133 0 20 734 0 Rattus norvegicus NP_001028866.1 1 RefSeq DLGSLVDSDVDLKIDDFNQNVLLMSPPASDSGSQAGFSPYSIDSEPGSPLLDDAKVKDEPDSPPVALGMVDRSRILLCVLTFLGLSFNPLTSLLQWGGAHNPDQHPYSGSGRNVLSLESGSGGWFDWMMPTLLLWLLNGVIVLSVFVKLLVHGEPVIRPHSRSSVTFWRHRKQADLDLAKGDFAAAAANLQTCLSVLGRALPTSRLDLACSLSWNVIRYSLQKLRLVRWLLKKVFQRWRATPATAAGFEDEAKSSARDAALAYHRLHQLHITGKLPAGSACSDVHMALCAVNLAECAEEKIPPSTLVEIHLTAAMGLKTRCGGKLGFLASYFLNRAQSLCGPEHSAVPDSLRWLCHPLGQKFFMERSWSIKSAAKDSLYCAQRNPADPIAQVHQAFCKHLLERAVEALVKPQAKKKAGDREEESCEFSSALEFLKLLHSFVDSVGFVASPFSSSSVLRSALGPDVVCRWWTSAITVAISWLQGDDAAVRSHFTEVERVPKALEVTESPLVKAVFYACRAMHASLSGKADGQQNSFCHCERASGHLWSSLNVSGTTSDPSLNHVVQLLTCDLLLSLRTTLWQKQASASQLLGETYHASGTELAGFQRDLGSLRRLAHSFRPAYRKVFLHEATVRLMAGASPTRTHQLLEHSLRRRTTQNTKHGEVDTWPGQRERATAILLACRHLPLSFLSSPGQRAVLLAEAARTLEKVGDRRSCSDCQQMIVKLGGGTAIAAS
NP_001030022.1 1 497 0.400013480885312 Reticulophagy regulator 1; Reticulophagy receptor 1 497 0 20 451 2 Homo sapiens (Human) SwissProt::Q9H6L5 1 SwissProt MASPAPPEHAEEGCPAPAAEEQAPPSPPPPQASPAERQQQEEEAQEAGAAEGAGLQVEEAAGRAAAAVTWLLGEPVLWLGCRADELLSWKRPLRSLLGFVAANLLFWFLALTPWRVYHLISVMILGRVIMQIIKDMVLSRTRGAQLWRSLSESWEVINSKPDERPRLSHCIAESWMNFSIFLQEMSLFKQQSPGKFCLLVCSVCTFFTILGSYIPGVILSYLLLLCAFLCPLFKCNDIGQKIYSKIKSVLLKLDFGIGEYINQKKRERSEADKEKSHKDDSELDFSALCPKISLTVAAKELSVSDTDVSEVSWTDNGTFNLSEGYTPQTDTSDDLDRPSEEVFSRDLSDFPSLENGMGTNDEDELSLGLPTELKRKKEQLDSGHRPSKETQSAAGLTLPLNSDQTFHLMSNLAGDVITAAVTAAIKDQLEGVQQALSQAAPIPEEDTDTEEGDDFELLDQSELDQIESELGLTQDQEAEAQQNKKSSGFLSNLLGGH
NP_001035703.1 1 107 0.297361682242991 transmembrane protein 70, mitochondrial isoform b 107 0 20 107 0 Homo sapiens NP_001035703.1 1 RefSeq MLFLALGSPWAVELPLCGRRTALCAAAALRGPRASVSRASSSSGPSGPVAGWSTGPSGAARLLRRPGRAQIPVYWEGYVRFLNTPSDKSEDGRLIYTGNMARAVFGK
NP_001070952.1 1 487 0.0756451745379877 PF01490.18:Aa_trans:70:471 Sodium-coupled neutral amino acid transporter 1; Amino acid transporter A1; N-system amino acid transporter 2; Solute carrier family 38 member 1; System A amino acid transporter 1; System N amino acid transporter 1 487 402 20 238 11 Homo sapiens (Human) SwissProt::Q9H2H9 1 SwissProt MMHFKSGLELTELQNMTVPEDDNISNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEKKKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLVLLTSVTLLSIYSINLLLICSKETGCMVYEKLGEQVFGTTGKFVIFGATSLQNTGAMLSYLFIVKNELPSAIKFLMGKEETFSAWYVDGRVLVVIVTFGIILPLCLLKNLGYLGYTSGFSLSCMVFFLIVVIYKKFQIPCIVPELNSTISANSTNADTCTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDRSQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYDNVQSDLLHKYQSKDDILILTVRLAVIVAVILTVPVLFFTVRSSLFELAKKTKFNLCRHTVVTCILLVVINLLVIFIPSMKDIFGVVGVTSANMLIFILPSSLYLKITDQDGDKGTQRIWAALFLGLGVLFSLVSIPLVIYDWACSSSSDEGH
NP_001071132.1 1 888 0.232203265765766 up-regulator of cell proliferation isoform 2 888 0 20 888 0 Homo sapiens NP_001071132.1 1 RefSeq MEGDDCEFRYGDGTNEAQDNDFPTVERSRLQEMLSLLGLETYQVQKLSLQDSLQISFDSMKNWAPQVPKDLPWNFLRKLQALNADARNTTMVLDVLPDARPVEKESQMEEEIIYWDPADDLAADIYSFSELPTPDTPVNPLDLLCALLLSSDSFLQQEIALKMALCQFALPLVLPDSENHYHTFLLWAMRGIVRTWWSQPPRGMGSFREDSVVLSRAPAFAFVRMDVSSNSKSQLLNAVLSPGHRQWDCFWHRDLNLGTNAREISDGLVEISWFFPSGREDLDIFPEPVAFLNLRGDIGSHWLQFKLLTEISSAVFILTDNISKKEYKLLYSMKESTTKYYFILSPYRGKRNTNLRFLNKLIPVLKIDHSHVLVKVSSTDSDSFVKRIRAIVGNVLRAPCRRVSVEDMAHAARKLGLKVDEDCEECQKAKDRMERITRKIKDSDAYRRDELRLQGDPWRKAAQVEKEFCQLQWAVDPPEKHRAELRRRLLELRMQQNGHDPSSGVQEFISGISSPSLSEKQYFLRWMEWGLARVAQPRLRQPPETLLTLRPKHGGTTDVGEPLWPEPLGVEHFLREMGQFYEAESCLVEAGRLPAGQRRFAHFPGLASELLLTGLPLELIDGSTLSMPVRWVTGLLKELHVRLERRSRLVVLSTVGVPGTGKSTLLNTMFGLRFATGKSCGPRGAFMQLITVAEGFSQDLGCDHILVIDSGGLIGGALTSAGDRFELEASLATLLMGLSNVTVISLAETKDIPAAILHAFLRLEKTGHMPNYQFVYQNLHDVSVPGPRPRDKRQLLDPPGDLSRAAAQMEKQGDGFRALAGLAFCDPEKQHIWHIPGLWHGAPPMAAVSLAYSEAIFELKRCLLENIRNGLSNQNKNIQQLIELVRRL
NP_001106773.1 400 1146 0.313484203480589 sterol regulatory element-binding protein 1 1146 0 20 747 0 Bos taurus NP_001106773.1 1 RefSeq VSACGSGGSTDVPMEGMKPEVVDTLSPPPSDAGSPSQSSPLSLGSRGSSSGGSGSDSEPDSPVFEDGQVNPEPLPAPHSQGMLDRSRLALCALVFLCLSCNPLASLLGSRGPAGPSDTTSINHGPRRSMLGAEGRDGPGWAPWLLPPLVWLMNGLLVLFSLALLFVYGEPVTRPHSCPAVHFWRHRKQADLDLARGDFAQAAQQLWLALRALGRPLPTSHLDLACSLLWSLIRHLLQRLWVGRWLAGWAGGLRRDRALQADARTSARDAALVYHKLHQLHTMGKYSGGHLAAANLALSALNLAECAGDAVSVATLAEIYVAAALRVKASLPRALHFLTRFFLSSARQACLAQSGSVPLAMQWLCHPVGHRFFVDGNWALCSAPRDSLYSVAGNPVDPLAQVTQLFREHLLERALNCVAQPSPSPGSAEGDKEFSDALGYLQLLNSCSDVAGALTCSFSISSSMAATPGTDPVAKWWASLTAVVTHWLRRDEEAAERLYPLVEHLPRALQESEKPLPRAALHSFKAARTILGRGKAESGPASLAMCEKASGYLQDSLATTPADSSIDKALQLLLCDLLLVARTSLWQRQKLPAPTQASQGPGGGAQASALELRGFQRDLSGLRRLAQNVRPAMRRVFLHEATARLMAGASPARTHQLLDRSLRRRVGPCKGGAVAAELESRPTRREQAEALLLASCYLPPGFLSAPGQRVGMLAEAARTLEKLGDRRLLHDCQQMLMRLGGGTTVTSS
NP_001135747.1 1 254 0.0301783464566929 PF05653.14:Mg_trans_NIPA:1:238 magnesium transporter NIPA1 isoform 2 254 238 20 96 7 Homo sapiens NP_001135747.1 0 RefSeq MAVGQIGNFLAYTAVPTVLVTPLGALGVPFGSILASYLLKEKLNILGKLGCLLSCAGSVVLIIHSPKSESVTTQAELEEKLTNPVFVGYLCIVLLMLLLLIFWIAPAHGPTNIMVYISICSLLGSFTVPSTKGIGLAAQDILHNNPSSQRALCLCLVLLAVLGCSIIVQFRYINKALECFDSSVFGAIYYVVFTTLVLLASAILFREWSNVGLVDFLGMACGFTTVSVGIVLIQVFKEFNFNLGEMNKSNMKTD
NP_001136060.1 121 354 0.550461538461539 nuclear transcription factor Y subunit gamma isoform 1 354 0 20 234 0 Homo sapiens NP_001136060.1 1 RefSeq DELKPPKRQEEVRQSVTPAEPVQYYFTLAQQPTAVQVQGQQQGQQTTSSTTTIQPGQIIIAQPQQGQTTPVTMQVGEGQQVQIVQAQPQGQAQQAQSGTGQTMQVMQQIITNTGEIQQIPVQLNAGQLQYIRLAQPVSGTQVVQGQIQTLATNAQQGQRNASQGKPRRCLKETLQITQTEVQQGQQQFSQFTDGQQLYQIQQVTMPAGQDLAQPMFIQSANQPSDGQAPQVTGD
NP_001139257.1 95 368 0.818535766423357 myocyte-specific enhancer factor 2B isoform 1 368 0 20 274 0 Homo sapiens NP_001139257.1 1 RefSeq LDGPELEPDEGPEEPGEKFRRLAGEGGDPALPRPRLYPAAPAMPSPDVVYGALPPPGCDPSGLGEALPAQSRPSPFRPAAPKAGPPGLVHPLFSPSHLTSKTPPPLYLPTEGRRSDLPGGLAGPRGGLNTSRSLYSGLQNPCSTATPGPPLGSFPFLPGGPPEYGLGDPPPPPGLLQPPTLAPWQPSRGDGPPAVSSQPSGGRSLGEEGPPTRGASPPTPPVSIKSERLSPAPGGPGDFPKTFPYPLLLARSLAEPLRPGPALRRLPLADGWPR
NP_001174.2 1 470 0.12114170212766 PF05827.12:ATP-synt_S1:322:468 V-type proton ATPase subunit S1; V-ATPase subunit S1; Protein XAP-3; V-ATPase Ac45 subunit; V-ATPase S1 accessory protein; Vacuolar proton pump subunit S1 470 147 20 424 2 Homo sapiens (Human) SwissProt::Q15904 1 SwissProt MMAAMATARVRMGPRCAQALWRMPWLPVFLSLAAAAAAAAAEQQVPLVLWSSDRDLWAPAADTHEGHITSDLQLSTYLDPALELGPRNVLLFLQDKLSIEDFTAYGGVFGNKQDSAFSNLENALDLAPSSLVLPAVDWYAVSTLTTYLQEKLGASPLHVDLATLRELKLNASLPALLLIRLPYTASSGLMAPREVLTGNDEVIGQVLSTLKSEDVPYTAALTAVRPSRVARDVAVVAGGLGRQLLQKQPVSPVIHPPVSYNDTAPRILFWAQNFSVAYKDQWEDLTPLTFGVQELNLTGSFWNDSFARLSLTYERLFGTTVTFKFILANRLYPVSARHWFTMERLEVHSNGSVAYFNASQVTGPSIYSFHCEYVSSLSKKGSLLVARTQPSPWQMMLQDFQIQAFNVMGEQFSYASDCASFFSPGIWMGLLTSLFMLFIFTYGLHMILSLKTMDRFDDHKGPTISLTQIV
NP_001192004.1 1 212 0.435727358490566 PF01160.18:Opiods_neuropep:21:65 prepronociceptin isoform 2 preproprotein 212 45 20 212 0 Mus musculus NP_001192004.1 1 RefSeq MKILFCDVLLLSLLSSVFSSCPRDCLTCQEKLHPAPDSFNLKTCILQCEEKVFPRPLWTVCTKVMASGSGQLSPADPELVSAALYQPKASEMQHLKRMPRVRSLVQVRDAEPGADAEPGADAEPGADDAEEVEQKQLQKRFGGFTGARKSARKLANQKRFSEFMRQYLVLSMQSSQRRRTLHQNGIQVIPRTACVHSKTCRPGVRIPPSPRH
NP_001276334.1 1 1030 0.126184077669903 PF12460.8:MMS19_C:541:962,PF14500.6:MMS19_N:50:311 MMS19 nucleotide excision repair protein homolog; hMMS19; MET18 homolog; MMS19-like protein 1030 684 20 1030 0 Homo sapiens (Human) SwissProt::Q96T76 1 SwissProt MAAAAAVEAAAPMGALWGLVHDFVVGQQEGPADQVAADVKSGNYTVLQVVEALGSSLENPEPRTRARAIQLLSQVLLHCHTLLLEKEVVHLILFYENRLKDHHLVIPSVLQGLKALSLCVALPPGLAVSVLKAIFQEVHVQSLPQVDRHTVYNIITNFMRTREEELKSLGADFTFGFIQVMDGEKDPRNLLVAFRIVHDLISRDYSLGPFVEELFEVTSCYFPIDFTPPPNDPHGIQREDLILSLRAVLASTPRFAEFLLPLLIEKVDSEVLSAKLDSLQTLNACCAVYGQKELKDFLPSLWASIRREVFQTASERVEAEGLAALHSLTACLSRSVLRADAEDLLDSFLSNILQDCRHHLCEPDMKLVWPSAKLLQAAAGASARACDSVTSNVLPLLLEQFHKHSQSSQRRTILEMLLGFLKLQQKWSYEDKDQRPLNGFKDQLCSLVFMALTDPSTQLQLVGIRTLTVLGAQPDLLSYEDLELAVGHLYRLSFLKEDSQSCRVAALEASGTLAALYPVAFSSHLVPKLAEELRVGESNLTNGDEPTQCSRHLCCLQALSAVSTHPSIVKETLPLLLQHLWQVNRGNMVAQSSDVIAVCQSLRQMAEKCQQDPESCWYFHQTAIPCLLALAVQASMPEKEPSVLRKVLLEDEVLAAMVSVIGTATTHLSPELAAQSVTHIVPLFLDGNVSFLPENSFPSRFQPFQDGSSGQRRLIALLMAFVCSLPRNVEIPQLNQLMRELLELSCCHSCPFSSTAAAKCFAGLLNKHPAGQQLDEFLQLAVDKVEAGLGSGPCRSQAFTLLLWVTKALVLRYHPLSSCLTARLMGLLSDPELGPAAADGFSLLMSDCTDVLTRAGHAEVRIMFRQRFFTDNVPALVQGFHAAPQDVKPNYLKGLSHVLNRLPKPVLLPELPTLLSLLLEALSCPDCVVQLSTLSCLQPLLLEAPQVMSLHVDTLVTKFLNLSSSPSMAVRIAALQCMHALTRLPTPVLLPYKPQVIRALAKPLDDKKRLVRKEAVSARGEWFLLGSPGS
NP_001295270.1 101 247 0.555033333333333 PF00402.18:Calponin:14:37,PF00402.18:Calponin:54:78,PF00402.18:Calponin:94:117 calponin-1 isoform 2 247 73 20 147 0 Homo sapiens NP_001295270.1 1 RefSeq KFEPGKLREGRNIIGLQMGTNKFASQQGMTAYGTRRHLYDPKLGTDQPLDQATISLQMGTNKGASQAGMTAPGTKRQIFEPGLGMEHCDTLNVSLQMGSNKGASQRGMTVYGLPRQVYDPKYCLTPEYPELGEPAHNHHAHNYYNSA
NP_001310499.1 1 991 0.459520484359232 PF16025.5:CALM_bind:30:105 centriolar coiled-coil protein of 110 kDa isoform 2 991 76 20 991 0 Homo sapiens NP_001310499.1 1 RefSeq MEEYEKFCEKSLARIQEASLSTESFLPAQSESISLIRFHGVAILSPLLNIEKRKEMQQEKQKALDVEARKQVNRKKALLTRVQEILDNVQVRKAPNASDFDQWEMETVYSNSEVRNLNVPATFPNSFPSHTEHSTAAKLDKIAGILPLDNEDQCKTDGIDLARDSEGFNSPKQCDSSNISHVENEAFPKTSSATPQETLISDGPFSVNEQQDLPLLAEVIPDPYVMSLQNLMKKSKEYIEREQSRRSLRGSINRIVNESHLDKEHDAVEVADCVKEKGQLTGKHCVSVIPDKPSLNKSNVLLQGASTQASSMSMPVLASFSKVDIPIRTGHPTVLESNSDFKVIPTFVTENNVIKSLTGSYAKLPSPEPSMSPKMHRRRSRTSSACHILINNPINACELSPKGKEQAMDLIIQDTDENTNVPEIMPKLPTDLAGVCSSKVYVGKNTSEVKEDVVLGKSNQVCQSSGNHLENKVTHGLVTVEGQLTSDERGAHIMNSTCAAMPKLHEPYASSQCIASPNFGTVSGLKPASMLEKNCSLQTELNKSYDVKNPSPLLMQNQNTRQQMDTPMVSCGNEQFLDNSFEKVKRRLDLDIDGLQKENCPYVITSGITEQERQHLPEKRYPKGSGFVNKNKMLGTSSKESEELLKSKMLAFEEMRKRLEEQHAQQLSLLIAEQEREQERLQKEIEEQEKMLKEKKAMTAEASELDINNAVELEWRKISDSSLLETMLSQADSLHTSNSNSSGFTNSAMQYSFVSANEAPFYLWGSSTSGLTKLSVTRPFGRAKTRWSQVFSLEIQAKFNKITAVAKGFLTRRLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGIVSAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREVRKEKMLRQMDKMKSPRVALSAATQKSLDRKKYMKAAEMGMPNKKFLVKQNPSETRVLQPNQGQNAPVHRLLSRQGSICRKNPKKAAKCCDNLRRQHSLG
NP_001310995.1 1 221 0.165405429864253 PF03009.17:GDPD:1:198 glycerophosphodiester phosphodiesterase 1 isoform 2 221 198 20 221 0 Homo sapiens NP_001310995.1 1 RefSeq MHDNTVDRTTDGTGRLCDLTFEQIRKLNPAANHRLRNDFPDEKIPTLREAVAECLNHNLTIFFDVKGHAHKATEALKKMYMEFPQLYNNSVVCSFLPEVIYKMRQTDRDVITALTHRPWSLSHTGDGKPRYDTFWKHFIFVMMDILLDWSMHNILWYLCGISAFLMQKDFVSPAYLKKWSAKGIQVVGWTVNTFDEKSYYESHLGSSYITDSMVEDCEPHF
NP_001311226.1 1 453 0.697129359823399 protein AF-10 isoform f 823 0 20 453 0 Homo sapiens NP_001311226.1 1 RefSeq MRWGGFQIDLPSMEKVHISKTYTSTSNNSISGSLKRLEDTTARFTNANFQEVSAHTSSGKDVSETRGSEGKGKKSSAHSSGQRGRKPGGGRNPGTTVSAASPFPQGSFSGTPGSVKSSSGSSVQSPQDFLSFTDSDLRNDSYSHSQQSSATKDVHKGESGSQEGGVNSFSTLIGLPSTSAVTSQPKSFENSPGDLGNSSLPTAGYKRAQTSGIEEETVKEKKRKGNKQSKHGPGRPKGNKNQENVSHLSVSSASPTSSVASAAGSITSSSLQKSPTLLRNGSLQSLSVGSSPVGSEISMQYRHDGACPTTTFSELLNAIHNGIYNSNDVAVSFPNVVSGSGSSTPVSSSHLPQQSSGHLQQVGALSPSAVSSAAPAVATTQANTLSGSSLSQAPSHMYGNRSNSSMAALIAQSENNQTDQDLGDNSRNLVGRGSSPRGSLSPRSPVSSLQIRY
NP_001318501.1 117 338 0.521575225225225 Transcription factor MYB76; Myb-related protein 76; AtMYB76; Protein HIGH ALIPHATIC GLUCOSINOLATE 2 338 0 20 222 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SPG5 1 SwissProt IDDGIDPVTHKPLASSNPNPVEPMKFDFQKKSNQDEHSSQSSSTTPASLPLSSNLNSVKSKISSGETQIESGHVSCKKRFGRSSSTSRLLNKVAARASSIGNILSTSIEGTLRSPASSSGLPDSFSQSYEYMIDNKEDLGTSIDLNIPEYDFPQFLEQLINDDDENENIVGPEQDLLMSDFPSTFVDEDDILGDITSWSTYLLDHPNFMYESDQDSDEKNFL
NP_001794.2 1 61 0.0970131147540983 PF15116.6:CD52:32:61 CAMPATH-1 antigen 61 30 20 19 2 Homo sapiens P31358 0 SwissProt/TReMBL MKRFLFLLLTISLLVMVQIQTGLSGQNDTSQTSSPSASSNISGGIFLFFVANAIIHLFCFS
NP_002648.1 246 496 0.41287250996016 zinc finger protein PLAGL2 496 0 20 251 0 Homo sapiens NP_002648.1 1 RefSeq LLKIKTEPVDMLGLLSCSSTVSVKEELSPVLCMASRDVMGTKAFPGMLPMGMYGAHIPTMPSTGVPHSLVHNTLPMGMSYPLESSPISSPAQLPPKYQLGSTSYLPDKLPKVEVDSFLAELPGSLSLSSAEPQPASPQPAAAAALLDEALLAKSPANLSEALCAANVDFSHLLGFLPLNLPPCNPPGATGGLVMGYSQAEAQPLLTTLQAQPQDSPGAGGPLNFGPLHSLPPVFTSGLSSTTLPRFHQAFQ
NP_002702.2 236 1122 0.562337993235626 Protein phosphatase 1 regulatory subunit 3A; Protein phosphatase 1 glycogen-associated regulatory subunit; Protein phosphatase type-1 glycogen targeting subunit; RG1 1122 0 20 864 1 Homo sapiens (Human) SwissProt::Q16821 1 SwissProt QEPEPVKPWKEVPNRQIKGCLKVKSSKEESSVTSEENNFENPKNTDTYIPTIICSHEDKEDLEASNRNVKDVNREHDEHNEKELELMINQHLIRTRSTASRDERNTFSTDPVNFPNKAEGLEKKQIHGEICTDLFQRSLSPSSSAESSVKGDFYCNEKYSSGDDCTHQPSEETTSNMGEIKPSLGDTSSDELVQLHTGSKEVLDDNANPAHGNGTVQIPCPSSDQLMAGNLNKKHEGGAKNIEVKDLGCLRRDFHSDTSACLKESTEEGSSKEDYYGNGKDDEEQRIYLGVNEKQRKNFQTILHDQERKMGNPKISVAGIGASNRDLATLLSEHTAIPTRAITADVSHSPRTNLSWEEAVLTPEHHHLTSEGSALGGITGQVCSSRTGNVLRNDYLFQVEEKSGGINSEDQDNSPQHKQSWNVLESQGKSRENKTNITEHIKGQTDCEDVWGKRDNTRSLKATTEELFTCQETVCCELSSLADHGITEKAEAGTAYIIKTTSESTPESMSAREKAIIAKLPQETARSDRPIEVKETAFDPHEGRNDDSHYTLCQRDTVGVIYDNDFEKESRLGICNVRVDEMEKEETMSMYNPRKTHDREKCGTGNITSVEESSWVITEYQKATSKLDLQLGMLPTDKTVFSENRDLRQVQELSKKTDSDAIVHSAFNSDTNRAPQNSSPFSKHHTEISVSTNEQAIAVENAVTTMASQPISTKSENICNSTREIQGIEKHPYPESKPEEVSRSSGIVTSGSRKERCIGQIFQTEEYSVEKSLGPMILINKPLENMEEARHENEGLVSSGQSLYTSGEKESDSSASTSLPVEESQAQGNESLFSKYTNSKIPYFLLFLIFLITVYHYDLMIGLTFYVLSLSWLSWEEGRQKESVKKK
NP_002705.2 434 940 0.856520315581853 PF00642.24:zf-CCCH:476:499 Serine/threonine-protein phosphatase 1 regulatory subunit 10; MHC class I region proline-rich protein CAT53; PP1-binding protein of 114 kDa; Phosphatase 1 nuclear targeting subunit; Protein FB19; p99 940 24 20 507 0 Homo sapiens (Human) SwissProt::Q96QC0 1 SwissProt KREILSDRHAFETARRLSHDNMEEKVPWVCPRPLVLPSPLVTPGSNSQERYIQAEREKGILQELFLNKESPHEPDPEPYEPIPPKLIPLDEECSMDETPYVETLEPGGSGGSPDGAGGSKLPPVLANLMGSMGAGKGPQGPGGGGINVQEILTSIMGSPNSHPSEELLKQPDYSDKIKQMLVPHGLLGPGPIANGFPPGGPGGPKGMQHFPPGPGGPMPGPHGGPGGPVGPRLLGPPPPPRGGDPFWDGPGDPMRGGPMRGGPGPGPGPYHRGRGGRGGNEPPPPPPPFRGARGGRSGGGPPNGRGGPGGGMVGGGGHRPHEGPGGGMGNSSGHRPHEGPGGGMGSGHRPHEGPGGSMGGGGGHRPHEGPGGGISGGSGHRPHEGPGGGMGAGGGHRPHEGPGGSMGGSGGHRPHEGPGHGGPHGHRPHDVPGHRGHDHRGPPPHEHRGHDGPGHGGGGHRGHDGGHSHGGDMSNRPVCRHFMMKGNCRYENNCAFYHPGVNGPPLP
NP_003479.1 1 757 0.498969881109643 PF00013.29:KH_1:611:671 A-kinase anchor protein 1, mitochondrial; A-kinase anchor protein 149 kDa; AKAP 149; Dual specificity A-kinase-anchoring protein 1; D-AKAP-1; Protein kinase A-anchoring protein 1; PRKA1; Spermatid A-kinase anchor protein 84; S-AKAP84 903 61 20 737 1 Homo sapiens (Human) SwissProt::Q92667 1 SwissProt MAIQFRSLFPLALPGMLALLGWWWFFSRKKGHVSSHDEQQVEAGAVQLRADPAIKEPLPVEDVCPKVVSTPPSVTEPPEKELSTVSKLPAEPPALLQTHPPCRRSESSGILPNTTDMRLRPGTRRDDSTKLELALTGGEAKSIPLECPLSSPKGVLFSSKSAEVCKQDSPFSRVPRKVQPGYPVVPAEKRSSGERARETGGAEGTGDAVLGEKVLEEALLSREHVLELENSKGPSLASLEGEEDKGKSSSSQVVGPVQEEEYVAEKLPSRFIESAHTELAKDDAAPAPPVADAKAQDRGVEGELGNEESLDRNEEGLDRNEEGLDRNEESLDRNEEGLDRNEEIKRAAFQIISQVISEATEQVLATTVGKVAGRVCQASQLQGQKEESCVPVHQKTVLGPDTAEPATAEAAVAPPDAGLPLPGLPAEGSPPPKTYVSCLKSLLSSPTKDSKPNISAHHISLASCLALTTPSEELPDRAGILVEDATCVTCMSDSSQSVPLVASPGHCSDSFSTSGLEDSCTETSSSPRDKAITPPLPESTVPFSNGVLKGELSDLGAEDGWTMDAEADHSGGSDRNSMDSVDSCCSLKKTESFQNAQAGSNPKKVDLIIWEIEVPKHLVGRLIGKQGRYVSFLKQTSGAKIYISTLPYTQSVQICHIEGSQHHVDKALNLIGKKFKELNLTNIYAPPLPSLALPSLPMTSWLMLPDGITVEVIVVNQVNAGHLFVQQHTHPTFHALRSLDQQMYLCYSQPGIPTLPT
NP_003845.2 1 575 0.12427252173913 PF01582.20:TIR:385:574,PF13895.6:Ig_2:126:209,PF18452.1:Ig_6:71:114 Interleukin-1 receptor-like 2; IL-36 receptor; IL-36R; Interleukin-1 receptor-related protein 2; IL-1Rrp2; IL1R-rp2 575 318 20 552 1 Homo sapiens (Human) SwissProt::Q9HB29 1 SwissProt MWSLLLCGLSIALPLSVTADGCKDIFMKNEILSASQPFAFNCTFPPITSGEVSVTWYKNSSKIPVSKIIQSRIHQDETWILFLPMEWGDSGVYQCVIKGRDSCHRIHVNLTVFEKHWCDTSIGGLPNLSDEYKQILHLGKDDSLTCHLHFPKSCVLGPIKWYKDCNEIKGERFTVLETRLLVSNVSAEDRGNYACQAILTHSGKQYEVLNGITVSITERAGYGGSVPKIIYPKNHSIEVQLGTTLIVDCNVTDTKDNTNLRCWRVNNTLVDDYYDESKRIREGVETHVSFREHNLYTVNITFLEVKMEDYGLPFMCHAGVSTAYIILQLPAPDFRAYLIGGLIALVAVAVSVVYIYNIFKIDIVLWYRSAFHSTETIVDGKLYDAYVLYPKPHKESQRHAVDALVLNILPEVLERQCGYKLFIFGRDEFPGQAVANVIDENVKLCRRLIVIVVPESLGFGLLKNLSEEQIAVYSALIQDGMKVILIELEKIEDYTVMPESIQYIKQKHGAIRWHGDFTEQSQCMKTKFWKTVRYHMPPRRCRPFPPVQLLQHTPCYRTAGPELGSRRKKCTLTTG
NP_009548.1 1 976 0.188152663934426 PF04082.18:Fungal_trans:279:626,PF00172.18:Zn_clus:13:47 Transcription factor PDR3; Pleiotropic drug resistance protein 3 976 383 20 976 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33200 1 SwissProt MKVKKSTRSKVSTACVNCRKRKIKCTGKYPCTNCISYDCTCVFLKKHLPQKEDSSQSLPTTAVAPPSSHANVEASADVQHLDTAIKLDNQYYFKLMNDLIQTPVSPSATHAPDTSNNPTNDNNILFKDDSKYQNQLVTYQNILTNLYALPPCDDTQLLIDKTKSQLNNLINSWNPEINYPKLSSFSPRPQRSIETYLLTNKYRNKIHMTRFSFWTDQMVKSQSPDSFLATTPLVDEVFGLFSPIQAFSLRGIGYLIKKNIENTGSSMLIDTKETIYLILRLFDLCYEHLIQGCISISNPLENYLQKIKQTPTTTASASLPTSPAPLSNDLVISVIHQLPQPFIQSITGFTTTQLIENLHDSFSMFRIVTQMYAQHRKRFAEFLNQAFSLPHQEKSVLFSSFCSSEYLLSTLCYAYYNVTLYHMLDINTLDYLEILVSLLEIQNEIDERFGFEKMLEVAVTCSTKMGLSRWEYYVGIDENTAERRRKIWWKIYSLEKRFLTDLGDLSLINEHQMNCLLPKDFRDMGFINHKEFLTKIGTSSLSPSSPKLKNLSLSRLIEYGELAIAQIVGDFFSETLYNEKFTSLEVSVKPTIIRQKLLEKVFEDIESFRLKLAKIKLHTSRVFQVAHCKYPEYPKNDLIEAAKFVSYHKNTWFSILGAVNNLIARLSEDPEVITEQSMKYANEMFQEWREINQFLIQVDTDFIVWACLDFYELIFFVMASKFYVEDPHITLEDVINTLKVFKRITNIISFFNNNLDEKDYDCQTFREFSRSSSLVAISIRIIFLKYCYAEQIDRAEFIERLKEVEPGLSDLLREFFDTRSFIYRYMLKSVEKSGFHLIIRKMLESDYKFLYRDKLATGNIPDQGNSSQISQLYDSTAPSYNNASASAANSPLKLSSLLNSGEESYTQDASENVPCNLRHQDRSLQQTKRQHSAPSQISANENNIYNLGTLEEFVSSGDLTDLYHTLWNDNTSYPFL
NP_010231.1 1 303 0.207227062706271 PF01553.21:Acyltransferase:63:191 1-acylglycerol-3-phosphate O-acyltransferase (EC 2.3.1.51) 303 129 20 280 1 Saccharomyces cerevisiae BRENDA::P33333 1 BRENDA MSVIGRFLYYLRSVLVVLALAGCGFYGVIASILCTLIGKQHLAQWITARCFYHVMKLMLGLDVKVVGEENLAKKPYIMIANHQSTLDIFMLGRIFPPGCTVTAKKSLKYVPFLGWFMALSGTYFLDRSKRQEAIDTLNKGLENVKKNKRALWVFPEGTRSYTSELTMLPFKKGAFHLAQQGKIPIVPVVVSNTSTLVSPKYGVFNRGCMIVRILKPISTENLTKDKIGEFAEKVRDQMVDTLKEIGYSPAINDTTLPPQAIEYAALQHDKKVNKKIKNEPVPSVSISNDVNTHNEGSSVKKMH
NP_010361.3 1 406 0.211041625615764 PF06745.13:ATPase:19:63,PF08423.11:Rad51:18:139 DNA repair protein RAD55 406 122 20 406 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38953 1 SwissProt MSLGIPLSQLIVESPKPLSSGITGLDEILNLGFQARSIYEIFGPPGIGKTNFGIQLVCNSLEGIQQSEINDDKILWIETFQEMPINILRERFQKFKIVEENVKRVRITKFGQLLYFFQNLFKLSQSVRYKLVIIDGFSQLVCDHLCTLSKRGGGMIDKTIHELKCRHLILIFTVMTKYTHSTGSTIIVLNDCMNTAFQSNEFESLEEYYEILDDGSNFFVNSNNERRKNNVHILKSALVANIAMGSKDSTWEVFLRDRIGLFRDWNEQVDETVFVKSKRVKASSSQSNEGCTTIKEMRINKRNFENLRIAIVFNLHGEDRKREGRNLKRSRSSDDRNYIVKFDFDKATGQLRDIIDLKPDTANIASFPTLSTSSSSCSQVFNNIDSNDNPLPNAEGKEEIIYDSEG
NP_010808.3 1 772 0.234097409326425 PF00172.18:Zn_clus:71:107 Uracil catabolism protein 2 772 37 20 772 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04411 1 SwissProt MDINSNASVSPRPDGLPMTAGYNSASGKVRNSIRSIINHPEDSARAKERSETNSPKNNGNKKPRKKRKTFSCDTCRRVKTRCDFEPFIGKCYRCNVLQLDCSLARNKDNEILNTLREDGLLKKINSINHNLGSFSHLNADSPNESQSSFEKNGTVNFDNYMIDKRLSSLEEHIKSLHQKMDLIITTAKMSYNSDIKGPGDDIQNVDFSSNKTYDSRLTSGSETIRKTGEYRKENLFLNGFKLKESPLKLLHDIDERLFPSKATSKAAKLAGQQRPYAVARVNFLHFYENNQELCHKLAKEFLVRSHFWIIPGGRKEIDVEYAHSHLFITSVFTIIAMSFADNDKYAAEQEILYPLVERLLTNTLTMFEKLTAFDIEAILYCCMFHISRKAKRYRQLKFNSLVLSNFALNSLLHVIDFYQIKDRVLVKEVYNPEDLYHLRILNSLTACYLEYSISYGDIREQDDMLKEFNKLVAKFPQANFGDDIKISEINLGDIVNGIFINLKNYFAQCLDDFNNDRYGGNADTFIFVFPELNYWLKNWEELLAKDGAGVLLFTFDFYHIMICRTFITEFSSTLKSNQRFLKLILNTMKEHSFSLLNGFLRLPPTLIRGAPIFTCHQLVYACLTLCDYLYWFDSSERQRVLSLCTKVYWHLSTIGEKMNEATDNVGKIIKSIIDTSKTRINFGSLSKENSDNDKMSTNANNYTGAGNLHAAKPATSPTNVGTLHENLSSSHFMIPDVDQFNSFEDFFQDFFDSLKPNSQKMFTSDKKTEQTT
NP_010962.1 1 148 0.0406797297297297 PF03694.13:Erg28:21:130 Ergosterol biosynthetic protein 28 148 110 20 102 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40030 0 SwissProt MFSLQDVITTTKTTLAAMPKGYLPKWLLFISIVSVFNSIQTYVSGLELTRKVYERKPTETTHLSARTFGTWTFISCVIRFYGAMYLNEPHIFELVFMSYMVALFHFGSELLIFRTCKLGKGFMGPLVVSTTSLVWMYKQREYYTGVAW
NP_011682.1 1 560 0.251694285714286 PF12735.7:Trs65:257:559 Trafficking protein particle complex II-specific subunit 65; TRAPP II-specific subunit 65; Beta-glucan synthesis-associated protein TRS65; Killer toxin-resistance protein 11; Transport protein particle 65 kDa subunit 560 303 20 560 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32893 1 SwissProt MECFVPLRCDLDGSNIEQLRQSHLSRKFIIFDEQLNLWLWFQGNSQENKRFVLQNMIILINEAQVTRTSTIDDYFTQVENNENLWRLKNDCCSKILFKSNVVMNNGYNNQIKFVFEYKSVDANFNNQDSLQDPQAKYTLDKYSSEEILPSFEPVYSWSSAATKSSKNTNNHLEKNNRATHRVSSKNSEVHEADVSRNPNTFTLKLQYPIFSLLNMRLRNISLKSEHCILSSLDFQTSKASEQLTKKFIYPQEHNSFLKLNFQEISYKLIDGTSQIELDPICPLKVPLTAFSYDSISATFKLVLLPKSTQPHRVKITLAYELELHPNLKLPVRTSWETEVTLKRSMPISSTSSQYSSNNNNTNHSASFNGAANNVNSGGLANLRLGGVSSSRFSLGAASTTSLVNSKLSNVKFKFINSNIKVIKGEKFTMRLQIINSSSSPLDLVVYYNNTINPIPSANNVRNSNGINNCGMNNGTIPNSPLTLENQYQLHNKYRKIAEGIILLSNDYKIPVVPPRETYFADLRFIGIMSGYYGTLSGLKVLDLNTNELIEVGNGASVLIQ
NP_011805.3 1 616 0.141158279220779 PF00083.24:Sugar_tr:111:567 General alpha-glucoside permease; Maltose permease MAL11; Maltose transport protein MAL11 616 457 20 391 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53048 1 SwissProt MKNIISLVSKKKAASKNEDKNISESSRDIVNQQEVFNTEDFEEGKKDSAFELDHLEFTTNSAQLGDSDEDNENVINEMNATDDANEANSEEKSMTLKQALLKYPKAALWSILVSTTLVMEGYDTALLSALYALPVFQRKFGTLNGEGSYEITSQWQIGLNMCVLCGEMIGLQITTYMVEFMGNRYTMITALGLLTAYIFILYYCKSLAMIAVGQILSAIPWGCFQSLAVTYASEVCPLALRYYMTSYSNICWLFGQIFASGIMKNSQENLGNSDLGYKLPFALQWIWPAPLMIGIFFAPESPWWLVRKDRVAEARKSLSRILSGKGAEKDIQVDLTLKQIELTIEKERLLASKSGSFFNCFKGVNGRRTRLACLTWVAQNSSGAVLLGYSTYFFERAGMATDKAFTFSLIQYCLGLAGTLCSWVISGRVGRWTILTYGLAFQMVCLFIIGGMGFGSGSSASNGAGGLLLALSFFYNAGIGAVVYCIVAEIPSAELRTKTIVLARICYNLMAVINAILTPYMLNVSDWNWGAKTGLYWGGFTAVTLAWVIIDLPETTGRTFSEINELFNQGVPARKFASTVVDPFGKGKTQHDSLADESISQSSSIKQRELNAADKC
NP_011832.1 1 223 0.364117488789238 PF12352.8:V-SNARE_C:136:201 Golgi SNAP receptor complex member 1; Golgi SNARE protein 1; Protein transport protein GOS1 223 66 20 205 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38736 1 SwissProt MSSQPSFVTIRGKAISLETQTESLLSKYSTFAQTTSSEQTGQEKKIDKQLEGILGQRQDVIDSLTQICDSNPAISASKLSQLHRHKEILQDHWKSFRNIRSSIQQERNRLNLLFSVKNDIANSTTDAPAPIGDADEYIQNETRRIDQSNNVVDRLISQAWETRSQFHSQSNVLNTANNKVLQTLQRIPGVNQLIMKINTRRKKNAFVLATITTLCILFLFFTW
NP_012135.1 1 301 0.404494019933555 PF00498.26:FHA:76:162 Fork head protein homolog 1 484 87 20 301 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40466 1 SwissProt MSVTSREQKFSGKYSSYTAQDRQGLVNAVTCVLSSSSDPVAVSSDYSNSLSIAREVNAYAKIAGCDWTYYVQKLEVTIGRNTDSLNLNAVPGTVVKKNIDIDLGPAKIVSRKHAAIRFNLESGSWELQIFGRNGAKVNFRRIPTGPDSPPTVLQSGCIIDIGGVQMIFILPEQETIISDYCLNHLMPKLLSTYGTNGNNNPLLRNIIEGSTYLREQRLQEEARLQQLDHLHTPLSSSSDVNPIGDPHGDTIMMEEDEEDENYTRGGIRPNTYTSSSNNAVTNGNVPHIENPSDLSLDENRY
NP_012377.1 1 227 0.357991629955947 PF00399.19:PIR:66:81 Cell wall mannoprotein CIS3; Covalently-linked cell wall protein 5/11; Protein with internal repeats 4; Soluble cell wall protein 8 227 16 20 227 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47001 1 SwissProt MQFKNVALAASVAALSATASAEGYTPGEPWSTLTPTGSISCGAAEYTTTFGIAVQAITSSKAKRDVISQIGDGQVQATSAATAQATDSQAQATTTATPTSSEKISSSASKTSTNATSSSCATPSLKDSSCKNSGTLELTLKDGVLTDAKGRIGSIVANRQFQFDGPPPQAGAIYAAGWSITEDGYLALGDSDVFYQCLSGNFYNLYDQNVAEQCSAIHLEAVSLVDC
NP_012441.1 71 873 0.121584931506849 PF00999.21:Na_H_Exchanger:15:353 K(+)/H(+) antiporter 1 873 339 20 570 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40309 1 SwissProt PNYTNTIFPTSSIPGLNLVANLGIILFMFFLGLEVDIAFIKKHLKKALVIGIVTLAVPFGFGCLLAIPLFHTYANKTEGERHIKFSVFMVFIAVSISVTAFPVLCRILNELRLIKDRAGIVVLAAGIINDIMGWILLALSIILSSAEGSPVNTVYILLITFAWFLIYFFPLKYLLRWVLIRTHELDRSKPSPLATMCILFIMFISAYFTDIIGVHPIFGAFIAGLVVPRDDHYVVKLTERMEDIPNIVFIPIYFAVAGLNVDLTLLNEGRDWGYVFATIGIAIFTKIISGTLTAKLTGLFWREATAAGVLMSCKGIVEIVVLTVGLNAGIISRKIFGMFVLMALVSTFVTTPLTQLVYPDSYRDGVRKSLSTPAEDDGAADGLDSEGVDKTEINTQLNSLADVSKYRIGELTTVINTTEAISPSLKLLNYLSLGVSPKPKNNKHKNETSLSRMTTATDSTLKSNTFKIKKMVHIWSKSVDDVDTNLSVIDEKLTPFEGVGALRAIHLRLLTERTTDLLQSSSLYNDDPHFTANTDSLLQIFDIFSNLSKIPFSSEVIFSTMREKAANIATMKMDSTDLILLPLKGASYEYRGSPVFIDEKYANFDHIYSHLLGLNELSSTFFKSIFQSLKANFAVQISNTYGRLNADRFKRKRFNLLLPKPYLTQSDYLGLYLLLLICYRDGYNNDNASCSIFINSKNIDFAKDLSTAFAEHDWLNESTIKIVDIPFETKVPEEAIEKPSFIETVLDVGLSDTALADIEETTFIIGEDLPDESEPFSEEVRTVIFEGSNRRFDTLIVHHFSSE
NP_012924.2 1 182 0.466085714285715 PF03357.21:Snf7:21:178 DOA4-independent degradation protein 4; ESCRT-III complex subunit VPS2; Vacuolar protein-sorting-associated protein 2; Vacuolar protein-targeting protein 14 232 158 20 182 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36108 1 SwissProt MSLFEWVFGKNVTPQERLKKNQRALERTQRELEREKRKLELQDKKLVSEIKKSAKNGQVAAAKVQAKDLVRTRNYIQKFDNMKAQLQAISLRIQAVRSSDQMTRSMSEATGLLAGMNRTMNLPQLQRISMEFEKQSDLMGQRQEFMDEAIDNVMGDEVDEDEEADEIVNKVLDEIGVDLNSQ
NP_012993.3 1 743 0.223075235531629 PF01553.21:Acyltransferase:58:298 Glycerol-3-phosphate O-acyltransferase 2; G-3-P acyltransferase 2; Dihydroxyacetone phosphate acyltransferase 2; DHAP-AT 2; Glycerol-3-phosphate / dihydroxyacetone phosphate acyltransferase 2; EC 2.3.1.15; EC 2.3.1.42 743 241 20 654 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36148 1 SwissProt MSAPAADHNAAKPIPHVPQASRRYKNSYNGFVYNIHTWLYDVSVFLFNILFTIFFREIKVRGAYNVPEVGVPTILVCAPHANQFIDPALVMSQTRLLKTSAGKSRSRMPCFVTAESSFKKRFISFFGHAMGGIPVPRIQDNLKPVDENLEIYAPDLKNHPEIIKGRSKNPQTTPVNFTKRFSAKSLLGLPDYLSNAQIKEIPDDETIILSSPFRTSKSKVVELLTNGTNFKYAEKIDNTETFQSVFDHLHTKGCVGIFPEGGSHDRPSLLPIKAGVAIMALGAVAADPTMKVAVVPCGLHYFHRNKFRSRAVLEYGEPIVVDGKYGEMYKDSPRETVSKLLKKITNSLFSVTENAPDYDTLMVIQAARRLYQPVKVRLPLPAIVEINRRLLFGYSKFKDDPRIIHLKKLVYDYNRKLDSVGLKDHQVMQLKTTKLEALRCFVTLIVRLIKFSVFAILSLPGSILFTPIFIICRVYSEKKAKEGLKKSLVKIKGTDLLATWKLIVALILAPILYVTYSILLIILARKQHYCRIWVPSNNAFIQFVYFYALLVFTTYSSLKTGEIGVDLFKSLRPLFVSIVYPGKKIEEIQTTRKNLSLELTAVCNDLGPLVFPDYDKLATEIFSKRDGYDVSSDAESSISRMSVQSRSRSSSIHSIGSLASNALSRVNSRGSLTDIPIFSDAKQGQWKSEGETSEDEDEFDEKNPAIVQTARSSDLNKENSRNTNISSKIASLVRQKREHEKKE
NP_013015.1 1 910 0.339275824175824 PF11815.8:DUF3336:147:274,PF01734.22:Patatin:282:479 Lipase 4; Triacylglycerol lipase 4; EC 3.1.1.3 910 326 20 910 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36165 1 SwissProt MSSKISDLTSTQNKPLLVTQQLIEKYYEQILGTSQNIIPILNPKNKFIRPSKDNSDVERVEEDAGKRLQTGKNKTTNKVNFNLDTGNEDKLDDDQETVTENENNDIEMVETDEGEDERQGSSLASKCKSFLYNVFVGNYERDILIDKVCSQKQHAMSFEEWCSAGARLDDLTGKTEWKQKLESPLYDYKLIKDLTSRMREERLNRNYAQLLYIIRTNWVRNLGNMGNVNLYRHSHVGTKYLIDEYMMESRLALESLMESDLDDSYLLGILQQTRRNIGRTALVLSGGGTFGLFHIGVLGTLFELDLLPRVISGSSAGAIVASILSVHHKEEIPVLLNHILDKEFNIFKDDKQKSESENLLIKISRFFKNGTWFDNKHLVNTMIEFLGDLTFREAYNRTGKILNITVSPASLFEQPRLLNNLTAPNVLIWSAVCASCSLPGIFPSSPLYEKDPKTGERKPWTGSSSVKFVDGSVDNDLPISRLSEMFNVDHIIACQVNIHVFPFLKLSLSCVGGEIEDEFSARLKQNLSSIYNFMANEAIHILEIGSEMGIAKNALTKLRSVLSQQYSGDITILPDMCMLFRIKELLSNPTKEFLLREITNGAKATWPKVSIIQNHCGQEFALDKAISYIKGRMIVTSSLKTPFQFADSVIGLIKAPEQTSDESKNPENSTLLTRTPTKGDNHISNVLDDNLLESESTNSLLLLRENASTYGRSPSGFRPRYSITSASLNPRHQRRKSDTISTSRRPAKSFSFSVASPTSRMLRQSSKINGHPPPILQKKTSMGRLMFPMDAKTYDPESHELIPHSASIETPAMVDKKLHFGRKSRYLRHMNKKWVSSSNILYTDSDKEDHPTLRLISNFDSDAMIHSDLAGNFRRHSIDGRPPSQATKSSPFRSRPSSSTQHKSTTSFTQ
NP_013108.1 1 98 0.411137755102041 Mitochondrial import inner membrane translocase subunit TIM14; Presequence translocated-associated motor subunit PAM18 168 0 20 75 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07914 1 SwissProt MSSQSNTGNSIEAPQLPIPGQTNGSANVTVDGAGVNVGIQNGSQGQKTGMDLYFDQALNYMGEHPVITGFGAFLTLYFTAGAYKSISKGLNGGKSTTA
NP_013753.1 107 292 0.890195161290322 RNA polymerase II transcriptional coactivator SUB1 292 0 20 186 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54000 1 SwissProt SKRPKTKMVRLLSDDEYEDDNNNDSTNNDKDKNGKDKNSPKKRREDKSKASNESHDLEPRSKKKKPAPPTLLPHEENIQNAEREANATLIIPGQAGRKQQEERKQKEKEEAEEAKAKAVAEQEKEAKAKEKIAEPEPEPVPTLQAKKEDIVSNINESKDANSSDEEFAQSLEAEMNKAEDDISEEE
NP_014110.1 1 279 0.248916487455197 PF00134.23:Cyclin_N:19:152,PF08613.11:Cyclin:54:151 PHO85 cyclin-1; Cyclin HCS26; G1/S-specific cyclin PCL1 279 134 20 279 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P24867 1 SwissProt MCEYSKALHILLKSPVTDDIIKFLTDTTLRVVPSSNYPTPPGSPGEKHLTRLPSLMTFITRLVRYTNVYTPTLLTAACYLNKLKRILPRDATGLPSTIHRIFLACLILSAKFHNDSSPLNKHWARYTDGLFTLEDINLMERQLLQLLNWDLRVNTEDLILDLQPLLEPIKQDLARSSDQRKRINMMMSMNRRTCAGTSPIRSNNRFKLYEKQRNVSIASDLSSATLVDSCNDLRRLKDVTNIANNTVANTNYVRTVEKWNDNVNRQSWDLEQIMSQHGF
NP_014560.1 473 3079 0.154996931338704 PF00616.19:RasGAP:1252:1313,PF00616.19:RasGAP:1313:1418 Inhibitory regulator protein IRA2 3079 167 20 2607 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P19158 1 SwissProt FSTHTQPGEYDPSLPDTPTMSNITISASSLLSQTPTPTTQLQQRLNSAAAAAAAAASPSNSTPTGYTAEQQSRASYDAHKTGHTGKDYDEHFLSVTRLDNVLELYTHFDDTEVLPHTSVLKFLTTLTMFDIDLFNELNATSFKYIPDCTMHRPKERTSSFNNTAHETGSEKTSGIKHITQGLKKLTSLPSSTKKTVKFVKMLLRNLNGNQAVSDVALLDTMRALLSFFTMTSAVFLVDRNLPSVLFAKRLIPIMGTNLSVGQDWNSKINNSLMVCLKKNSTTFVQLQLIFFSSAIQFDHELLLARLSIDTMANNLNMQKLCLYTEGFRIFFDIPSKKELRKAIAVKISKFFKTLFSIIADILLQEFPYFDEQITDIVASILDGTIINEYGTKKHFKGSSPSLCSTTRSRSGSTSQSSMTPVSPLGLDTDICPMNTLSLVGSSTSRNSDNVNSLNSSPKNLSSDPYLSHLVAPRARHALGGPSSIIRNKIPTTLTSPPGTEKSSPVQRPQTESISATPMAITNSTPLSSAAFGIRSPLQKIRTRRYSDESLGKFMKSTNNYIQEHLIPKDLNEATLQDARRIMINIFSIFKRPNSYFIIPHNINSNLQWVSQDFRNIMKPIFVAIVSPDVDLQNTAQSFMDTLLSNVITYGESDENISIEGYHLLCSYTVTLFAMGLFDLKINNEKRQILLDITVKFMKVRSHLAGIAEASHHMEYISDSEKLTFPLIMGTVGRALFVSLYSSQQKIEKTLKIAYTEYLSAINFHERNIDDADKTWVHNIEFVEAMCHDNYTTSGSIAFQRRTRNNILRFATIPNAILLDSMRMIYKKWHTYTHSKSLEKQERNDFRNFAGILASLSGILFINKKILQEMYPYLLDTVSELKKNIDSFISKQCQWLNYPDLLTRENSRDILSVELHPLSFNLLFNNLRLKLKELACSDLSIPENESSYVLLEQIIKMLRTILGRDDDNYVMMLFSTEIVDLIDLLTDEIKKIPAYCPKYLKAIIQMTKMFSALQHSEVNLGVKNHFHVKNKWLRQITDWFQVSIAREYDFENLSKPLKEMDLVKRDMDILYIDTAIEASTAIAYLTRHTFLEIPPAASDPELSRSRSVIFGFYFNILMKGLEKSSDRDNYPVFLRHKMSVLNDNVILSLTNLSNTNVDASLQFTLPMGYSGNRNIRNAFLEVFINIVTNYRTYTAKTDLGKLEAADKFLRYTIEHPQLSSFGAAVCPASDIDAYAAGLINAFETRNATHIVVAQLIKNEIEKSSRPTDILRRNSCATRSLSMLARSKGNEYLIRTLQPLLKKIIQNRDFFEIEKLKPEDSDAERQIELFVKYMNELLESISNSVSYFPPPLFYICQNIYKVACEKFPDHAIIAAGSFVFLRFFCPALVSPDSENIIDISHLSEKRTFISLAKVIQNIANGSENFSRWPALCSQKDFLKECSDRIFRFLAELCRTDRTIDIQVRTDPTPIAFDYQFLHSFVYLYGLEVRRNVLNEAKHDDGDIDGDDFYKTTFLLIDDVLGQLGQPKMEFSNEIPIYIREHMDDYPELYEFMNRHAFRNIETSTAYSPSVHESTSSEGIPIITLTMSNFSDRHVDIDTVAYKFLQIYARIWTTKHCLIIDCTEFDEGGLDMRKFISLVMGLLPEVAPKNCIGCYYFNVNETFMDNYGKCLDKDNVYVSSKIPHYFINSNSDEGLMKSVGITGQGLKVLQDIRVSLHDITLYDEKRNRFTPVSLKIGDIYFQVLHETPRQYKIRDMGTLFDVKFNDVYEISRIFEVHVSSITGVAAEFTVTFQDERRLIFSSPKYLEIVKMFYYAQIRLESEYEMDNNSSTSSPNSNNKDKQQKERTKLLCHLLLVSLIGLFDESKKMKNSSYNLIAATEASFGLNFGSHFHRSPEVYVPEDTTTFLGVIGKSLAESNPELTAYMFIYVLEALKNNVIPHVYIPHTICGLSYWIPNLYQHVYLADDEEGPENISHIFRILIRLSVRETDFKAVYMQYVWLLLLDDGRLTDIIVDEVINHALERDSENRDWKKTISLLTVLPTTEVANNIIQKILAKIRSFLPSLKLEAMTQSWSELTILVKISIHVFFETSLLVQMYLPEILFIVSLLIDVGPRELRSSLHQLLMNVCHSLAINSALPQDHRNNLDEISDIFAHQKVKFMFGFSEDKGRILQIFSASSFASKFNILDFFINNILLLMEYSSTYEANVWKTRYKKYVLESVFTSNSFLSARSIMIVGIMGKSYITEGLCKAMLIETMKVIAEPKITDEHLFLAISHIFTYSKIVEGLDPNLDLMKHLFWFSTLFLESRHPIIFEGALLFVSNCIRRLYMAQFENESETSLISTLLKGRKFAHTFLSKIENLSGIVWNEDNFTHILIFIINKGLSNPFIKSTAFDFLKMMFRNSYFEHQINQKSDHYLCYMFLLYFVLNCNQFEELLGDVDFEGEMVNIENKNTIPKILLEWLSSDNENANITLYQGAILFKCSVTDEPSRFRFALIIRHLLTKKPICALRFYSVIRNEIRKISAFEQNSDCVPLAFDILNLLVTHSESNSLEKLHEESIERLTKRGLSIVTSSGIFAKNSDMMIPLDVKPEDIYERKRIMTMILSRMSCSA
NP_015049.1 1 587 0.0843005110732538 PF00324.21:AA_permease:81:543,PF13520.6:AA_permease_2:77:499 S-adenosylmethionine permease SAM3; S-adenosylmethionine metabolism protein 3 587 467 20 342 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08986 1 SwissProt MDILKRGNESDKFTKIETESTTIPNDSDRSGSLIRRMKDSFKQSNLHVIPEDLENSEQTEQEKIQWKLASQPYQKVLSQRHLTMIAIGGTLGTGLFIGLGYSLASGPAALLIGFLLVGTSMFCVVQSAAELSCQFPVSGSYATHVSRFIDESVGFTVATNYALAWLISFPSELIGCALTISYWNQTVNPAVWVAIFYVFIMVLNLFGVRGFAETEFALSIIKVIAIFIFIIIGIVLIAGGGPNSTGYIGAKYWHDPGAFAKPVFKNLCNTFVSAAFSFGGSELVLLTSTESKNISAISRAAKGTFWRIAIFYITTVVIIGCLVPYNDPRLLSGSNSEDVSASPFVIALSNTGSMGAKVSNFMNVVILVAVVSVCNSCVYASSRLIQALGASGQLPSVCSYMDRKGRPLVGIGISGAFGLLGFLVASKKEDEVFTWLFALCSISSFFTWFCICMSQIRFRMALKAQGRSNDEIAYKSILGVYGGILGCVLNALLIAGEIYVSAAPVGSPSSAEAFFEYCLSIPIMIVVYFAHRFYRRDWKHFYIKRSEIDLDTGCSVENLELFKAQKEAEEQLIASKPFYYKIYRFWC
NP_031550.2 1 185 0.684096756756757 Homeobox protein Nkx-3.2; Bagpipe homeobox protein homolog 1; Homeobox protein NK-3 homolog B 333 0 20 185 0 Mus musculus (Mouse) SwissProt::P97503 1 SwissProt MAVRGSGTLTPFSIQAILNKKEERGGLATPEGRPAPGGTEVAVTAAPAVCCWRIFGETEAGALGGAEDSLLASPARTRTAVGQSAESPGGWDSDSALSEENEGRRRCADVPGASGTGRARVTLGLDQPGCELHAAKDLEEEAPVRSDSEMSASVSGDHSPRGEDDSVSPGGARVPGLRGAAGSGA
NP_032087.2 1 357 0.0206428571428572 PF01569.21:PAP2:61:192 Glucose-6-phosphatase; G-6-Pase; G6Pase; EC 3.1.3.9 357 132 20 155 9 Mus musculus (Mouse) SwissProt::P35576 0 SwissProt MEEGMNILHDFGIQSTRYLQVNYQDSQDWFILVSVIADLRNAFYVLFPIWFHLKETVGINLLWVAVVGDWFNLVFKWILFGQRPYWWVLDTDYYSNSSVPIIKQFPVTCETGPGSPSGHAMGAAGVYYVMVTSTLAIFRGKKKPTYGFRCLNVILWLGFWAVQLNVCLSRIYLAAHFPHQVVAGVLSGIAVAETFSHIRGIYNASLRKYCLITIFLFGFALGFYLLLKGLGVDLLWTLEKAKRWCERPEWVHLDTTPFASLFKNLGTLLGLGLALNSSMYRKSCKGELSKLLPFRFACIVASLVLLHLFDSLKPPSQVELIFYILSFCKSATVPFASVSLIPYCLARILGQTHKKSL
NP_032586.1 76 296 0.00857556561085973 PF00001.21:7tm_1:20:201 adrenocorticotropic hormone receptor 296 182 20 109 5 Mus musculus NP_032586.1 0 RefSeq YKILENILIMFRNMGYLKPRGSFESTADDIIDCMFILSLLGSIFSLSVIAADRYITIFHALQYHSIVTMRRTIITLTIIWMFCTGSGITMVIFSHHIPTVLTFTSLFPLMLVFILCLYIHMFLLARSHARKISTLPRTNMKGAMTLTILLGVFIFCWAPFVLHVLLMTFCPNNPYCVCYMSLFQVNGMLIMCNAVIDPFIYAFRSPELRDAFKRMLFCNRY
NP_033256.2 1 540 0.0726329629629631 PF03062.19:MBOAT:161:510 Sterol O-acyltransferase 1; Acyl-coenzyme A:cholesterol acyltransferase 1; ACAT-1; Cholesterol acyltransferase 1; EC 2.3.1.26 540 350 20 364 8 Mus musculus (Mouse) SwissProt::Q61263 1 SwissProt MSLRNRLSKSGENPEQDEAQKNFMDTYRNGHITMKQLIAKKRLLAAEAEELKPLFMKEVGCHFDDFVTNLIEKSASLDNGGCALTTFSILEEMKKNHRAKDLRAPPEQGKIFISRQSLLDELFEVDHIRTIYHMFIALLILFVLSTIVVDYIDEGRLVLEFNLLAYAFGKFPTVIWTWWAMFLSTLSIPYFLFQRWAHGYSKSSHPLIYSLVHGLLFLVFQLGVLGFVPTYVVLAYTLPPASRFILILEQIRLIMKAHSFVRENIPRVLNAAKEKSSKDPLPTVNQYLYFLFAPTLIYRDNYPRTPTVRWGYVAMQFLQVFGCLFYVYYIFERLCAPLFRNIKQEPFSARVLVLCVFNSILPGVLILFLSFFAFLHCWLNAFAEMLRFGDRMFYKDWWNSTSYSNYYRTWNVVVHDWLYYYVYKDLLWFFSKRFKSAAMLAVFALSAVVHEYALAICLSYFYPVLFVLFMFFGMAFNFIVNDSRKRPIWNIMVWASLFLGYGLILCFYSQEWYARQHCPLKNPTFLDYVRPRTWTCRYVF
NP_033887.1 1 276 0.291831884057971 bone morphogenetic protein 15 preproprotein 392 0 20 276 0 Mus musculus NP_033887.1 1 RefSeq MALLTILRILLWGVVLFMEQRVQMAKPGWPSTALLADDPTLPSILDLAKEAPGKEMKQWPQGYPLRYMLKLYHRSADPHGHPRENRTIGAKMVRLVKPSANTVRPPRGSWHVQTLDFPLASNQVAYELIRATVVYRHQLHLVNYHLSCHVETWVPKCRTKHLPSSKSGSSKPSPMSKAWTEIDITHCIQQKLWNRKGRSVLRLRFMCQQQKGNETREFRWHGMTSLDVAFLLLYFNDTDDRVQGKLLARGQEELTDRESSFLMRSVRQACSIESDA
NP_035170.1 147 457 0.553558199356914 PF12403.8:Pax2_C:198:310 paired box protein Pax-8 457 113 20 311 0 Mus musculus NP_035170.1 1 RefSeq CVATKSLSPGHTLIPSSAVTPPESPQSDSLGSTYSINGLLGIAQPGNDNKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDTFSQHHLEALECPFERQHYPEAYASPSHTKGEQGLYPLPLLNSALDDGKATLTSSNTPLGRNLSTHQTYPVVADPHSPFAIKQETPELSSSSSTPSSLSSSAFLDLQQVGSGGPAGASVPPFNAFPHAASVYGQFTGQALLSGREMVGPTLPGYPPHIPTSGQGSYASSAIAGMVAGSEYSGNAYSHTPYSSYSEAWRFPNSSLLSSPYYYSSTSRPSAPPTSATAFDHL
NP_035346.3 1 914 0.22441170678337 PF00041.21:fn3:445:531,PF00041.21:fn3:735:810 Receptor-type tyrosine-protein phosphatase O; R-PTP-O; Glomerular epithelial protein 1; Protein tyrosine phosphatase U2; PTP-U2; PTPase U2; EC 3.1.3.48 1226 163 20 868 2 Mus musculus (Mouse) SwissProt::E9Q612 1 SwissProt MGHLPRGTLGGRRLLPLLGLFVLLKIVTTFHVAVQDDNNIVVSLEASDIVSPASVYVVRVAGESKNYFFEFEEFNSTLPPPVVFKATYHGLYYIITLVVVNGNVVTKPSRSITVLTKPLPVTSVSIYDYKPSPETGVLFEIHYPEKYNVFSRVNISYWEGRDFRTMLYKDFFKGKTVFNHWLPGLCYSNITFQLVSEATFNKSTLVEYSGVSHEPKQHRTAPYPPRNISVRFVNLNKNNWEEPSGSFPEDSFIKPPQDSIGRDRRFHFPEETPETPPSNVSSGSPPSNVSSAWPDPNSTDYESTSQPFWWDSASAAPENEEDFVSALPADYDTETTLDRTEKPTADPFSAFPVQMTLSWLPPKPPTAFDGFNILIEREENFTDYLTVDEEAHEFVAELKEPGKYKLSVTTFSSSGACETRKSQSAKSLSFYISPTGEWIEELTEKPQHVSVHVLSSTTALMSWTSSQENYNSTIVSVVSLTCQKQKESQRLEKQYCTQVNSSKPVIENLVPGAQYQVVMYLRKGPLIGPPSDPVTFAIVPTGIKDLMLYPLGPTAVVLSWTRPILGVFRKYVVEMFYFNPTTMTSEWTTYYEIAATVSLTASVRIASLLPAWYYNFRVTMVTWGDPELSCCDSSTISFITAPVAPEITSVEYFNSLLYISWTYGDATTDLSHSRMLHWMVVAEGRKKIKKSVTRNVMTAILSLPPGDIYNLSVTACTERGSNTSLPRLVKLEPAPPKSLFAVNKTQTSVTLLWVEEGVADFFEVFCQQLGSGHNGKLQEPVAVSSHVVTISSLLPATAYNCSVTSFSHDTPSVPTFIAVSTMVTEVNPNVVVISVLAILSTLLIGLLLVTLVILRKKHLQMARECGAGTFVNFASLEREGKLPYSWRRSVFALLTLLPSCLWTDYLLAFYINPW
NP_036028.2 1 300 0.350904 PF05210.13:Sprouty:165:274 Protein sprouty homolog 4; Spry-4 300 110 20 300 0 Mus musculus (Mouse) SwissProt::Q9WTP2 1 SwissProt MEPPVPQSSVPVNPSSVMVQPLLDSRAPHSRLQHPLTILPIDQMKTSHVENDYIDNPSLAPATGPKRPRGGPPELAPTPARCDQDITHHWISFSGRPSSVSSSSSTSSDQRLLDHMAPPPVAEQASPRAVRLQPKVVHCKPLDLKGPTAPPELDKHFLLCEACGKCKCKECASPRTLPSCWVCNQECLCSAQTLVNYGTCMCLVQGIFYHCTNEDDEGSCADHPCSCSGSNCCARWSFMGALSVVLPCLLCYLPATGCVKLAQRGYDRLRRPGCRCKHTNSVICKAASGDTKTSRSDKPF
NP_036801.1 293 628 0.61009255952381 PF04812.13:HNF-1B_C:5:246,PF04813.12:HNF-1A_C:248:335 Hepatocyte nuclear factor 1-alpha; HNF-1-alpha; HNF-1A; Liver-specific transcription factor LF-B1; LFB1; Transcription factor 1; TCF-1 628 330 20 336 0 Rattus norvegicus (Rat) SwissProt::P15257 1 SwissProt PGPGPALPAHSSPGLPTTTLSPSKVHGVRYGQSATSEAAEVPSSSGGPLVTVSAALHQVSPTGLEPSSLLSTEAKLVSATGGPLPPVSTLTALHSLEQTSPGLNQQPQNLIMASLPGVMTIGPGEPASLGPTFTNTGASTLVIGLASTQAQSVPVINSMGSSLTTLQPVQFSQPLHPSYQQPLMPPVQSHVAQSPFMATMAQLQSPHALYSHKPEVAQYTHTSLLPQTMLITDTNLSTLASLTPTKQVFTSDTEASSEPGLHEPSSPATTIHIPSQDPSNIQHLQPAHRLSTSPTVSSSSLVLYQSSDSNGHSHLLPSNHGVIETFISTQMASSSQ
NP_037508.2 1 236 0.55563093220339 PF06031.13:SERTA:45:79 SERTA domain-containing protein 1; CDK4-binding protein p34SEI1; SEI-1; p34(SEI-1); Transcriptional regulator interacting with the PHD-bromodomain 1; TRIP-Br1 236 35 20 236 0 Homo sapiens (Human) SwissProt::Q9UHV2 1 SwissProt MLSKGLKRKREEEEEKEPLAVDSWWLDPGHTAVAQAPPAVASSSLFDLSVLKLHHSLQQSEPDLRHLVLVVNTLRRIQASMAPAAALPPVPSPPAAPSVADNLLASSDAALSASMASLLEDLSHIEGLSQAPQPLADEGPPGRSIGGAAPSLGALDLLGPATGCLLDDGLEGLFEDIDTSMYDNELWAPASEGLKPGPEDGPGKEEAPELDEAELDYLMDVLVGTQALERPPGPGR
NP_038466.2 113 533 0.691085273159144 Ubiquilin-1; Protein linking IAP with cytoskeleton 1; PLIC-1; hPLIC-1 589 0 20 421 0 Homo sapiens (Human) SwissProt::Q9UMX0 1 SwissProt QDHSAQQTNTAGSNVTTSSTPNSNSTSGSATSNPFGLGGLGGLAGLSSLGLNTTNFSELQSQMQRQLLSNPEMMVQIMENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHMLNNPDIMRQTLELARNPAMMQEMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMLSAAQEQFGGNPFASLVSNTSSGEGSQPSRTENRDPLPNPWAPQTSQSSSASSGTASTVGGTTGSTASGTSGQSTTAPNLVPGVGASMFNTPGMQSLLQQITENPQLMQNMLSAPYMRSMMQSLSQNPDLAAQMMLNNPLFAGNPQLQEQMRQQLPTFLQQMQNPDTLSAMSNPRAMQALLQIQQGLQTLATEAPGLIPGFTPGLGALGSTGGSSGTNGSNATPSENTSPTAGTTEPGHQQFIQQMLQAL
NP_040507.1 92 289 0.578416161616162 PF02703.14:Adeno_E1A:1:198 control protein E1A 289 198 20 198 0 Human mastadenovirus C NP_040507.1 1 RefSeq PPHLSRQPEQPEQRALGPVSMPNLVPEVIDLTCHEAGFPPSDDEDEEGEEFVLDYVEHPGHGCRSCHYHRRNTGDPDIMCSLCYMRTCGMFVYSPVSEPEPEPEPEPEPARPTRRPKLVPAILRRPTSPVSRECNSSTDSCDSGPSNTPPEIHPVVPLCPIKPVAVRVGGRRQAVECIEDLLNESGQPLDLSCKRPRP
NP_045423.1 1 206 0.0621368932038835 PF17044.5:BPTA:16:206 Protein BptA; Borrelial persistence in ticks protein A 206 191 20 188 1 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::P0CL63 1 SwissProt MGKILFFGLLLICIFLGFFFYKQKENNVIYNKIVEKFDDNVFVDETYTYLFKDSNLKELVFIKSQLIIPELKHKKMIKATGYRADAYKALSTVYRFDFKVHDNKILGFKSVIFEGFEDAKVSKHENNLPSEKWQQLKDFNIGDPNINEKFFHLEFPFVVKNTLCVTISKGFFKKIKKLKRLKIMLISNEDREYKIDIENFLPKYNL
NP_045633.1 1 257 0.383076653696498 PF03207.13:OspD:1:254 outer surface protein D 257 254 20 257 0 Borreliella burgdorferi B31 NP_045633.1 1 RefSeq MKKLIKILLLSLFLLLSISCVHDKQELSSKSNLNNQKGYLDNEGANSNYESKKQSILSELNQLLKQTTNSLKEAKNTTDNLNASNEANKVVEAVINAVNLISSAADQVKSATKNMHDLAQMAEIDLEKIKNSSDKAIFASNLAKEAYSLTKAAEQNMQKLYKEQQKISESESESDYSDSAEIKQAKEAVEIAWKATVEAKDKLIDVENTVKETLDKIKTETTNNTKLADIKEAAELVLQIAKNAKEIVQEVVALLNT
NP_055090.1 1 548 0.204155109489051 PF04666.13:Glyco_transf_54:108:387 Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase B; N-glycosyl-oligosaccharide-glycoprotein N-acetylglucosaminyltransferase IVb; GlcNAc-T IVb; GnT-IVb; N-acetylglucosaminyltransferase IVb; UDP-N-acetylglucosamine: alpha-1,3-D-mannoside beta-1,4-N-acetylglucosaminyltransferase IVb; EC 2.4.1.145 548 280 20 525 1 Homo sapiens (Human) SwissProt::Q9UQ53 1 SwissProt MRLRNGTFLTLLLFCLCAFLSLSWYAALSGQKGDVVDVYQREFLALRDRLHAAEQESLKRSKELNLVLDEIKRAVSERQALRDGDGNRTWGRLTEDPRLKPWNGSHRHVLHLPTVFHHLPHLLAKESSLQPAVRVGQGRTGVSVVMGIPSVRREVHSYLTDTLHSLISELSPQEKEDSVIVVLIAETDSQYTSAVTENIKALFPTEIHSGLLEVISPSPHFYPDFSRLRESFGDPKERVRWRTKQNLDYCFLMMYAQSKGIYYVQLEDDIVAKPNYLSTMKNFALQQPSEDWMILEFSQLGFIGKMFKSLDLSLIVEFILMFYRDKPIDWLLDHILWVKVCNPEKDAKHCDRQKANLRIRFKPSLFQHVGTHSSLAGKIQKLKDKDFGKQALRKEHVNPPAEVSTSLKTYQHFTLEKAYLREDFFWAFTPAAGDFIRFRFFQPLRLERFFFRSGNIEHPEDKLFNTSVEVLPFDNPQSDKEALQEGRTATLRYPRSPDGYLQIGSFYKGVAEGEVDPAFGPLEALRLSIQTDSPVWVILSEIFLKKAD
NP_055187.1 1 81 0.763107407407408 RING finger protein 11 154 0 20 81 0 Homo sapiens (Human) SwissProt::Q9Y3C5 1 SwissProt MGNCLKSPTSDDISLLHESQSDRASFGEGTEPDQEPPPPYQEQVPVPVYHPTPSQTRLATQLTEEEQIRIAQRIGLIQHLP
NP_056529.1 1 103 0.291665048543689 PF15103.6:G0-G1_switch_2:1:103 G0/G1 switch protein 2; G0/G1 switch regulatory protein 2; Putative lymphocyte G0/G1 switch gene 103 103 20 80 1 Homo sapiens (Human) SwissProt::P27469 1 SwissProt METVQELIPLAKEMMAQKRKGKMVKLYVLGSVLALFGVVLGLMETVCSPFTAARRLRDQEAAVAELQAALERQALQKQALQEKGKQQDTVLGGRALSNRQHAS
NP_056530.2 249 509 0.499905363984674 PF05826.12:Phospholip_A2_2:118:179 Group 3 secretory phospholipase A2; Group III secretory phospholipase A2; GIII sPLA2; sPLA2-III; Phosphatidylcholine 2-acylhydrolase 3; EC 3.1.1.4 509 62 20 261 0 Homo sapiens (Human) SwissProt::Q9NZ20 1 SwissProt EQEACVAWYWWGGCRMYGTVPLARLQPRTFYNASWSSRATSPTPSSRSPAPPKPRQKQHLRKGPPHQKGSKRPSKANTTALQDPMVSPRLDVAPTGLQGPQGGLKPQGARWVCRSFRRHLDQCEHQIGPREIEFQLLNSAQEPLFHCNCTRRLARFLRLHSPPEVTNMLWELLGTTCFKLAPPLDCVEGKNCSRDPRAIRVSARHLRRLQQRRHQLQDKGTDERQPWPSEPLRGPMSFYNQCLQLTQAARRPDRQQKSWSQ
NP_058596.1 1 162 0.0854938271604939 PF01146.17:Caveolin:38:159 caveolin-2 isoform 1 162 122 20 139 1 Mus musculus NP_058596.1 1 RefSeq MGLETEKADVQLFMADDAYSHHSGVDYADPEKYVDSSHDRDPHQLNSHLKLGFEDLIAEPETTHSFDKVWICSHALFEISKYVMYKFLTVFLAIPLAFIAGILFATLSCLHIWILMPFVKTCLMVLPSVQTIWKSVTDVVIGPLCTSVGRSFSSVSMQLSHD
NP_061342.1 1 132 0.217463636363636 PF14931.6:IFT20:10:128 Intraflagellar transport protein 20 homolog; mIFT20 132 119 20 132 0 Mus musculus (Mouse) SwissProt::Q61025 1 SwissProt MAKDILGEAGLHFDELNKLRVLDPEVTQQTVELKEECKDFVDKIGQFQKIVGGLIELVDQLAKEAENEKMKAIGARNLLKSIAKQREAQQQQLQALIAEKKTQLERYRVEYEALCKVEAEQNEFIDQFIFQK
NP_062388.1 1 174 0.29282816091954 PF02070.15:NMU:144:166 neuromedin-U precursor 174 23 20 174 0 Mus musculus NP_062388.1 1 RefSeq MSRAAGHRPGLSAGQLAAATASPLLSLLLLLACCADACKGVPISPQRLQPEQELQLWNEIHEACASFLSIDSRPQASVALRELCRIVMEISQKPQEQSEKDNTKRFLFHYSKTQKLGNSNVVSSVVHPLLQLVPQLHERRMKRFKAEYQSPSVGQSKGYFLFRPRNGKRSTSFI
NP_062762.1 1 172 0.533127325581396 PF14712.6:Snapin_Pallidin:52:140 Biogenesis of lysosome-related organelles complex 1 subunit 6; BLOC-1 subunit 6; Pallid protein; Pallidin; Syntaxin 13-interacting protein 172 89 20 172 0 Mus musculus (Mouse) SwissProt::Q9R0C0 1 SwissProt MSVPEPPPPDGVLTGPSDSLEAGEPTPGLSDTSPDEGLIEDFPVDDRAVEHLVGGLLSHYLPDLQRSKRALQELTQNQVVLLDTLEQEISKFKECHSMLDINALFTEAKHYHAKLVTIRKEMLLLHEKTSKLKKRALKLQQKRQREELEREQQREKEFEREKQLTAKPAKRT
NP_065096.2 133 558 0.147496948356808 Deleted in azoospermia protein 2 558 0 20 426 0 Homo sapiens (Human) SwissProt::Q13117 1 SwissProt PPPPPQFQNVWRNPNTETYLQPQITPNPVTQHVQAYSAYPHSPGQVITGCQLLVYNYQEYPTYPDSAFQVTTGYQLPVYNYQPFPAYPRSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQPFPAYPSSPFQVTAGYQLPVYNYQAFPAYPNSPFQVATGYQFPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPNSPVQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPSSPFQVTTGYQLPVYNYQAFPAYPNSAVQVTTGYQFHVYNYQMPPQCPVGEQRRNLWTEAYKWWYLVCLIQRRD 3
NP_065842.1 321 1001 0.635297503671072 Serine/threonine-protein kinase TAO1; Kinase from chicken homolog B; hKFC-B; MARK Kinase; MARKK; Prostate-derived sterile 20-like kinase 2; PSK-2; PSK2; Prostate-derived STE20-like kinase 2; Thousand and one amino acid protein kinase 1; TAOK1; hTAOK1; EC 2.7.11.1 1001 0 20 681 0 Homo sapiens (Human) SwissProt::Q7L7X3 1 SwissProt HNGPAVEAQEEEEEQDHGVGRTGTVNSVGSNQSIPSMSISASSQSSSVNSLPDVSDDKSELDMMEGDHTVMSNSSVIHLKPEEENYREEGDPRTRASDPQSPPQVSRHKSHYRNREHFATIRTASLVTRQMQEHEQDSELREQMSGYKRMRRQHQKQLMTLENKLKAEMDEHRLRLDKDLETQRNNFAAEMEKLIKKHQAAMEKEAKVMSNEEKKFQQHIQAQQKKELNSFLESQKREYKLRKEQLKEELNENQSTPKKEKQEWLSKQKENIQHFQAEEEANLLRRQRQYLELECRRFKRRMLLGRHNLEQDLVREELNKRQTQKDLEHAMLLRQHESMQELEFRHLNTIQKMRCELIRLQHQTELTNQLEYNKRRERELRRKHVMEVRQQPKSLKSKELQIKKQFQDTCKIQTRQYKALRNHLLETTPKSEHKAVLKRLKEEQTRKLAILAEQYDHSINEMLSTQALRLDEAQEAECQVLKMQLQQELELLNAYQSKIKMQAEAQHDRELRELEQRVSLRRALLEQKIEEEMLALQNERTERIRSLLERQAREIEAFDSESMRLGFSNMVLSNLSPEAFSHSYPGASGWSHNPTGGPGPHWGHPMGGPPQAWGHPMQGGPQPWGHPSGPMQGVPRGSSMGVRNSPQALRRTASGGRTEQGMSRSTSVTSQISNGSHMSYT
NP_068358.2 1 530 0.127108113207547 PF07690.16:MFS_1:105:420,PF00083.24:Sugar_tr:117:261 vesicular acetylcholine transporter 530 316 20 307 10 Mus musculus NP_068358.2 1 RefSeq MEPTAPTGQARAAATKLSEAVGAALQEPQRQRRLVLVIVCVALLLDNMLYMVIVPIVPDYIAHMRGGSESPTLISEVWEPTLPPPTLANASAYLANTSASPTAAGSARSILRPRYPTESEDVKIGVLFASKAILQLLVNPLSGPFIDRMSYDVPLLIGLGVMFASTVMFAFAEDYATLFAARSLQGLGSAFADTSGIAMIADKYPEEPERSRALGVALAFISFGSLVAPPFGGILYEFAGKRVPFLVLAAVSLFDALLLLAVAKPFSAAARARANLPVGTPIHRLMLDPYIAVVAGALTTCNIPLAFLEPTIATWMKHTMAASEWEMGMVWLPAFVPHVLGVYLTVRLAARYPHLQWLYGALGLAVIGVSSCVVPACRSFAPLVVSLCGLCFGIALVDTALLPTLAFLVDVRHVSVYGSVYAIADISYSVAYALGPIVAGHIVHSLGFEQLSLGMGLANLLYAPVLLLLRNVGLLTRSRSERDVLLDEPPQGLYDAMRLREVQGKDGGEPCSPPGPFDGCEDDYNYYSRS
NP_071313.1 1 468 0.25337670940171 PF00083.24:Sugar_tr:193:384,PF07690.16:MFS_1:174:467 Synaptic vesicle glycoprotein 2A; Synaptic vesicle protein 2; Synaptic vesicle protein 2A; Calcium regulator SV2A 742 294 20 310 7 Mus musculus (Mouse) SwissProt::Q9JIS5 1 SwissProt MEEGFRDRAAFIRGAKDIAKEVKKHAAKKVVKGLDRVQDEYSRRSYSRFEEEDDDDDFPAPADGYYRGEGAQDEEEGGASSDATEGHDEDDEIYEGEYQGIPRAESGGKGERMADGAPLAGVRGGLSDGEGPPGGRGEAQRRKDREELAQQYETILRECGHGRFQWTLYFVLGLALMADGVEVFVVGFVLPSAEKDMCLSDSNKGMLGLIVYLGMMVGAFLWGGLADRLGRRQCLLISLSVNSVFAFFSSFVQGYGTFLFCRLLSGVGIGGSIPIVFSYFSEFLAQEKRGEHLSWLCMFWMIGGVYAAAMAWAIIPHYGWSFQMGSAYQFHSWRVFVLVCAFPSVFAIGALTTQPESPRFFLENGKHDEAWMVLKQVHDTNMRAKGHPERVFSVTHIKTIHQEDELIEIQSDTGTWYQRWGVRALSLGGQVWGNFLSCFSPEYRRITLMMMGVWFTMSFSYYGLTVWF
NP_075253.2 1 191 0.478447643979058 PF15703.5:LAT2:29:185 linker for activation of T-cells family member 2 isoform b precursor 191 157 20 168 1 Mus musculus NP_075253.2 1 RefSeq MSAELELLWPVSGLLLLLLGATAWLCVHCSRPGVKRNEKIYEQRNRQENAQSSAAAQTYSLARQVWPGPQMDTAPNKSFERKNKMLFSHLEGSNQEPDAAYVDPIPTNYYNWGCFQKPSEDDDSNSYENVLVCKPSTPESGVEDFEDYQNSVSIHQWRESKRTMGAPMSLSGSPDEEPDYVNGDVAAAENI
NP_076995.1 1 265 0.0166033962264151 PF01151.18:ELO:25:260 Elongation of very long chain fatty acids protein 6; 3-keto acyl-CoA synthase ELOVL6; ELOVL fatty acid elongase 6; ELOVL FA elongase 6; Fatty acid elongase 2; hELO2; Fatty acyl-CoA elongase; Long-chain fatty-acyl elongase; Very long chain 3-ketoacyl-CoA synthase 6; Very long chain 3-oxoacyl-CoA synthase 6; EC 2.3.1.199 265 236 20 135 6 Homo sapiens (Human) SwissProt::Q9H5J4 0 SwissProt MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAYMVYILMTKGLKQSVCDQGFYNGPVSKFWAYAFVLSKAPELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSYYALRAAGFRVSRKFAMFITLSQITQMLMGCVVNYLVFCWMQHDQCHSHFQNIFWSSLMYLSYLVLFCHFFFEAYIGKMRKTTKAE
NP_077804.1 61 195 0.868515555555555 PF01517.18:HDV_ag:1:135 Small delta antigen; S-HDAg; p24 195 135 20 135 0 Hepatitis delta virus genotype I (isolate D380) (HDV) SwissProt::P0C6L3 1 SwissProt KDKDGEGAPPAKRARTDQMEVDSGPRKRPSRGGFTDKERQDHRRRKALENKRKQLSAGGKNLSKEEEEELRRLTEEDERRERRIAGPQVGGVNPLEGGTRGAPGGGFVPSMQGVPESPFTRTGEGLDIRGSQGFP
NP_079358.3 1 464 0.778467672413793 PF16171.5:CENP-T_N:1:342,PF16171.5:CENP-T_N:356:415 Centromere protein T; CENP-T; Interphase centromere complex protein 22 561 402 20 464 0 Homo sapiens (Human) SwissProt::Q96BT3 1 SwissProt MADHNPDSDSTPRTLLRRVLDTADPRTPRRPRSARAGARRALLETASPRKLSGQTRTIARGRSHGARSVGRSAHIQASGHLEEQTPRTLLKNILLTAPESSILMPESVVKPVPAPQAVQPSRQESSCGSLELQLPELEPPTTLAPGLLAPGRRKQRLRLSVFQQGVDQGLSLSQEPQGNADASSLTRSLNLTFATPLQPQSVQRPGLARRPPARRAVDVGAFLRDLRDTSLAPPNIVLEDTQPFSQPMVGSPNVYHSLPCTPHTGAEDAEQAAGRKTQSSGPGLQKNSPGKPAQFLAGEAEEVNAFALGFLSTSSGVSGEDEVEPLHDGVEEAEKKMEEEGVSVSEMEATGAQGPSRVEEAEGHTEVTEAEGSQGTAEADGPGASSGDEDASGRAASPESASSTPESLQARRHHQFLEPAPAPGAAVLSSEPAEPLLVRHPPRPRTTGPRPRQDPHKAGLSHYV
NP_082348.2 394 875 0.326492738589212 PF12548.8:DUF3740:140:275 extracellular sulfatase Sulf-2 isoform 1 precursor 875 136 20 482 0 Mus musculus NP_082348.2 1 RefSeq RPVNRFHLKKKLRVWRDSFLVERGKLLHKREGDKVNAQEENFLPKYQRVKDLCQRAEYQTACEQLGQKWQCVEDASGTLKLHKCKGPMRFGGGGGSRALSNLVPKYDGQSSEACSCDSGGGGDYKLGLAGRRKLFKKKYKTSYARNRSIRSVAIEVDGEIYHVGLDTVPQPRNLSKPHWPGAPEDQDDKDGGSFSGTGGLPDYSAPNPIKVTHRCYILENDTVQCDLDLYKSLQAWKDHKLHIDHEIETLQNKIKNLREVRGHLKKKRPEECDCHRISYHSQHKGRLKHKGSSLHPFRKGLQEKDKVWLLREQKRKKKLRKLLKRLQNNDTCSMPGLTCFTHDNHHWQTAPLWTLGPFCACTSANNNTYWCLRTINETHNFLFCEFATGFIEYFDLSTDPYQLMNAVNTLDRDVLNQLHVQLMELRSCKGYKQCNPRTRNMDLGLRDGGSYEQYRQFQRRKWPEMKRPSSKSLGQLWEGWEG
NP_083163.3 581 2007 0.300731955150665 PF06470.13:SMC_hinge:1142:1267 Structural maintenance of chromosomes flexible hinge domain-containing protein 1; SMC hinge domain-containing protein 1; EC 3.6.1.- 2007 126 20 1427 0 Mus musculus (Mouse) SwissProt::Q6P5D8 1 SwissProt GIITRPDLPTKKQGPWATFSAIEWDGKIYKAGQLVKTIKTLPLCYGSIVRFFLHGDHDGEVYATGGEVQIAMEPQALYDEIKTVPIAKLDRTVAEKTIRKYVEDEMARLPDRLSVTWPEGDELLPNEVRPAGTPIGALRIEILNKKGEAMQKLPGTSHGGSKKLLVELKVILHTSSGNKEIISHISQHGGKWPYWFKKMENIQKLGNYTLKLQVVLNESNADTYAGRSLPSKVIKFSVKEGKPEKFSFGLLDSPFRVGVPFNIPLELQDEFGHTTQLLSDIEPVLEASGLSLHYEGITKGPNCVIQGVVAKGPVNSCQGKNFNLKVILPGLKEDSQILKIRLLPGPPHQLKVKPDSEVLVIENGTAFPFQVEVVDESDNITAQPKLIVHCKFLGAPNLPVYTVDCSSSGTSILTGSPIQVQNIKKDQKTLTARIEIPSCKDVSPVEKTIKLLPSSHAACLQIFSVEEQKAIQIKHQDEVTWVAGDVIRNLIFQMYDEGEREINITPSLAEKIKVNWTPEVNKEHLVQGLLPDVQVPTSVKDVRYCHVSFQDDHVCLESAFTVRPLPDDPKHLKCELKGGKTVQMGQELQGEIVVIIADQYGNQISSFSPDSLSTLSITGDGLDSSNLKITLEANSQSVSVQGIRFTPGPPGPKDLCFTWREFSDFLRVQLVSGPPTKLLLMDWPELKESIPVINGRQLENPLIVQLCDQWDNPALVPNVKICLIKASSLRLLPSNQQHKTDDKGRANLGVFTVCAPRGEHTVQVKGVYNKSTIEGPTIKLTILPDPEKPIRLNVKYDQDASFIAGDIFTDFMVSVISESGSVIKNINPTRISMKMWKLSSGMSRPPANAETFSCNKIKGNDKEDGCFYFREKTIPNKVGAYCIQFDFMIDKTNILSSQQVIVDVLPNQPMKLVPDSQPATPAVSNVRSIASRTLVKDLRLSITDNYGNHTGMDLVGTVVATIKGFNEEDTDTPLFIGKVRTLEFPFVKGSAEITTLVLAENSPGRDSTEYFIIFEPRLSTVSGTLESYSLPFMFYNDVKKQQQMAALTKEKDELSKSITMYRSLFDANKQLVDEMKCQAEEAKLKETQLRNELKAYNIDIPATQQTTHIEALLEKKITEQNELKKRPRRLCTLPNYTKRSGDILGKIAHLAQIEDDRAAMVISWHLASDMDCVVTLTTDAARAIYDETQGRQQVLPLDSIYRKTLPDWKRPLPHFRNGKLHFKPFGNPVFARDLLTFPDNIEHCETVFGMLLGDTIILDNLDAANHYRKEVVKITHCPTLLTRDGDRIRSNGKFGGLQNKAPPMDKLRGMVFGAPVPKQCVVLGKQIDLIQQYRTALYRLSSVNEDLDNQLQYLHTPDMKKKKQELDEQEKSLKRIEQKLGMTPVRRCNESLCHSPKIEVTECPIPTKRMRRESTRQNRRPKGDVPN
NP_109998.1 1 1818 0.397879207920792 Cytadherence high molecular weight protein 2; Cytadherence accessory protein 2 1818 0 20 1818 0 Mycoplasma pneumoniae (strain ATCC 29342 / M129) SwissProt::P75471 1 SwissProt MNDTDKKFPLQPVYDTGFDDGYLQRDYEKCLESAAANDAQTVELQTQLLAEIKNLENEIKALKAQESRQPDPHNNARIQSLEASLNRLVNEYNNFEFQKNYMVDRVAELNNKARFFKDELKRLQQENAAFVNSRYANWADFQSNYQLKLDQFQALIDQQNQTIKQLNEQIAANQGLIDQNVQRLQQNHSLDQQERDALLYEVDHLYNELYELENQKRLVGIEYEATYQDLVSADAELQNVYETIAQNQANFQKQCDAYWAQLKQVEQQIQTTKQELVDEESTLKVRLNDADFYINSRLAELDDLTSKINERDFVSKEQAQDVKASLANLTKEKERLSAEKDSFERLRNTALNDINRMEQENALFAKHLEQQQYEFERKQQESLLKLETEHKQLQKRIGEFKIESEAKSEALLIQERELLEKRREIDDLLTQASLEYEQQRRTNQVLKEKHRQVQQHFQNLVHAKKKLDQKRHYLAEQKRIDEEQIFKLKEKIATERRELEKLYLVKKQKQDQKENDLLIFEKQLRQYQADFENEIEEKQNELFASQKSLQKSFTQLKNKEAELNQKAQKIAEDWAHLKQNKHHHADLEIFLEGEFNHLQQEKHKLLEARTQFDNRVSLLSARFKQKQAELVKQKQSLEQLTAAFNKEQEAVERDWKDRLANLEKQKEMLGDKVHQFDENSLNISKKLAERELAIKFKEKELEAAQKQLSLDNNNNAGLKLQLDKLSESLKTERLELEASKERILDFYDESSRRIADYESDLQARLAEVKTLEKNQQETAAKSERELKVALEKLNQAKKAFLQIRKQQLLEIASVKQQLAQKANLLKNQQAELDKQTEELEAAFLEQDTDKKELEKALHSVKSKQELLERERSFLLQKQREFAEHVAGFKRQVHFKTTQMQRLSEFNKQQQSEQIKRETELKIAFADLKKDYQLFELQKNQEFQQIEQKHKELELLAQKQAELKQELEQKATALASQDQDTVQAKLDLARQQHELELRQNAFNQASLSLNKQREQLTNQVKVLHGELKKRHEKLTLKDRLLAEKEKDQHKKDAEINQRFKQFENEYADFDQAKKRELQELNQIRRNLEQSNASLLKKRNQLTLDFALLRKVQHNTQTNRVQLNTQIKEFLLEKKNFQKASDEAALQKALLIKRLRSFASKLQLQREALAIQKLEFDKRDEQQKSEINNAKLQLEQFKLEKQNFDEAKQKQLIEFKDQCQRLDVEKRLLKQKLVQLKNLSKSYLTYKNRADLSQQQLQHKYANLLELKEKLQTAKRALDKKHRAIYGKMAQFVSELRQEKKQLLSAQKQVDDKSRLLEQNQRHLQNLSSETKKKRQSLEHDINKFDQRRKEAVSSILNSHKKLKQKEGELQGILQKLSLKKTQIEQEFSKLYQQREKLDRQRTTLSKLHRELKAQNEATAHKNREVLEIENYYKKELQRLTTEKSEFDNNKNRLFEYFRKIRNEIEKKEAHIKTVLEETQKKRHLVETEAVKLHLQKQSIISKGQELKEIKERVSRDISHTNKQREELNSLLHQNKLLQKNLAEREREINNKDSLLTQKIQTAKQKLSEKEARILKLLEKMRAVEQQYQAEITRLKTRNADLEKNDNKHLFPPLFKINGNDMNYPYPYPWFYPQQKQEDSSNQIRHLFEQQLQFMQQRYENELTELRRQRALLEKKLDQIQLESQLSAKKNDFEKVEQMMQKLLEKTEQKLSAFDQKINALAEQINTQKAEHADSEKQQLLLRIEQLEKQNLAQAVQTPQPVQPVVQAPAVVPQVIQPQVVQSQPAFLATQQSISKQQQIAQLNAEINSIKKLIAQKAAK
NP_115853.2 1 243 0.524055144032922 PF15703.5:LAT2:28:152,PF15703.5:LAT2:183:238 Linker for activation of T-cells family member 2; Linker for activation of B-cells; Membrane-associated adapter molecule; Non-T-cell activation linker; Williams-Beuren syndrome chromosomal region 15 protein; Williams-Beuren syndrome chromosomal region 5 protein 243 181 20 223 1 Homo sapiens (Human) SwissProt::Q9GZY6 1 SwissProt MSSGTELLWPGAALLVLLGVAASLCVRCSRPGAKRSEKIYQQRSLREDQQSFTGSRTYSLVGQAWPGPLADMAPTRKDKLLQFYPSLEDPASSRYQNFSKGSRHGSEEAYIDPIAMEYYNWGRFSKPPEDDDANSYENVLICKQKTTETGAQQEGIGGLCRGDLSLSLALKTGPTSGLCPSASPEEDEESEDYQNSASIHQWRESRKVMGQLQREASPGPVGSPDEEDGEPDYVNGEVAATEA
NP_116034.2 1 353 0.10061671388102 PF10579.9:Rapsyn_N:1:80,PF13181.6:TPR_8:209:238,PF13639.6:zf-RING_2:304:344 43 kDa receptor-associated protein of the synapse isoform 2 353 151 20 353 0 Homo sapiens NP_116034.2 1 RefSeq MGQDQTKQQIEKGLQLYQSNQTEKALQVWTKVLEKSSDLMGRFRVLGCLVTAHSEMGRYKEMLKFAVVQIDTARELEDADFLLESYLNLARSNEKLCEFHKTISYCKTCLGLPGTRAGAQLGGQVSLSMGNAFLGLSVFQKALESFEKALRYAHNNDDAMLECRVCCSLGSFYAQVKDYEKALFFPCKAAELVNNYGKGWSLKYRAMSQYHMAVAYRLLGRLGSAMECCEESMKIALQHGDRPLQALCLLCFADIHRSRGDLELSQLKLHCLSESIYRSKGLQRELRAHVVRFHECVEETELYCGLCGESIGEKNSRLQALPCSHIFHLRCLQNNGTRSCPNCRRSSMKPGFV
NP_116686.3 1 650 0.140539384615385 Sulfite reductase [NADPH] flavoprotein component; EC 1.8.1.2 1035 0 20 650 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39692 1 SwissProt MPVEFATNPFGEAKNATSLPKYGTPVTAISSVLFNNVDSIFAYKSFSQPDLLHQDLKKWSEKRGNESRGKPFFQELDIRSGAGLAPLGFSHGLKNTTAIVAPGFSLPYFINSLKTVSHDGKFLLNVGALNYDNATGSVTNDYVTALDAASKLKYGVVTPISANEVQSVALLALAIATFSNNSGAINLFDGLNYSKTVLPLVESVPEASILAKLSKVIAPDAAFDDVLDKFNELTGLRLHNFQYFGAQDAETVFITYGSLESELFNSAISGNNSKIGLINVRVPLPFNVAKFVTHVPSTTKQIVVIGQTLDGSSPSFLRSQVSAALFYHGRTSISVSEYIYQPDFIWSPKAVKSIVSSFIPEFTYNADSSFGEGFIYWASDKSINIDVASKLVKALSLEDGKFVSLRTKFDNLANAGTFQAQFVTSKEQIPVSNIDSTKLSVVEDVSLLKHLDVAATVAEQGSIALVSQKAVKDLDLNSVESYVKNLGIPESFLISIAKKNIKLFIIDGETTNDESKLSLFIQAVFWKLAFGLDVAECTNRIWKSIDSGADISAASISEFLTGAFKNFLSEVPLALYTKFSEINIEKKEDEEEPAALPIFVNETSFLPNNSTIEEIPLPETSEISDIAKKLSFKEAYEVENKLRPDLPVKN
NP_172319.1 1 493 0.235099594320487 PF11744.8:ALMT:13:359,PF04632.12:FUSC:56:209,PF13515.6:FUSC_2:54:178 Aluminum-activated malate transporter 1; AtALMT1 493 347 20 381 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJE9 1 SwissProt MEKVREIVREGIRVGNEDPRRIIHAFKVGLALVLVSSFYYYQPFGPFTDYFGINAMWAVMTVVVVFEFSVGATLGKGLNRGVATLVAGGLGIGAHQLARLSGATVEPILLVMLVFVQAALSTFVRFFPWVKTKFDYGILIFILTFALISLSGFRDEEIMDLAESRLSTVVIGGVSCILISIFVCPVWAGQDLHSLLASNFDTLSHFLQDFGDEYFEAREKGDYKVVEKRKKNLERYKSVLDSKSDEEALANYAEWEPPHGQFRFRHPWKQYVAVGALLRQCAYRIDALNSYINSDFQIPVDIKKKLETPLRRMSSESGNSMKEMSISLKQMIKSSSSDIHVSNSQAACKSLSTLLKSGILNDVEPLQMISLMTTVSMLIDIVNLTEKISESVHELASAARFKNKMRPTVLYEKSDSGSIGRAMPIDSHEDHHVVTVLHDVDNDRSNNVDDSRGGSSQDSCHHVAIKIVDDNSNHEKHEDGEIHVHTLSNGHLQ
NP_172724.2 1 462 0.054906277056277 PF03062.19:MBOAT:103:413 Lysophospholipid acyltransferase 1; AtLPLAT1; 1-acylglycerophosphocholine O-acyltransferase 1; 1-acylglycerophosphoethanolamine O-acyltransferase; 1-acylglycerophosphoserine O-acyltransferase; Lysophosphatidylcholine acyltransferase 1; LPCAT1; Lysophosphatidylethanolamine acyltransferase; LPEAT; Lysophosphatidylglycerol acyltransferase; LPGAT; Lysophosphatidylserine acyltransferase; LPSAT; EC 2.3.1.-; EC 2.3.1.23; EC 2.3.1.n7; EC 2.3.1.n6 462 311 20 285 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IDU4 1 SwissProt MDMSSMAGSIGVSVAVLRFLLCFVATIPVSFACRIVPSRLGKHLYAAASGAFLSYLSFGFSSNLHFLVPMTIGYASMAIYRPKCGIITFFLGFAYLIGCHVFYMSGDAWKEGGIDSTGALMVLTLKVISCSMNYNDGMLKEEGLREAQKKNRLIQMPSLIEYFGYCLCCGSHFAGPVYEMKDYLEWTEGKGIWDTTEKRKKPSPYGATIRAILQAAICMALYLYLVPQYPLTRFTEPVYQEWGFLRKFSYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDDASPKPKWDRAKNVDILGVELAKSAVQIPLVWNIQVSTWLRHYVYERLVQNGKKAGFFQLLATQTVSAVWHGLYPGYMMFFVQSALMIAGSRVIYRWQQAISPKMAMLRNIMVFINFLYTVLVLNYSAVGFMVLSLHETLTAYGSVYYIGTIIPVGLILLSYVVPAKPSRPKPRKEE
NP_176712.1 49 279 0.394246753246753 MADS-box transcription factor PHERES 1; Agamous-like MADS-box protein AGL37 279 0 20 231 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80805 1 SwissProt PYNSIQEPWPSREGVEEVMSKFMEFSVLDRTKKMVDQETFLRQRIAKETERLQKLRDENRNSQIRDLMFGCLKGEVDVSHLHGRDLLDLNVFLNKYLNGVIRRVEILKENGESSSSVPPPIGVAPTVVDASVPIGFDGRMIQDQNQNQQEPVQFQYQALYDFYDQIPKKLHDFNMKMNIDPNQSMNLDLNDGEDEGIPCMDNNNYHPEIDCLATVTTAPTDVCAPNIINDL
NP_177116.1 1 399 0.528814035087719 PF02701.15:zf-Dof:130:185 Cyclic dof factor 5; Dof zinc finger protein DOF1.10; AtDOF1.10; H-protein promoter-binding factor 2b 399 56 20 399 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SEZ3 1 SwissProt MSKSRDTEIKLFGRTITSLLDVNCYDPSSLSPVHDVSSDPSKEDSSSSSSSCSPTIGPIRVPVKKSEQESNKFKDPYILSDLNEPPKAVSEISSPRSSKNNCDQQSEITTTTTTSTTSGEKSTALKKPDKLIPCPRCESANTKFCYYNNYNVNQPRYFCRNCQRYWTAGGSMRNVPVGSGRRKNKGWPSSNHYLQVTSEDCDNNNSGTILSFGSSESSVTETGKHQSGDTAKISADSVSQENKSYQGFLPPQVMLPNNSSPWPYQWSPTGPNASFYPVPFYWGCTVPIYPTSETSSCLGKRSRDQTEGRINDTNTTITTTRARLVSESLRMNIEASKSAVWSKLPTKPEKKTQGFSLFNGFDTKGNSNRSSLVSETSHSLQANPAAMSRAMNFRESMQQ
NP_179571.1 1 359 0.43293286908078 Zinc finger CCCH domain-containing protein 20 359 0 20 359 0 Arabidopsis thaliana O82199 1 SwissProt/TReMBL MMIGESHRGFNPTVHIPPWPLSEDLTVSDIYGSPDGGSSMMEALAELQRYLPSNEPDPDSDPDLSGPDSPIDAYTCDHFRMYEFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKFHYSGTACPEFRKGCCKRGDACEFSHGVFECWLHPARYRTQPCKDGGNCRRRVCFFAHSPDQIRVLPNQSPDRVDSFDVLSPTIRRAFQFSISPSSNSPPVSPRGDSDSSCSLLSRSLGSNLGNDVVASLRNLQLNKVKSSLSSSYNNQIGGYGSGFGSPRGSVLGPGFRSLPTTPTRPGFMNIWENGLEEEPAMERVESGRELRAQLFEKLSKENCMGRIEPDPDQGAGDTPDVGWVSDLVM
NP_180263.5 122 382 0.518735632183908 myb domain protein 104 382 0 20 261 0 Arabidopsis thaliana NP_180263.5 1 RefSeq KGLPVYPDEVREQAIRTAAQYGVKVELLNAHYSQDSLMAGNVEKPQELNNLALNQCSPYYQSTLANVQPSRNRVMEPETTFPFTGGSAMNEQNPTLLCNPYVESTQEQLPDSHLFGNVTYSSPPMPLIHEVENLELPSFQGFDFHEEPSSFGAEQYNPMLNLEPHNTLVQSPLIGQTPTDFPSSFYDELLDELLESVVNGSLGEIPKTDTSSESQLFQSSLRSHTDATPDIANTTGYVGSNERNTTNDDDWIRLLLDEGFI
NP_181279.1 1 178 0.334063483146067 PF13912.6:zf-C2H2_6:47:71,PF13912.6:zf-C2H2_6:94:118,PF13894.6:zf-C2H2_4:94:116 Zinc finger protein ZAT11 178 50 20 178 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SLD4 1 SwissProt MKRERSDFEESLKNIDIAKCLMILAQTSMVKQIGLNQHTESHTSNQFECKTCNKRFSSFQALGGHRASHKKPKLTVEQKDVKHLSNDYKGNHFHKCSICSQSFGTGQALGGHMRRHRSSMTVEPSFISPMIPSMPVLKRCGSSKRILSLDLNLTPLENDLEYIFGKTFVPKIDMKFVL
NP_190667.2 1 128 0.813145312499999 PF00257.19:Dehydrin:47:127 Dehydrin Xero 1 128 81 20 128 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P25863 1 SwissProt MESYQNQSGAQQTHQQLDQFGNPFPATTGAYGTAGGAPAVAEGGGLSGMLHRSGSSSSSSSEDDGLGGRRRKKKGITEKIKEKLPGHHDSNKTSSLGSTTTAYDTGTVHHEKKGMMEKIKEKLPGGHH
NP_191365.2 1 411 0.172499513381995 PF01545.21:Cation_efflux:119:310,PF16916.5:ZT_dimer:316:392 Cation efflux family protein 411 269 20 304 5 Arabidopsis thaliana NP_191365.2 1 RefSeq MEVNYCPETPLLSSNDHEAIDHKPKLTGMVSSMKSNFFADLPQKLRSKIDPENPLHLDVSKAAGLKEDEKEYYERQLATLKSFEEVESFLARSDEYTIDEKEEEEDRAERAAQELAMQISNWANIFLLALKIYATVKSGSIAIAASTLDSLLDLMAGGILWFTHLSMKNVNIYKYPIGKLRVQPVGIIIFAAVMATLGFQVLLVAAEQLISNEPSEKMNHVQLIWLYSIMLSATAIKLVLWIYCKSSRNHIVRAYAKDHHFDVVTNVLGLVAAVLANAFYWWLDPTGAILLAIYTIVNWSGTVMENAVSLIGQSAPPEVLQKLTYLVMRQGGDNIKHVDTVRAYTFGVLYFVEVDIELPEDLPLKEAHAIGESLQIKLEELPEVERAFVHLDFECHHKPEHSVLSTIPNDL
NP_196703.1 1 366 0.201907103825137 PF04862.12:DUF642:26:182,PF04862.12:DUF642:194:360 transmembrane protein, putative (Protein of unknown function, DUF642) 366 324 20 343 1 Arabidopsis thaliana NP_196703.1 1 RefSeq MKGGSLSFLFVLLIATITSVICFSDGMLPNGDFELGPKPSDMKGTQVINKKAIPSWELSGFVEYIKSGQKQGDMLLVVPAGKFAIRLGNEASIKQRLNVTKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPIQTVYSSSGWDLYAWAFQAESNVAEIVIHNPGEEEDPACGPLIDGVAIKALYPPRPTNKNILKNGGFEEGPYVLPNATTGVLVPPFIEDDHSPLPAWMVESLKAIKYVDVEHFSVPQGRRAVELVAGKESAIAQVARTVVGKTYVLSFAVGDANNACQGSMVVEAFAGKDTLKVPYESRGKGGFKRASLRFVAVSTRTRVMFYSTFYSMRSDDFSSLCGPVIDDVKLLSARKP
NP_197854.1 1 94 0.445309574468085 Protein RESPONSE TO LOW SULFUR 2; Protein ENHANCED DE-ETIOLATION 6 94 0 20 94 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FIR9 1 SwissProt MGKGGNYVTVAASEVDELRRKNGEMEKAVEEMKKEMLQLWRRTQVAEEAEERLCSQLAELEAESLDQARDYHSRIIFLMNELSRLSSDSASASP
NP_198915.1 1 374 0.1498564171123 PF00657.22:Lipase_GDSL:38:354 GDSL esterase/lipase 1; Extracellular lipase 1; EC 3.1.1.- 374 317 20 328 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLN0 1 SwissProt MENSQLVSITFLAYTIIISIGSINCIDNNNLVTNQSALFVFGDSVFDAGNNNYIDTLSSVRSNYWPYGQTTFKSPTGRVSDGRLIPDFIAEYAWLPLIPPNLQPFNGNSQFAYGVNFASGGAGALVGTFSGLVINLRTQLNNFKKVEEMLRSKLGDAEGKRVISRAVYLFHIGLNDYQYPFTTNSSLFQSISNEKYVDYVVGNMTDVFKEVYNLGGRKFGILNTGPYDCAPASLVIDQTKIRSCFQPVTELINMHNEKLLNGLRRLNHELSGFKYALHDYHTSLSERMNDPSKYGFKEGKKACCGSGPLRGINTCGGRMGLSQSYELCENVTDYLFFDPFHLTEKANRQIAELIWSGPTNITGPYNLKALFELN
NP_217926.1 38 452 0.365293253012049 Cholesterol oxidase; Cholesterol isomerase; EC 1.1.3.6; EC 5.3.3.1 578 0 20 415 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMV9 1 SwissProt RFSDEEFAKTSWDLRKFLWAPRLGCYGIQRIHPLRNVMILAGAGVGGGSLNYANTLYVPPEPFFADQQWSHITDWRGELMPHYQQAQRMLGVVQNPTFTDADRIVKEVADEMGFGDTWVPTPVGVFFGPDGTKTPGKTVPDPYFGGAGPARTGCLECGCCMTGCRHGAKNTLVKNYLGLAESAGAQVIPMTTVKGFERRSDGLWEVRTVRTGSWLRRDRRTFTATQLVLAAGTWGTQHLLFKMRDRGRLPGLSKRLGVLTRTNSESIVGAATLKVNPDLDLTHGVAITSSIHPTADTHIEPVRYGKGSNAMGLLQTLMTDGSGPQGTDVPRWRQLLQTASQDPRGTIRMLNPRQWSERTVIALVMQHLDNSITTFTKRGKLGIRWYSSKQGHGEPNPTWIPIGNQVTRRIAAKID
NP_220182.1 1 133 0.168421052631579 PF05932.13:CesT:4:121 type III secretion system chaperone 133 118 20 133 0 Chlamydia trachomatis D/UW-3/CX NP_220182.1 1 RefSeq MLEKLIKNFVAYMGVASELEFDADGSYVFPISSLVRMRVRQNADEEIIISAFLGEIPASMDIEKAYARMMEGNLFGQETGGAALGLDSDGHAVLVRRVPGEVSQEDFASYIESVLNYAEAWLEDLGLSKTEQE
NP_294146.1 1 208 0.423750961538462 PF04098.15:Rad52_Rad22:3:132 Single-stranded DNA-binding protein DdrA; DNA damage response protein A 208 130 20 208 0 Deinococcus radiodurans (strain ATCC 13939 / DSM 20539 / JCM 16871 / LMG 4051 / NBRC 15346 / NCIMB 9279 / R1 / VKM B-1422) SwissProt::Q9RX92 1 SwissProt MKLSDVQKRLQAPFPAHTVSWKPAAFNAERTRALLLAHVDARAVQDRLDAVCPDDWSFEMEVVSGAEVPTVKGRLTVLGVTREDIGEAPEGSMAAYKAAASDAMKRCAVQFGIGRYLYDLPKQWADWDDARRGPKHLPELPEWARPDHERTPGGAHLVQAMEQLRYELPEDLDLQREVYKHLKAALGSIHPVPTGPVPTNPVQGGRAA
NP_446115.1 1 2070 0.568889613526571 PF00193.17:Xlink:151:244,PF00193.17:Xlink:252:346,PF07686.17:V-set:28:147 versican core protein isoform 2 precursor 2391 309 20 2070 0 Rattus norvegicus NP_446115.1 1 RefSeq MLINMNGILWMCSTLLLTHALHKAKMEENPPVKGSLSGKVILPCHFSTLPTLPPDYNTSEFLRIKWSKIEVDKNGKDIKETTVLVAQDGNIKIGQDYKGRVSVPTHPDDVGDASLTMVKLRASDAGVYRCDVMYGIEDTQNTMSLAVDGVVFHYRAATSRYTLNFESAQQACLDIGAVIATPEQLFAAYEDGFEQCDAGWLSDQTVRYPIRAPREGCYGDMMGKEGVRTYGFRSPQETYDVYCYVDHLDGDVFHITAPSKFTFEEAEAECANRDARLATVGELHAAWRNGFDQCDYGWLSDASVRHPVTVARAQCGGGLLGVRTLYRFENQTCFPLPDSRFDAYCFKRRMSDMVVNGHPIDSESKEEEPCSEETDPLHDLFAEILPELPDSFEIDIYHSEEDEDGEEDCVNATDVTTTPSVQYITGKPHVTTVPKNPEAAEARRGLYESVAPSQNFSNTSATDTHQFIPAETELSTTMQFTKSKEATELLEITWKPETYPETPEHFSSGEPDVFPTLPSHDGKTTKWSEFITESNPNTENPEHKQPKPIPLFPEEFSGEGAIDQASQQTIFSTATEVALGKETDQSPTISTSSIRSGSVSVHALEEDPIALTGISQTDESMSTVESWVEMTPSQTVEFSGSSSAPTIEGSGEVEEYTNKIFNTVTDLPQREPTDTLIPLDMSNIMITDHHIYTPATTAPLDSQLPSTDARPTQFGIQTTTSEWVSSTSFEGRKTEEDKERDTNAAHTGEVQPATERSDRLLLTSELESSNVAASSPLDTWEGFVPETTSTVSEKEMANTTPVFTETSDVANLETQSFEHSSSSQPRVQEELTTLSGKPPLIFMDLGSGDASTDMEFITASSFTLDLESDTKVKKELPSTLSPSVETSSSSEPIGLAPSTVLDIEIVEVMNQTSKKTLISELSGKPTSQAEVRDLYPGLGEDFSGDSSEYPTVSSTTMKEETVGMGGSENERVKDTQTLSSIPPTSDNINPVPDSKGFGSTVASTTAFPWEEFMTSAEGSGEELSSVRSSVSLVLPLGVDILPTTESPYFDQEFEEAAAVTEAGKQSALPIAVSGNTVDLTENRDIEVNSTMSVDLPQTMEPAKLWSKPEVNPEKQEIGSETVTQDKAQGQKSFESLHSSLAPEQTTLESQSLIETEVQTSYYSMLTTMKTYNTNEEVEEEGTSIAHMSTPGPGIKGLESYPTHPEATGKSYSFSASALVTESGPARSVVMDSSTQEEESIKLFQKDMILTHKESNSDLSFSGLGSGEALPPLPTTSVSLTDMGKINSTLYPETSHMESLGTSILGDNHERMKNVSNEVRTLISETGSISQDSTEAPNTTLSDTRTEESTTSPLPFMKLMDTEHSPKQTLRWEEEIQTHRPQTMTGQITNDNSSVSEAEAAATSAPAFLPETYSVEMTKAFATSLSQTSDLFDANSGEGSGEVDGLDLVYTSRTTQASSQGDSMFASHGFIEKHPEVSRTETGATDGSPTASAMFLHQSEYNESSLYPTSTLPSTVTYESPSEGIADGLQDHIRFEVSTLKPSRRKATESVIIDLDKEDSKDLGLAITESAIVEILPELTSDRNIIIDIDHTKPVYEYIPGIQTDLDSDIPLGSHGSSEESLEVQEKYEATINLSPTEEAFDGSGDALPAGHTQAIYNESVTPSDGKQPEDISFSFATGIPVSSTETELNTFFPTVSTLHIPSKLTTASPEIDKPNIEAISLDDIFESSTLSDGQAIADQSEVISTLGHLEKTQEEYEEKKYGGPSFQPEFFSGVGEVFTDAPAYVSIGRTYSVAQPLTEFPNVVGQSDSTHYTEATSAVSSVTELSPQTPSSPSPVYIDSGVSEFTEVPHKSAQPAPTAASSQKLIEGSFKEVRANIEATIKSLGENDHGTESPSMSPSPALDISEDDSKPKLLEDLETSPTKTETSQDSPNKANDQIPGKTAGILAGIKTTESGPVVTAADDMELGDATQRPHSASAPAAFRVETSMVPQPIPQEPERPTFPSLEINHETHTSLFEESILATSEKQVSQRILDYSNQATVSTLDLNTEHSIPPFSILDNSNETAFLIGI
NP_473364.1 1 93 0.0466860215053763 PF01099.17:Uteroglobin:1:90 Secretoglobin family 3A member 2; Pneumo secretory protein 1; PnSP-1; Uteroglobin-related protein 1 93 90 20 93 0 Homo sapiens (Human) SwissProt::Q96PL1 1 SwissProt MKLVTIFLLVTISLCSYSATAFLINKVPLPVDKLAPLPLDNILPFMDPLKLLLKTLGISVEHLVEGLRKCVNELGPEASEAVKKLLEALSHLV
NP_476600.1 1 311 0.618112218649518 Protein escargot; Protein fleabag 470 0 20 311 0 Drosophila melanogaster (Fruit fly) SwissProt::P25932 1 SwissProt MHTVEDMLVEKNYSKCPLKKRPVNYQFEAPQNHSNTPNEPQDLCVKKMEILEENPSEELINVSDCCEDEGVDVDHTDDEHIEEEDEDVDVDVDSDPNQTQAAALAAAAAVAAAAAASVVVPTPTYPKYPWNNFHMSPYTAEFYRTINQQGHQILPLRGDLIAPSSPSDSLGSLSPPPHHYLHGRASSVSPPMRSEIIHRPIGVRQHRFLPYPQMPGYPSLGGYTHTHHHHAPISPAYSENSYYSMRSMTPESSCSSSLPEDLSLKHKNLNLNLNTSQPGEQAAAKTGDMSPETMPNASAKKDKNQPPRYQC
NP_499926.1 255 962 0.454487570621469 PF10409.9:PTEN_C2:3:276 Phosphatidylinositol 3,4,5-trisphosphate 3-phosphatase and dual-specificity protein phosphatase daf-18; Abnormal dauer formation protein 18; EC 3.1.3.16; EC 3.1.3.48; EC 3.1.3.67 962 274 20 708 0 Caenorhabditis elegans SwissProt::G5EE01 1 SwissProt GSKIKVEVGNGSTILFKPDPLIISKSNHQRERATWLNNCDTPNEFDTGEQKYHGFVSKRAYCFMVPEDAPVFVEGDVRIDIREIGFLKKFSDGKIGHVWFNTMFACDGGLNGGHFEYVDKTQPYIGDDTSIGRKNGMRRNETPMRKIDPETGNEFESPWQIVNPPGLEKHITEEQAMENYTNYGMIPPRYTISKILHEKHEKGIVKDDYNDRKLPMGDKSYTESGKSGDIRGVGGPFEIPYKAEEHVLTFPVYEMDRALKSKDLNNGMKLHVVLRCVDTRDSKMMEKSEVFGNLAFHNESTRRLQALTQMNPKWRPEPCAFGSKGAEMHYPPSVRYSSNDGKYNGACSENLVSDFFEHRNIAVLNRYCRYFYKQRSTSRSRYPRKFRYCPLIKKHFYIPADTDDVDENGQPFFHSPEHYIKEQEKIDAEKAAKGIENTGPSTSGSSAPGTIKKTEASQSDKVKPATEDELPPARLPDNVRRFPVVGVDFENPEEESCEHKTVESIAGFEPLEHLFHESYHPNTAGNMLRQDYHTDSEVKIAEQEAKAFVDQLLNGQGVLQEFMKQFKVPSDNSFADYVTGQAEVFKAQIALLEQSEDFQRVQANAEEVDLEHTLGEAFERFGHVVEESNGSSKNPKALKTREQMVKETGKDTQKTRNHVLLHLEANHRVQIERRETCPELHPEDKIPRIAHFSENSFSDSNFDQAIYL
NP_505267.1 1206 3510 0.228774750542299 PF08005.12:PHR:298:449,PF03256.16:ANAPC10:1679:1798,PF00643.24:zf-B_box:2129:2173 E3 ubiquitin-protein ligase rpm-1; Pam/highwire/rpm-1 protein; Regulator of presynaptic morphology protein 1; Synapse defective protein 3; EC 2.3.2.- 3766 317 20 2305 0 Caenorhabditis elegans SwissProt::Q17551 1 SwissProt VGGSESRDESDSNKQLSISRDMSNLFSPAALKNVTAEGIGNLLILLEWALQRVQIDEDTNNQVEGSAENQWSQERAGFVAILSMKLISRFVRTVYKEKGCHDEPGIDFANKLVNLHSMLLEFFFSTDMTGYENRPLIKKEEKVVEEGYTLMKCVSEAVKLFISLSHCFMGSRSLMNAHLIAVMNKGNHEALILTSAIIGSLAKIERFAHQLLCSTTTTERFPMLSSLLLKHFNCEKETLASLTSFPNILRFLYDQTFMRNAYENTSSLAEAILVKVSRDLAIPTDDTLMGPVVHQTSSRFRRRSAQPTWDMSDGCADAIAFRVDSEGIKLHGFGIYLPTEPDRRNFVGEIMMLSPDSSEKWTCLLRVTAEMSSEEKEVGIVRFPEYVLLSPGVTYAVKVNMMKNTKTFCGEGGVTQVHLLNGARLFFSGCSMSQNGTTVQRGQLPYLIYSILDQSNSLQIKQETIYDTFTLLLRLMANKIGAAITEGGALPACCQHLMSHINPHVMVYMERFPDKALEMMSTMEQLIPMVSNLNGVERVFHSYDSDDSGCDTPYSGIVTTVVESQHPYKPNTSSSMVLLFEEADYICVRFSPDCQTAQFDDQLTIYLKIDEHSYMPIERCYGSEWPSYPMILPGNCLMFVLDASSAVEGATSEQMFGYHVTVTGYLVGYNDSTMRLEQDLVWLSANACRIMTQLPINPSNIEHLSTAEDDTRHLFEKHGSLLKKGLSLSHSPTLSELCTKGQPPPAQSADLQFLREFLSGHTSTSAGFLAKWLPTGSVVDASKCQLSLSHDDLIVGKAVTLKLLCKDQYDREVDCPKLQVEVFASLGHRNPSSTIHQNLHIGNLPSSLLIHQNPFQPIIVNHTRYMNIAAMPAYANYSVEEIRLGFMIEELVKDRVPLKSSDSSLFSGTWTPTTAGKYRIECKVDGSDISHTYTVEVTERPHRAGKGTITKPSGSRRGAQMTVARTVSIPFSSDFSGIRMRLGTTLASTSVGVIPRGALVEFIEEMDNDDGKWIRLTDETALLYGCNQGVGQVWCLAYHRPLQRELIPLKADTDREKAVKLRRKEIEKESNGSKHHSVSIDAKETYILSPNDVLQVYSTPAPHSMIDGEKIIGPCDLMSSGWLANRHGVWIKLTGVEKYVLQKNDPSSETSLSFSTNGNDEEDLERPIERKKTRLPNALTPSVADCIRAVFAAFVWHEHLVKDLMAAAAYLRFHQNLHNIWQSCEIPSCTNAPAALQPIVKIWREICEVVETSVEQHLIMPPVSNKAMRAETVKPPSRSGGCELCDANITVPLTVHLRMAHPGCGGDCLGYGYNSNGKFTTGWSGECGAGGRGQSPWYLLCNTCRSQYLKKTPAGHHQERTRRWREFRFSTSASDARPEVIIRQNAMFLLDLNSRLQTESNSSSTATSGWTINLFPTHLSTPSTMPRSQQKRLDVPPNNSVHQNSYMKLGYSSDPGPKVNVIMSPPNQGADQSASLNRPGPSAINEPAEVLQSPSAALRTLFSNTNPSTSALLKRPVLAFCVEHHDLKRIKSACVQSVRRAVAFSHAFRVWNWLLRMVSSEYSVSDIILQYLTTLTSYNRLAEYMFSAKKNSNILPHPWRLCFLAGPIAADMVTQLHAFLHTVSIILQSAGVDGRLKSLCFKSWTLQLTAQEQDLLILTCNILGTVGGILSDTSILDSDNRFVKEMKDITKFADITASSRQAMVICLTDESGETFWESGEEDKNRSRSLSVQLDESAHGEILSLFIDNARDEGYRISSIAFKAILEDGRRKDLTSLTLESAYCGWLKCCIKDISHIQIQFKGPNPASRIRQLMILGYPAKTTGTPRLAPSTSHHLFFSDTQRDAFALFQAISSQAFCGELSEDDTLRERVIDLLFSRVQLYPLQNYVYTQVVQAMEKEVELLCDKSKRNYSYCCGLMSLLVRICDSRGNMDSFGQRNSVLTSITQLLIFSPVVVQRQCLNSLECIFASFTPSNVEVPKIIRNLLVVVGKVIQLQVRDKAAHTVVTVHLCSSVLNAPQNWRVDKSIDMDIGRQTAVLVENLCNGTYTPEWSNATKCELANCLLSLIQMPESVSYTETLSTGGKSKAVAVVSSKRFWTAISSLSLIKDKSWLELSERWKTVQDEEDQEPVLLCENHDDGHTVAQVFCVDCDVALCKECFTVMHLHKKNRNHGVKNLVQSSTQHDINIHQGCARMKFLNFLILFHGEALNGMVEVAADTLFPTSTSSIQPAMQSSSAFLGIHPMTCRFCGNNVPVEDQSLDGTCTHEDCVNYAKTACQVMHTCNHFCGGIRNEEECLPCMTCKREDAA
NP_524835.1 1 962 0.528350727650727 Protein suppressor of underreplication 962 0 20 962 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VTE2 1 SwissProt MYHFVSEQTPEVRLTDEALVTSHVTQYLKSFQLDAVRFVYDRLAKREFCILNDESGLGKVATVAALLSALPPAKKTLVVLQNDEQLLTGWRFHLDTLTDLQVYIIQGVQDTTDSPHSVYLAKWSQLRSIGDLSRLKFDYIMVDNRGHSLNNSFCTSMLLKQFEGRVNVLISSVDVTSDVRLLYNVLRLGGRLEHQYKSFASFDRKFHLPDPKEVFSKRIDLEEYYKQRGFLSEYIKDFRLRRFRHQFDKSLPLVAPEQYKHNLNLWLASKNSQSTISGSDVCSTIASIDNNPAQQNKTGLFEETDRLSEHSVDDVAMSPLIFEYSESDDEPLTVEPDADQNPVLVVSSDDCEIVTPPSTPQNRTPSLNESPRTKSKKKFSKKTSPRKKADLTDSEEDDEATDNMPPRKRTRAATVHLTPKTRRLNVRILRVSLDTLSTPPPSRTTTAIVTPKTEPTARRKNLKKRTVSPVDVGRPATRGMQRLTRSAETKINSKYLKHRSLDDVKRSFPRRVKLEGNQTPRSSKQIVKQEPKSKVGQEKKQKTVDVPAQGTAKRKPGRPRKCQTKTEDLGKTKTKPNSKHLPPTPQVLSGSSLSSEYMQCAQRIPDNLDAIESPAFRVPFTPQQTPMLLTLPSTHNLLNDSEVVSIPLYKDPVETVVINSSHDECSPQDPSQSRRTKALKRKRKPVTSVNSSFGGGLGLPPAKRSANKSPDLFSISSEHSQIPLAQPRPSSPFEGFKIFGSEVKQFQQQLAKVNISVPKKKRDRSCLDILEQMFEPRQQQSAKTSPKVLPTLPLTQKDDAESTITQRRRTLLEDDFFEITNNGQFGSRMRLNSSGEVSPVQPDQQSVRPSQANKITNYLIGSGITQERTQPSNGNRNSILASLRKSPKSPKQGAKSTQATKLTRWFGSVFGGGASQTSSVESVSAPSTPVNSSTSAAACQTRSARSGGASGPTKRKRLELFK
NP_563743.2 1 1950 0.134938512820512 PF02364.15:Glucan_synthase:1048:1138,PF02364.15:Glucan_synthase:1142:1758,PF14288.6:FKS1_dom1:318:430,PF04652.16:Vta1:42:169 Callose synthase 1; 1,3-beta-glucan synthase; Protein GLUCAN SYNTHASE-LIKE 6; EC 2.4.1.34 1950 949 20 1679 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9AUE0 1 SwissProt MAQRREPDPPPPQRRILRTQTVGSLGEAMLDSEVVPSSLVEIAPILRVANEVEASNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLERENETTLAGRQKSDAREMQSFYQHYYKKYIQALLNAADKADRAQLTKAYQTAAVLFEVLKAVNQTEDVEVADEILETHNKVEEKTQIYVPYNILPLDPDSQNQAIMRLPEIQAAVAALRNTRGLPWTAGHKKKLDEDILDWLQSMFGFQKDNVLNQREHLILLLANVHIRQFPKPDQQPKLDDRALTIVMKKLFRNYKKWCKYLGRKSSLWLPTIQQEVQQRKLLYMGLYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGSVSPMTGEHVKPAYGGEDEAFLQKVVTPIYQTISKEAKRSRGGKSKHSVWRNYDDLNEYFWSIRCFRLGWPMRADADFFCQTAEELRLERSEIKSNSGDRWMGKVNFVEIRSFWHIFRSFDRLWSFYILCLQAMIVIAWNGSGELSAIFQGDVFLKVLSVFITAAILKLAQAVLDIALSWKARHSMSLYVKLRYVMKVGAAAVWVVVMAVTYAYSWKNASGFSQTIKNWFGGHSHNSPSLFIVAILIYLSPNMLSALLFLFPFIRRYLERSDYKIMMLMMWWSQPRLYIGRGMHESALSLFKYTMFWIVLLISKLAFSYYAEIKPLVGPTKDIMRIHISVYSWHEFFPHAKNNLGVVIALWSPVILVYFMDTQIWYAIVSTLVGGLNGAFRRLGEIRTLGMLRSRFQSIPGAFNDCLVPQDNSDDTKKKRFRATFSRKFDQLPSSKDKEAARFAQMWNKIISSFREEDLISDREMELLLVPYWSDPDLDLIRWPPFLLASKIPIALDMAKDSNGKDRELKKRLAVDSYMTCAVRECYASFKNLINYLVVGEREGQVINDIFSKIDEHIEKETLITELNLSALPDLYGQFVRLIEYLLENREEDKDQIVIVLLNMLELVTRDIMEEEVPSLLETAHNGSYVKYDVMTPLHQQRKYFSQLRFPVYSQTEAWKEKIKRLHLLLTVKESAMDVPSNLEARRRLTFFSNSLFMDMPPAPKIRNMLSFSVLTPYFSEDVLFSIFGLEQQNEDGVSILFYLQKIFPDEWTNFLERVKCGNEEELRAREDLEEELRLWASYRGQTLTKTVRGMMYYRKALELQAFLDMAKDEELLKGYKALELTSEEASKSGGSLWAQCQALADMKFTFVVSCQQYSIHKRSGDQRAKDILRLMTTYPSIRVAYIDEVEQTHKESYKGTEEKIYYSALVKAAPQTKPMDSSESVQTLDQLIYRIKLPGPAILGEGKPENQNHAIIFTRGEGLQTIDMNQDNYMEEAFKMRNLLQEFLEKHGGVRCPTILGLREHIFTGSVSSLAWFMSNQENSFVTIGQRVLASPLKVRFHYGHPDIFDRLFHLTRGGICKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDLYRLGHRFDFFRMLSCYFTTIGFYFSTMLTVLTVYVFLYGRLYLVLSGLEEGLSSQRAFRNNKPLEAALASQSFVQIGFLMALPMMMEIGLERGFHNALIEFVLMQLQLASVFFTFQLGTKTHYYGRTLFHGGAEYRGTGRGFVVFHAKFAENYRFYSRSHFVKGIELMILLLVYQIFGQSYRGVVTYILITVSIWFMVVTWLFAPFLFNPSGFEWQKIVDDWTDWNKWIYNRGGIGVPPEKSWESWWEKELEHLRHSGVRGITLEIFLALRFFIFQYGLVYHLSTFKGKNQSFWVYGASWFVILFILLIVKGLGVGRRRFSTNFQLLFRIIKGLVFLTFVAILITFLALPLITIKDLFICMLAFMPTGWGMLLIAQACKPLIQQLGIWSSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGQRKDRSSKNKE
NP_567672.1 1 324 0.208193827160494 PGR5-like protein 1A, chloroplastic; Ferredoxin-plastoquinone reductase 1 324 0 20 283 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H112 1 SwissProt MGSKMLFSLTSPRLFSAVSRKPSSSFSPSPPSPSSRTQWTQLSPGKSISLRRRVFLLPAKATTEQSGPVGGDNVDSNVLPYCSINKAEKKTIGEMEQEFLQALQSFYYDGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPILNDEEYDKLKLKLKIDGSDIVSEGPRCSLRSKKVYSDLAVDYFKMLLLNVPATVVALGLFFFLDDITGFEITYIMELPEPYSFIFTWFAAVPVIVYLALSITKLIIKDFLILKGPCPNCGTENTSFFGTILSISSGGKTNTVKCTNCGTAMVYDSGSRLITLPEGSQA
NP_567936.5 1 493 0.0993221095334686 PF07993.12:NAD_binding_4:22:322,PF03015.19:Sterile:396:493,PF01370.21:Epimerase:19:175,PF04321.17:RmlD_sub_bind:102:170 Fatty acyl-CoA reductase 3; Protein ECERIFERUM 4; EC 1.2.1.84 493 402 20 493 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93ZB9 1 SwissProt MSTEMEVVSVLKYLDNKSILVVGAAGFLANIFVEKILRVAPNVKKLYLLLRASKGKSATQRFNDEILKKDLFKVLKEKYGPNLNQLTSEKITIVDGDICLEDLGLQDFDLAHEMIHQVDAIVNLAATTKFDERYDVALGINTLGALNVLNFAKRCAKVKILVHVSTAYVCGEKSGLIMETPYRMGETLNGTTGLDINYEKKLVQEKLDQLRVIGAAPETITETMKDLGLRRAKMYGWPNTYVFTKAMGEMMVGTKRENLSLVLLRPSIITSTFKEPFPGWTEGIRTIDSLAVGYGKGKLTCFLCDLDAVSDVMPADMVVNSILVSMAAQAGKQEEIIYHVGSSLRNPMKNSKFPELAYRYFSIKPWTNKEGKVVKVGAIEILSSMRSFHRYMTIRYLIALKGLELVNIILCKLFEKEFQYFNKKINFIFRLVDLYQPYLFFYGIFDDSNTEKLRKMVSKTGVENEMFYFDPKVLDWDDYFLNTHVIGLLKYVF
NP_568108.1 107 293 0.512241711229947 Protein REVEILLE 4; Myb transcription factor LHY/CCA1-like 1 293 0 20 187 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6R0G4 1 SwissProt PPRPKRKAAHPYPQKASKNAQMSLHVSMSFPTQINNLPGYTPWDDDTSALLNIAVSGVIPPEDELDTLCGAEVDVGSNDMISETSPSASGIGSSSRTLSDSKGLRLAKQAPSMHGLPDFAEVYNFIGSVFDPDSKGRMKKLKEMDPINFETVLLLMRNLTVNLSNPDFEPTSEYVDAAEEGHEHLSS
NP_569717.1 1 267 0.0148951310861423 PF01151.18:ELO:25:262 Elongation of very long chain fatty acids protein 6; 3-keto acyl-CoA synthase Elovl6; ELOVL fatty acid elongase 6; ELOVL FA elongase 6; Fatty acyl-CoA elongase; Long chain fatty acid elongase; Myelin-associated SUR4 protein; Very long chain 3-ketoacyl-CoA synthase 6; Very long chain 3-oxoacyl-CoA synthase 6; EC 2.3.1.199 267 238 20 139 6 Mus musculus (Mouse) SwissProt::Q920L5 0 SwissProt MNMSVLTLQEYEFEKQFNENEAIQWMQENWKKSFLFSALYAAFIFGGRHLMNKRAKFELRKPLVLWSLTLAVFSIFGALRTGAYMLYILMTKGLKQSVCDQSFYNGPVSKFWAYAFVLSKAPELGDTIFIILRKQKLIFLHWYHHITVLLYSWYSYKDMVAGGGWFMTMNYGVHAVMYSYYALRAAGFRVSRKFAMFITLSQITQMLMGCVINYLVFNWMQHDNDQCYSHFQNIFWSSLMYLSYLVLFCHFFFEAYIGKVKKATKAE
NP_571133.1 225 470 0.651165853658537 T-box-containing protein TBX6L 470 0 20 246 0 Danio rerio NP_571133.1 1 RefSeq NLPDPERVAKKMSKDSEHGSPQDVQPSSCEALDDEHAGRKELEVKAERYSPWGGACDRDSGHALRPESPLGSDHRDVYSSEQLVPGQNTYQPYRFHEYGKSPSPSSSSSVGGSSACGSAGRPSFESRVLDVATVPDTDSSSKPSSAPEFSLPPHPSAGHQEYAGVLNMAITQAKPGMLGTHPLYSHYSTEQSLGQWSGAAASQYPPPPPPHHHLPTEYSSQAVHHGYHHGNVGDWSQYPLFSYSCW
NP_596059.1 1 561 0.364376114081997 PF04825.13:Rad21_Rec8_N:1:113,PF04824.16:Rad21_Rec8:504:556 Meiotic recombination protein rec8; Cohesin rec8 561 166 20 561 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P36626 1 SwissProt MFYNQDVLTKEKGGMGVIWLAATLGSKHSLRKLHKKDIMSVDIDEACDFVAFSPEPLALRLSSNLMIGVTRVWAHQYSFFHSQVSTLHLRVRKELDHFTSKPFKNIDIQNEQTNPKQLLLAEDPAFIPEVSLYDAFNLPSVDLHVDMSSFTQPKENPNISVLETLPDSTSYLINTSQNYSLRNNVSSFVYEDSRAFSTEEPLDFEFDENGDIQELTKGTINSDPSLQAASQHSNLGSVQREYNSEEQESRIHMFEIDEDVLPLPVPLQSVMDSEHNENEPRALKRRKVQKLLEPDENIELSTRTLSQWRKNYVERMIALEATKYVRRRGASSAKKKELNKFFDWESFHPLLKPWIEKLKPSNNTPSEIDDVLRNIDTSEVEVGRDVQGELGLNIPWNTSSRSNSAINSKSHSQTGSEHSTPLLDTKYRKRLPHSPSMPSRVEFLPALESSQFHETLNSELSLQLSDDFVLYKNTQEENAHLMLSMEKECANFYEYAKTAIYENNGRITFSSLLPNDLKRPVVAQAFSHLLSLATKSAFLVKQDKPYSEISVSLNLKSTDAI
NP_612186.2 1 211 0.478741232227488 PF15185.6:BMF:92:209 bcl-2-modifying factor isoform 1 271 118 20 211 0 Mus musculus NP_612186.2 1 RefSeq MPGAGVFWKQYRAVCRGLLPRQLAPAAVAAAARATASHRSPLEFAPFFPIECGHQAPRVFFTLDPGAEPWHHNSEAETLSWSHPGEMEPPQCVEELEDDVFQSEDGEPGTQPGGLLSADLFAQSQLDCPLSRLQLFPLTHCCGPGLRPISQEDKATQTLSPASPSQGVMLPCGVTEEPQRLFYGNAGYRLPLPASFPAGSPLGEQPPEGQF
NP_612465.3 1 274 0.550690145985401 PF00170.21:bZIP_1:16:69,PF07716.15:bZIP_2:19:69,PF03131.17:bZIP_Maf:16:70 Basic leucine zipper transcriptional factor ATF-like 2; B-ATF-2; Suppressor of AP-1 regulated by IFN; SARI 274 55 20 274 0 Homo sapiens (Human) SwissProt::Q8N1L9 1 SwissProt MHLCGGNGLLTQTDPKEQQRQLKKQKNRAAAQRSRQKHTDKADALHQQHESLEKDNLALRKEIQSLQAELAWWSRTLHVHERLCPMDCASCSAPGLLGCWDQAEGLLGPGPQGQHGCREQLELFQTPGSCYPAQPLSPGPQPHDSPSLLQCPLPSLSLGPAVVAEPPVQLSPSPLLFASHTGSSLQGSSSKLSALQPSLTAQTAPPQPLELEHPTRGKLGSSPDNPSSALGLARLQSREHKPALSAATWQGLVVDPSPHPLLAFPLLSSAQVHF
NP_659041.3 85 419 0.08177223880597 PF14360.6:PAP2_C:198:271,PF01569.21:PAP2:197:275 Phosphatidylcholine:ceramide cholinephosphotransferase 1; Protein Mob; Sphingomyelin synthase 1; Transmembrane protein 23; EC 2.7.8.27 419 79 20 207 6 Mus musculus (Mouse) SwissProt::Q8VCQ6 1 SwissProt GHANGHLSIGVDIPNPDGSFSIKTKPNGMPNGFRKEMIKIPMPEPERSQYPMEWGKTFLAFLYALSCFVLTTVMISVVHERVPPKEVQPPLPDTFFDHFNRVQWAFSICEINGMILVGLWLFQWLLLKYKSIISRRFFCIVGTLYLYRCITMYVTTLPVPGMHFNCSPKLFGDWEAQVRRIMKLIAGGGLSITGSHNMCGDYLYSGHTVMLTLTYLFIKEYSPRRLWWYHWICWLLSVVGIFCILLAHDHYTVDVVVAYYITTRLFWWYHTMANQQVLKEASQMNLLARVWWYRPFQYFEKNVQGIVPRSYHWPFPWPVVHLSRQVKYSRLVNDT
NP_666044.1 1 340 0.0389720588235294 PF03619.16:Solute_trans_a:55:320 Organic solute transporter subunit alpha; OST-alpha; Solute carrier family 51 subunit alpha 340 266 20 185 7 Mus musculus (Mouse) SwissProt::Q8R000 0 SwissProt MEPGRTHIKLDPRYTAELLELLETNYSISPACFSHPPTAAQLLRALGPVDIALTIILTFLTTGSVAIFLEDAVYLYKNTLCPIKKRTLIWSSSAPTVVSVFCCFGLWIPRALTLVEMAITSFYAVCFYLLMMVMVEGFGGKKAVLRTLKDTPMRVHTGPCCCCCPCCPPLILTRKKLQLLLLGPFQYAFFKITLSIVGLFLIPDGIYDPGEISEKSAALWINNLLAVSTLLALWSLAILFRQAKMHLGEQNMGSKFALFQVLVILTALQPAIFSILANSGQIACSPPYSSKIRSQVMNCHMLILETFLMTVLTRMYYRRKDDKVGYEACSLPDLDSALKA
NP_694819.2 1 4059 0.191430623306233 PF01833.24:TIG:257:311,PF01833.24:TIG:945:997,PF01833.24:TIG:1017:1100,PF01833.24:TIG:1198:1262,PF01833.24:TIG:1385:1465,PF01833.24:TIG:1569:1637,PF10162.9:G8:1930:2047,PF10162.9:G8:2743:2865,PF13229.6:Beta_helix:2238:2413,PF13229.6:Beta_helix:3007:3169 fibrocystin precursor 4059 987 20 4036 1 Mus musculus NP_694819.2 1 RefSeq MMLAWLVSLLSMEVLLLAKPYSSFQFEPAEGSLAGGTWITVVFDGLDRSILYPNNGSQLQIDLVSVAIPTLRIPCDVSPAFVDLPVVTCQTRSLPSEADAGPYSLEMRSGEQVLGTPCPGSLDSCTFKFSKDQTPVLYQVYPASGVPGEVVSVYGRVITTWLETFDPDVDYIESPLILEAREDKWLTPCSLINRQTGSCFPIQEEHGLGNVQCRVEGDYIGSQNVSFSVFNKGRSMVHKEAWLISAKQELFLYQTYPEILSVFPKVGSLGGRTDIIITGDFFDPSARVTIAGIPCDIRYVSPRKIECTTRAPGNEARLTAPQAGNRGLRFEVGDATKDVELTEATPGYRWQIVPNASSPSGFWSKEGRPFRARLSGFFVAPQTNNYTFWIQADSQASLCFSSSEEPRTKVEVASVGVGTADWFDSWEQIGNEGSWHQKTTKLELQGGAKYYLEAEQHGIAPSRGMRIGVQIHNTWLNPDVVNTYLLEKHQIRARAQRLPEIQVLHVSGKGNFFLTWGNVSSQPVPANATAQQIQTTIEELLVVKCNLAPFSAHVLLRLGFEQGLEGSRSDGVRTSSTEPFCGRFSLGQLGHLILIPEAADKGYQLDRYPYLCLAYRGHMNKTLDMTVSFLFGFQTIMKNITCDWSLTDPHPESWQFTCINLWDTCLCHSEDIQSSLANTPLLAHRIDIRPVVPEAGLLYVDEIILADTNVTVSQADSGRACPGGNVVESVSVVGVPPVYSISSWLAGCGSELPLITACSVSTEGTGDGSELIEVTAQRLQRTSPPLGGHFFLYLSDTVIPDVPVRMSARQLHKLLQDSADESTSGYLNAGDFTVTEDLNSCYEHVWTLSWTTQTGDLPNFIRVSDQNLTGVNPTVTARVVYDGGVFLGPIFGDMLATANQQTQVAVQVNDIPAYCSGSCSFQYQQESTPSVDHVWYSLGSDVNLLVHFTGTGFPRDTQFLQVTVNKTSCEVLFSNETNVACELALLPVGVHQIFMLVIPSGLAVHASGEDLLLHVEPRLDAVEPSTAAEIGGRWVTLRGSSLEGVSLVLFGTQSCVIDAIRSNSQQIQCKVPPRGKDGYTVNVTVISGDHSTVLARAFTYVSSLNPVIVSLSRNRSSIAGGEILFLGMSLLVNYTDLDVQIHVQDTSAQVLSQTAWGLEVVLPPLVPGIHVISAFINGVSIRSQGVDLYIQYLTEVFSVEPCSGSLLGGTLLSLLGTGLGRDPALIRVLVDNHPCDIVNLTEVNIWCETPPAVLPPRADVLTVLASVEIWAGNTSFFHGPSLVGKGFTFTYEAAATPVVTAMWGEFRNNSVRFYVEGSNISDSVILLGSLKCELEVQFFGDSMNLSGCFFPLHSLEAGVYTLQVRHKRMGFANMSVVPQKFELSPQIIAIFPTHGSKCGGTVLTVKGMAFSSRKRSVHVDISGPFACMILSLEDHTVLCQTRFVGDQFSEASLALNITVLVNGLTSKCKGNCTLFIEEAATPIVDALTISISGSLTMVLMRGRRLATTADEPIAFVDDQLPCHTTFFNTSHVACQIRDLAPGFHYLSAVHTSAGYACLNSVSRNFFIVPQVLDYFPKDFSIHGGSLLTIKGTALRGWKATVVYVGRQACLTVNFSSDFIQCIVPAGNGSAALEIDVNGVLYHIGLVDYSSIFTPELLSVSRSQDILTFTVARISGAANVDIFIGTSPCLGVAGNRTVLQCMVPLLPAGEYLVTGYDHSRGWASSTLILVLRATVTSVTKNYGCLGGRLLHVLGAGFSPGNISAAVCGAPCQVLANATVSAFSCLVLPLHVSLAFLCDLRHAEDSCKVRSSTYLRCDLTVSMGTERLPGSWPYVYLCEESSLCLFEPDHWTESVFPSFSGLFLSPKVERDEVLIYNSSCNITMETEAEMECEMPNQPITAKITEIQKSWGQNTQGNFSFQFCRRWSRPHSWFPQRVPHDGDSVTVETGHLLLLDANTSFLNSLHIKGGKLIFMDPGPIELRAHSILITDGGELHIGSEEKPFQGKARIKIYGSVHSTPFFPYGVKFLAVRNGTLSLHGSVPEVTVTYLQAAAHAGDKVLTLGEAVDWKPGDEAVITSGMTVAGAEATEVVVVETVHNADLHLRNPLRYSYDFRENWVAGENPILKPTVALLSRNIIIQGNFTLERVKLLNSCQEANTAKGNLKHCLYSKSEKMLGARDLGARVIIQSFPEEPSFVKLKGVQFRDLGQAFHKHLSSLALVGAMRGSYIQSCSVWNSFSRGLSMHRTWGLKVDSNVFYKIVGHALLLGSYLDGRFSTSETVTGRKNGWWEQGSTIRNNVIISVSAAEGLSGSEMLAPAGIYTFSPTNVMEGNRVCAAGYGYVFHLVTSQTLQAPLLSFNWNTAHSCTRYGLLVYPKFQPPWNNDTGFTLFQNFMVWGSAGGAQIFRSNNLHLKNFQVYACRDFGIDILESDANTLITDSFLLGHFTHKGSLCMSAGIKTPQRWELTISNTTFVNFDGNCVAIRTCSGCFQGQGGYTVKTRQLKFVNSSNLVAFPFPHAAVLEDLDGSLSGKNGSHVLASMETLSDTCLTNASFSQIVPGSVCGEAVLFHRMSIALANSLDVPKNLTITDISNKTITVNYVEDTLSNYYGWMALLLDQETYSLQFESPWMNRSLQYSATFDSFAPGNYLLIMHRDLPPYPDILLRCGSQVGHSLPFHPLPSQDRACDWFFNRQLRQLTYLVSGEGQVKVFLQLKPGVPPSVSASTSVPESASRWSLPETWQDVEKGWGGYNHTIPGPGDDVLILPNKTVLVDTDLPVLRCLYVMGTLEFPVDRSNVLSVACLLIAGGELKVGTLENPLEKDQRLLIFLRASEEVVCDYFEGIHVDPGTIGVYGKLRLHSAYPKKSWVHLGADIAPGNERIIVHNAVDWQPHDTIVLSSSSYEAHEAEVLTVKEVKGHHIRIYERLKHRHIGSTHTMEDGQQVHLAAEVGLLTRNIRIQPDSSCRGRLLVGSFRKSSGEDFSGVLQLLNVEIQNMGLPLYSSIEFTGVSAGSWVISSTVHQSCSVGIHASSSHGVILTDNVVFGTNGHGIDVEGQNYSLTNNLVILTMQSANSSPWVAGIKVNYAEDIILHGNVVAGSERLGFHVGGHGCSSEVLWSDNVVHSSLHGLHLYKKHESNNCTGVSGFMAFKNFDYGAMVQTENSVDIQNITLVDNTVGLLAITYVSSALLSSVSTVQITLRNSVIVATSSSFDCIHDRKAPQSANWTSTDRAPSNPRGGRIGILWPVSASEPNAWPQEPWHKVRSRHSVPGIMKLQDVTFSSFVKSCYSNDLDVCILPNEYSTGVMYPITAERTRMLGIKDKNKFYFPVLQSSKDLVGTICPTLVCEYPRKYLFTDLDGRTLGLPPPVSVFPRTEEEWTGSFLNTGIFREEQKCTFRAMNQGFFCKQTEHAVLILDNVDATWTIPKSHPLVSVTNGFVDTFSIVKDSDLCPPTSSLSTFYSILPTRQMTKVCFPEQTPPFLRFLLLGNQRASKLILAVFYNEIQSPHVFLDKSFIPPTPLESAFSLLAEPSGANYFDIMNNLLYVVLQGEEPVEIHSSVSIHLALTVTFSVLEKGWERAMLESLSDFFQIDPNQIRLTLEMPGNKETLEAIANSERKRKRNCPSVTCGGPSIRYGQRRPLMAEMTSLKITPATTLETFSKVIVIEVGDLPNIRNSEPIQSLPSNRLQRLVNQVITAQQTGALENVLGMTVGALLVTQSKGVTGYRNASSLITGNLIYTRPSELSILVQPSDGEVGIELPVQPRLVFLDEKNERVESLGLPSEPWIISVSLEGASESVLKGCTLAETRDGYVTFSRLAVLISGSNWHLFFTVISPPGTNFTARSRTFVVLPVASKERSTIILALSLCSVASWVALSCLVCCWFKKSKTRKIKPEDISESQAKEQKKNTHNSSKPRGLQAKTAKENTLMGEDMRMKVMQGMQSQFPQHSMDGVSKRKVSRLAVTEERTTTPAPKIPRITCVPGSLAQQLTLQEPGNWQEAQQQLLRYQLAGRNQLLLLRPDLRQERKQGQEPSQLDKGSDCTGLSQEKATCIPTETFSLHTAPPETIQ
NP_710686.1 1 366 0.412053551912568 hypothetical protein 366 0 20 366 0 Leptospira interrogans serovar Lai str. 56601 NP_710686.1 1 RefSeq MKGSTLVRLAIALFMFVNVVLFAQEDLDESSTPQANTQGQSGSSTPAKTSQNSGTTQGEEVKKADLYVNSKSSFEISAQDDSSTVDYIEYKIGEMDYAKYTSPITILKEGVNRLTYRAVDKAGNKEPAKALVVVVDNTAPTVKIVPSEILYNLDGYNFGSKNVTYTISAIDALSGVKEIKYSINGGDMRSYDNQPIKLEKAGVNLIKYSAVDNSGNSSSEAILVVTLDDVKPEVEIQGNTPLVIIDGKTYSRKGNSFAIKAVDGQSGIKRILIKLDKNSDFVPYAEPITIDAQGEHTIEAKAIDNVGNESETKKVSFAVDVNPPTTQIRKVEVGTNNGSQSTSESKPAPAPAAPAAKPTTTQPTKK
NP_758961.1 297 619 0.611757585139319 ETS-related transcription factor Elf-1; E74-like factor 1 619 0 20 323 0 Homo sapiens (Human) SwissProt::P32519 1 SwissProt IYINDEDPSSSIESSDPSLSSSATSNRNQTSRSRVSSSPGVKGGATTVLKPGNSKAAKPKDPVEVAQPSEVLRTVQPTQSPYPTQLFRTVHVVQPVQAVPEGEAARTSTMQDETLNSSVQSIRTIQAPTQVPVVVSPRNQQLHTVTLQTVPLTTVIASTDPSAGTGSQKFILQAIPSSQPMTVLKENVMLQSQKAGSPPSIVLGPAQVQQVLTSNVQTICNGTVSVASSPSFSATAPVVTFSPRSSQLVAHPPGTVITSVIKTQETKTLTQEVEKKESEDHLKENTEKTEQQPQPYVMVVSSSNGFTSQVAMKQNELLEPNSF
NP_766589.1 1 940 0.366060212765957 Lysine-specific demethylase 3A; JmjC domain-containing histone demethylation protein 2A; Jumonji domain-containing protein 1A; EC 1.14.11.- 1323 0 20 940 0 Mus musculus (Mouse) SwissProt::Q6PCM1 1 SwissProt MVLTLGESWPVLVGKRFLSLSAAEGNEGGQDNWDLERVAEWPWLSGTIRAVSHTDVTKKDLKVCVEFDGESWRKRRWIDVYSLQRKAFLVEHNLVLAERKSPEVPEQVIQWPAIMYKSLLDKAGLGAITSVRFLGDQQSVFVSKDLLKPIQDVNSLRLSLTDNQTVSKEFQALIVKHLDESHLLQGDKNLVGSEVKIYSLDPSTQWFSATVVHGNPSSKTLQVNCEEIPALKIVDPALIHVEVVHDNFVTCGNSTRTGAVKRKSSENNGSSVSKQAKSCSEASPSMCPVQSVPTTVFKEILLGCTAATPSSKDPRQQNTPQAANSPPNIGAKLPQGCHKQNLPEELSSCLNTKPEVPRTKPDVCKEGLLSSKSSQVGAGDLKILSEPKGSCIQPKTNTDQESRLESAPQPVTGLPKECLPAKTSSKAELDIATTPELQKHLEHAASTSDDLSDKPEVKAGVTSLNSCAEKKVEPSHLGSQSQNLKETSVKVDNESCCTRSSNKTQTPPARKSVLTDPDKVRKLQQSGEAFVQDDSCVNIVAQLPKCRECRLDSLRKDKDQQKDSPVFCRFFHFRRLQFNKHGVLRVEGFLTPNKYDSEAIGLWLPLTKNVVGTDLDTAKYILANIGDHFCQMVISEKEAMSTIEPHRQVAWKRAVKGVREMCDVCDTTIFNLHWVCPRCGFGVCVDCYRMKRKNCQQGAAYKTFSWIRCVKSQIHEPENLMPTQIIPGKALYDVGDIVHSVRAKWGIKANCPCSNRQFKLFSKPALKEDLKQTSLSGEKPTLGTMVQQSSPVLEPVAVCGEAASKPASSVKPTCPTSTSPLNWLADLTSGNVNKENKEKQLTMPILKNEIKCLPPLPPLNKPSTVLHTFNSTILTPVSNNNSGFLRNLLNSSTAKTENGLKNTPKILDDIFASLVQNKTSSDSSKRPQGLTIKPSILGFD
NP_775107.1 44 403 0.184798888888889 PF00643.24:zf-B_box:53:90 tripartite motif-containing protein 59 403 38 20 340 1 Homo sapiens NP_775107.1 1 RefSeq FYIWRPLRIPLKCPNCRSITEIAPTGIESLPVNFALRAIIEKYQQEDHPDIVTCPEHYRQPLNVYCLLDKKLVCGHCLTIGQHHGHPIDDLQSAYLKEKDTPQKLLEQLTDTHWTDLTHLIEKLKEQKSHSEKMIQGDKEAVLQYFKELNDTLEQKKKSFLTALCDVGNLINQEYTPQIERMKEIREQQLELMALTISLQEESPLKFLEKVDDVRQHVQILKQRPLPEVQPVEIYPRVSKILKEEWSRTEIGQIKNVLIPKMKISPKRMSCSWPGKDEKEVEFLKILNIVVVTLISVILMSILFFNQHIITFLSEITLIWFSEASLSVYQSLSNSLHKVKNILCHIFYLLKEFVWKIVSH
NP_776719.1 1 190 0.384845789473684 PF00997.18:Casein_kappa:22:188 Kappa-casein 190 167 20 190 0 Bos taurus P02668 1 SwissProt/TReMBL MMKSFFLVVTILALTLPFLGAQEQNQEQPIRCEKDERFFSDKIAKYIPIQYVLSRYPSYGLNYYQQKPVALINNQFLPYPYYAKPAAVRSPAQILQWQVLSNTVPAKSCQAQPTTMARHPHPHLSFMAIPPKKNQDKTEIPTINTIASGEPTSTPTTEAVESTVATLEDSPEVIESPPEINTVQVTSTAV
NP_780534.1 1 520 0.20799 PF07719.17:TPR_2:171:201,PF13181.6:TPR_8:171:201,PF13181.6:TPR_8:203:235,PF13181.6:TPR_8:271:302,PF13181.6:TPR_8:306:336,PF13181.6:TPR_8:339:369,PF13414.6:TPR_11:175:216,PF14559.6:TPR_19:179:241,PF13424.6:TPR_12:139:197,PF13432.6:TPR_16:344:398,PF13176.6:TPR_7:170:200,PF13174.6:TPR_6:173:196 Bardet-Biedl syndrome 4 protein homolog 520 226 20 520 0 Mus musculus (Mouse) SwissProt::Q8C1Z7 1 SwissProt MAEVKLGMKTQVPASVESQKPRSKKAPDFPIVEKQNWLIHLHYIRKDYEACKAVIKEQLQETQGLCEYAIYVQALIFRLEGNIQESLELFQTCAVLSPQCADNLKQVARSLFLLGKHKAATEVYNEAAKLNQKDWEICHNLGVCYTYLKQFNKAQDQLHSALQLNKHDLTYIMLGKIHLLQGDLDKAIEIYKKAVEFSPENTELLTTLGLLYLQLGVYQKAFEHLGNALTYDPANYKAILAAGSMMQTHGDFDVALTKYRVVACAIPESPPLWNNIGMCFFGKKKYVAAISCLKRANYLAPFDWKILYNLGLVHLTMQQYASAFHFLSAAINFQPKMGELYMLLAVALTNLEDIENARRAYVEAVRLDKCNPLVNLNYAVLLYNQGEKKSALAQYQEMEKKVNFLKDNSPLEFDSEMVEMAQKLGAALQVGEALVWTKPVKDPKTKHRTNSGSKSATLQQPLGSIQALGQAMSSAAAYRKILSGAVGAQLPKPPSLPLEPEPEPTVEASPTEASEQKKEK
NP_803170.1 1 161 0.461349068322982 PF01543.17:HCV_capsid:65:126 protein F 161 62 20 161 0 Hepatitis C virus genotype 1 NP_803170.1 1 RefSeq MSTNPKPQRKPNVTPTVAHRTSSSRVAVRSLVEFTCCRAGALDWVCARRGRLPSGRNLEVDVSLSPRHVGPRAGPGLSPGTLGPSMAMRVAGGRDGSCLPVALGLAGAPQTPGVGRAIWVRSSIPLRAASPTSWGTYRSSAPLLEALPGPWRMASGFWKTA
NP_852107.1 1 1102 0.454756533575317 PF00046.29:Homeodomain:769:810 Activity-dependent neuroprotector homeobox protein; Activity-dependent neuroprotective protein 1102 42 20 1102 0 Homo sapiens (Human) SwissProt::Q9H2P0 1 SwissProt MFQLPVNNLGSLRKARKTVKKILSDIGLEYCKEHIEDFKQFEPNDFYLKNTTWEDVGLWDPSLTKNQDYRTKPFCCSACPFSSKFFSAYKSHFRNVHSEDFENRILLNCPYCTFNADKKTLETHIKIFHAPNASAPSSSLSTFKDKNKNDGLKPKQADSVEQAVYYCKKCTYRDPLYEIVRKHIYREHFQHVAAPYIAKAGEKSLNGAVPLGSNAREESSIHCKRCLFMPKSYEALVQHVIEDHERIGYQVTAMIGHTNVVVPRSKPLMLIAPKPQDKKSMGLPPRIGSLASGNVRSLPSQQMVNRLSIPKPNLNSTGVNMMSSVHLQQNNYGVKSVGQGYSVGQSMRLGLGGNAPVSIPQQSQSVKQLLPSGNGRSYGLGSEQRSQAPARYSLQSANASSLSSGQLKSPSLSQSQASRVLGQSSSKPAAAATGPPPGNTSSTQKWKICTICNELFPENVYSVHFEKEHKAEKVPAVANYIMKIHNFTSKCLYCNRYLPTDTLLNHMLIHGLSCPYCRSTFNDVEKMAAHMRMVHIDEEMGPKTDSTLSFDLTLQQGSHTNIHLLVTTYNLRDAPAESVAYHAQNNPPVPPKPQPKVQEKADIPVKSSPQAAVPYKKDVGKTLCPLCFSILKGPISDALAHHLRERHQVIQTVHPVEKKLTYKCIHCLGVYTSNMTASTITLHLVHCRGVGKTQNGQDKTNAPSRLNQSPSLAPVKRTYEQMEFPLLKKRKLDDDSDSPSFFEEKPEEPVVLALDPKGHEDDSYEARKSFLTKYFNKQPYPTRREIEKLAASLWLWKSDIASHFSNKRKKCVRDCEKYKPGVLLGFNMKELNKVKHEMDFDAEWLFENHDEKDSRVNASKTADKKLNLGKEDDSSSDSFENLEEESNESGSPFDPVFEVEPKISNDNPEEHVLKVIPEDASESEEKLDQKEDGSKYETIHLTEEPTKLMHNASDSEVDQDDVVEWKDGASPSESGPGSQQVSDFEDNTCEMKPGTWSDESSQSEDARSSKPAAKKKATMQGDREQLKWKNSSYGKVEGFWSKDQSQWKNASENDERLSNPQIEWQNSTIDSEDGEQFDNMTDGVAEPMHGSLAGVKLSSQQA
NP_958834.1 1 369 0.293930081300813 PF00788.23:RA:224:305,PF16517.5:Nore1-SARAH:319:357 Ras association domain-containing protein 6 369 121 20 369 0 Homo sapiens (Human) SwissProt::Q6ZTQ3 1 SwissProt MLWEETGAAPAPARASDLPYRISSDHLKKEEKMTMMAHQYPSWIFINEKTFITREQLNSLLKTYNIFYENQKNLHILYGETEDGKLIVEGMLDIFWGVKRPIQLKIQDEKPFSSFTSMKSSDVFSSKGMTRWGEFDDLYRISELDRTQIPMSEKRNSQEDYLSYHSNTLKPHAKDEPDSPVLYRTMSEAALVRKRMKPLMMDRKERQKNRASINGHFYNHETSIFIPAFESETKVRVNSNMRTEEVIKQLLQKFKIENSPQDFALHIIFATGEQRRLKKTDIPLLQRLLQGPSEKNARIFLMDKDAEEISSDVAQYINFHFSLLESILQRLNEEEKREIQRIVTKFNKEKAIILKCLQNKLVIKTETTV
NP_976086.1 1 124 0.0180532258064516 selenoprotein F isoform 2 precursor 124 0 20 124 0 Homo sapiens NP_976086.1 1 RefSeq MVAMAAGPSGCLVPAFGLRLLLATVLQAVSAFGAEFSSEACRELGFSSNLLCSSCDLLGQFNLLQLDPDCRGCCQEEAQFETKKLYAGAILEVCGUKLGRFPQVQVCPWFRPCIKAFGRQWEHC
O75556 1 95 0.063598947368421 PF01099.17:Uteroglobin:1:90 Mammaglobin-B; Lacryglobin; Lipophilin-C; Mammaglobin-2; Secretoglobin family 2A member 1 95 90 20 95 0 Homo sapiens (Human) SwissProt::O75556 1 SwissProt MKLLMVLMLAALLLHCYADSGCKLLEDMVEKTINSDISIPEYKELLQEFIDSDAAAEAMGKFKQCFLNQSHRTLKNFGLMMHTVYDSIWCNMKSN
O95155 1 748 0.411745053475935 PF10408.9:Ufd2P_core:591:738 Ubiquitin conjugation factor E4 B; Homozygously deleted in neuroblastoma 1; RING-type E3 ubiquitin transferase E4 B; Ubiquitin fusion degradation protein 2; EC 2.3.2.27 1302 148 20 748 0 Homo sapiens (Human) SwissProt::O95155 1 SwissProt MEELSADEIRRRRLARLAGGQTSQPTTPLTSPQRENPPGPPIAASAPGPSQSLGLNVHNMTPATSPIGASGVAHRSQSSEGVSSLSSSPSNSLETQSQSLSRSQSMDIDGVSCEKSMSQVDVDSGIENMEVDENDRREKRSLSDKEPSSGPEVSEEQALQLVCKIFRVSWKDRDRDVIFLSSLSAQFKQNPKEVFSDFKDLIGQILMEVLMMSTQTRDENPFASLTATSQPIAAAARSPDRNLLLNTGSNPGTSPMFCSVASFGASSLSSLYESSPAPTPSFWSSVPVMGPSLASPSRAASQLAVPSTPLSPHSAASGTAAGSQPSSPRYRPYTVTHPWASSGVSILSSSPSPPALASSPQAVPASSSRQRPSSTGPPLPPASPSATSRRPSSLRISPSLGASGGASNWDSYSDHFTIETCKETDMLNYLIECFDRVGIEEKKAPKMCSQPAVSQLLSNIRSQCISHTALVLQGSLTQPRSLQQPSFLVPYMLCRNLPYGFIQELVRTTHQDEEVFKQIFIPILQGLALAAKECSLDSDYFKYPLMALGELCETKFGKTHPVCNLVASLRLWLPKSLSPGCGRELQRLSYLGAFFSFSVFAEDDVKVVEKYFSGPAITLENTRVVSQSLQHYLELGRQELFKILHSILLNGETREAALSYMAAVVNANMKKAQMQTDDRLVSTDGFMLNFLWVLQQLSTKIKLETVDPTYIFHPRCRITLPNDETRVNATMEDVNDWLTELYGDQPPF
P05114 1 100 0.894118 PF01101.18:HMG14_17:1:95 Non-histone chromosomal protein HMG-14; High mobility group nucleosome-binding domain-containing protein 1 100 95 20 100 0 Homo sapiens (Human) SwissProt::P05114 1 SwissProt MPKRKVSSAEGAAKEEPKRRSARLSAKPPAKVEAKPKKAAAKDKSSDKKVQTKGKRGAKGKQAEVANQETKEDLPAENGETKTEESPASDEAGEKEAKSD
P06838 1 210 0.360447142857143 PF03834.14:Rad10:92:208 DNA repair protein RAD10 210 117 20 210 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06838 1 SwissProt MNNTDPTSFESILAGVAKLRKEKSGADTTGSQSLEIDASKLQQQEPQTSRRINSNQVINAFNQQKPEEWTDSKATDDYNRKRPFRSTRPGKTVLVNTTQKENPLLNHLKSTNWRYVSSTGINMIYYDYLVRGRSVLFLTLTYHKLYVDYISRRMQPLSRNENNILIFIVDDNNSEDTLNDITKLCMFNGFTLLLAFNFEQAAKYIEYLNL
P18011 243 580 0.132630473372781 PF04888.12:SseC:10:335 Invasin IpaB; 62 kDa antigen 580 326 20 292 2 Shigella flexneri SwissProt::P18011 1 SwissProt LTGLASVTQLMATFIQLVGKNNEESLKNDLALFQSLQESRKTEMERKSDEYAAEVRKAEELNRVMGCVGKILGALLTIVSVVAAAFSGGASLALAAVGLALMVTDAIVQAATGNSFMEQALNPIMKAVIEPLIKLLSDAFTKMLEGLGVDSKKAKMIGSILGAIAGALVLVAAVVLVATVGKQAAAKLAENIGKIIGKTLTDLIPKFLKNFSSQLDDLITNAVARLNKFLGAAGDEVISKQIISTHLNQAVLLGESVNSATQAGGSVASAVFQNSASTNLADLTLSKYQVEQLSKYISEAIEKFGQLQEVIADLLASMSNSQANRTDVAKAILQQTTA
P31111 1 875 0.544684228571428 Synaptonemal complex protein ZIP1 875 0 20 875 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P31111 1 SwissProt MSNFFRDSSMGFKPRPNIFAKLRVRDVDSDSSANTVVENSSNCLDVGSSIEGDDTFKKPHKTSTEQELITSMSLSQRNHGYSDDMEIGSPKKTTSTDQYNRILKNDVAAIENDTDEDFEITEVREVSEGVAKETKESHGDPNDSETTLKDSKMHEYTMTNGKAPLHTSINNSSTSSNDVLLEAFTNTQRICSNLKQELQKQQQDNAKLKVRLQSYASNSDKINEKVGKYKSCLETLQERIATLTSHKNNQETKLKDLRQNHQLYQRRISGFKTSIENLNKTINDLGKNKKEADAELMKKGKEIEYLKRELDDCSGQLSEEKIKNSSLIQEMGKNREEMIKSIENFFSEDKAHHLLQFNKFEERVHDLFEKKLQKHFDVAKDTLNVGLRNTTVELSSNTETMLKQQYEDIKENLEQKMSSSKDEMAKTINELSVTQKGLIMGVQEELLTSSGNIQTALVSEMNNTRQELLDDASQTAKNYASLENLVKAYKAEIVQSNEYEERIKHLESERSTLSSQKNQIISSLGTKEAQYEDLVKKLEAKNIEISQISGKEQSLTEKNENLSNELKKVQDQLEKLNNLNITTKSNYENKISSQNEIVKALVSENDTLKQRIQQLVEIKENEQKDHTTKLEAFQKNNEQLQKLNVEVVQLKAHELELEEQNRHLKNCLEKKETGVEESLSDVKTLKQQVIVLKSEKQDITAEKLELQDNLESLEEVTKNLQQKVQSQKRELEQKIKELEEIKNHKRNEPSKKGTQNFTKPSDSPKKNATTSNLFPNNSAAIHSPMKKCPKVDHISKSRINSSKETSKFNDEFDLSSSSNDDLELTNPSPIQIKPVRGKIKKGSNCMKPPISSRKKLLLVEDEDQSLKISKKRRRK
P38085 1 619 0.133963004846527 PF00324.21:AA_permease:98:556,PF13520.6:AA_permease_2:98:521 Valine/tyrosine/tryptophan amino-acid permease 1; Tyrosine and tryptophan amino acid transporter 1 619 459 20 356 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38085 1 SwissProt MDDSVSFIAKEASPAQYSHSLHERTHSEKQKRDFTITEKQDEVSGQTAEPRRTDSKSILQRKCKEFFDSFKRQLPPDRNSELESQEKNNLTKSIKSRHLVMISLGTGIGTGLLVGNGQVLGTAGPAGLVLGYGIASIMLYCIIQAAGELGLCYAGLTGNYTRYPSILVDPSLGFAVSVVYTIQWLTVLPLQLVTAAMTVKYWTSVNADIFVAVVFVFVIIINLFGSRGYAEAEFIFNSCKILMVIGFVILAIIINCGGAGDRRYIGAEYWHNPGPFAHGFKGVCTVFCYAAFSYGGIEVLLLSAAEQENPTKSIPNACKKVVYRILLIYMLTTILVCFLVPYNSDELLGSSDSSGSHASPFVIAVASHGVKVVPHFINAVILISVISVANSSLYSGPRLLLSLAEQGVLPKCLAYVDRNGRPLLCFFVSLVFGCIGFVATSDAEEQVFTWLLAISSLSQLFIWMSMSLSHIRFRDAMAKQGRSMNEVGYKAQTGYWGSWLAVLIAIFFLVCQFWVAIAPVNEHGKLNVKVFFQNYLAMPIVLFAYFGHKIYFKSWSFWIPAEKIDLDSHRNIFVSPSLTEIDKVDDNDDLKEYENSESSENPNSSRSRKFFKRMTNFWC
P40424 1 231 0.505119913419914 PF03792.13:PBC:40:231 Pre-B-cell leukemia transcription factor 1; Homeobox protein PBX1; Homeobox protein PRL 430 192 20 231 0 Homo sapiens (Human) SwissProt::P40424 1 SwissProt MDEQPRLMHSHAGVGMAGHPGLSQHLQDGAGGTEGEGGRKQDIGDILQQIMTITDQSLDEAQARKHALNCHRMKPALFNVLCEIKEKTVLSIRGAQEEEPTDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGAGSDNSVEHSDYRAKLSQIRQIYHTELEKYEQACNEFTTHVMNLLREQSRTRPISPKEIERMVSIIHRKFSSIQMQLKQSTCEAVMILRSRFL
P40494 299 810 0.620366015625 Actin-regulating kinase PRK1; p53-regulating kinase 1; EC 2.7.11.1 810 0 20 512 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40494 1 SwissProt QNKPCPIRNFYLLRAMNQNANTQLAGEPSSTTYVPTQKFIPVQSLQSINQPPNMMPVTHVSTTPNLGTFPISINDNNKTEVTAHAGLQVGSHSNLTSPLMKTKSVPLSDEFASLYYKELHPFQKSQTFKSVESFQSPQRKSMPPLSLTPVNNDIFDRVSAINRPNNYVDSETQTIDNMAVPNLKLSPTITSKSLSSTKEIAAPDNINGSKIVRSLSSKLKKVITGESRGNSPIKSRQNTGDSIRSAFGKLRHGFTGNSVNNSRSASFDNNNVNGNGNNTNRRLVSSSTSSFPKFNSDTKRKEESDKNQRLEKRRSMPPSILSDFDQHERNNSRTGSRDYYRSHSPVKKTQASAKTTSKPTLIPDNGNVNINQEKKESIQRRVHNLLKSSDDPVTYKSASGYGKYTDIGTETSNRHSSVRITPITEEKFKKTLKDGVLDIKTKSNGKDKSRPPRPPPKPLHLRTEIQKIRNFSRLQSKKLPIERISSEATETIVDVNVDDLEADFRKRFPSKV
P46962 1 323 0.108924148606811 PF00134.23:Cyclin_N:21:144 CTD kinase subunit beta; CTDK-I subunit beta; CTD kinase 38 kDa subunit; CTD kinase subunit 2 323 124 20 323 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46962 1 SwissProt MPSTFESQLFFSRPFLSKRQIQRAQKNTISDYRNYNQKKLAVFKFLSDLCVQLKFPRKTLETAVYFYQRYHLFNRFETEVCYTVATSCLTLGCKEVETIKKTNDICTLSLRLRNVVKINTDILENFKKRVFQIELRILESCSFDYRVNNYVHIDEYVIKIGRELSFDYKLCNLAWVIAYDALKLETILVIPQHSIALAILKIAYELLDNKNWSSKRYSLFETDEKSVNEAYFDIVNFYINSFDMCDLQRHLPADLLPIGVERFMELKKNAGPESGLPQIPDHLLNADPYITITRDNNVQERRYVLSLELINGESSINSSTRHA
P53154 1 560 0.0353637500000001 PF03062.19:MBOAT:170:509 Glycerol uptake protein 1 560 340 20 341 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53154 1 SwissProt MSLISILSPLITSEGLDSRIKPSPKKDASTTTKPSLWKTTEFKFYYIAFLVVVPLMFYAGLQASSPENPNYARYERLLSQGWLFGRKVDNSDSQYRFFRDNFALLSVLMLVHTSIKRIVLYSTNITKLRFDLIFGLIFLVAAHGVNSIRILAHMLILYAIAHVLKNFRRIATISIWIYGISTLFINDNFRAYPFGNICSFLSPLDHWYRGIIPRWDVFFNFTLLRVLSYNLDFLERWENLQKKKSPSYESKEAKSAILLNERARLTAAHPIQDYSLMNYIAYVTYTPLFIAGPIITFNDYVYQSKHTLPSINFKFIFYYAVRFVIALLSMEFILHFLHVVAISKTKAWENDTPFQISMIGLFNLNIIWLKLLIPWRLFRLWALLDGIDTPENMIRCVDNNYSSLAFWRAWHRSYNKWVVRYIYIPLGGSKNRVLTSLAVFSFVAIWHDIELKLLLWGWLIVLFLLPEIFATQIFSHYTDAVWYRHVCAVGAVFNIWVMMIANLFGFCLGSDGTKKLLSDMFCTVSGFKFVILASVSLFIAVQIMFEIREEEKRHGIYLKC
P57678 1 1058 0.114852930056711 Gem-associated protein 4; Gemin-4; Component of gems 4; p97 1058 0 20 1058 0 Homo sapiens (Human) SwissProt::P57678 1 SwissProt MDLGPLNICEEMTILHGGFLLAEQLFHPKALAELTKSDWERVGRPIVEALREISSAAAHSQPFAWKKKALIIIWAKVLQPHPVTPSDTETRWQEDLFFSVGNMIPTINHTILFELLKSLEASGLFIQLLMALPTTICHAELERFLEHVTVDTSAEDVAFFLDVWWEVMKHKGHPQDPLLSQFSAMAHKYLPALDEFPHPPKRLRSDPDACPTMPLLAMLLRGLTQIQSRILGPGRKCCALANLADMLTVFALTEDDPQEVSATVYLDKLATVISVWNSDTQNPYHQQALAEKVKEAERDVSLTSLAKLPSETIFVGCEFLHHLLREWGEELQAVLRSSQGTSYDSYRLCDSLTSFSQNATLYLNRTSLSKEDRQVVSELAECVRDFLRKTSTVLKNRALEDITASIAMAVIQQKMDRHMEVCYIFASEKKWAFSDEWVACLGSNRALFRQPDLVLRLLETVIDVSTADRAIPESQIRQVIHLILECYADLSLPGKNKVLAGILRSWGRKGLSEKLLAYVEGFQEDLNTTFNQLTQSASEQGLAKAVASVARLVIVHPEVTVKKMCSLAVVNLGTHKFLAQILTAFPALRFVEEQGPNSSATFMVSCLKETVWMKFSTPKEEKQFLELLNCLMSPVKPQGIPVAALLEPDEVLKEFVLPFLRLDVEEVDLSLRIFIQTLEANACREEYWLQTCSPFPLLFSLCQLLDRFSKYWQLPKEKRCLSLDRKDLAIHILELLCEIVSANAETFSPDVWIKSLSWLHRKLEQLDWTVGLRLKSFFEGHFKCEVPATLFEICKLSEDEWTSQAHPGYGAGTGLLAWMECCCVSSGISERMLSLLVVDVGNPEEVRLFSKGFLVALVQVMPWCSPQEWQRLHQLTRRLLEKQLLHVPYSLEYIQFVPLLNLKPFAQELQLSVLFLRTFQFLCSHSCRDWLPLEGWNHVVKLLCGSLTRLLDSVRAIQAAGPWVQGPEQDLTQEALFVYTQVFCHALHIMAMLHPEVCEPLYVLALETLTCYETLSKTNPSVSSLLQRAHEQRFLKSIAEGIGPEERRQTLLQKMSSF
Q02447 1 603 0.575019071310117 Transcription factor Sp3; SPR-2 781 0 20 603 0 Homo sapiens (Human) SwissProt::Q02447 1 SwissProt MTAPEKPVKQEEMAALDVDSGGGGGGGGGHGEYLQQQQQHGNGAVAAAAAAQDTQPSPLALLAATCSKIGPPSPGDDEEEAAAAAGAPAAAGATGDLASAQLGGAPNRWEVLSATPTTIKDEAGNLVQIPSAATSSGQYVLPLQNLQNQQIFSVAPGSDSSNGTVSSVQYQVIPQIQSADGQQVQIGFTGSSDNGGINQESSQIQIIPGSNQTLLASGTPSANIQNLIPQTGQVQVQGVAIGGSSFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGSSQTMTAGINADGHLINTGQAMDSSDNSERTGERVSPDINETNTDTDLFVPTSSSSQLPVTIDSTGILQQNTNSLTTSSGQVHSSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQESQQPTSQAQIVQGITPQTIHGVQASGQNISQQALQNLQLQLNPGTFLIQAQTVTPSGQVTWQTFQVQGVQNLQNLQIQNTAAQQITLTPVQTLTLGQVAAGGAFTSTPVSLSTGQLPNLQTVTVNSIDSAGIQLHPGENADSPADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVDEEGDQQHQEGKRLRRVACTCP
Q12328 1 207 0.274055072463768 PF02466.19:Tim17:42:186 Mitochondrial import inner membrane translocase subunit TIM22 207 145 20 164 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12328 1 SwissProt MVYTGFGLEQISPAQKKPYNELTPEEQGERGAEMIMNFMTSCPGKSVVSGVTGFALGGVLGLFMASMAYDTPLHTPTPANTAATATAGNIGVGGISRTVQQISDLPFRQQMKLQFTDMGKKSYSSAKNFGYIGMIYAGVECVIESLRAKNDIYNGVTAGFFTGAGLAYKAGPQAALMGGAGFAAFSAAIDLYMKSEDGRPPQNDFKE
Q13790 1 326 0.2520254601227 PF15148.6:Apolipo_F:62:260 Apolipoprotein F; Apo-F; Lipid transfer inhibitor protein; LTIP 326 199 20 326 0 Homo sapiens (Human) SwissProt::Q13790 1 SwissProt MTGLCGYSAPDMRGLRLIMIPVELLLCYLLLHPVDATSYGKQTNVLMHFPLSLESQTPSSDPLSCQFLHPKSLPGFSHMAPLPKFLVSLALRNALEEAGCQADVWALQLQLYRQGGVNATQVLIQHLRGLQKGRSTERNVSVEALASALQLLAREQQSTGRVGRSLPTEDCENEKEQAVHNVVQLLPGVGTFYNLGTALYYATQNCLGKARERGRDGAIDLGYDLLMTMAGMSGGPMGLAISAALKPALRSGVQQLIQYYQDQKDANISQPETTKEGLRAISDVSDLEETTTLASFISEVVSSAPYWGWAIIKSYDLDPGAGSLEI
Q61321 273 775 0.467267395626242 Homeobox protein SIX4; Sine oculis homeobox homolog 4; Skeletal muscle-specific ARE-binding protein AREC3 775 0 20 503 0 Mus musculus (Mouse) SwissProt::Q61321 1 SwissProt NPSETQSKSESDGNPSTEDESSKGHEDLSPHPLSGASDGVTNLSLSSHVEPVYMQQIGNAKISLSSSGVLLNGSLVPASTSPVFLNGNSFIQGHNGVILNGLNVGNTQTVSLNPPKMSSNIVGNGIAMTDILGSTSQDVKEFKVLQSSAVNSAATTSYSPSAPVSFPGLIPCTEVKREGIQTVASQDGGSVVTFTTPVQINQYGIVQIPNSGANGQFLNGSIGFSPLQLPPVSVAASQGNLSVTPSTSDGSTFTSEPATVQHGKLFLSPLTPSAVVYTVPNSGQTVGAVKQEGLERGLVFSQLMPVNHSAQVNASLSSENLSGSGLHPLTSSLVNVSAAHGFSLTPPTLLNPTELNPDLAESQPVSAPVASKCTVSSVSNTNYATLQNCSLIPGQDLLSGPMTQAALGEIVPTAEEQVSHASTAVHQDFVREQRLVLQSVPNIKENFLQNSENKATNNLMMLDSKSKYVLDGMVEAGCEDLGTDKKELAKLQTVQLDEDMQDL
Q9I156 115 254 0.191864285714286 PF00975.20:Thioesterase:7:116 PvdG 254 110 20 140 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) Q9I156 1 SwissProt/TReMBL AENDVSGYRRAKADAELVAALRDYRGTPEAVLNDPSLMQMLLPVVRADFLVTGSYRYQAHGPLDAALHLFGGREDSLRSAELLGWLHEAGGDFTLDLLDGQHFFIREQQGQLLRLLRRYAGQHLLRWHKDKVRGSMRAAS
Q9M591 1 409 0.174221760391198 PF02915.17:Rubrerythrin:134:267 Magnesium-protoporphyrin IX monomethyl ester [oxidative] cyclase, chloroplastic; Mg-protoporphyrin IX monomethyl ester oxidative cyclase; Copper response defect 1 protein; Dicarboxylate diiron protein; AtZIP; MPE-cyclase; EC 1.14.13.81 409 134 20 409 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M591 1 SwissProt MAAEMALVKPISKFSSPKLSNPSKFLSGRRFSTVIRMSASSSPPPPTTATSKSKKGTKKEIQESLLTPRFYTTDFEEMEQLFNTEINKNLNEAEFEALLQEFKTDYNQTHFVRNKEFKEAADKLQGPLRQIFVEFLERSCTAEFSGFLLYKELGRRLKKTNPVVAEIFSLMSRDEARHAGFLNKGLSDFNLALDLGFLTKARKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLKENPEFQCYPIFKYFENWCQDENRHGDFFSALMKAQPQFLNDWQAKLWSRFFCLSVYVTMYLNDCQRTNFYEGIGLNTKEFDMHVIIETNRTTARIFPAVLDVENPEFKRKLDRMVVSYEKLLAIGETDDASFIKTLKRIPLVTSLASEILAAYLMPPVESGSVDFAEFEPNLVY
Q9Y240 1 323 0.490294117647059 PF00059.21:Lectin_C:198:321 C-type lectin domain family 11 member A; C-type lectin superfamily member 3; Lymphocyte secreted C-type lectin; Osteolectin; Stem cell growth factor; p47 323 124 20 323 0 Homo sapiens (Human) SwissProt::Q9Y240 1 SwissProt MQAAWLLGALVVPQLLGFGHGARGAEREWEGGWGGAQEEEREREALMLKHLQEALGLPAGRGDENPAGTVEGKEDWEMEEDQGEEEEEEATPTPSSGPSPSPTPEDIVTYILGRLAGLDAGLHQLHVRLHALDTRVVELTQGLRQLRNAAGDTRDAVQALQEAQGRAEREHGRLEGCLKGLRLGHKCFLLSRDFEAQAAAQARCTARGGSLAQPADRQQMEALTRYLRAALAPYNWPVWLGVHDRRAEGLYLFENGQRVSFFAWHRSPRPELGAQPSASPHPLSPDQPNGGTLENCVAQASDDGSWWDHDCQRRLYYVCEFPF
SwissProt::Q02078 96 417 0.682479192546584 PF12347.8:HJURP_C:2:58 Myocyte-specific enhancer factor 2A; Serum response factor-like protein 1 507 57 20 322 0 Homo sapiens (Human) SwissProt::Q02078 1 SwissProt CDSPDPDTSYVLTPHTEEKYKKINEEFDNMMRNHKIAPGLPPQNFSMSVTVPVTSPNALSYTNPGSSLVSPSLAASSTLTDSSMLSPPQTTLHRNVSPGAPQRPPSTGNAGGMLSTTDLTVPNGAGSSPVGNGFVNSRASPNLIGATGANSLGKVMPTKSPPPPGGGNLGMNSRKPDLRVVIPPSSKGMMPPLSEEEELELNTQRISSSQATQPLATPVVSVTTPSLPPQGLVYSAMPTAYNTDYSLTSADLSALQGFNSPGMLSLGQVSAWQQHHLGQAALSSLVAGGQLSQGSNLSINTNQNISIKSEPISPPRDRMTPS
SwissProt::Q12732 1 335 0.209236417910448 PF00067.22:p450:41:326 Averantin hydroxylase; Aflatoxin biosynthesis protein G; Cytochrome P450 60A1; Cytochrome P450 monooxygenase alfG; EC 1.14.14.116 495 286 20 312 1 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q12732 1 SwissProt MGGDGWPSDGHILLLIVLTVLTPPSLALYRLWIHPLRSYPGPRWWAIWRGPYILSNIRGNLVRDLQRLHQQFGPVVRIAPNELSFIVPEAASPIYTSNPEFPKDPMHLPPFHNGTPGILAADHAHHRRYRRLLAFSFSDKGLRHERSLIERSIDLLITQLHENCGQGPLDLALWFNWATFDIIGDLAFGDSFGCLENVQTHPWIASIQGNVKLIPILNAFRRYRLDGLLRLLGSRKLLEQRRRNAQFTTDQVDRRLKNSSTPRGDIWDAVLAQKPDGEPPMTRDEMISNASAIVLAGSETSATLLSGCTWLLLKNPSHLHQLTSRIRSQFTHASE
SwissProt::Q14207 1 1427 0.57107105816398 PF15712.5:NPAT_C:758:1427 Protein NPAT; Nuclear protein of the ataxia telangiectasia mutated locus; Nuclear protein of the ATM locus; p220 1427 670 20 1427 0 Homo sapiens (Human) SwissProt::Q14207 1 SwissProt MLLPSDVARLVLGYLQQENLISTCQTFILESSDLKEYAEHCTDEGFIPACLLSLFGKNLTTILNEYVAMKTKETSNNVPAIMSSLWKKLDHTLSQIRSMQSSPRFAGSQRARTRTGIAEIKRQRKLASQTAPASAELLTLPYLSGQFTTPPSTGTQVTRPSGQISDPSRSYFVVVNHSQSQDTVTTGEALNVIPGAQEKKAHASLMSPGRRKSESQRKSTTLSGPHSTIRNFQDPNAFAVEKQMVIENAREKILSNKSLQEKLAENINKFLTSDNNIAQVPKQTDNNPTEPETSIDEFLGLPSEIHMSEEAIQDILEQTESDPAFQALFDLFDYGKTKNNKNISQSISSQPMESNPSIVLADETNLAVKGSFETEESDGQSGQPAFCTSYQNDDPLNALKNSNNHDVLRQEDQENFSQISTSIQKKAFKTAVPTEQKCDIDITFESVPNLNDFNQRGNSNAECNPHCAELYTNQMSTETEMAIGIEKNSLSSNVPSESQLQPDQPDIPITSFVSLGCEANNENLILSGKSSQLLSQDTSLTGKPSKKSQFCENSNDTVKLKINFHGSKSSDSSEVHKSKIEINVLEPVMSQLSNCQDNSCLQSEILPVSVESSHLNVSGQVEIHLGDSLSSTKQPSNDSASVELNHTENEAQASKSENSQEPSSSVKEENTIFLSLGGNANCEKVALTPPEGTPVENSHSLPPESVCSSVGDSHPESQNTDDKPSSNNSAEIDASNIVSLKVIISDDPFVSSDTELTSAVSSINGENLPTIILSSPTKSPTKNAELVKCLSSEETVGAVVYAEVGDSASMEQSLLTFKSEDSAVNNTQNEDGIAFSANVTPCVSKDGGYIQLMPATSTAFGNSNNILIATCVTDPTALGTSVSQSNVVVLPGNSAPMTAQPLPPQLQTPPRSNSVFAVNQAVSPNFSQGSAIIIASPVQPVLQGMVGMIPVSVVGQNGNNFSTPPRQVLHMPLTAPVCNRSIPQFPVPPKSQKAQGLRNKPCIGKQVNNLVDSSGHSVGCHAQKTEVSDKSIATDLGKKSEETTVPFPEESIVPAAKPCHRRVLCFDSTTAPVANTQGPNHKMVSQNKERNAVSFPNLDSPNVSSTLKPPSNNAIKREKEKPPLPKILSKSESAISRHTTIRETQSEKKVSPTEIVLESFHKATANKENELCSDVERQKNPENSKLSIGQQNGGLRSEKSIASLQEMTKKQGTSSNNKNVLSVGTAVKDLKQEQTKSASSLITTEMLQDIQRHSSVSRLADSSDLPVPRTPGSGAGEKHKEEPIDIIKAPSSRRFSEDSSTSKVMVPPVTPDLPACSPASETGSENSVNMAAHTLMILSRAAISRTTSATPLKDNTQQFRASSRSTTKKRKIEELDERERNSRPSSKNLTNSSIPMKKKKIKKKKLPSSFPAGMDVDKFLLSLHYDE
SwissProt::Q60953 174 885 0.336265449438202 PF12126.8:DUF3583:71:406 Protein PML 885 336 20 712 0 Mus musculus (Mouse) SwissProt::Q60953 1 SwissProt LRDNSVSSFLDSTRKSNIFCSNTNHRNPALTDIYCRGCAKPLCCTCALLDRNHSHLHCDIGEEIQQWHEELGTMTQTLEEQGRTFDSAHAQMCSAIGQLDHARADIEKQIRARVRQVVDYVQAQERELLEAVNDRYQRDYQEIAGQLSCLEAVLQRIRTSGALVKRMKLYASDQEVLDMHSFLRKALCSLRQEEPQNQKVQLLTRGFEEFKLCLQDFISCITQRINAAVASPEAASNQPEAASTHPVTTSTPEDLEQPKEVQSVQAQALELSKTQPVAMVKTVPGAHPVPVYAFSMQGPTYREEASQTVGSMKRKCSHEDCSRKIIKMESTEENEDRLATSSPEQSWPSTFKATSPPHLDGTSNPESTVPEKKILLPNNNHVTSDTGETEERVVVISSSEDSDTENLSSHELDDSSSESSSLQLEGPNSLKALDESLAEPHLEDRTLVFFDLKIDNETQKISQLAAVNRESKFRVLIQPEAFSVYSKAVSLEAGLRHFLSFLTTMHRPILACSRLWGPGLPIFFQTLSDINKLWEFQDTISGFLAVLPLIRERIPGASSFKLGNLAKTYLARNMSERSALASVLAMRDLCCLLEISPGLPLAQHIYSFSSLQCFASLQPLIQASVLPQSEARLLALHNVSFVELLNAYRTNRQEGLKKYVHYLSLQTTPLSSSASTQVAQFLQALSTHMEGLLEGHAPAGAEGKAESKGCLA
SwissProt::Q8CFN5 96 474 0.692660686015831 PF12347.8:HJURP_C:2:59 Myocyte-specific enhancer factor 2C; Myocyte enhancer factor 2C 474 58 20 379 0 Mus musculus (Mouse) SwissProt::Q8CFN5 1 SwissProt CDSPDPDADDSVGHSPESEDKYRKINEDIDLMISRQRLCAVPPPSFEMPVTIPVSSHNSLVYSNPVSTLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGACTSTHLSQSSNLSLPSTQSLSIKSEPVSPPRDRTTTPSRYPQHTTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT
VIMSS10079485 161 395 0.604111914893617 NAC domain-containing protein 7; ANAC007; Protein EMBRYO DEFECTIVE 2749; Protein VASCULAR RELATED NAC-DOMAIN 4 395 0 20 235 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FWX2 1 SwissProt VRRMGDYDSSPSHWYDDQLSFMASELETNGQRRILPNHHQQQQHEHQQHMPYGLNASAYALNNPNLQCKQELELHYNHLVQRNHLLDESHLSFLQLPQLESPKIQQDNSNCNSLPYGTSNIDNNSSHNANLQQSNIAHEEQLNQGNQNFSSLYMNSGNEQVMDQVTDWRVLDKFVASQLSNEEAATASASIQNNAKDTSNAEYQVDEEKDPKRASDMGEEYTASTSSSCQIDLWK
VIMSS10081655 1 344 0.522957558139535 PF08381.11:BRX:139:193,PF08381.11:BRX:289:343,PF13713.6:BRX_N:24:56 Protein BREVIS RADIX; AtBRX 344 143 20 344 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q17TI5 1 SwissProt MFSCIACTKADGGEEVEHGARGGTTPNTKEAVKSLTIQIKDMALKFSGAYKQCKPCTGSSSSPLKKGHRSFPDYDNASEGVPYPFMGGSAGSTPAWDFTNSSHHPAGRLESKFTSIYGNDRESISAQSCDVVLDDDGPKEWMAQVEPGVHITFASLPTGGNDLKRIRFSREMFDKWQAQRWWGENYDKIVELYNVQRFNRQALQTPARSDDQSQRDSTYSKMDSARESKDWTPRHNFRPPGSVPHHFYGGSSNYGPGSYHGGPPMDAARTTTSSRDDPPSMSNASEMQAEWIEEDEPGVYITIRQLSDGTRELRRVRFSRERFGEVHAKTWWEQNRERIQTQYL
VIMSS10088618 1 171 0.48919298245614 PF00170.21:bZIP_1:31:82,PF07716.15:bZIP_2:29:74 bZIP transcription factor 2; AtbZIP2; G-box-binding factor 5; Protein FLORAL TRANSITION AT THE MERISTEM 3 171 54 20 171 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SI15 1 SwissProt MASSSSTYRSSSSSDGGNNNPSDSVVTVDERKRKRMLSNRESARRSRMRKQKHVDDLTAQINQLSNDNRQILNSLTVTSQLYMKIQAENSVLTAQMEELSTRLQSLNEIVDLVQSNGAGFGVDQIDGCGFDDRTVGIDGYYDDMNMMSNVNHWGGSVYTNQPIMANDINMY
VIMSS10088942 1 184 0.599841304347826 Ethylene-responsive transcription factor ERF053; AtERF53 336 0 20 184 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SKT1 1 SwissProt MATAKNKGKSIRVLGTSEAEKKDEMELEEEFQFSSGKYKDSGPGSDMWLGDASSTSPRSLRKTRTFDRHNPYLVSSYATPQPPTTTTCSVSFPFYLPPAIQNQQRFLHPNDPSGQRQQQMISFDPQQQVQPYVAQQQQQQQHLLQYWRDILKLSPSGRMMMMNMLRQESDLPLTRPPVQPFSAT
VIMSS10089851 1 244 0.458247540983607 PF00046.29:Homeodomain:7:66 WUSCHEL-related homeobox 3; Protein PRESSED FLOWER 244 60 20 244 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SIB4 1 SwissProt MSPVASTRWCPTPEQLMILEEMYRSGIRTPNAVQIQQITAHLAFYGRIEGKNVFYWFQNHKARDRQKLRKKLAKQLHQQQHQLQLQLQQIKPKPISSMISQPVNKNIIDHHNPYHHHHHNHHHNHHRPYDHMSFDCCSHPSPMCLPHQGTGVGEAPSKVMNEYYCTKSGAEEILMQKSITGPNSSYGRDWMMMMDMGPRPSYPSSSSSPISCCNMMMSSPKIPLKTLELFPISSINSKQDSTKL
VIMSS10090127 88 361 0.792163138686131 Transcription factor TCP10 361 0 20 274 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82277 1 SwissProt ELGETTTTTTRQEPVNTKPESPTLVFQRENNDQTQFVAANLDPEDAMKTFFPATTTTNGGGGTNINFQNYPHQDDNNMVSRTTTPPPNLSQDLGLSLHPFQGNNNTVVVPETNNFTTTHFDTFGRISGWNHHDLTMTSSSSSEHQQQEQEERSNGGFMVNHHPHHHHHQPSMMTLLNSQQQQVFLGGQQQQQQRGTLQSSLFPHSFRSWDHHQTTSDHHHHQNQASSMFASSSQYGSHGMMMMQGLSFPNTTRLLHGEEATQPNSSSSPPNSHL
VIMSS10092166 129 272 0.532435416666666 PF02183.18:HALZ:1:37 Homeobox-leucine zipper protein HAT5; HD-ZIP protein ATHB-1; Homeodomain transcription factor ATHB-1; Homeodomain-leucine zipper protein HAT5; HD-ZIP protein 5 272 37 20 144 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q02283 1 SwissProt YDLLKSTYDQLLSNYDSIVMDNDKLRSEVTSLTEKLQGKQETANEPPGQVPEPNQLDPVYINAAAIKTEDRLSSGSVGSAVLDDDAPQLLDSCDSYFPSIVPIQDNSNASDHDNDRSCFADVFVPTTSPSHDHHGESLAFWGWP
VIMSS10092290 1 263 0.328921292775665 PF03195.14:LOB:4:103 LOB domain-containing protein 41; ASYMMETRIC LEAVES 2-like protein 38; AS2-like protein 38 263 100 20 263 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M886 1 SwissProt MRMSCNGCRVLRKGCSEDCSIRPCLAWIKSPEAQANATVFLAKFYGRAGLMNLINAGPNHLRPGIFRSLLHEACGRIVNPIYGSVGLLWSGNWQLCQDAVEAVMKGEPVKEIATDAATIGQGPPLKIYDIRHISKDDNSAAAATGSTDLKLAKTRRAKRVSTVAIQAESEGKSDEASHDSSLSHQSEIVAAHEGESKESESNVSEVLAFSPPAVKGSGEIKLDLTLRLEPVSRAYHVVPVKKRRIGVFGTCQKESTCKTELML
VIMSS10093141 1 501 0.175428942115769 PF05834.12:Lycopene_cycl:84:478 Lycopene beta cyclase, chloroplastic; AtLCY; Protein SUPPRESSOR OF ZEAXANTHIN-LESS 1; EC 5.5.1.19 501 395 20 501 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38933 1 SwissProt MDTLLKTPNKLDFFIPQFHGFERLCSNNPYHSRVRLGVKKRAIKIVSSVVSGSAALLDLVPETKKENLDFELPLYDTSKSQVVDLAIVGGGPAGLAVAQQVSEAGLSVCSIDPSPKLIWPNNYGVWVDEFEAMDLLDCLDTTWSGAVVYVDEGVKKDLSRPYGRVNRKQLKSKMLQKCITNGVKFHQSKVTNVVHEEANSTVVCSDGVKIQASVVLDATGFSRCLVQYDKPYNPGYQVAYGIVAEVDGHPFDVDKMVFMDWRDKHLDSYPELKERNSKIPTFLYAMPFSSNRIFLEETSLVARPGLRMEDIQERMAARLKHLGINVKRIEEDERCVIPMGGPLPVLPQRVVGIGGTAGMVHPSTGYMVARTLAAAPIVANAIVRYLGSPSSNSLRGDQLSAEVWRDLWPIERRRQREFFCFGMDILLKLDLDATRRFFDAFFDLQPHYWHGFLSSRLFLPELLVFGLSLFSHASNTSRLEIMTKGTVPLAKMINNLVQDRD
VIMSS10093432 1 355 0.0994633802816902 PF02535.22:Zip:49:352 Zinc transporter 1; ZRT/IRT-like protein 1 355 304 20 154 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81123 1 SwissProt MSECGCFSATTMLRICVVLIICLHMCCASSDCTSHDDPVSQDEAEKATKLKLGSIALLLVAGGVGVSLPLIGKRIPALQPENDIFFMVKAFAAGVILCTGFVHILPDAFERLSSPCLEDTTAGKFPFAGFVAMLSAMGTLMIDTFATGYYKRQHFSNNHGSKQVNVVVDEEEHAGHVHIHTHASHGHTHGSTELIRRRIVSQVLEIGIVVHSVIIGISLGASQSIDTIKPLMAALSFHQFFEGLGLGGCISLADMKSKSTVLMATFFSVTAPLGIGIGLGMSSGLGYRKESKEAIMVEGMLNAASAGILIYMSLVDLLATDFMNPRLQSNLWLHLAAYLSLVLGAGSMSLLAIWA
VIMSS10094057 147 338 0.177945833333333 PF00149.28:Metallophos:27:110 Purple acid phosphatase 17; Acid phosphatase type 5; Peroxidase; EC 3.1.3.2; EC 1.11.1.7 338 84 20 192 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SCX8 1 SwissProt ICLRSFVVDAELVEMFFVDTTPFVKEYYTEADGHSYDWRAVPSRNSYVKALLRDLEVSLKSSKARWKIVVGHHAMRSIGHHGDTKELNEELLPILKENGVDLYMNGHDHCLQHMSDEDSPIQFLTSGAGSKAWRGDINPVTINPKLLKFYYDGQGFMSARFTHSDAEIVFYDVFGEILHKWVTSKQLLHSSV
VIMSS10097488 1 959 0.207606777893639 PF13181.6:TPR_8:781:810 tetratricopeptide repeat (TPR)-containing protein 959 30 20 959 0 Arabidopsis thaliana NP_001030839.5 1 RefSeq MQHNLFTTMRSLKLAEGCKGTQVYALNPSAPTPPPPPGNSSSGGGGGGGSGGGTGGVGDKLLQHLSDHLRVNSVRSKSSRTYPPPTQPNAVVSPEFLLPCGLPVTDLLEPQIDPCLKFVDLVEKMAQVYRRIENCSQFEKSGAYLEQCAIFRGISDPKLFRRSLRSSRQHAVDVHAKVVLASWLRFERREDELIGTTSMDCCGRNLECPKATLVSGYDPESVYDPCVCSGASRSEMMNEDECSTSQEVDYDMSFCIGDEEVRCVRYKIASLSRPFKAMLYGGFREMKRATINFTQNGISVEGMRAAEIFSRTNRLDNFPPNVVLELLKLANRFCCDELKSACDSHLAHLVNSLDEAMLLIEYGLEEAAYLLVAACLQVFLRELPSSMHNPNVIKIFCSAEGRERLASLGHASFTLYFFLSQIAMEDDMKSNTTVMLLERLVECAVDSWEKQLAYHQLGVVMLERKEYKDAQRWFNAAVEAGHLYSLVGVARTKFKRDHRYSAYKIINSLISDHKATGWMHQERSLYCSGKEKLLDLDTATEFDPTLTFPYKFRAVALVEENQFGAAIAELNKILGFKASPDCLEMRAWISIGMEDYEGALKDIRALLTLEPNFMMFNWKIHGDHMVELLRPLAQQWSQADCWMQLYDRWSSVDDIGSLAVVHHMLANDPGKSLLRFRQSLLLLRLNCQKAAMRSLRLARNHSKSEHERLVYEGWILYDTGHREEALAKAEESISIQRSFEAFFLKAYALADSTLDPDSSNYVIQLLQEALKCPSDGLRKGQALNNLGSVYVDCEKLDLAADCYTNALTIKHTRAHQGLARVYHLKNQRKAAYDEMTKLIEKAQNNASAYEKRSEYCDREMAQSDLCLATQLDPLRTYPYRYRAAVLMDDHKESEAIDELSRAISFKPDLQLLHLRAAFYDSMGEGASAIKDCEAALCIDPGHADTLELYHKAREPNDQK
VIMSS10098134 1 773 0.224071927554981 PF05691.12:Raffinose_syn:7:756 Probable galactinol--sucrose galactosyltransferase 2; Protein SEED IMBIBITION 2; Raffinose synthase 2; EC 2.4.1.82 773 750 20 773 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94A08 1 SwissProt MTITSNISVQNDNLVVQGKTILTKIPDNIILTPVTGNGFVSGSFIGATFEQSKSLHVFPIGVLEGLRFMCCFRFKLWWMTQRMGSCGKDIPLETQFMLLESKDEVEGNGDDAPTVYTVFLPLLEGQFRAVLQGNEKNEIEICFESGDKAVETSQGTHLVYVHAGTNPFEVIRQSVKAVERHMQTFHHREKKKLPSFLDWFGWCTWDAFYTDVTAEGVDEGLKSLSEGGTPPKFLIIDDGWQQIENKEKDENCVVQEGAQFATRLVGIKENAKFQKSDQKDTQVSGLKSVVDNAKQRHNVKQVYAWHALAGYWGGVKPAASGMEHYDSALAYPVQSPGVLGNQPDIVMDSLAVHGLGLVNPKKVFNFYNELHSYLASCGIDGVKVDVQNIIETLGAGLGGRVSLTRSYQQALEASIARNFTDNGCISCMCHNTDGLYSAKQTAIVRASDDFYPRDPASHTIHIASVAYNSLFLGEFMQPDWDMFHSLHPTAEYHAAARAVGGCAIYVSDKPGNHNFDLLRKLVLPDGSVLRAKLPGRPTRDCLFADPARDGISLLKIWNMNKFTGIVGVFNCQGAGWCKETKKNQIHDTSPGTLTGSIRADDADLISQVAGEDWSGDSIVYAYRSGEVVRLPKGASIPLTLKVLEYELFHISPLKEITENISFAPIGLVDMFNSSGAIESIDINHVTDKNPEFFDGEISSASPALSDNRSPTALVSVSVRGCGRFGAYSSQRPLKCAVESTETDFTYDAEVGLVTLNLPVTREEMFRWHVEILV
VIMSS10101911 1 351 0.194135327635328 PF01786.17:AOX:119:306 Ubiquinol oxidase 4, chloroplastic/chromoplastic; Alternative oxidase 4; Plastid terminal oxidase; Protein IMMUTANS; EC 1.10.3.11 351 188 20 308 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q56X52 1 SwissProt MAAISGISSGTLTISRPLVTLRRSRAAVSYSSSHRLLHHLPLSSRRLLLRNNHRVQATILQDDEEKVVVEESFKAETSTGTEPLEEPNMSSSSTSAFETWIIKLEQGVNVFLTDSVIKILDTLYRDRTYARFFVLETIARVPYFAFMSVLHMYETFGWWRRADYLKVHFAESWNEMHHLLIMEELGGNSWWFDRFLAQHIATFYYFMTVFLYILSPRMAYHFSECVESHAYETYDKFLKASGEELKNMPAPDIAVKYYTGGDLYLFDEFQTSRTPNTRRPVIENLYDVFVNIRDDEAEHCKTMRACQTLGSLRSPHSILEDDDTEEESGCVVPEEAHCEGIVDCLKKSITS
VIMSS10103986 1 488 0.0576469262295082 PF01490.18:Aa_trans:49:443 like AUXIN RESISTANT 1 488 395 20 263 10 Arabidopsis thaliana NP_195744.1 1 RefSeq MSGEKQAEESIVVSGEDEVAGRKVEDSAAEEDIDGNGGNGFSMKSFLWHGGSAWDAWFSCASNQVAQVLLTLPYSFSQLGMLSGILLQIFYGLMGSWTAYLISVLYVEYRARMEKQEAKSFKNHVIQWFEVLDGLLGPYWKAAGLAFNCTFLLFGSVIQLIACASNIYYINDRLDKRTWTYIFGACCATTVFIPSFHNYRIWSFLGLGMTTYTAWYLTIASFLHGQAEGVTHSGPTKLVLYFTGATNILYTFGGHAVTVEIMHAMWKPRKFKSIYLMATLYVFTLTLPSASAVYWAFGDQLLNHSNAFSLLPKTRFRDTAVILMLIHQFITFGFACTPLYFVWEKAIGMHHTKSLCLRALVRLPVVVPIWFLAIIFPFFGPINSAVGALLVTFTVYIIPALAHMLTYRTASARRNAAEKPPFFIPSWAGVYVINAFIVVWVLVLGFGFGGWASMTNFIRQIDTFGLFAKCYQCKPPPAPIAAGAHHRR
VIMSS10105399 1 493 0.105589249492901 PF07690.16:MFS_1:46:414 High affinity nitrate transporter 2.7; AtNRT2:7 493 369 20 273 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LYK2 1 SwissProt MEPSQRNTKPPSFSDSTIPVDSDGRATVFRPFSLSSPHSRAFHLAWLSLFSCFFSTFSIPPLVPVISSDLNLSASTVSAAGIASFAGSIFSRLAMGPLCDLIGPRTSSAILSFLTAPVILSASLVSSPTSFILVRFFVGFSLANFVANQYWMSSMFSGNVIGLANGVSAGWANVGAGISQLLMPLIYSTIAEFLPRAVAWRVSFVFPAIFQVTTAVLVLLYGQDTPHGNRKNSNQNKLTIPEEEEVLVVEEDERSSFVEILIGGLGNYRAWILALLYGYSYGVELTTDNVIAGYFYERFGVNLEAAGTIAASFGISNIASRPAGGMISDALGKRFGMRGRLWGLWIVQSVAGLLCVLLGRVNSLWGSILVMWVFSVFVQAASGLVFGVVPFVSTRSLGVVAGITGSGGTVGAVVTQFLLFSGDDVRKQRSISLMGLMTFVFALSVTSIYFPQWGGMCCGPSSSSEEEDISRGLLVEDEDEEGKVVSGSLRPVC
VIMSS10105715 1 584 0.465374315068493 PF00847.20:AP2:210:268,PF00847.20:AP2:312:362 AP2-like ethylene-responsive transcription factor BBM; AtBBM; Protein AINTEGUMENTA-LIKE 2; Protein BABY BOOM 584 110 20 584 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6PQQ4 1 SwissProt MNSMNNWLGFSLSPHDQNHHRTDVDSSTTRTAVDVAGGYCFDLAAPSDESSAVQTSFLSPFGVTLEAFTRDNNSHSRDWDINGGACNNINNNEQNGPKLENFLGRTTTIYNTNETVVDGNGDCGGGDGGGGGSLGLSMIKTWLSNHSVANANHQDNGNGARGLSLSMNSSTSDSNNYNNNDDVVQEKTIVDVVETTPKKTIESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCKREGQTRKGRQVYLGGYDKEEKAARAYDLAALKYWGTTTTTNFPLSEYEKEVEEMKHMTRQEYVASLRRKSSGFSRGASIYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFGTQEEAAEAYDIAAIKFRGLSAVTNFDMNRYNVKAILESPSLPIGSSAKRLKDVNNPVPAMMISNNVSESANNVSGWQNTAFQHHQGMDLSLLQQQQERYVGYYNGGNLSTESTRVCFKQEEEQQHFLRNSPSHMTNVDHHSSTSDDSVTVCGNVVSYGGYQGFAIPVGTSVNYDPFTAAEIAYNARNHYYYAQHQQQQQIQQSPGGDFPVAISNNHSSNMYFHGEGGGEGAPTFSVWNDT
VIMSS10106592 1 112 0.555716071428571 PF02309.16:AUX_IAA:27:112 Auxin-responsive protein IAA28; Indoleacetic acid-induced protein 28 175 86 20 112 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XFM0 1 SwissProt MEEEKRLELRLAPPCHQFTSNNNINGSKQKSSTKETSFLSNNRVEVAPVVGWPPVRSSRRNLTAQLKEEMKKKESDEEKELYVKINMEGVPIGRKVNLSAYNNYQQLSHAVD
VIMSS10107051 1 230 0.481779565217391 Protein PHR1-LIKE 1; Myb-like transcription factor 1 413 0 20 230 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GUN5 1 SwissProt MTLANDFGYSTAMSSSYSALHTSVEDRYHKLPNSFWVSSGQELMNNPVPCQSVSGGNSGGYLFPSSSGYCNVSAVLPHGRNLQNQPPVSTVPRDRLAMQDCPLIAQSSLINHHPQEFIDPLHEFFDFSDHVPVQNLQAESSGVRVDSSVELHKKSEWQDWADQLISVDDGSEPNWSELLGDSSSHNPNSEIPTPFLDVPRLDITANQQQQMVSSEDQLSGRNSSSSVATS
VIMSS10110297 1 558 0.498721863799283 PF00847.20:AP2:203:261,PF00847.20:AP2:306:355 AP2-like ethylene-responsive transcription factor AIL5; Protein AINTEGUMENTA-LIKE 5 558 109 20 558 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6PQQ3 1 SwissProt MKNNNNKSSSSSSYDSSLSPSSSSSSHQNWLSFSLSNNNNNFNSSSNPNLTSSTSDHHHPHPSHLSLFQAFSTSPVERQDGSPGVSPSDATAVLSVYPGGPKLENFLGGGASTTTTRPMQQVQSLGGVVFSSDLQPPLHPPSAAEIYDSELKSIAASFLGNYSGGHSSEVSSVHKQQPNPLAVSEASPTPKKNVESFGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQSRKGRQVYLGGYDKEDKAARAYDLAALKYWGPTTTTNFPISNYESELEEMKHMTRQEFVASLRRKSSGFSRGASMYRGVTRHHQHGRWQARIGRVAGNKDLYLGTFSTQEEAAEAYDIAAIKFRGLNAVTNFDISRYDVKSIASCNLPVGGLMPKPSPATAAADKTVDLSPSDSPSLTTPSLTFNVATPVNDHGGTFYHTGIPIKPDPADHYWSNIFGFQANPKAEMRPLANFGSDLHNPSPGYAIMPVMQEGENNFGGSFVGSDGYNNHSAASNPVSAIPLSSTTTMSNGNEGYGGNINWINNNISSSYQTAKSNLSVLHTPVFGLE
VIMSS10110798 1 578 0.181803806228374 PF07002.16:Copine:360:575,PF00168.30:C2:55:161,PF00168.30:C2:199:291 Protein BONZAI 1; COPINE 1 578 416 20 578 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q941L3 1 SwissProt MGNCCSDVASGAGATAGVGGSGSSAALGATNDALDYYLKSKGFNGLFSQIELSFSASNLRDRDVLSKSDPMVVVYQKEKDATLSEVFRSEVVLNSLAPKWIKKFIVAYHFETVQTLVFRVYDVDTKFQNSREEMLKLDEQQFLGEATCALSEIITKSTRTSTLELKRKDGFAPQAQPHHGKLIIHAEESLASKISTEIVFRCSNLESKDLFSKSDPFLVVSKIVEHGTPIPVSKTEVRKNDLNPIWKPVFLSVQQVGSKDSPVIIECSDFNSNGKHSLIGKVQKSLSDLEKLHLAGQGINFSLPTGAGQNKVLKSQLFVDKFTETVHHTFLEYLASGFELNFMVAIDFTASNGNPRLPDSLHYIDPSGRLNAYQRAIMDVGEVLQFYDSDKRFPAWGFGARPIDAPVSHCFNLNGSSSYSEVDGIQGIMTSYTSALFNVSLAGPTLFGPVINAAAMIASASLAQGSRKYYVLLIITDGVITDLQETKDALVSASDLPLSILIVGVGGADFKEMEILDADKGERLESSSGRLASRDIVQFVALRDVQYGEISVVQALLAELPSQFLTYMRIRNMKPIPP
VIMSS110333 1 135 0.361087407407408 PF01022.20:HTH_5:58:102,PF12840.7:HTH_20:50:108 HTH-type transcriptional repressor SmtB 135 59 20 135 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMI5 1 SwissProt MVTSPSTPTAAHEDVGADEVGGHQHPADRFAECPTFPAPPPREILDAAGELLRALAAPVRIAIVLQLRESQRCVHELVDALHVPQPLVSQHLKILKAAGVVTGERSGREVLYRLADHHLAHIVLDAVAHAGEDAI
VIMSS112654 1 278 0.00828093525179856 PF00950.17:ABC-3:11:265 similar to ABC transporter, permease protein (NCBI) 278 255 20 90 8 Staphylococcus aureus subsp. aureus Mu50 VIMSS112654 0 MicrobesOnline MLEFVEHLFTYQFLNRALITSIIVGIVCGTVGSLIVLRGLSLMGDAMSHAVLPGVALSFLFGIPMFVGALITGMIASIFIGYITSSSKTKPDAAIGISFTAFLASGIIIISLINTTTDLYHILFGNLLAITNSAFLTTIVIGSIVLILIIIFYRPLMISTFDPTFSRMSGLNTTLLHYFVMLLLSLVTVASIQTVGIILVVALLITPASTAFLISKKLYSMMIIASLISVISSIVGLYYSYIYNIPSGATIVLCTFVIYIITLFFTKFTNRKKRGSLT
VIMSS12855 1 129 0.393907751937985 PF14250.6:AbrB-like:51:119 unknown protein (NCBI ptt file) 129 69 20 129 0 Synechocystis sp. PCC 6803 VIMSS12855 1 MicrobesOnline MAKSNATKPLTGEALLEKVKELGDLPKEEKAKICGYVTSTKKGLPRVNMMKFYNALMDAQGIDLDSSASGQGRGGRSASYRISVQSNGNLLIGAAYTKKMSLNVGDEFEITLGRKHIHLKQVGADDEEE
VIMSS1293383 1 128 0.15645859375 hypothetical protein (NCBI) 128 0 20 128 0 Staphylococcus aureus subsp. aureus USA300_FPR3757 VIMSS1293383 1 MicrobesOnline MNYVERYIEQFLRATVRNNIKHYLLMLDEKMKNLDDYMRYLITKKEQLSKLIDSLMLTLENKYIDIAEAFQIQCAREINNQEIENIKSELNKVEAYYAQIETQIQQTSTEKIATEKTSYLINYMNAVA
VIMSS13589 1 301 0.384494019933555 lipoprotein 301 0 20 301 0 Mycoplasma pneumoniae M129 NP_109821.1 1 RefSeq MKGFSCSRPGYLTGLLLLAVAPILTACTRDYTTKNEFQLTTAQQAKLKPATIEYWRDGDTPEINYASEERRKEAEQKSKENAKKEDKKEEKKTEDSQDSSSASTQVRSSKHGLRIYGIDTPEKHVSSKGDSTGDEKIEAEKASNYAEKLIPKGSTVWVWSLNTYSYDREVGALFFKSNPKQTFFQSFEVAMVEAGHAIPIAGTGLNLIADPELSADDPLSVIGLQLANAANKAYNAKINIWSHDTDGYRSLTAVYKLRGADISWTRFLDEANGYSSASAGTGASLYQLWDQRQAKLAQKGS
VIMSS15176 1 306 0.17027385620915 PF03279.13:Lip_A_acyltrans:5:297 lauroyl acyltransferase (EC 2.3.1.241) 306 293 20 283 1 Escherichia coli K-12 substr. MG1655 ecocyc::LAUROYLACYLTRAN-MONOMER 1 ecocyc MTNLPKFSTALLHPRYWLTWLGIGVLWLVVQLPYPVIYRLGCGLGKLALRFMKRRAKIVHRNLELCFPEMSEQERRKMVVKNFESVGMGLMETGMAWFWPDRRIARWTEVIGMEHIRDVQAQKRGILLVGIHFLTLELGARQFGMQEPGIGVYRPNDNPLIDWLQTWGRLRSNKSMLDRKDLKGMIKALKKGEVVWYAPDHDYGPRSSVFVPLFAVEQAATTTGTWMLARMSGACLVPFVPRRKPDGKGYQLIMLPPECSPPLDDAETTAAWMNKVVEKCIMMAPEQYMWLHRRFKTRPEGVPSRY
VIMSS156549 1 273 0.363479120879121 PF18449.1:Endotoxin_C2:142:198,PF18449.1:Endotoxin_C2:201:264 peptidoglycan-bound surface protein 399 121 20 250 1 Listeria monocytogenes EGD-e NP_463850.1 1 RefSeq MNKYFKNLATILFTVSVLTTSIIASPAMASAYEESHNVSTIYTSPLDTPHVGDTKITGKTTPLSSFSIAIYTSEGRLTGTFFGTTNTDGTYSVDLSDFTVYHPSGYVTTGKVIYEENSFVRSGTETGGDKVIKVTVSYDYIQTAINELFLNNNPTGTILTITDQNAINAVQEQINTVTSSEKESFQEQLNNAQQQLDARIAQEQAAIQAVNQLFLEDSPVNSIKAETTQDLIDQAQTLVDVLPASELKDTLQANIVKAQTELDERSKPVTPPK
VIMSS15696 1 62 0.207151612903226 PF05358.11:DicB:1:62 Qin prophage; cell division inhibition protein DicB 62 62 20 62 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10227-MONOMER 1 ecocyc MKTLLPNVNTSEGCFEIGVTISNPVFTEDAINKRKQERELLNKICIVSMLARLRLMPKGCAQ
VIMSS157342 1 505 0.238635643564357 lmo1118 (NCBI ptt file) 505 0 20 505 0 Listeria monocytogenes EGD-e VIMSS157342 1 MicrobesOnline MGVKYVEIEKIDNYIENPRHEVGLNELDTLKKLFDVSGYQNMINLAQDIYTNGLVNASLVTIVKLNNADRYTVYEGNRRVACIKLILHPEKFSFLPKNQIDRIKKMKSDTPSKINLSQIECLITDEEDAFFIMRRIHSGEDKGRGLKSWNTKEQEIFKLRTNPKNSTSIAKIISDKYEEFFKEDIQEEMAYTNIQRLFNNLEVRESLGIEKDNIDSFSCERLYLIKGVIEKVNQIAKIENLSISRKFNKRKIIEQIVIPIIEELKSKQLESKAINSIHIEQKNKSNKLSNSKEQQTQNKEATSNNGISVREDTGLKTEYKSIESISSTLKPKLPPKIGSGKLLSSTMPFTNLYHSNVRINTLVIEINQIRYKDFRLATQFLLRSLMEVYGHEYIDYFANLDYQDVQKMKAIAKDRIKRNQTVNEIYSEFISNHITKHFPKYAEQAELINISLSKNNNSSLMKILNFMVHSQNHIPDSIELIEAWYKVKSIVEAIDNILHEVKHNY
VIMSS159 1 404 0.293712623762377 PF13091.6:PLDc_2:131:241,PF13091.6:PLDc_2:274:380,PF07894.12:FAM83:273:331 phospholipase 404 219 20 404 0 Chlamydia trachomatis D/UW-3/CX NP_219660.1 1 RefSeq MSVQGSSSLKYSDLFKPPEPTSSTDSSKEPPKESAWKVVSHSRGRRRARSNPSPHTSQNTPSPKDSSLVARTDKAATDIFNSAKHKAIETTKRSDQQSRSLHILHLLAENPEPIVFHSAHQTNHNDPQRMLCDAILQANRIITMRIFNIGSPEIIRALIRAVRRNIPVVVSAWNFPNLSNWDRESELCVELRGNPQICLHKKTTLIDNQLTIIGTANYTKSSFFKDINLTALIQNPALYSLILSDTRGSVSIGSQTISYYPLPFPQSNTKILPIIQEIQKAQRTIKIAMNIFSHTEIFLALEQARLRGVTITIVINKKESAHTLDILHRISALLLLKSVTTVDSLHAKICLIDNQTLIFGSPNWTYHGMHKNLEDLLIVTPLTPKQIHSIQEIWAFLLKNSSPV
VIMSS15973 1 323 0.232558513931889 PF03279.13:Lip_A_acyltrans:12:305 myristoyl-acyl carrier protein-dependent acyltransferase (EC 2.3.1.243) 323 294 20 305 1 Escherichia coli K-12 substr. MG1655 ecocyc::MYRISTOYLACYLTRAN-MONOMER 1 ecocyc METKKNNSEYIPEFDKSFRHPRYWGAWLGVAAMAGIALTPPKFRDPILARLGRFAGRLGKSSRRRALINLSLCFPERSEAEREAIVDEMFATAPQAMAMMAELAIRGPEKIQPRVDWQGLEIIEEMRRNNEKVIFLVPHGWAVDIPAMLMASQGQKMAAMFHNQGNPVFDYVWNTVRRRFGGRLHARNDGIKPFIQSVRQGYWGYYLPDQDHGPEHSEFVDFFATYKATLPAIGRLMKVCRARVVPLFPIYDGKTHRLTIQVRPPMDDLLEADDHTIARRMNEEVEIFVGPRPEQYTWILKLLKTRKPGEIQPYKRKDLYPIK
VIMSS16145 1 415 0.010549156626506 PF01943.17:Polysacc_synt:9:278,PF13440.6:Polysacc_synt_3:33:328 polyisoprenol-linked O-antigen repeat unit flippase 415 320 20 191 10 Escherichia coli K-12 substr. MG1655 ecocyc::RFBX-MONOMER 1 ecocyc MNTNKLSLRRNVIYLAVVQGSNYLLPLLTFPYLVRTLGPENFGIFGFCQATMLYMIMFVEYGFNLTATQSIAKAADSKDKVTSIFWAVIFSKIVLIVITLIFLTSMTLLVPEYNKHAVIIWSFVPALVGNLIYPIWLFQGKEKMKWLTLSSILSRLAIIPLTFIFVNTKSDIAIAGFIQSSANLVAGIIALAIVVHEGWIGKVTLSLHNVRRSLADGFHVFISTSAISLYSTGIVIILGFISGPTSVGNFNAANTIRNALQGLLNPITQAIYPRISSTLVLNRVKGVILIKKSLTCLSLIGGAFSLILLLGASILVKISIGPGYDNAVIVLMIISPLPFLISLSNVYGIQVMLTHNYKKEFSKILIAAGLLSLLLIFPLTTLFKEIGAAITLLATECLVTSLMLMFVRNNKLLVC
VIMSS16390 311 908 0.277274581939799 PF00384.22:Molybdopterin:251:328,PF01568.21:Molydop_binding:518:580 NADH:quinone oxidoreductase subunit G 908 141 20 598 0 Escherichia coli K-12 substr. MG1655 ecocyc::NUOG-MONOMER 1 ecocyc KVIGIGSPRASVESNFALRELVGEENFYTGIAHGEQERLQLALKVLREGGIYTPALREIESYDAVLVLGEDVTQTGARVALAVRQAVKGKAREMAAAQKVADWQIAAILNIGQRAKHPLFVTNVDDTRLDDIAAWTYRAPVEDQARLGFAIAHALDNSAPAVDGIEPELQSKIDVIVQALAGAKKPLIISGTNAGSLEVIQAAANVAKALKGRGADVGITMIARSVNSMGLGIMGGGSLEEALTELETGRADAVVVLENDLHRHASAIRVNAALAKAPLVMVVDHQRTAIMENAHLVLSAASFAESDGTVINNEGRAQRFFQVYDPAYYDSKTVMLESWRWLHSLHSTLLSREVDWTQLDHVIDAVVAKIPELAGIKDAAPDATFRIRGQKLAREPHRYSGRTAMRANISVHEPRQPQDIDTMFTFSMEGNNQPTAHRSQVPFAWAPGWNSPQAWNKFQDEVGGKLRFGDPGVRLFETSENGLDYFTSVPARFQPQDGKWRIAPYYHLFGSDELSQRAPVFQSRMPQPYIKLNPADAAKLGVNAGTRVSFSYDGNTVTLPVEIAEGLTAGQVGLPMGMSGIAPVLAGAHLEDLKEAQQ
VIMSS16483 1 306 0.172590849673203 PF03279.13:Lip_A_acyltrans:5:296 palmitoleoyl acyltransferase (EC 2.3.1.242) 306 292 20 283 1 Escherichia coli K-12 substr. MG1655 ecocyc::PALMITOTRANS-MONOMER 1 ecocyc MFPQCKFSREFLHPRYWLTWFGLGVLWLWVQLPYPVLCFLGTRIGAMARPFLKRRESIARKNLELCFPQHSAEEREKMIAENFRSLGMALVETGMAWFWPDSRVRKWFDVEGLDNLKRAQMQNRGVMVVGVHFMSLELGGRVMGLCQPMMATYRPHNNQLMEWVQTRGRMRSNKAMIGRNNLRGIVGALKKGEAVWFAPDQDYGRKGSSFAPFFAVENVATTNGTYVLSRLSGAAMLTVTMVRKADYSGYRLFITPEMEGYPTDENQAAAYMNKIIEKEIMRAPEQYLWIHRRFKTRPVGESSLYI
VIMSS17683 1 419 0.0165766109785203 PF04932.15:Wzy_C:205:352 O-antigen ligase 419 148 20 179 12 Escherichia coli K-12 substr. MG1655 ecocyc::EG11424-MONOMER 1 ecocyc MLTSFKLHSLKPYTLKSSMILEIITYILCFFSMIIAFVDNTFSIKIYNITAIVCLLSLILRGRQENYNIKNLILPLSIFLIGLLDLIWYSAFKVDNSPFRATYHSYLNTAKIFIFGSFIVFLTLTSQLKSKKESVLYTLYSLSFLIAGYAMYINSIHENDRISFGVGTATGAAYSTMLIGIVSGVAILYTKKNHPFLFLLNSCAVLYVLALTQTRATLLLFPIICVAALIAYYNKSPKKFTSSIVLLIAILASIVIIFNKPIQNRYNEALNDLNSYTNANSVTSLGARLAMYEIGLNIFIKSPFSFRSAESRAESMNLLVAEHNRLRGALEFSNVHLHNEIIEAGSLKGLMGIFSTLFLYFSLFYIAYKKRALGLLILTLGIVGIGLSDVIIWARSIPIIIISAIVLLLVINNRNNTIN
VIMSS188596 1 367 0.0956261580381472 PF17179.4:Fer4_22:232:326,PF13183.6:Fer4_8:230:326 Sulfhydrogenase 1 subunit beta; Sulfhydrogenase I subunit beta; Sulfur reductase subunit HydB; EC 1.12.98.4 367 97 20 367 0 Pyrococcus furiosus (strain ATCC 43587 / DSM 3638 / JCM 8422 / Vc1) SwissProt::Q8U2E5 1 SwissProt MRYVKLPKENTYEFLERLKDWGKLYAPVKISDKFYDFREIDDVRKIEFHYNRTIMPPKKFFFKPREKLFEFDISKPEYREVIEEVEPFIIFGVHACDIYGLKILDTVYLDEFPDKYYKVRREKGIIIGISCMPDEYCFCNLRETDFADDGFDLFFHELPDGWLVRVGTPTGHRLVDKNIKLFEEVTDKDICAFRDFEKRRQQAFKYHEDWGNLRYLLELEMEHPMWDEEADKCLACGICNTTCPTCRCYEVQDIVNLDGVTGYRERRWDSCQFRSHGLVAGGHNFRPTKKDRFRNRYLCKNAYNEKLGLSYCVGCGRCTAFCPANISFVGNLRRILGLEENKCPPTVSEEIPKRGFAYSSNIRGDGV
VIMSS18954 1 114 0.00324824561403509 cag pathogenicity island protein (cag15) (NCBI ptt file) 114 0 20 68 2 Helicobacter pylori 26695 VIMSS18954 0 MicrobesOnline MKRPISKLKQNFLQFKHSFNKHLDKYSLYYRLFNISSIVIGFLVALFSYGAGVILVYPILFLFALIIKPSFFYYTTYLLLLVSLSIISKYYLLSHANFTMKLIILMTQWQNWFL
VIMSS1935693 1 292 0.213783219178082 PF00823.19:PPE:6:162 PPE FAMILY PROTEIN (NCBI) 536 157 20 292 0 Mycobacterium tuberculosis H37Rv VIMSS1935693 1 MicrobesOnline MTLWMASPPEVHSALLSSGPGPGSVLSAAGVWSSLSAEYAAVADELIGLLGAVQTGAWQGPSAAAYVAAHAPYLAWLMRASETSAEAAARHETVAAAYTTAVAAMPTLVELAANHTLHGVLVATNFFGINTIPIALNEADYARMWTQAASTMATYQAVAEAAVASAPQTTPAPPILAAEAADDDHDHDHDHGGEPTPLDYLVAEILRIISGGRLIWDPAEGTMNGIPFEDYTDAAQPIWWVVRAIEFSKDFETFVQELFVNPVEAFQFYFELLLFDYPTHIVQIVEALSQSP
VIMSS19811 196 842 0.158726120556414 Iron(III) dicitrate transport protein (FecA) 842 0 20 647 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25950 1 SwissProt/TReMBL AERITYWAKARNAGFAAPPDKTGDPSFIKSLGNNLLYNTYVRSGGMINKHVGIQAQANWVRGQGFRDNSPSNISNYWLDGVYDINENNGIKAYYQYYDFAIAQPGSLSEQDYKINRFANLRPLNQKGGRSQRFGAVYENRFGDLDKVGGTFSFTYYGQLMTRDFQVSSSYNSANMVTCFSEAACRAAGLPAGYNLAVPYYATNYNGWAEVENPVRSINNAFEPKVNLIVNTGKVKQTFIMGLRFMTTTFLQRQYLNTNECATKTSGEGAGFLCEGANVMSGWKPHIKHGVYRNWNNWRNNYTAVYLSDRIEAWDGRFFIVPGLRYAFVQYNNENASNWMQIPEKDLRKIKHMNNWMPSTNIGFIPVQGDHNVLTYFNYQRSFVPPQLDVLSYGGAEYFTQHFDTVEAGARYTYKDKFSFNADYFRIWARDFATGQYSVYTSGPMKGNVRPINGYSQGVELELYYRPIRGLQFHAAFNYIDTRVTSHGPLTDLNGDVLKGTSYNKHFPFVSPFQFILDARYNWRKTTIGISSYFYSRAYSGISNSAAGGYYGMQYYSGGNNYESVLNSGYQCEAWCMTQHEGLLPWYWVWNIQVSQIFWENGRHRVTGSLQINNIFNMKYYFTGIGSSPAGLQPAPGRSVTAYLNYTF 5
VIMSS2102017 1 349 0.055365329512894 PF00672.25:HAMP:275:326 adenylate cyclase (EC 4.6.1.1) 549 52 20 211 6 Mycobacterium tuberculosis BRENDA::O06362 1 BRENDA MDAEAFVGFRQVPAARYGGLMATTAALPRRIHAFVRWVVRTPWPLFSLSMLQSDIIGALFVLGFLRYGLPPQDNIQLQDLPPVNLLIFVSTVIILFLAGAVVNLKLLMPVFRWQRRDNLLTEPDPAATELARSRALRMPLYRTLISLAVWATGGGVFILASWSVAKHAAPVVAVATALGATATAIIGYLQSERVLRPVAVAALRSGVPENVNAPGVILRLMLAWIPSTGVPLLAIVLAVAADKIALLHATPEALFNPILMMALAALGIGSVSTLLVAMSIADPLRQLRWALSEVQRGNYNAHMQIYDASELGLLQAGFNDMVRELSERQRLRDLFGRYVGEDVARRALE
VIMSS2197256 1 403 0.138276426799008 PF03929.16:PepSY_TM:12:366 putative iron-regulated membrane protein (NCBI) 403 355 20 311 4 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2197256 1 MicrobesOnline MSKKSRSRLWFLVHSWLALPIWFFVLIVCVTGTLAVVSQEIVWLANPDVRASKPYEDAEPLSFSQVLKAINEAQPDLLVESIQRPDEEHFALTAEVSYPGGSEATLYINPYTGAIQGESPSFDFRQFTRALHGWWLVPFNNGYSWGWYMVSLLGLPMLASLITGLVVYKRFWKGFLKPRLRINQGARIFWGDFHRLSGIWSIWFIALISITGTWFLIQAILADNHVTLTNDGIPPVIARADVPRAAPGQKVPYIELDEAVRLVTGRIPSLEASAVFLPGNAYSPMFVAGRGWYPLMFQSAALNPYTGKIETTRLLSDRTPLQFVTESMRPLHTGDFGGIWVKLIWFVFGLLLSMMVLSGLLIWSKRTAQATAALVKRGKRPARQPKPAKPAPVMANQTAEGQP
VIMSS2197743 1 168 0.173610714285714 PF08281.12:Sigma70_r4_2:112:163,PF04542.14:Sigma70_r2:15:80,PF04545.16:Sigma70_r4:116:162 sigma-70 family RNA polymerase sigma factor 168 118 20 168 0 Pseudomonas aeruginosa M18 WP_003088185.1 1 RefSeq MPPADASLHDAVSHLYQDHHGWLQGWLRRRLGCAENAADLAQDTFARLLASRRVLDAREPRAYLTTVAKGLMINWFQRQSLERAYLDALANLPEDLAPPPEQRLMVLETLHEVDALLGSLPDRVRQAFLLAQIEGLKYEAIAERLGVSLGSVKRYMQQAFRQCLELME
VIMSS25947 1 291 0.254807903780069 T. pallidum predicted coding region TP0126 (NCBI ptt file) 291 0 20 245 2 Treponema pallidum subsp. pallidum str. Nichols VIMSS25947 1 MicrobesOnline MRTHDIPRSPLVGHKKNAAPDGIGASRACCPARENEPFKKGSTNSRGGGVEWSRSSTRRVRGSALERGMKQLKWWAVGPVLGICAGVWGAAHPVHADPWDTTAAGRSTIRLSAMGAVPLFQVDWCNSGRGDDRNANAQTNGHKYIYPAFSAALGFEHFVCRGLSLGIDASVQYHCSYPNNTYSPTTPYYYLAIPVALTAGYTVAFWRIRLPLTVGAGFNYQHYYTSTYYGLVLKAAAGCYFQLTEHWSLGVSATYSGVPRSCEKIIEEDRQQTNTRTAQFIAAGVDVRYHL 1
VIMSS29530 1 224 0.442611160714286 ErpY (NCBI ptt file) 224 0 20 224 0 Borrelia burgdorferi B31 VIMSS29530 1 MicrobesOnline MNKKIKMFIICAIFMLISSCKNDVTSKDLEGAVKDLESSEQNVKKTEQEIKKQVEGFLEILETKDLNTLDTKEIEKQIQELKNKIEKLDSKKTSIETYSGYEEKINKIKEKLNGKGLEDKLNELSESLKKKKEERKKALQEAKKKFEEYKNQAESATGVTHGSQVQRQGGVGLQAWQCANSLGFKNMTSGNNTSDMTNEVITNSLKKIEEELKNIGETVEGKKE
VIMSS298776 1 396 0.359467676767677 putative peptidoglycan linked protein (LPXTG motif) (NCBI ptt file) 643 0 20 396 0 Streptococcus agalactiae NEM316 VIMSS298776 1 MicrobesOnline MNNNEKKVKYFLRKTAYGLASMSAAFAVCSGIVHADTSSGISASIPHKKQVNLGAVTLKNLISKYRGNDKAIAILLSRVNDFNRASQDTLPQLINSTEAEIRNILYQGQIGKQNKPSVTTHAKVSDQELGKQSRRSQDIIKSLGFLSSDQKDILVKSISSSKDSQLILKFVTQATQLNNAESTKAKQMAQNDVALIKNISPEVLEEYKEKIQRASTKSQVDEFVAEAKKVVNSNKETLVNQANGKKQEIAKLENLSNDEMLRYNTAIDNVVKQYNEGKLNITAAMNALNSIKQAAQEVAQKNLQKQYAKKIERISSKGLALSKKAKEIYEKHKSILPTPGYYADSVGTYLNRFRDKQTFGNRSVWTGQSGLDEAKKMLDEVKKLLKELQDLTRGTK
VIMSS3223714 92 289 0.598844444444445 PF02703.14:Adeno_E1A:1:198 Early E1A protein; Early E1A 32 kDa protein 289 198 20 198 0 Human adenovirus C serotype 5 (HAdV-5) (Human adenovirus 5) SwissProt::P03255 1 SwissProt PPHLSRQPEQPEQRALGPVSMPNLVPEVIDLTCHEAGFPPSDDEDEEGEEFVLDYVEHPGHGCRSCHYHRRNTGDPDIMCSLCYMRTCGMFVYSPVSEPEPEPEPEPEPARPTRRPKMAPAILRRPTSPVSRECNSSTDSCDSGPSNTPPEIHPVVPLCPIKPVAVRVGGRRQAVECIEDLLNEPGQPLDLSCKRPRP
VIMSS32343 1 113 0.566941592920354 Uncharacterized protein Rv0572c 113 0 20 113 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WM81 1 SwissProt MGEHAIKRHMRQRKPTKHPLAQKRGARILVFTDDPRRSVLIVPGCHLDSMRREKNAYYFQDGNALVGMVVSGGTVEYDADDRTYVVQLTDGRHTTESSFEHSSPSRSPQSDDL
VIMSS33131 1 1090 0.211036605504587 adenylate cyclase (EC 4.6.1.1) 1159 0 20 1090 0 Mycobacterium tuberculosis BRENDA::Q11028 1 BRENDA MFLSAPAFRVEPTRSRHSALRWARHRRFADGPRWQMLRSLQIADQIARTGHMPVRRLDLIWISARNAARRELDLGVAALVEAVTLLTADVEGSTRLSQTRLNELAADYPTLDQNISEAVAAHGGVTRPVDQEVGSGLVVAFLRAGDAIACALELQLSTLAPMRPRVGVHTGDVRLRGDGTITGSAINESACLRDLAHEGQTLLSAATGDLVIDQLPANTWLTDVGKYPLRGLHRQERVIQLCHRDLRNEFPPLRMSVGNRSSLPAQFTTFVGRDAQINEVQEVLTNYRLVTLRGEGGVGKTRLAIQIAAASEFRDGLCFVDLAPIADPGMVSTTAAHALGLIDRPGSSTFDTLSHAIGNCHMLMVLDNCEHVLDACAELVVELLGACPELSILATSRESIGVTGEVTWVVPSLSPANEAIQLFTERARLVQPNFEIVADNFDAVSEICRRLDGMPLAIELAAARLRSLSPNEIANSLDDRFRLLTGGARSTVQRQQTLRASMDWSYALLTDTERILFRRLAVFVGGFDLTAASEVAAAGGDDFVERYSVLDQLTLLVDKSLVVAEESRGSTRYRLLETVRQYALEKLNESEEIDGVRARHRTHYATMAAGLNVPASTDYEQRLLQAEAEIDNLRAAFTWSRGNGDIAAALQLASALQPLWSQGRMREGLAWLESILEREGDNHLVPAGVWARALAEKVILKAWPATSPMGAPDIVAQAHHALALARDAGDCAVLARALVACGCGSGCDTEAAQPYFAEAIELARAINDEWTLSQIDYWQVVGIFISGQPIPLRAAAEQARELADSIGNRFVSRQCRLFACLAQIWEGDANGALALSRDVTAEAEVANDVVTKVLGLYVEAMALSYIGDSAARTIAGAALEAATELGGIYQDLGYGAITRAALAAGDVAAIEASEASWDLRNQHNVVTAHHELMAQAALVRGDVTTARRFADEAVLASTGWHLMMALIARARVAIAQDELGKARDDAHAAVACGVGVQTYLAMPDALELLAGLAGEAGNHGQAVRLFGAAAAQRQRTGEVRHKIWDAGYEAATAALRDAMGDEDFTAAWAEGAAAPLDEAIAYAQRGRGER
VIMSS34711 1 276 0.0276768115942029 PF01061.24:ABC2_membrane:34:237 PROBABLE DAUNORUBICIN-DIM-TRANSPORT INTEGRAL MEMBRANE PROTEIN ABC TRANSPORTER DRRC (NCBI) 276 204 20 138 6 Mycobacterium tuberculosis H37Rv VIMSS34711 0 MicrobesOnline MITTTSQEIELAPTRLPGSQNAARLFVAQTLLQTNRLLTRWARDYITVIGAIVLPILFMVVLNIVLGNLAYVVTHDSGLYSIVPLIALGAAITGSTFVAIDLMRERSFGLLARLWVLPVHRASGLISRILANAIRTLVTTLVMLGTGVVLGFRFRQGLIPSLMWISVPVILGIAIAAMVTTVALYTAQTVVVEGVELVQAIAIFFSTGLVPLNSYPGWIQPFVAHQPVSYAIAAMRGFAMGGPVLSPMIGMLVWTAGICVVCAVPLAIGYRRASTH
VIMSS35084 1 299 0.218917056856187 PF04185.14:Phosphoesterase:70:282 Phosphatidylinositol-3-phosphatase; PI3P phosphatase; Acid phosphatase SapM; Secreted acid phosphatase; EC 3.1.3.64; EC 3.1.3.2 299 213 20 276 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O53361 1 SwissProt MLRGIQALSRPLTRVYRALAVIGVLAASLLASWVGAVPQVGLAASALPTFAHVVIVVEENRSQAAIIGNKSAPFINSLAANGAMMAQAFAETHPSEPNYLALFAGNTFGLTKNTCPVNGGALPNLGSELLSAGYTFMGFAEDLPAVGSTVCSAGKYARKHVPWVNFSNVPTTLSVPFSAFPKPQNYPGLPTVSFVIPNADNDMHDGSIAQGDAWLNRHLSAYANWAKTNNSLLVVTWDEDDGSSRNQIPTVFYGAHVRPGTYNETISHYNVLSTLEQIYGLPKTGYATNAPPITDIWGD
VIMSS365978 183 546 0.119690934065934 PF03109.16:ABC1:4:50 ubiquinone biosynthesis protein UbiB 546 47 20 326 2 Escherichia coli K-12 substr. MG1655 ecocyc::2-OCTAPRENYLPHENOL-HYDROX-MONOMER 1 ecocyc DGRRLRPTEVVREYEKTLIDELNLLRESANAIQLRRNFEDSPMLYIPEVYPDYCSEGMMVMERIYGIPVSDVAALEKNGTNMKLLAERGVQVFFTQVFRDSFFHADMHPGNIFVSYEHPENPKYIGIDCGIVGSLNKEDKRYLAENFIAFFNRDYRKVAELHVDSGWVPPDTNVEEFEFAIRTVCEPIFEKPLAEISFGHVLLNLFNTARRFNMEVQPQLVLLQKTLLYVEGVGRQLYPQLDLWKTAKPFLESWIKDQVGIPALVRAFKEKAPFWVEKMPELPELVYDSLRQGKYLQHSVDKIARELQSNHVRQGQSRYFLGIGATLVLSGTFLLVSRPEWGLMPGWLMAGGLIAWFVGWRKTR
VIMSS3693380 127 366 0.403836666666667 PF02096.20:60KD_IMP:61:147 membrane protein insertase YidC 366 87 20 194 2 Mycobacterium tuberculosis H37Rv NP_218438.1 1 RefSeq RSFNRTTGGFGQPHLSVIENRLTGNYVFSPVDVGHFLDANLFGAPIGAYMTQRSGLDAFVDFSRPALIAVGVPVMILAGIATYFNSRASIARQSAEAAANPQTAMMNKLALYVFPLGVVVGGPFLPLAIILYWFSNNIWTFGQQHYVFGMIEKEEEAKKQEAVRRRAANAPAPGAKPKRSPKTAPATNAAAPTEAGDTDDGAESDASTERPADTSNPARRNSGPSARTPRPGVRPKKRKR
VIMSS3708071 1 233 0.394716309012876 PF01464.20:SLT:163:204,PF06737.14:Transglycosylas:173:232 Probable transglycosylase IsaA; Immunodominant staphylococcal antigen A; SAI-2; Secretory protein SAI-B; EC 3.2.-.- 233 70 20 233 0 Staphylococcus aureus SwissProt::P60158 1 SwissProt MKKTIMASSLAVALGVTGYAAGTGHQAHAAEVNVDQAHLVDLAHNHQDQLNAAPIKDGAYDIHFVKDGFQYNFTSNGTTWSWSYEAANGQTAGFSNVAGADYTTSYNQGSNVQSVSYNAQSSNSNVEAVSAPTYHNYSTSTTSSSVRLSNGNTAGATGSSAAQIMAQRTGVSASTWAAIIARESNGQVNAYNPSGASGLFQTMPGWGPTNTVDQQINAAVKAYKAQGLGAWGF 1
VIMSS3794398 1 122 0.0588647540983607 candidate inclusion membrane protein (RefSeq) 122 0 20 76 2 Chlamydia trachomatis 434/Bu VIMSS3794398 1 MicrobesOnline MANNSFIHRSKTYQLFVVVLTSLLAALGGVFLCLGGVYSSLVLGVVGGAAIIGSCIGAFGLVSYLLSVIRNSDQLLQEAKESARKISSHYRVLETQKNREIGLLEERVNMLDGFYAKFHGWD
VIMSS44567 1 220 0.280174545454545 conserved hypothetical protein (NCBI ptt file) 220 0 20 220 0 Borrelia burgdorferi B31 VIMSS44567 1 MicrobesOnline MQKEITINYNEYTIGGIKGTFFGNSQYEANYRAKINGFIIDFFKIPISLKKKYELNIKALSDPNFSSTNIAMNCINTFKLIVDIVNMQTGENYDYDTFITKTDTEKMLKYGTKIIAALARHFDEQNKTNFNESYYEWEKGWIDKKWINYEPTAEEIKEIQIMNQKLNPLKLKHRKKNLNNGQIRLLQAINKIEDQSQQQATKSNSKTKKLKGNHGEKTKI
VIMSS44839 1 161 0.0624267080745341 PF05628.12:Borrelia_P13:36:161 conserved hypothetical protein (NCBI ptt file) 161 126 20 97 3 Borrelia burgdorferi B31 VIMSS44839 0 MicrobesOnline MKKIFTLILIFGLTIQIFATKDTQNRIEKGIESFNKYDKEKKNPIGPFLLNLFLPFGIGSFVQGDYIGGGSVLGFNLLGAILWGTGIILNHRETQLTGYILIGVGASMVLTSYTVSLIIPFTFANRHNENLKKRLSAELAGFEPNFDLGINGFQLSFKKSY
VIMSS45143 1 451 0.0130975609756097 PF00860.20:Xan_ur_permease:21:408 conserved hypothetical protein (NCBI ptt file) 451 388 20 164 12 Borrelia burgdorferi B31 VIMSS45143 0 MicrobesOnline MNQSKETLLFQFKNKTIDYKKEIIGGITTFLSMAYIIAVNPAILSSTGMPIGALVTATCLTSAFSSILMGLYTNTPISLAPGMGLNAFFAFSVVIGMNIPWQVALAAVFIEGLIFIVLSLSRARESIANSIPVNLKYSITVGIGLFIAFIGFVNGGIIVKNDATLVGIGSFIDLKVLFTFLGLFFIVIFEQLNIRGSILWAICSVTAIAWIYAIFSPESAVAAGIRFPDGILRFESIGPIFNQLDFSYILSKHFWSFITIVLVLLFNDLFDTLGTLIAVAAKGNMLDKNGKIPNVGKIFLIDAISTTVGAIMGVSTVTAYIESCTGIEEGGKTGLTTIVTGIMFFIAIFLSPLFIAVPASATAAALIYVGFSMCREILKINFSNIRENIPSFLILFLIPLTYNISSGISIGIIFYVLINIILNLLENKKNKISPVMIILCLVFIIKFIYIY
VIMSS46109 1 247 0.12793036437247 PF13489.6:Methyltransf_23:44:170,PF08241.12:Methyltransf_11:64:163 hypothetical protein 247 127 20 247 0 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002343629.1 1 RefSeq MLEWILTLSQHSTQKGAKMDNSLSAYTQKYDKEGYGLQYPDGHVIRFYERILKYKLSKTSGKLLDFGCGNGVHSKYFKNITGGGIEPYGIDIVPSLKKVWEKDPCLDSKNFHIISPNSSFKKLFNTKMDFIFANQSLYYLTKQAFKEAVQEFYELCNEGAIIFATMMSDKGYSMYERGELMDNSLREVKGCPSGRLSGSSYIRFTKDIEELKEDFKPFKPLFWGDYELINLYNFEGSVEHFIYIGQK
VIMSS46540 1 1144 0.354613461538461 putative lipoprotein (NCBI) 1144 0 20 1144 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46540 1 MicrobesOnline MNKTALTKTYTKDIQNSCLNSKKIVLSLATISFLASCTHATLTPEIKTYEETNRHAKARSGLQSRNSNNETINNLQTLTKTISDTGNTLVIESSGTITISNDGQQAVNFQPNSSTSTFLNKGTLIGGNNTASVQLGAANGNNGVSIETFNNQGIIGNGSSKFGVTVFGGGSKDNPKSIINNFSNSGTIHSNTGESIYFGNAKISSFVNSGTIKSKQGAGVNISQGTSIENFNNTGTGIIEGKRMGVNVRSTINTFVNDGLIAATNDGIQINANVKTLINKGTIKGDAISIRSLGGTIETLTNEGIMYGKSAGIYMNRSLVKTLTNSGTINQNNSATWSAGIKLENGSIIENIINTGSIRSNAFGISVTGGKFGTLTIKDGGMVYGKYSAIGVGRSQTLGDLYIDGRSNNGTVSGIYSEEHGILLENNSRTQKIELKNGGIIKGNIDGIRLINSASLSGEMILSGEGSRVEGGRGVGILNRSGKIEGSIKVEDGATVTATSNRAIANSGSGSITGGITVSGKNTKLEGNIINTGNASIGSDIKIEGGAKVEGGLVNQGNGSISGSVQVSGGSSIDSITNEGNGAISGSITVYKDSKLDSITNTSTSSTGISGSITNNSDNKLEISNSGNIGGKIESTGSADMVISNSNGGTISGGISSSGSGSTSISNSQGSTINNGITVSGSAQVEISNQGSVGKDENGNTVTNNGSGSVGIKDWLVSTDKNTGKLNTVVIGGSRAFNVKVENITVDQSNVDLEELNDINNIISGVNQNNIGNIGTNGSGEISLSFDPITGKLTTDFNLNASISGATFRSLISTTSRRSTFIDNVMGNSMQSFALASSSKSQSIAMSEKGNLYADASDYIKSDLNNGSYGSNKEHSLFILPYTSSQNVELSLNEESKGHTKGTIIGYSTLKDSGIYGVYAGYEDTKMGSTYFDINNRTYYAGLKYFNTLFTTEKGQEVYIKAQGKAALIKNDLTEKIGNNEAKAEPNSYAYGVNTALGMNFISNKDIFSPEIGLAYEGGYTEAFSMKDTIGQATVKGGERTYANYLNLFSTKTSLTWFRDWLPNLKTSVELGAKFNINPKVEAEARFGNIKVSDEFDLPRVQKFVSTSFIVPVNEAFYFSLNYNGMFDKDGNTHTGFAQFNYLW 1
VIMSS47012 120 515 0.0901050505050504 PF00535.26:Glycos_transf_2:141:281,PF02709.14:Glyco_transf_7C:311:369,PF10111.9:Glyco_tranf_2_2:141:351,PF13641.6:Glyco_tranf_2_3:136:254,PF05679.16:CHGN:317:352 putative two-domain glycosyltransferase (NCBI ptt file) 515 234 20 376 1 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47012 1 MicrobesOnline KGEWIKACGWWPDYVWRIFNKNFTRFNDNLVHESLVLPSNAKKIYLKNGLRHYAFRDISHLIDKMQYYSSLWAKQNIHKKSGVLKANLRAFWTFFRNYFLKNGFLYGYKGFIISVCNALGTFFKYMKLYELQKQKPKTCALIITTYNQKERLKLVLDSVKNLAFLPNEVLIADDGSKEDTARLIEEYQKDFPCPLKHIWQEDEGFRAAKSRNNAIKASKSEYIILIDGDMILEKDFVKNHLEFAKRKVILQGSRVILNKNESEEILKNNNYSLAFNKKGFKNQRSIFLAKCVYKFSKLTKKFFKKSQLVKGSKTCNMSFYKSDFEAIEGFNEKFIGWGREDSEFVARFLFNDGVFKRLKFNALAYHIYHEENSKNMLEINHQIYLETIKNEKTTWR
VIMSS47016 97 303 0.0540376811594203 ganglioside galactosyltransferase (EC 2.4.1.62) 303 0 20 207 0 Campylobacter jejuni BRENDA::Q0P9B5 1 BRENDA LNACEECIKILDMGGGGKIDLLCFEAFITNAKKSIKKLNIKQGKYNNKEFTMQILKTKNPFWTMWAKIIKKDIYLKAFNMLNLKKEIKINMAEDALLYYPLTILSNEIFYLTQPLYTQHVNSNSITNNINSLEANIQEHKIVLNVLKSIKNKKTPLYFLIIYLLKIQLLKYEQNFNKRNINLIYYKINILYQKYQFKWKKFLYNLIP
VIMSS47290 1 257 0.254287159533074 PF08241.12:Methyltransf_11:45:136,PF13649.6:Methyltransf_25:43:134,PF01209.18:Ubie_methyltran:29:168,PF00398.20:RrnaAD:26:91 hypothetical protein Cj1420c (NCBI ptt file) 257 143 20 257 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47290 1 MicrobesOnline MKQGDFTKVAKHYHNRPAYSPFLLEKLVACINDKNKNLKDLNIVEVGAGTGKLTKMLGEMFGCQISAVEPNDNMREEGQKFTQNLSNISWHKGSGEETCMSNNQADWVIMASSFHWTDPKKSLPEFNRILTGGGYFTAIWNPRHIVEGSVFDEIEKEIKHIVPDLARVSSGTQNVKKWEEILVSTGDFIDCFFMECDYKEFWDKERYLGAWHSVNDIQAQAGEKRWKEILEMIEAKISHMQSIEIPYKIRAWTARKA
VIMSS48 1 203 0.66594039408867 PF07382.11:HC2:3:203 Histone-like Protein 2 (NCBI ptt file) 203 201 20 203 0 Chlamydia trachomatis D/UW-3/CX VIMSS48 1 MicrobesOnline MNMLGVQKKCSTRKTAARKTVVRKPAAKKTAAKKAPVRKVAAKKTVARKTVAKKTVAARKPVAKKATAKKAPVRKVAAKKTVARKTVAKKTVAARKPVAKKATAKKAPVRKAVAKKTVARKTVAKKTVAARKPVAKRVASTKKSSIAVKAGVCMKKHKHTAACGRVAASGVKVCASAAKRKTNPNRSRTAHSWRQQLMKLVAR
VIMSS539831 185 381 0.491404568527919 POSSIBLE EXPORTED CONSERVED PROTEIN (NCBI) 381 0 20 197 0 Mycobacterium bovis AF2122/97 VIMSS539831 1 MicrobesOnline DREKRAMILTAEGTREAAIKQAEGQKQAQILAAEGAKQAAILAAEADRQSRMLRAQGERAAAYLQAQGQAKAIEKTFAAIKAGRPTPEMLAYQYLQTLPEMARGDANKVWVVPSDFNAALQGFTRLLGKPGEDGVFRFEPSPVEDQPKHAADGDDAEVAGWFSTDTDPSIARAVATAEAIARKPVEGSLGTPPRLTQ
VIMSS56966 1 150 0.238067333333333 PF17805.1:AsnC_trans_reg2:64:132,PF13404.6:HTH_AsnC-type:1:45 probable transcriptional regulator (NCBI) 150 114 20 150 0 Pseudomonas aeruginosa PAO1 VIMSS56966 1 MicrobesOnline MDDLSRRLLARYQKGLPICAEPYRRMAETLGCSEAEVLERLRRLEADGALSRVGPVLRHQRAGASTLAALAVPEERLQRVAERISQYAEVNHNYQREHRYNLWFVLTAGDRAQLDRVLAEIAADTGLQPLDLPMQEAYCIDLAFPLEASR
VIMSS57099 1 76 0.294452631578947 hypothetical protein (NCBI) 76 0 20 76 0 Pseudomonas aeruginosa PAO1 VIMSS57099 1 MicrobesOnline MIDWKMMKTPDQVSRERVQQEYDAVLARRAEAYRLESDPIKTEVEFDSIRAGVETDYSAWLAKVEEIKARYPLPRI
VIMSS59796 141 429 0.139995847750865 PF07228.12:SpoIIE:58:246 two-component response regulator 571 189 20 289 0 Pseudomonas aeruginosa PAO1 NP_252036.1 1 RefSeq RDLIVRHNEHLLNEQRVAKAVFDKVAHSGCLNASNVRYLQSPLALFNGDLLLAAYKPSGGMHVLLGDFTGHGLPAAIGAMPLAEVFYGMTAKGYPMADILREMNAKLKRILPVGVFCCATLLNLSFQRELVEVWNGGLPDGYLLRAASGERVALVSRHLPLGILEPAAFSDRCETYPLDIEDRIFLFSDGVLEASNKAGEMFGEARLLQLFERNRQPSALFDEIQRSLAQFRGAVQDDVSMLEVRLQPDSGLQCPPLAFSDSGVSSPLDWSVSFEFRAETLKHFNPLPH
VIMSS60590 1 99 0.161420202020202 hypothetical protein (NCBI) 99 0 20 53 2 Pseudomonas aeruginosa PAO1 VIMSS60590 0 MicrobesOnline MKELNDIEVTCVSGGTLSGMIVGAVDGAATGMAIGGKWGGAGGFGFGALSQLVGLIVPTAMGAIAGGTVGLFTNAETAVGYLGQYRENFGPGDVGRTTI
VIMSS60670 1 93 0.0174903225806452 hypothetical protein (NCBI) 93 0 20 27 3 Pseudomonas aeruginosa PAO1 VIMSS60670 0 MicrobesOnline MPRQSGFGWAWRVPLALAGSLAAATASGYLLTRGLPLDDPLERLYAGLFGALGVGLLLLVGGLLARGPGNFAWRLGGSLLVLGLALWLLAGRG
VIMSS633278 209 862 0.31722996941896 S-layer protein EA1 862 0 20 654 0 Bacillus anthracis CharProtDB::CH_000587 1 CharProtDB KKDNAQAYVTDVKVSEPTKLTLTGTGLDKLSADDVTLEGDKAVAIEASTDGTSAVVTLGGKVAPNKDLTVKVKNQSFVTKFVYEVKKLAVEKLTFDDDRAGQAIAFKLNDEKGNADVEYLNLANHDVKFVANNLDGSPANIFEGGEATSTTGKLAVGIKQGDYKVEVQVTKRGGLTVSNTGIITVKNLDTPASAIKNVVFALDADNDGVVNYGSKLSGKDFALNSQNLVVGEKASLNKLVATIAGEDKVVDPGSISIKSSNHGIISVVNNYITAEAAGEATLTIKVGDVTKDVKFKVTTDSRKLVSVKANPDKLQVVQNKTLPVTFVTTDQYGDPFGANTAAIKEVLPKTGVVAEGGLDVVTTDSGSIGTKTIGVTGNDVGEGTVHFQNGNGATLGSLYVNVTEGNVAFKNFELVSKVGQYGQSPDTKLDLNVSTTVEYQLSKYTSDRVYSDPENLEGYEVESKNLAVADAKIVGNKVVVTGKTPGKVDIHLTKNGATAGKATVEIVQETIAIKSVNFKPVQTENFVEKKINIGTVLELEKSNLDDIVKGINLTKETQHKVRVVKSGAEQGKLYLDRNGDAVFNAGDVKLGDVTVSQTSDSALPNFKADLYDTLTTKYTDKGTLVFKVLKDKDVITSEIGSQAVHVNVLNNPNL
VIMSS640359 64 170 0.0623018691588785 mutT/nudix family protein (NCBI) 170 0 20 107 0 Bacillus anthracis str. Sterne VIMSS640359 1 MicrobesOnline QFKGIIKWEDSSYSGGMYVYLVELLHEFTYHTPKKVSEGILDWKEISWILSDYNYGVGEMIPKFLVEVLHNELILEHNFVLSNHKLIDYRNKELAKQDNSIDNIIPL
VIMSS6576180 1 1162 0.10548364888124 PF03177.14:Nucleoporin_C:515:1085,PF08801.11:Nucleoporin_N:51:440 Nucleoporin nup132; Nuclear pore protein nup132 1162 961 20 1162 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9UTH0 1 SwissProt MSSILGKRKNEEVVSFSPLKRISVEKSLLDSTAYNNSLDWLRSKNFKVSCLLKHFNSKIINDHPLSGSCYTDIGYALINSRKACFILSYRQSLGTAEPPTITFPLPEEDSNGFSGQNALTAFVPSDASDKEPGLLIVMPISGRIAYWTSIGNALAQSYICPQGMESLIKLLPKEKCEHLCCSNPMKFIISTNFGRLFSVQLRDPAGQPDVSVQLFASDISTFSTILQKMKIFNYPSIHIIALKSPPLFSPYQHLLYVAEASGLLEIYDLKLENKLVSGMNLSPIFKQVLREGCPDASGLEVLDLTICPTNGNLVSFLVCWKNSINYRYMIISLDFSDISSPSVMNIHPLYSFSSKSLESSKLHYSSSGNSLFVVLTDAVIIVHVQEDDKDIVSRTSWEEVIRMNTNVSGGIFMSTCYKYVLGKYSIPTESCFIATPYSGIAEIEVHSLEHPANNESLVKSKLEEAVFYSFLPGNPIDFSCNYLRSIKKPELERIIVDLGMDILNSRSTHLPPLFASLMQHLSCRLNSLNNLVRYIRSMSLDVDRQVLYKLRVMGEKCNSVRYLWNTIDTEFSTVSHSLIFQRIIYRLTQSASSDNALREWFLHNIESIDQLIAQAHEFCIDSGSRVQELPLEVLDVIMEANEVILAIQSSALAYRRESQKIYKLSIDTFGEEVPWTSTPETLVLLCRQFELTRSALVQSHQGTSDVENTFKIKDKGVLRNVVSNLEVQLVALTEVCFDAYSERIRWIEQRCGKDASEIQDVKEAFAVNRRFWVQTLSDIGKGSSAIRIAEKYSDYRSLVELCYQLYEDNELTDALNNYLDLFGIKFAFILYDYFVENGMALELLNSDRFNKSYLKQFFKSRDYNQISWMHDMRLGDYDAASHRLLQLATKQEKLVDKKESELSLSKLFLYAVPSNSGNIRDLVLVEQKLEQLHIQKMVSKSVMPVVERLRSQGKKYQLVEAVVDDLIGAKVAPVIARQVMQRVVKKFIAGQVVEATELLEYLSFSLYRREDLVEGEVTDYYLALRLLLTTRLTDDAKRFYENTIWRRAVLHDNWIQVLDTQGKNDAIIETQFRMSALYRTLEAVTINGLFHEGLIRPGSLSSCKFEGYDPQNLISIYPPARFGDVTEVTKVLNRESVKLDHYLTKTNLNTCYISMCLSCDTI
VIMSS6577572 1 728 0.365723076923077 PF11767.8:SET_assoc:279:342,PF11764.8:N-SET:633:728,PF00076.22:RRM_1:96:172 Histone-lysine N-methyltransferase, H3 lysine-4 specific; COMPASS component set1; Lysine N-methyltransferase 2; SET domain-containing protein 1; Set1 complex component set1; Set1C component set1; Spset1; EC 2.1.1.43 920 237 20 728 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9Y7R4 1 SwissProt MDFNTSTRSKSQPVQRNNYKVLYDPELGIKENLGRKIIYRFNGVSKPPLVVRDPRLKNPIYARGIPKSGRPFLKSLQTINYDYNENSLGPEPPTQVFVSNISPLVTSEQLRYHFKSFGEVFDLDLKLNPYTGTSLGLCCISFDKRSSISVAAHSAKIAVQQANGLRFSGKPLSVVLDRDGSLCEEAFKKALNAVEKQFQEETLQKQRFEREDESSRQKLSAAMNEDIPPWRQPSKNSQTLSNGDLQHSKVQNVDQKSGFLTSSETDVPKNINDYIYLLIDDRFVPPDRVYYTDIKHHFRKFLYEKIYMNKDGFYITFNNYREASNCYRALDRTYVQNCRIKLKFHDIPSRTKEDGKKSAVRRVVLPPEEAYAEATSVVLRDLEAALLRDVKSKIIGPAIFKYLHSMPKPSVKEELQENLLVSSTSVPDVPLKIESTVGKLPSLPKFKKRVDSSKMNLSAGSKTKSKLQRRRRRRHEARPLHYQLNQMYNSSASEAESDQELLLSSGDERVERGKIGSIKSVKSDEATPVFSDTSDENDKFHRFRTKSKISKKKYEKMEVDYTSSSETESDASILSPSAAIPKSGSAIKDELISPKKEIDEVLALAPKWRINEFDETGSVYYGALPYNYPEDDVLLDLDGLQYLVKNDEDYSYLQEALKDEPLMDINDPNFWAYERKSCKFKNGDVKYGDTAILPEPKGYFRSNTSGSAKSEGYYIIPTTEKSLYLPLR
VIMSS6580721 1 234 0.179771794871795 PF00674.18:DUP:77:166 DUP240 protein YAR028W 234 90 20 191 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39548 1 SwissProt MQTPSENTDVKMDTLDEPSAHLIEENVALPEDTFSSHLSYVLYEIAHCKPIMFMIIIIVSLISLIVLFHDNDGCTVILVMSLIVASMALMVVAAFTFGKAITEQEFMIKLLVEVIARKPAGKEWGTVAYNMNQYLFMKRLWYTPYYFYSGKKCHEFFTTLIKEVNSGSHSDSSSNSAEDTQSPVSAGKTSNGLNNFYSIRSDPILMAYVLKATQIEKEAQSEYWRKQYPDADLP
VIMSS6580742 40 795 0.447475396825397 PF00130.22:C1_1:376:423,PF00130.22:C1_1:443:494,PF02185.16:HR1:80:142,PF00168.30:C2:171:241 Pkc1p (RefSeq) 1151 234 20 756 0 Saccharomyces cerevisiae VIMSS6580742 1 MicrobesOnline CNTNIREARQNLEYLEDSLKKLRLKTAQQSQGENGSEDNERFNSKEYGFLSTKSPNEHIFSRLDLVKYDCPSLAQRIQYMLQQLEFKLQVEKQYQEANTKLTKLYQIDGDQRSSSAAEGGAMESKYRIQMLNKALKKYQAINVDFDQFKHQPNDIMDNQQPKFRRKQLTGVLTIGITAARDVDHIQSPMFARKPESYVTIKIDDTIKARTKPSRNDRWSEDFQIPVEKGNEIEITVYDKVNDSLIPVAIMWLLLSDIAEEIRKKKAGQTNEQQGWVNASNINGGSSLASEEGSTLTSTYSNSAIQSTSAKNVQGENTSTSQISTNSWFVLEPSGQILLTLGFHKSSQIERKQLMGGLHRHGAIINRKEEIFEQHGHHFVQKSFYNIMCCAYCGDFLRYTGFQCQDCKFLCHKKCYTNVVTKCIAKTSTDTDPDEAKLNHRIPHRFLPTSNRGTKWCCHCGYILPWGRHKVRKCSECGIMCHAQCAHLVPDFCGMSMEMANKILKTIQDTKRNQEKKKRTVPSAQLGSSIGTANGSDLSPSKLAERANAPLPPQPRKHDKTPSPQKVGRDSPTKQHDPIIDKKISLQTHGREKLNKFIDENEAYLNFTEGAQQTAEFSSPEKTLDPTSNRRSLGLTDLSIEHSQTWESKDDLMRDELELWKAQREEMELEIKQDSGEIQEDLEVDHIDLETKQKLDWENKNDFREADLTIDSTHTNPFRDMNSETFQIEQDHASKEVLQETVSLAPTSTHPSRTTDQ
VIMSS6580794 1 668 0.604715868263472 PF00076.22:RRM_1:87:157 RNA-binding protein PIN4; Psi inducibility protein 4 668 71 20 668 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34217 1 SwissProt METSSFENAPPAAINDAQDNNINTETNDQETNQQSIETRDAIDKENGVQTETGENSAKNAEQNVSSTNLNNAPTNGALDDDVIPNAIVIKNIPFAIKKEQLLDIIEEMDLPLPYAFNYHFDNGIFRGLAFANFTTPEETTQVITSLNGKEISGRKLKVEYKKMLPQAERERIEREKREKRGQLEEQHRSSSNLSLDSLSKMSGSGNNNTSNNQLFSTLMNGINANSMMNSPMNNTINNNSSNNNNSGNIILNQPSLSAQHTSSSLYQTNVNNQAQMSTERFYAPLPSTSTLPLPPQQLDFNDPDTLEIYSQLLLFKDREKYYYELAYPMGISASHKRIINVLCSYLGLVEVYDPRFIIIRRKILDHANLQSHLQQQGQMTSAHPLQPNSTGGSMNRSQSYTSLLQAHAAAAANSISNQAVNNSSNSNTINSNNGNGNNVIINNNSASSTPKISSQGQFSMQPTLTSPKMNIHHSSQYNSADQPQQPQPQTQQNVQSAAQQQQSFLRQQATLTPSSRIPSGYSANHYQINSVNPLLRNSQISPPNSQIPINSQTLSQAQPPAQSQTQQRVPVAYQNASLSSQQLYNLNGPSSANSQSQLLPQHTNGSVHSNFSYQSYHDESMLSAHNLNSADLIYKSLSHSGLDDGLEQGLNRSLSGLDLQNQNKKNLW
VIMSS6580964 1 947 0.828438542766631 PF17096.5:AIM3:8:99 Aim3p (RefSeq) 947 92 20 947 0 Saccharomyces cerevisiae VIMSS6580964 1 MicrobesOnline MGFWENNKDSITSGLKSAGKYGYQGTKYVAKTGYKASKKHYNNSKARRERKSGKKNSSDEEYDSEDEMEYERKPTDIRSLKDPKSFPPPPLKPGQKTYTGQQQQQMPNGQASYAFQGAYQGQPGAGSTEQSQYAQPQYNQYPQQQLQQGVMPQQQQLQQGVVPQQPPIYGEQVPPYGSNSNATSYQSLPQQNQPQNAIPSQVSLNSASQQSTGFVSQNLQYGTQSSNPAPSPSFQNGLQCHQQPQYVSHGSTNLGQSQFPSGQQQQPTTQFGQQVLPSPAQPQQQQQGQPLPPPRGQVILPAPGEPLSNGFGQQQQQQQQQQQPLNQNNALLPQMNVEGVSGMAAVQPVYGQAMSSTTNMQDSNPSYGASPMQGQPPVGGQPPVPVRMQPQPPQPMQQGNIYPIEPSLDSTGSTPHFEVTPFDPDAPAPKPKIDIPTVDVSSLPPPPTHRDRGAVVHQEPAPSGKIQPNTTSSAASLPAKHSRTTTADNERNSGNKENDESTSKSSILGHYDVDANIMPPPKPFRHGLDSVPSEHTTKNAPERAVPILPPRNNVEPPPPPSRGNFERTESVLSTNAANVQEDPISNFLPPPKPFRHTETKQNQNSKASPVEMKGEVLPGHPSEEDRNVEPSLVPQSKPQSQSQFRRAHMETQPIQNFQPPPKPFRRSQSSNSSDSSYTIDGPEANHGRGRGRIAKHHDGDEYNPKSENSTENGRLGDAPNSFIRKRAPTPPAPSRSEKLHEGTITSEVDSSKDANKYEKSIPPVTSSIQAQQSTKKAPPPVVKPKPRNFSLKANEYPKELTREATGQDEVLNSITNELSHIKLRKTNVNLEKLGGSKKVKDSSPVPSDLDEKYVSASGSITPPRPPPSRSSPKKVPPVVPKKNDNLKKKPPVVPKKKPLLKSLEPRPIEMERAYSGDISAADDNLNPFERYKRNVVPQEDDRLHKLK
VIMSS6581095 1 529 0.301629111531191 PF00989.25:PAS:411:527,PF00172.18:Zn_clus:39:77 Transcription activator of gluconeogenesis ERT1; Ethanol regulator of translation 1 529 156 20 529 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38140 1 SwissProt MCTPDENDYKTSTDPDTSANTNHTLEKKKRKKRKNTNVACVNCSRLHVSCEAKRPCLRCISKGLTATCVDAPRKKSKYLAGIPNRELPMNIQPDLPPRKIMIPIYNNSSNSSLNVNNMGEQQKFTSPQHIVHKAKFLSNAADSEYSILSNIIYQDTLSNKIPIDILYSNTNSTSNSTIGNSSNNSPTGTNTSPEETEMEKIRQLYSEQRANIPPHPYPSSNQNVYSILLGPNSAKIVASQVNLFANHFPLVPVDSADNSLNFKRLLPRDPSEKSSQINWDSSINQYYLNSETVTFPELAIPLKRRKNHLVSVSLESCSPDAANIKSNVEWEHSLRYSTPMEIYTSINAPFSHTPGFHHLLVYLKHRFNQQDLVKMCRSIAEFRPIFIACSVTLTEEDMIFMEQCYQRTLLEYVKFIAQIGTPTCIWRRNGQISYVNEEFEILCGWTREELLNKMTFIVEIMDDESVRDYFKTLSKVAYRDFRGSEKMKVCRLLSPIKGKIIHCCCMWTLKRDVSGLPLMILGNFMPILN
VIMSS6581357 1 1046 0.808353919694072 Protein HBT1; HUB1 target protein 1 1046 0 20 1046 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07653 1 SwissProt MNMNESISKDGQGEEEQNNFSFGGKPGSYDSNSDSAQRKKSFSTTKPTEYNLPKEQPESTSKNLETKAKNILLPWRKKHNKDSETPHEDTEADANRRANVTSDVNPVSADTKSSSGPNATITTHGYSYVKTTTPAATSEQSKVKTSPPTSHEHSNIKASPTAHRHSKGDAGHPSIATTHNHSTSKAATSPVTHTHGHSSATTSPVTHTHGHASVKTTSPTNTHEHSKANTGPSATATTHGHINVKTTHPVSHGHSGSSTGPKSTAAAQDHSSTKTNPSVTHGHTSVKDNSSATKGYSNTDSNSDRDVIPGSFRGMTGTDVNPVDPSVYTSTGPKSNVSSGMNAVDPSVYTDTSSKSADRRKYSGNTATGPPQDTIKEIAQNVKMDESEQTGLKNDQVSGSDAIQQQTMEPEPKAAVGTSGFVSQQPSYHDSNKNIQHPEKNKVDNKNISERAAEKFNIERDDILESADDYQQKNIKSKTDSNWGPIEYSSSAGKNKNLQDVVIPSSMKEKFDSGTSGSQNMPKAGTELGHMKYNDNGRDNLQYVAGSQAGSQNTNNNIDMSPRHEAEWSGLSNDATTRNNVVSPAMKDEDMNEDSTKPHQYGLDYLDDVEDYHENDIDDYSNAKKNDLYSKKAYQGKPSDYNYEQREKIPGTFEPDTLSKSVQKQDEDPLSPRQTTNRAGMETARDESLGNYEYSNTSGNKKLSDLSKNKSGPTPTRSNFIDQIEPRRAKTTQDIASDAKDFTNNPETGTTGNVDTTGRMGAKSKTFSSNPFDDSKNTDTHLENANVAAFDNSRSGDTTYSKSGDAETAAYDNIKNADPTYAKSQDITGMTHDQEPSSEQKASYGSGGNSQNQEYSSDDNIDVNKNAKVLEEDAPGYKREVDLKNKRRTDLGGADASNAYAAEVGNFPSLIDPHVPTYGFKDTNTSSSQKPSEGTYPETTSYSIHNETTSQGRKVSVGSMGSGKSKHHHNHHRHSRQNSSKGSDYDYNNSTHSAEHTPRHHQYGSDEGEQDYHDDEQGEEQAGKQSFMGRVRKSISGGTFGFRSEI
VIMSS6581820 1 393 0.156803816793893 PF05637.12:Glyco_transf_34:118:369 Probable alpha-1,6-mannosyltransferase MNN10; Bud emergence delay protein 1; Mannan polymerase II complex MNN10 subunit; M-Pol II subunit MNN10; EC 2.4.1.- 393 252 20 373 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50108 1 SwissProt MSSVPYNSQLPISNHLEYDEDEKKSRGSKLGLKYKMIYWRKTLCSSLARWRKLILLISLALFLFIWISDSTISRNPSTTSFQGQNSNDNKLSNTGSSINSKRYVPPYSKRSRWSFWNQDPRIVIILAANEGGGVLRWKNEQEWAIEGISIENKKAYAKRHGYALTIKDLTTSKRYSHEYREGWQKVDILRQTFREFPNAEWFWWLDLDTMIMEPSKSLEEHIFDRLETLADRELKSFNPLNLRDDIPYVDYSEEMEFLITQDCGGFNLGSFLIKNSEWSKLLLDMWWDPVLYEQKHMVWEHREQDALEALYENEPWIRSRIGFLPLRTINAFPPGACSEYSGDSRYFYSEKDHDFVVNMAGCNFGRDCWGEMQYYTTLMEKLNRKWYTRFFFP
VIMSS6581943 1 456 0.497480701754385 PF11976.8:Rad60-SLD:384:455 Protein ESC2; Establishes silent chromatin protein 2 456 72 20 456 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06340 1 SwissProt MTGDSRSISEPSINLDPDNTSFSDENSDDFFMDNSYDIDEIDHSDESNRQSVIVDSKVTVPPSKHSTLTLSDSEDSDAKEQHQSLSRSSSKNVNIEDITEPKPDKPSGRTRGRSVMKESVVEINSSESDLDEDKNFPRSRSRSRSSIRSISPAGKYKRQKSSLLYTYDENDDFFKELAKEAKKSTTISKESTPDQRKRVYNIKFLSKLEGTINKAVQVKVLGKYEFSKILPAALDGLMKSYKIPKVMKDIYKVENVTLYWNNAKLLTFMTCNSLHIPQDFENEVSDIDVTIVSKEYEKNFEATLESKLKEEEAALLIKERQEMERKLEKKRNEQEESEYREFESELKNVEETQEIKENDTVMNTKLLQEGGSLSGNSSSMEEVMRIALMGQDNKKIYVHVRRSTPFSKIAEYYRIQKQLPQKTRVKLLFDHDELDMNECIADQDMEDEDMVDVIID
VIMSS6582385 1 2167 0.306864605445316 PF00620.27:RhoGAP:1981:2138,PF00618.20:RasGEF_N:1133:1266,PF00617.19:RasGEF:666:795,PF00169.29:PH:1879:1946 GTPase-activating protein BEM2/IPL2; Bud emergence protein 2 2167 490 20 2167 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39960 1 SwissProt MKGLLWSKNRKSSTASASSSSTSTSHKTTTASTASSSSPSSSSQTIRNSTSGASPYMHSHHHHGQGHSHHRGEDNNRDKRKSSVFPPSKQYTSTSSSQVNLGMYHSDTNTRSSRSIASTLKDDSPSVCSEDEISNSSSQKSNAQDETPIAYKKSAHSKDSLLPSRSSSLSPPQSRCSTGTTLEKSLNTSGISNSSGTNNNNSNNNNDNEQKQRNVIHLNSENYDTTVFKTGWVNKSHGQTVATNYNSSMTAPSSSSSSSSQNLRNDAYSRNRESRFYGNDGSSLKNDDSSSTTATNSGNDVASARSSMAIDPQMLVPDYRLYRAQLKGCVLNLYKSGLNSNIKFFDPTLPASNSSIANENHQQKKQQTNNQAQAEALHQKQSFGQMGEPITLDLKYLSEVYPHPDLRQDSDGKIISGTIESLCHTVLFYPGPKQSDVPNEKSLSKTHRAVINLLLMFPLLDHFIKFLKVFNQFGLSFTKNKSRLTNNSTQFYNISPAVDDSMTQRLALTAKTILDVFPGFLLDEPMLKTIISLLDTISLHNDEISNNLKIKIANKHNELMKLTAFTRSLPMATSSTHELEIILDPSHFLSLDITTLADEVHHINLKFDKVWAPKFDYSLLYDSKFINRRIVSLNPLVFNNDQNIHFLGRLLISHLFPTNPEFSKKVTPKVRAELLDKWVQIGCRFEHLGDMVSWLAVATIICSIPVLRSSSWKYVPDQSLKTIFKDWVPTIIQLERRQRTSKSTSSVFILAPPNLDDDFTRANVISYFGDLLIHADDLPSDTKFKYLEKKINRTKNAFHKWQQRLQAIDSTRHKTNSTENVRDNDSPNNVVYQLWKFHLSQPPLNIEGIMKLSVQHEPPIIDQKAYSTIGSQRSALVTGSYLPILFNELFPNYSLFPKNTLVGAASDAKLPPPRSSARLSKSLSISEPIPIASNSHTMGSLTDDAMSSKNDNNKVTGVGKIDGPVIKEMSSKQSNKQRLLKSVRDVFNIDMDVFHISDELVFKSVYDNDGKSRPASMVIETPKRFSQHSSMLINNPATPNQKMRDSLDTTGRLSKTLENMDFFNNIGQVSDSLKESIIRVVLKSSSLEKIFDLLVLTSNIFSKLVDTKDLENYYYHQRQRGHSTRGLSDDNIGLLDYAFVKLTMDNDIFTETFFNTYKSFTTTTTVLENMAKRYVGAKSCSVSISKILDRSDDSKMKINEDTNLVSSSLYDQNFPVWDMKVTDDENINLIYMAKIQIGAAEAILHLVKNHYSDFTDDLCNNSTLLDIIKIMEQEVSTEWPTRIANSKLQKSLPENFVIETENLLTTLTDLFHGIKSAYQKQLYRPIGVNRTQKRITDILNSFNTFSFTDLNNIIDDPSFSDDMIRSFQKLHSTNYEDILEWIYQLDNFISKKFNLVSKKDWIVLFQELELLSKESLVSFFNYPLHFKSSKLINPGYLQLHEFEISNLFTWISTLILKDDNGTESLFFEKLPQSIKLLIKLHTSLTTFFVMEISNVNKSSSERLTTCKVILQILNYIRWKNGSLDLFDSEEDESPHAICPHIPAFIETAIAHAIISPESRNYELSWIKASEKLSDPTKGTQNLRSISNVLEKIDDIHIKRFIEIDDVFSKNCKNLCPCPGWFISRLLEISQFVPNMSITNSKLINFDKRRFVNNIISNVLDLIPNEREFPLDIEMSDENPSKRTTFGRILFNNFEDVNKVYRKKTKKVSESEAISERFQEQGVFNEILVNEIEKIKREARKLEVLLDQEKILKNSAALHQAVPKKNRKSVIISGTHSDNDHSYNINKNTGQTPSLGSVMESNNSARNRRDSRASFSTNRSSVVSNSSHNGVSKKIGGFFRRPFSIGGFNTSSSNYSLNSILSQEVSSNKSILPSILPEVDSMQLHDLKPSYSLKTFEIKSIMEIINHRNIPAYYYAFKIVMQNGHEYLIQTASSSDLTEWIKMIKASKRFSFHSKKYKGKTHNKIFGVPLEDVCERENTLIPTIVVKLLEEIELRGLDEVGLYRIPGSIGSINALKNAFDEEGATDNSFTLEDDRWFEVNAIAGCFKMYLRELPDSLFSHAMVNDFTDLAIKYKAHAMVNEEYKRMMNELLQKLPTCYYQTLKRIVFHLNKVHQHVVNNKMDASNLAIVFSMSFINQEDLANSMGSRLGAVQTILQDFIKNPNDYFKQ
VIMSS6582518 1 195 0.74035282051282 Inhibitor of glycogen debranching 1 195 0 20 195 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43598 1 SwissProt MTDPHLNTPQVSTSPTFERSQDFLNIDEPPCAQETPSVSTFNLPGPSAPAQSVDKPVPMIRRRSTNYMDALNSREQARERESSIGEHAPGAERRSSGPMDFQNTIHNMQYRTTNDSDLSHAGVDMGDSISHTPICSRAGNRPIFKNSYLDNNSNGNSARVPHGSPPQLGTRRKSSFKYEDFKKDIYNQLHMFGEK
VIMSS6582569 1 206 0.159534951456311 PF10339.9:Vel1p:6:206 Protein VEL1; Velum formation protein 1 206 201 20 206 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53058 1 SwissProt MSFLSIFTFFSVLISVATTVRFDLTNVTCKGLHGPHCGTYVMEVVGQNGTFLGQSTFVGADVLTESAGDAWARYLGQETRFLPKLTTIASNETKNFSPLIFTTNINTCNPQSIGDAMVPFANTVTGEIEYNSWADTADNASFITGLANQLFNSTDYGVQVASCYPNFASVILSTPAVNIFGKDDTLPDYCTAIQLKAVCPPEAGFD
VIMSS6582691 1 1264 0.382260443037974 PF10537.9:WAC_Acf1_DNA_bd:24:124,PF02791.17:DDT:425:472,PF15613.6:WSD:869:1044 Imitation switch two complex protein 1 1264 325 20 1264 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53125 1 SwissProt MVLYKRKPILLPDPKPLPLDLNVQVWHIEETGEWFSSYEEFLERFDFYTRHHFTCEITGTSCLTFFQALDSEETQFKYVEDRFPLKLREPVARFLHFNGIRRLDALVEKVYARFKNDFFPGEVVYLRKQKDSSTTSSNSQQSTPQPDDMVEINSVGNPGLPQYQYQRRYVIKEKVQFNATINPESREIVMPAHTKYMLIEEAASSNKSFIVDQGQIYRDRSTFTKHLIKCFFKITLQRASSKMGAPWCVKPEYLAMYGLTMEWPKDMLKYKEDEPVVARRSNSANVSSPESEKNKRQSKSSGKSNTSNDASNKKETKKKRKPTEVNDSENNSSEEDKKKGQNVTSETHSKKRKKEANEEPNTENVESVPTPANAEPQAVTITSIMDDLALPYQHPPNIFPNLTYYNEKLECISLGSTKLSRPFDSFGKLLQAYQFLNTFGSKICLSHFSLDQFITSLKCTDPYELKGEVVLVNIRTQTSKEQEIENNGLPMKNKAETTTEEDSENPSDWQRNSFIRDMIMKRNSDKVEYKIVHDDPASDDILDNINHNGSALLIEVFTALLRLFINEEGDWSCIVVENWIIDDKGVLMERKDERGEGEAKQKRNAHGYFLQDKEKIDNLKDTLKENATEVQKESDAKNETNSESDSKSDSDSEERDPKLEKCLNYRNVNWIERLTKRQFNNSYWLIILLGVLEDCRHLPMYTEFIDSFIEKIIPKDISATQLPKQLWRNFCRKLSFSDKVNALWILVDLVSHFSPDIKAAVDDSMELCGQIRSERFKVARELKTEAAVLSNLQGDLQAIQEKLNKTDENTPSADGADKKDDSESNSEPIDLIIIEKKQKLIEEQDKKVQALQSDKNFLDNCLFENDLQRLKPLGLDRYGNRYFWLDHNGVPFPQYPAGMNETPKSNNSLSYHSGRLLIQGPKASSAKFFLNVSDEQLSNWQKIRNSEGISEATREVFGISKTSSGSYNYVENGIEVELLDSNDRVNPLIELTPIQKKIMDETPSRLLLSPDQWYCIDKLEDLSRIMDWLDNWGRKEHDLLRQIRPIMERIKSSLSLRDHALSLTAFTKNEEKLLKELENNEFTENELNVDSMDVDDKNSGVKSEVDVQVDAEEKREAVIDEKLEVIADELMKLDDSSKTRNVLNRIQELEDQRDELLEQKKSIINSQRPGARILARSERKRTKISRGNKVNKQIEILTDLVNYRHFKAMEDVIAWKNVLANSIWGSSLRKNASGNKRSGVIETVDDKLKDIVGQTSRTVTPAPN
VIMSS6582810 1 1004 0.236935657370518 PF04082.18:Fungal_trans:308:652,PF00172.18:Zn_clus:44:77 Transcription factor PDR1; Pleiotropic drug resistance protein 1 1068 379 20 1004 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P12383 1 SwissProt MRGLTPKNGVHIETGPDTESSADSSNFSTGFSGKIRKPRSKVSKACDNCRKRKIKCNGKFPCASCEIYSCECTFSTRQGGARIKNLHKTSLEGTTVQVKEETDSSSTSFSNPQRCTDGPCAVEQPTKFFENFKLGGRSSGDNSGSDGKNDDDVNRNGFYEDDSESQATLTSLQTTLKNLKEMAHLGTHVTSAIESIELQISDLLKRWEPKVRTKELATTKFYPNKSIETQLMKNKYCDVVHLTRYAAWSNNKKDQDTSSQPLIDEIFGLYSPFQFLSLQGIGKCFQNYRSKSKCEIFPRTAKETIYIMLRFFDVCFHHINQGCVSIANPLENYLQKMNLLPSTPSSISSAGSPNTAHTKSHVALVINHLPQPFVRNITGISNSELLSEMNNDISMFGILLKMLDMHKNSYQNFLMEITSNPSVAKNTQSIDVLQEFIHYCQAGEALIALCYSYYNSTLYNYVDFTCDITHLEQLLYFLDLLFWLSEIYGFEKVLNVAVHFVSRVGLSRWEFYVGLDENFAERRRNLWWKAFYFEKTLASKLGYPSNIDDSKINCLLPKNFRDVGFLDNRDFIENVHLVRRSEAFDNMCISDLKYYGELAVLQIVSHFSSSVLFNEKFTSIRNTSKPSVVREKLLFEVLEIFNETEMKYDAIKEQTGKLFDIAFSKDSTELKVSREDKIMASKFVLFYEHHFCRMVNESDNIVARLCVHRRPSILIENLKIYLHKIYKSWTDMNKILLDFDNDYSVYRSFAHYSISCIILVSQAFSVAEFIKVNDVVNMIRVFKRFLDIKIFSENETNEHVFNSQSFKDYTRAFSFLTIVTRIMLLAYGESSSTNLDVISKYIDENAPDLKGIIELVLDTNSCAYRFLLEPVQKSGFHLTVSQMLKNRKFQEPLMSNEDNKQMKHNSGKNLNPDLPSLKTGTSCLLNGIESPQLPFNGRSAPSPVRNNSLPEFAQLPSFRSLSVSDMINPDYAQPTNGQNNTQVQSNKPINAQQQIPTSVQVPFM
VIMSS6582811 88 378 0.0151305841924399 PF01222.17:ERG4_ERG24:2:290 Delta(24(24(1)))-sterol reductase; C-24(28) sterol reductase; Sterol Delta(24(28))-reductase; EC 1.3.1.71 473 289 20 181 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25340 1 SwissProt YQLVLENGIPEKYDWTIFLTFWVFQIIFYYTLPGIWTKGQPLSHLKGKQLPYFCNAMWTLYVTTTLVLVLHFTNLFRLYVIIDRFGRIMTCAIISGFAFSIILYLWTLFISHDYHRMTGNHLYDFFMGAPLNPRWGILDLKMFFEVRLPWFTLYFITLGACLKQWETYGYVTPQLGVVMLAHWLYANACAKGEELIVPTWDMAYEKFGFMLIFWNIAGVPYTYCHCTLYLYYHDPSEYHWSTLYNVSLYVVLLCAYYFFDTANAQKNAFRKQMSGDKTGRKTFPFLPYQIL
VIMSS6583295 1 366 0.756435519125682 PF08316.11:Pal1:131:271 Uncharacterized protein YHR097C 366 141 20 366 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38809 1 SwissProt MNQTGRTIGGPQNGVNTVINPFRVSPSEDRVSSRDETPRNYNNPFLNEDDTRRAHNSSVSNSRQERLPSYEEAAGTPKQQAPYPKEKKRSSGSNSHQHNHHHHRRTSHGHRDKDKQKSKSRTKVKPPKNVDTIDKMDVTGLFGGSFHHDGPFDACTPQRNKNNKVAPVLAFPADGPNNTVGGRTSKKSTLDEVFGRETVDDDSETLNQLQDRAYLFNKANSSTTTLDAIKPNSKNITQFDSKMKTELVHGPITMGLGSTTFLDGAPASSAAIEQDVINHAQESRRKNSIARKKSLPSRRHLQVNNNNLKLVKTHSGHLEQKDVDDNRTSVPVTATQGSGHEDVVKKENTGNKLLRRVKSLKTSKKH
VIMSS6583306 120 464 0.499018550724638 PF03127.14:GAT:129:203,PF18308.1:GGA_N-GAT:80:107 ADP-ribosylation factor-binding protein GGA2; Golgi-localized, gamma ear-containing, ARF-binding protein 2 585 103 20 345 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38817 1 SwissProt PLRYSKIQRLILTAIEEWYQTICKHSSYKNDMGYIRDMHRLLKYKGYAFPKISESDLAVLKPSNQLKTASEIQKEQEIAQAAKLEELIRRGKPEDLREANKLMKIMAGFKEDNAVQAKQAISSELNKLKRKADLLNEMLESPDSQNWDNETTQELHSALKVAQPKFQKIIEEEQEDDALVQDLLKFNDTVNQLLEKFNLLKNGDSNAASQIHPSHVSAPLQQSSGALTNEINLIDFNDLDEAPSQGNNNTNGTGTPAAAETSVNDLLGDLTDLSISNPSTANQASFGLGGDIVLGSSQPAPPVTTTNNSNNTLDLLGLSTPQSPTNSQAVNSSGFDLLMGFNPTT
VIMSS6583336 1 114 0.157889473684211 Uncharacterized protein YHR138C 114 0 20 91 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38841 1 SwissProt MKASYLVLIFISIFSMAQASSLSSYIVTFPKTDNMATDQNSIIEDVKKYVVDIGGKITHEYSLIKGFTVDLPDSDQILDGLKERLSYIESEYGAKCNLEKDSEVHALNRDHLVA
VIMSS6583378 202 453 0.634917460317461 Putative protein of unknown function (RefSeq) 453 0 20 252 0 Saccharomyces cerevisiae VIMSS6583378 1 MicrobesOnline NYKTRRSLSSSPSYCDLLSNYNNHPGNIPVRTAVQLPLTTFNNAPREMHQQQHRQQQQYLLPIDEQNKLPLPYMQHQPQPIGVYNPNYQPGLRRTVSQPMIFCNTYNTLPQQPTAAPYERRGVSPSVIYSSNTLSPIPYQNIDPYSSRSGPECNHSKAPIAPTMMPPVHHILVHDYRQPKPVTDSINPPNVNITTSTTNKNLDGIYILPAPRMNPPAQTQYQMIHAPDSMQHPPTFSKNNTSSNPKSHQYSK
VIMSS6583536 1 605 0.267005454545454 PF02301.18:HORMA:22:244 Meiosis-specific protein HOP1 605 223 20 605 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P20050 1 SwissProt/TReMBL MSNKQLVKPKTETKTEITTEQSQKLLQTMLTMSFGCLAFLRGLFPDDIFVDQRFVPEKVEKNYNKQNTSQNNSIKIKTLIRGKSAQADLLLDWLEKGVFKSIRLKCLKALSLGIFLEDPTDLLENYIFSFDYDEENNVNINVNLSGNKKGSKNADPENETISLLDSRRMVQQLMRRFIIITQSLEPLPQKKFLTMRLMFNDNVDEDYQPELFKDATFDKRATLKVPTNLDNDAIDVGTLNTKHHKVALSVLSAATSSMEKAGNTNFIRVDPFDLILQQQEENKLEESVPTKPQNFVTSQTTNVLGNLLNSSQASIQPTQFVSNNPVTGICSCECGLEVPKAATVLKTCKSCRKTLHGICYGNFLHSSIEKCFTCIFGPSLDTKWSKFQDLMMIRKVFRFLVRKKKGFPASITELIDSFINVEDQNNEVKERVAFALFVFFLDETLCLDNGGKPSQTIRYVTSSVLVDVKGIVIPNTRKQLNVNHEYKWHFTTSSPKAESFYQEVLPNSRKQVESWLQDITNLRKVYSEALSPSSTLQELDLNSSLPTQDPIISGQKRRRYDLDEYLEEDKSSVVNDTIKAKDFDESVPAKIRKISVSKKTLKSNW
VIMSS6583628 123 245 0.384389430894309 AP-1-like transcription factor YAP5 245 0 20 123 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40574 1 SwissProt QKLETLTLKQASVPAQDPILQNLIENFKPMKAIPIKYNTAIKRHQHSTELPSSVKCGFCNDNTTCVCKELETDHRKSDDGVATEQKDMSMPHAECNNKDNPNGLCSNCTNIDKSCIDIRSIIH
VIMSS6583644 1 693 0.471322943722944 PF01833.24:TIG:530:600 Protein MGA2 1113 71 20 693 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40578 1 SwissProt MQQNSEFLTETPGSDPHISQLHANSVMESQLLDDFLLNGSPMYQDDSMAHINIDEGANFQNFIKTDEGDSPNLLSFEGIGNNTHVNQNVSTPLEEEMESNRALKEEEEDEHENKVFNEKNIGNPAHDEIVFGRKETIQSVYINPLDYLKVNAAQLPLDVEVSGLPQVSRVENQLKLKVKITSETPLNQSMLYLPSDSISREKFYLKKNIEDFSEDFKKNLLYINAFVLCAVSNRTTNVCTKCVKREQRRAARRKSGIADNLLWCNNINRRLVVFNNKQVFPIMKTFDNVKEFELTTRLVCYCRHHKANNGFVILFTITDWQNRLLGKFTTTPIMITDRKPANMDTTKFNNTTTSSRRQLTEEESTTEYYSTDNNQLSKDENMPFQYTYQHNPYDNDSQMNNIPLKDKNVPFPYSISQQTDLLQNNNLSLNLSLPNQHIPSPTSMSEEGSESFNYHHRDNDNPVRTISLTNIEQQSQLNQRKRARNNLENDIGKPLFKHSFSNSISATNTMNPALHSMQDFSMKNNNNNLPSINRVIPSQGPINGGIEVTLLGCNFKDGLSVKFGSNLALSTQCWSETTIVTYLPPAAYAGQVFVSITDTNNENNNDDLPQEIEINDNKKAIFTYVDDTDRQLIELALQIVGLKMNGKLEDARNIAKRIVGNDSPDSGTNGNSCSKSTGPSPNQHSMNLNTSVL
VIMSS6583670 1 758 0.236245778364116 PF04082.18:Fungal_trans:194:446,PF00172.18:Zn_clus:45:81 Putative transcriptional regulatory protein YJL206C 758 290 20 758 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39529 1 SwissProt MTPKESGKPISCAMKKLKGKRSKILVLSRDAGTNELKPTKGRAHRACIACRKRKVRCSGNIPCRLCQTNSYECKYDRPPRNSSVFDREVSDDSSLYAQRASHEREDSKGPISSIDYKKVVETIFPPETLRQILASSSFNSQNFLDTIKTCLLQGQLNVNQVIRQSLPKDTPWHMQTSVPLPPREIALKFIQKTWDCACVLFRFYHRPTIISILDSIYEAEKHGKQYTPEQVKTQPLIYSVLAVGALFSKEDLSKDSKATREFYTDEGYRYFLEAKNSLDFSNITDIYSIQAIFMMTIFLQCSANLKACYSFIGIALRAALKEGLHRRSSIVGPTPIQDETKKRLFWSVYKLDLYMNCILGFPSGIDESDIDQEFPLDVDDENISTIGIKFQDWRTISSCGMNNKHTKLILIMSRIYKLMYSLRRKPLEEDSRTQIVSLNDQLDNWYAQLPDILKVDTIRYRQTQPPLTVSANDTSSPYTKPKKLLYLDFLLSKIVLYKPFYHYISIDPLDIPEFQFQIHMAENCIEVAKKVIQLSYEMITQNLLSGSYWFSIHTIFFSVACLKFYVYQTEKGLIRNGKVDSDIHNATQLGSEILSLLKGASNASKRTFEVLNQLFKEFNEKTSVLSEQLLNIVKLQRQESSGALVPQLQTNNNFTKCQGELHHGQQHHQTPATSLRSILNLPQGEADLKFQNTNNESHTTTAAQEEYLDKLLAEFEEFDYSINRVLPDVIDFSALIGQDSSANNQIFSSEFSSDPTVN
VIMSS6583743 1 339 0.744182005899705 MAP kinase kinase that plays a pivotal role in the osmosensing signal-transduction pathway, activated under severe osmotic stress (RefSeq) 668 0 20 339 0 Saccharomyces cerevisiae VIMSS6583743 1 MicrobesOnline MEDKFANLSLHEKTGKSSIQLNEQTGSDNGSAVKRTSSTSSHYNNINADLHARVKAFQEQRALKRSASVGSNQSEQDKGSSQSPKHIQQIVNKPLPPLPVAGSSKVSQRMSSQVVQASSKSTLKNVLDNQETQNITDVNINIDTTKITATTIGVNTGLPATDITPSVSNTASATHKAQLLNPNRRAPRRPLSTQHPTRPNVAPHKAPAIINTPKQSLSARRAVKLPPGGMSLKMPTKTAQQPQQFAPSPSNKKHIETLSNSKVVEGKRSNPGSLINGVQSTSTSSSTEGPHDTVGTTPRTGNSNNSSNSGSSGGGGLFANFSKYVDIKSGSLNFAGKLS
VIMSS6583909 1 1357 0.199327781871776 PF12234.8:Rav1p_C:571:1191 Regulator of V-ATPase in vacuolar membrane protein 1; Suppression of the onset of impotence protein 3 1357 621 20 1357 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47104 1 SwissProt MSLNFLPGRPNATPQTACQATWQNHTIFAYCSGNNLIILTNKFTRLQTIYTQSDCTAVDINSQNGFIALSFHNRVLIYKPIHQIMQNPKWTQCCQLFHDDTPVNCLRWSSDNELAIGSDFLSFWKIKDNFGVYQPILQWNQKQPKPVYNVIISQDSQLIVSIGKYDCNAKLWKRVSIVGEQAIFNLTMLPHPKPITAMRWKKEPDQVSKNNTASHALYTLCEDKVLRIWSCFEMEKNHTVQIWGEVPLSPTQKFCVIIDNWIIRQTLSVKDSEIFDISDSDIVILGSMTGEMEVLALNNLSQDPPKPMTKKTISHKKVKKATMLNDTRYLYLPEIQPYDNVKGKLSFLVHDLQGVIRHLLIDILQLINNKTEDLSAALEHKFTGHNKSVQKLVRSSDGEALLTTSRFSENGVWYPQKLNHGVSLRLQNTIQTESPIKFAVVHELGKQVICLLENGALQAWECPTNRKEDSEQKQSYLRVETRLKEEKKIHPIVMLNTPEPKHSHERHFTALIFSDGSIKAFEVSLTRGIFEVKSDSLDIDGDDIYKISIIDPVHQTFVSNRPLISLITKKGLTRTYKAIVNYNDRHVQWIKACEINTGIMNCTCIRGSSTGKLCIVNSTGKVMSLWDLNRGVLEYEETFHNPIEDIDWTSTEYGQSIVSIGFTGYALLYTQLRYDYTNNTPSYLPIEKIDITAHTAHNIGDSVWMKNGTFVVASGNQFYIKDKSLDLTDPFTYQSIGSRKILSNDILHLSSVLNGPLPVYHPQFLIQAIYANKLQLVKELLLRLFLALRKLDFESQDVSNLDSNLGMDPLKYFIAKDRDYPVESFPDPYPCFNKTVSLALTEQLTKTTLPYLTRHQQITLITVIEAVDEVTKNENIVDYNGVRFLLGVKLFLSHKNIQKSILMRDVSWALHSDNKEILLSSIDRHITSWNRAREYRIAYWIKEQDLVKKFEDIAKYEFSKDDKRDPSRCAIFYLALKKKQILLSLWKMAIGHPEQQKMVRFISNDFTVPRWRTAALKNAFVLLSKHRYMDAAVFFLLTDSLKDCVNVLCKQVHDMDLAIGVCRVYEGDNGPVLGELLTAQMLPETIKENDRWKASFIYWKLRKQEVAIKALLTAPIDLENNSSIVDKEVCVNRSFLVEDPALLYLYNHLRNRNLKYFIGSLNVEAKIECTLILRVTDILCRMGCNYLAVSLVKNWKFIERNSIPVQKLLKSPTKDRAYSAIGAMASEPISTARMRPSLFDKFGSPSASDIESPNPKLPNSLLDDFLQPPPNSTSSNSLAQSSSSAPRSILDEFVSPSYSQHKENLTPKAPNDSVGETDNSENRKDKLSKDILDDLSSQKPQKPKKSAITKNLLDDFV
VIMSS6584039 1 602 0.130740199335548 PF00083.24:Sugar_tr:102:560,PF07690.16:MFS_1:107:297 Alpha-glucosides permease MPH3; Maltose transport protein 3 602 459 20 331 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P0CE00 1 SwissProt MKNLSFLINRRKENTSDSNVYPGKAKSHEPSWIEMDDQTKKDGLDIVHVEFSPDTRAPSDSNKVITEIFDATEDAKEADESERGMPLATALNTYPKAAAWSLLVSTTLIMEGYDTAILGAFYALPIFQRKFGSQNDKTGEWEISASWQIGLTLCYMAGEIVGLQLTGPSVDLVGNRYTLIIALFFLAAFTFILYFCNSLGMIAVGQALCGMPWGCFQCLTVSYASEICPLALRYYLTTYSNLCWLFGQLFAAGIMKNSQKKYADSELGYKLPFALQWILPVPLALGIFFAPESPWWLVKKGRFDEARRSLRRTLSGKGPEKEILVTLEVDKIKVTIDKEKRLTSKEGSYSDCFEDKINRRRTRITCLCWAGQATCGSILIGYSTYFYEKAGVSTEMSFTFSIIQYCLGICATFLSWWASKYFGRYDLYAFGLAFQTIVFFIIGGLGCSSTHGSKMGSGSLLMAVAFFYNLGIAPVVFCLVSEMPSSRLRTKTIILARNTYNVVSIICSVLILYQLNSKKWNWGAKSGFFWGVLCFCTLIWAVVDLPETAGKTFVEINELFKLGVSARKFKSTKVDPFVVKTPPKDVSHNDPKGDIEASIAEE
VIMSS6584078 1 750 0.237893733333333 PF06687.12:SUR7:21:639 Uncharacterized protein YKL187C 750 619 20 651 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34231 1 SwissProt MRIEKHRTPLSKGIIWTILSVCLLFMFTTLILVIVATAGSTANYKPLTNIYIGEADIKHINVSKVIPQIGPILTILGSALTAPNSSLDDIFGAMKNIADTPALTPLLTLLSNADNTTVTIESLTELAPLAISGNPASSTRQLTEINGLLKYSDNATETLDGLSRLVSASLSSASSNSSSDSTTLVLDLLKDSDNPQNSTDALLTLNNLTMSEKAQLLPVFRLFAFSTNQTATMTALATLMNTTISSSLAQTLLTQLQNTISNGGSLNNTFSTLQPLVPQASAPAFDAVELLLNQTTSTNQTLSTLSDLLEQNLTQSSSAKKAFAALTQLMENSDNSTMVVTSVQSLAAVTNTTQSTQQLIGLDDVISSSSNTNETLSILSELQSGLSGNSSSVQYIPYLFSLLGASTDPKTTFSSLVTLTSWAQENPQTFLPILDILADAKSVQPISAEELNAMTPNILEYLKIPIYYRLSIFTLCHANLENKILDCNSPHAVQNLDFRSIIYDALVTSDFQPYLNALNISANDLYLEGKLLHREHQYVPAVRSVLALNLLAIIFSFFTMIFIILLYFNRYMFKQPLWLIALALHVCVGVATVLAAIIISVMIAIIKSGTADDKYGVVFKAGPAYTGLIWTAFALSFIATGLIIYTWWRNRRSGRYMSGSVTNRKGEIYTYGDGSAISADRFGDHNLGDDDDADFEKQVNRNEITAIDNSSSANNTDVTGSTSNRTELSHPDVTPKDSNGPVNNNAHLVA
VIMSS6584088 1 470 0.154023404255319 PF02076.15:STE3:10:289 Pheromone a factor receptor 470 280 20 312 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P06783 1 SwissProt/TReMBL MSYKSAIIGLCLLAVILLAPPLAWHSHTKNIPAIILITWLLTMNLTCIVDAAIWSDDDFLTRWDGKGWCDIVIKLQVGANIGISCAVTNIIYNLHTILKADSVLPDLSSWTKIVKDLVISLFTPVMVMGFSYLLQVFRYGIARYNGCQNLLSPTWITTVLYTMWMLIWSFVGAVYATLVLFVFYKKRKDVRDILHCTNSGLNLTRFARLLIFCFIIILVMFPFSVYTFVQDLQQVEGHYTFKNTHSSTIWNTIIKFDPGRPIYNIWLYVLMSYLVFLIFGLGSDALHMYSKFLRSIKLGFVLDMWKRFIDKNKEKRVGILLNKLSSRKESRNPFSTDSENYISTCTENYSPCVGTPISQAHFYVDYRIPDDPRKSQNKSKKYLFADKETDDILDEIDLKESRHIPYVTQGQSFDDEISLGGFSKVTLDYSEKLHNSASSNFEGESLCYSPASKEENSSSNEHSSENTAGP
VIMSS6584297 1 957 0.377718808777429 PF00614.22:PLDc:791:818 Phospholipase D1; PLD 1; Choline phosphatase 1; Meiosis-specific sporulation-specific protein 14; Phosphatidylcholine-hydrolyzing phospholipase D1; EC 3.1.4.4 1683 28 20 957 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36126 1 SwissProt MSNVSTASGTHFAPPQADRSVTEEVDRVNSRPDELENQEVLRQLPENGNLTSSLQREKRRTPNGKEAERKHALPKSFVDRNLSDVSPNHSLDHIMHSNEHDPRRGSDEENMHRLYNNLHSSNNNVHSKRNSKREEERAPQRRSSSVAYTQQQFNGWKKEFGHAFKKISAIGRLKSSVNSPTPAGSGHRHNQHQHQQVNEEDLYTQRLASDLLDSLLAGCPASLFASTQFLRDEHGKRRAPLLLAKLDVRVSPLKNDNNILDITNSNHNHRGNNNNNTGENSDRRPSIPRSSSIISISSNVAEFMYSRNENSLFRIHLEYGIDEDRLKWSIIRSYKDIKSLHHKLKIVAFQQLTISKLYSDNNRYHSLQLPHFPHYKEMVKERNVMEKKAENKPSSAASAPHTSENNNNDNGSNITSLETLSSSEISEFNIDNVKMKHLQDLIDEPDDFSQPIHLRLERYLRLLNIALCLRPHANRLFEFYELSPLGNLLSRESGFQGKQGYLVIRSTAKAQGWRVSHFGKHAFKDMIDRHTTKWFLVRNSYLTYVSDLSSTTPLDVFLIDWKFKVRFSGNKNNILDNENEINWIIHDPNLEINDELEEFGIENDANNILDKNGKSKTHQKKSNISSKLLLLTLENSERKLKIICKSESSLKQWMSSIIKMSTSTPWSKPNRFGSFAPVRTNSFCKFLVDGRDYFWSLSEALLMAKDVIYIHDWWLSPELYLRRPVKGNQGFRIDRMLKSCAEKGIKIFIVIYRNVGNIVGTDSLWTKHSMLNLHPNIHIIRSPNQWLQNTYFWAHHEKFVVIDETFAFIGGTDLCYGRYDTFEHVLRDDAESLLDQNFPGKDYSNARIADFHDLDKPFESMYDRKVIPRMPWHDVQMMTLGEPARDLARHFVQRWNYLLRAKRPSRLTPLLTPPSDLTAEELKSLPMFEILREKSTCETQILRSAGNWSLGLKETEC
VIMSS6584340 1 307 0.379819869706841 Uncharacterized protein YKR075C 307 0 20 307 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36155 1 SwissProt MTSLDDTIISYQNIMLLDNMTNYNKPAIDYFHHEFNDASLEISASWTLLLKMRKHKLLRLPSCSSEDVLDYNMYLVRLHHCLWRRWSINHYGLQNSKSNPLSINWNKETDVTVLYGPDLTNIDSNENEISPVQNQIDQKQTKNLKSALKKNTECWVTEEVDEINASIESNDNALVKLEDISCPSSVDSHTSSIFDQHSTCTKISSIDEDSEDLMNEKKEQFPRKLKFNQAVMKREIDSKGTIRESLININDIQHSRHHRRHHRRHHHHHHQNSSHSDETIKEAHYEFSNYTFGTMEEDIFYRNQVVF
VIMSS6584479 1 1056 0.149969602272727 PF07064.13:RIC1:786:1044 Guanine nucleotide exchange factor subunit RIC1; Protein RIC1; Ribosome control protein 1; Ric1p 1056 259 20 1056 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40395 1 SwissProt MFIKQSEKNTPKCLYKKKGKVRVLLTGSCKKLNTWKMHLWPVSPPQLLRIPPRNAELGEGTKIDDCNILQSMTLPQANVLIMLTPTRVLIYNFKPMALVASHERTMASLKEFGDNRSMKRSAPYNDIIEGLISKKDSQYLLWHQGKLIFYVMTDKNFLLTYQILKNCTNEIIFKEYGIPVIEPLLMSEEEANSAEYDYNNDDDTLTVFDKNSSSRIIQNGFGITKEKGFLHFLSNQENIDELPVKKLELRLKVVLKFDYEIIDMIGIKTFSKVGDGRYEEVLIVLFPHGLQILTISDFKVSKSSLVEVKKGSKTIVCNKQLMVLSHDSVEKQTIVSIIDIEKQAVEAIPLTDTPDELLTCLEVNGYLVVVYKEKIICFDTRIKKVSHSWKPPFVIKLCDKINDKILLLVSEDSVNIHFYTEFGNLLFATYFDEDDYNGDNNNDNSKDKNEKKAAEYKISDFVCLDKSLITVSHSGKYQVWKLWEEIKQTQFDFRNPKCYVLTNTNNDVIIYSPVTSSSINNDNLQVIKLPTKTFNNHIAFVKINSSLRLFATYVSNKNILLIHNLETNMWSSFADQNVLDLHWLGDNYLVCHMKNDDGSTNLKCLQIPLQEANPDVELSDYVMWEYNVPENTIVFSLHVNTLSRYKLLKMKSKNHNASEKQPDALLKTAEIILVTDTQTIVFDVISTVHPCGLNIIKKFYQYLKINIPIDVLPNKIEWIINMKEGLLFFADRKFIKLGKVDGGGWQTLTLLDNIEKIIDVIRDEIFVVQGHNYVVYSLEDLWDDKKPLVSIPIEEDLYPISTTPETATTHTLHCIFNARFSKLVVKHQIYLDQLILAKLEDNTDLEDISHNYRFLKPYKFALEKILSTKILRSDSLDDILKLIKMYDNTDPEHNISPPTHSGMLEIISNCLRKIETKYWNHLFTNLKMTPRDLLALCIEENEAKMLGVLLLVFLNYDEKDLGDDLHFKKSDLGTEESKALNDNSTKKSEKSVTNLLKDEELMLKVLELLVTSAANATDPIKATDSWDMCFQLIRLLKELDRENNTQLVQKALERFK
VIMSS6585114 1 843 0.55957034400949 PF12757.7:Eisosome1:325:441 Eisosome protein 1 843 117 20 843 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05050 1 SwissProt MSLISAVEDRDIHNIGKTSGGGSRTSSITSSKKSLKHGSKSLRKPKVYQTTGEPLSREALYKAKLKYGVYQSPAQSYSIGVSDAHAASDKAANLAHDNQTTVEAYKRMFIDPNATKAASKMGPKVVRNNSITSATSKTSKESQTKRKSKESPGAAASKAYSMTMETTSLSSQTNSRSYSITSASSVLSGASGSFNSTVNPKPKTLNLEKVLVGAEKKAESRIKERWEPEKTNFQYGVKTDEHGNLNQFSFSNEMMNNIMAKVDAPKAQDLQKVKKVSAEKEAKSMKFALGAANAVKDMHPGEDIDKSIALKAQKRETYLSQLTSQQVLTLARANVDRQLDIIEKSDMHRKLFTNMEYNKAAVAVAQSNHQKKTEFHNKINMGGGLFLSPEDITKIASGLISPVLGEVSERAEAQRAMDEEIAERTEAYNKSSNEWETMERSIISNDAKVLTTTANRHQTEKKTSQEKIKASFDALVARMDTKVAERETLLEDTKSKEIEFKKQMQQELKDEKARLDQDLEEWGKKCEQDITEARKEQEELLKPYHDDLANAEAEHKTLVEERDEINAEISRLQDAIVDHKRKISGYGNDLDAQKNRNIREDDKLLELGQTKESLESHLNDDVIILANKAKEQAELSTKEARLKQLEVDSLINERKSELNATEIELKKEKLNLLEAMKDVASARGDDKIDEEKVKKLIGMTSEEYLTQNKSVEKNVEDLPTQLEKIEEGDELKKEEIVGAETKNSGGDGVPVSTAAKEATETSSAVQTKEPEEKISIGNKSSGKEDANDCKSAEHSKEISVSQKAGNNKSLGVSPDSLEHTFSGFSQGSSIEDDQDAISNQEKK
VIMSS6585295 1 546 0.319972344322345 PF00134.23:Cyclin_N:41:193 G1/S-specific cyclin CLN1 546 153 20 546 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P20437 1 SwissProt MNHSEVKTGLIVTAKQTYYPIELSNAELLTHYETIQEYHEEISQNVLVQSSKTKPDIKLIDQQPEMNPHQTREAIVTFLYQLSVMTRVSNGIFFHAVRFYDRYCSKRVVLKDQAKLVVGTCLWLAAKTWGGCNHIINNVSIPTGGRFYGPNPRARIPRLSELVHYCGGSDLFDESMFIQMERHILDTLNWDVYEPMINDYILNVDENCLIQYELYKNQLQNNNSNGKEWSCKRKSQSSDDSDATVEEHISSSPQSTGLDGDTTTMDEDEELNSKIKLINLKRFLIDLSCWQYNLLKFELYEICNGMFSIINKFTNQDQGPFLSMPIGNDINSNTQTQVFSIIINGIVNSPPSLVEVYKEQYGIVPFILQVKDYNLELQKKLQLASTIDLTRKIAVNSRYFDQNASSSSVSSPSTYSSGTNYTPMRNFSAQSDNSVFSTTNIDHSSPITPHMYTFNQFKNESACDSAISVSSLPNQTQNGNMPLSSNYQNMMLEERNKENRIPNSSSAEIPQRAKFMTTGIFQNTGELTNRASSISLSLRNHNSSQL
VIMSS6585453 1 763 0.179682830930537 PF00646.33:F-box:56:94,PF12937.7:F-box-like:59:101 F-box protein SKP2 763 46 20 763 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P42843 1 SwissProt MKRLQLFGRSKYFSLVSSAAKEEEEEEEGCADAKSLLHSTSHDIKSRSLRFNDKSSLMCLPTKVLLLILRTLDFNTLVTLCQVNSRFYNLITNEFLFQNVILDSKLSLLKFNALIHSEFHTSNIVTHSGDCSTQSRSQNARFLVRSIEFKNPQSQDSLLKYSKFYNKSGQDSIIAGSYKLDSYDKDVKKLNNIRLNDETPIITSERIKLLDKLESNYFHYTYIELMLDIIDYLPNLTRVILSDVEPNFKIPLWYSVFNDGSRDFFKKIIKGQQSITNEDLRTFQLSKKFVKEYESKYYSLPRLKILEIKANNKRQRTFNRQRHHQKLVLRPSLFCCFGIINELKLENVTIDTESLDTPMEFLPLFLKNEDNELYSLQSPITALTLDSCDVVPGNGILRLFHSYFKMVKHLSLLKINSKFDLLLCSCFPSLSNLTIDCNSKCFTNEQVVGESYYFQQRSLDTEDDFDDCNSMTETLFEAPSDSKIITPPPTSSVVLSLNLNYISRTTGNDVSNNPSPDNNKKPAMLTAAQLQNFQRQRIPEFHSFYHYYRLLWERLPSKNISINVINIPFTNVYPLSPLSFWEHLARTITSVDETDEDVGDENDQETLIGYENNSIRDNIPNANAVPNLSTVMSPESDIHHTYYWNNSVRRCLRDSLIKLKNRTIEYRDLDVEEFLQNVTLENFFNDFQDPENFKDIPNINLWCFLRNLSKFKAVKIRMLRHFSLCTPRTRYDWELLLKPVLRVNVPIEVRDKDGFVLYSYGQK
VIMSS6585530 1 974 0.168968788501026 PF11635.8:Med16:179:970 Mediator of RNA polymerase II transcription subunit 16; Global transcriptional regulator SIN4; Mediator complex subunit 16; SNF1 suppressor protein 4; SWI4 suppressor protein 5; Transcriptional silencing factor 3; YGP1 expression regulatory protein 1 974 792 20 974 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32259 1 SwissProt MMLGEHLMSWSKTGIIAYSDSQSSNANICLTFLESINGINWRFHTPQKYVLHPQLHEVQYQESSSTLSTHSTTTSVNGSTTAGVGSTPNFGGNSNKSPPQFFYNISSIHWNNWFSLPGDMLAVCDELGNMTMLITGQRPDRATTYEKLTMVFQDNVYKIYNHVMPLKPVDKLKPMNIERKQTRKEYNTSILEFRWLTSSKSVIVSQFCAFDSSSNTYRSRAQQVPPYGVYHPPFIKYACLAIRKNGQIDFWYQFSNSKDHKKITLQLLDTSNQRFKDLQWLEFARITPMNDDQCMLITTYSKLSKNISFYKLHVNWNLNATKPNVLNDPSLKIQFILSTTLDPTDDEGHVLKLENLHVVSKSSIEKDPSPEILVLYNVCDTSKSLVKRYRLAPTQLSAEYLVILKPDLNIDRNNSTNQIFQSRRYNLRRHSDIVLDKKVTLITSEMFDAFVSFYFEDGTIESYNQNDWKLETERLISQSQLGKFKNIIASPLSAGFNYGKLPLPPSVEWMKVSPSMCGVIVKQYNKKWPQFYAAVQKNYADPEKDSINATALAFGYVKSLHKQISAEDLTIAAKTHILRISFLDRKRAKEFITTLLKSLYSFFNISPDAPKEIMDKIITSRPLQKIMLLQLELGSCFSQENIEEMARVILYLKNVLFAFNGVARNFHFAIEQISNNSNQQQNPKLFQTIFSKQDLIHSLIPVAKWFVKFITYLTQEILILINDPTNKEYTLVHGIFGAKMSRTLILSILNEIKKVTQIVAKFPETSYPILNESSTFLKLVLSESPVDFEKFETFLVDVNNKFIALCEQQPSQEREFSLLVKAEIPPEYAKVGDFLLQYANNAVISHANAAAVYFADTSGLKISNSEFFNPEIFHLLQPLEEGLIIDTDKLPIKNRTSKSFSKLLYDDVTCDKLSVSEISDGKLKRCSRCGSVTRAGNIISSDKTIVPTSIQTKRWPTMYTRLCICSGMLFEMDG
VIMSS6585655 1 139 0.553475539568345 PF03162.13:Y_phosphatase2:67:136 Putative tyrosine-protein phosphatase OCA1; Oxidant-induced cell-cycle arrest protein 1; EC 3.1.3.48 238 70 20 139 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50946 1 SwissProt MTSKVGEYEDVPEDESRLTEENVSVPEEEVEDEDEEEDDDDDHIYINEETESGREKVLVSHAPQERIVPPLNFCPVERYLYRSGQPSPVNFPFLLNLKLKTIIWLSNEEPQDTLLEFCDTHRINLQFAAINPDAGEDDN
VIMSS6585851 1 606 0.0595008250825082 PF07690.16:MFS_1:90:494,PF06609.13:TRI12:82:517 Siderophore iron transporter ENB1; Enterobactin permease; Siderophore iron transporter ARN4 606 436 20 362 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08299 1 SwissProt MLETDHSRNDNLDDKSTVCYSEKTDSNVEKSTTSGLRRIDAVNKVLSDYSSFTAFGVTFSSLKTALLVALFLQGYCTGLGGQISQSIQTYAANSFGKHSQVGSINTVKSIVASVVAVPYARISDRFGRIECWIFALVLYTIGEIISAATPTFSGLFAGIVIQQFGYSGFRLLATALTGDLSGLRDRTFAMNIFLIPVIINTWVSGNIVSSVAGNVAPYKWRWGYGIFCIIVPISTLILVLPYVYAQYISWRSGKLPPLKLKEKGQTLRQTLWKFADDINLIGVILFTAFLVLVLLPLTIAGGATSKWREGHIIAMIVVGGCLGFIFLIWELKFAKNPFIPRVYLGDPTIYVALLMEFVWRLGLQIELEYLVTVLMVAFGESTLSAQRIAQLYNFLQSCTNIVVGIMLHFYPHPKVFVVAGSLLGVIGMGLLYKYRVVYDGISGLIGAEIVVGIAGGMIRFPMWTLVHASTTHNEMATVTGLLMSVYQIGDAVGASIAGAIWTQRLAKELIQRLGSSLGMAIYKSPLNYLKKYPIGSEVRVQMIESYSKIQRLLIIVSISFAAFNAVLCFFLRGFTVNKKQSLSAEEREKEKLKIKQQSWLRRVIGY
VIMSS6585900 1 151 0.386738410596027 Protein INO4 151 0 20 151 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P13902 1 SwissProt MTNDIKEIQTIQPGLSEIKEIKGELANVKKRKRRSKKINKLTDGQIRINHVSSEKKRRELERAIFDELVAVVPDLQPQESRSELIIYLKSLSYLSWLYERNEKLRKQIIAKHEAKTGSSSSSDPVQEQNGNIRDLVPKELIWELGDGQSGQ
VIMSS6586644 1 452 0.71236703539823 Down-regulator of invasive growth 1; Regulator of STE12 protein 1; Regulator of sterile twelve 1 452 0 20 452 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03063 1 SwissProt MAVSARLRTTAEDTSIAKSTQDPIGDTEISVANAKGSSDSNIKNSPGGNSVGQESELEHVPEEDDSGDKEADHEDSETATAKKRKAQPLKNPKKSLKRGRVPAPLNLSDSNTNTHGGNIKDGNLASSNSAHFPPVANQNVKSAPAQVTQHSKFQPRVQYLGKASSRQSIQVNNSSNSYGKPHMPSAGIMSAMNPYMPMNRYIMSPYYNPYGIPPPHMLNKPIMTPYVSYPYPMGPRTSIPYAMQGGNARPYEENEYSASNYRNKRVNDSYDSPLSGTASTGKTRRSEEGSRNSSVGSSANAGPTQQRADLRPADMIPAEEYHFERDALLSANTKARSASTSTSTSTSTNRDRSSWHEAEPNKDEEEGTDLAIEDGAVPTPTFTTFQRTSQPQQQSPSLLQGEIRLSSHIFAFEFPLSSSNVDKKMFMSICNKVWNESKELTKKSSSHHRTGK
VIMSS6586845 1 622 0.218325241157557 PF07690.16:MFS_1:186:567,PF00083.24:Sugar_tr:218:466 Polyamine transporter 3 622 382 20 349 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06451 1 SwissProt MNRQESINSFNSDETSSLSDVESQQPQQYIPSESGSKSNMAPNQLKLTRTETVKSLQDMGVSSKAPVPDVNAPQSSKNKIFPEEYTLETPTGLVPVATLHSIGRTSTAISRTRTRQIDGASSPSSNEDALESDNNEKGKEGDSSGANDEAPDLDPEIEFVTFVTGDPENPHNWPAWIRWSYTVLLSILVICVAYGSACISGGLGTVEKKYHVGMEAAILSVSLMVIGFSLGPLIWSPVSDLYGRRVAYFVSMGLYVIFNIPCALAPNLGSLLACRFLCGVWSSSGLCLVGGSIADMFPSETRGKAIAFFAFAPYVGPVVGPLVNGFISVSTGRMDLIFWVNMAFAGVMWIISSAIPETYAPVILKRKAARLRKETGNPKIMTEQEAQGVSMGEMMRACLLRPLYFSVTEPVLVATCFYVCLIYSLLYAFFFAFPVIFGELYGYKDNLVGLMFIPIVIGALWALATTFYCENKYLQIVKQRKPTPEDRLLGAKIGAPFAAIALWILGATAYKHIIWVGPASAGLAFGFGMVLIYYSLNNYIIDCYVQYASSALATKVFLRSAGGAAFPLFTIQMYHKLNLHWGSWLLAFISTAMIALPFAFSYWGKGLRHKLSKKDYSIDSIE
VIMSS72967 1 151 0.299113245033113 PF07012.12:Curlin_rpt:53:86,PF07012.12:Curlin_rpt:75:106,PF07012.12:Curlin_rpt:100:130 curlin, minor subunit 151 78 20 151 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6547-MONOMER 1 ecocyc MKNKLLFMMLTILGAPGIAAAAGYDLANSEYNFAVNELSKSSFNQAAIIGQAGTNNSAQLRQGGSKLLAVVAQEGSSNRAKIDQTGDYNLAYIDQAGSANDASISQGAYGNTAMIIQKGSGNKANITQYGTQKTAIVVQRQSQMAIRVTQR
VIMSS75349 1 306 0.448514379084968 PF12918.7:TcdB_N:219:280 putative cytotoxin (NCBI ptt file) 433 62 20 306 0 Escherichia coli O157:H7 EDL933 VIMSS75349 1 MicrobesOnline MRLPEKVLFPPVTSGLSGQEKQKKPKSITGFQENYQRNIRPIKTASEARLRFFDKMVSKENSLEDVVSLGEMIQKEIYGHEQRTFSPVHHTGNWKSSLLHNALLGLANVYNGLRETEYPNTFNRDGIKSTNSFRDNLLTKTRTPRDNFEEGIKHPEHATIPYDNDNESNKLLKAGKIAGNNNELLMEIKKESQSDHQIPLSDKFLKRKKRSPVAEDKVQNSLTPENFVQKISLSDELKTKYANEILEIKRIMGEYNLLPDKNSRNGLKLLQKQADLLKIIMEDTSVTENTFKNIEMAIADIKREYY
WP_001216898.1 1 110 0.149811818181818 PF00132.24:Hexapep:49:82 O-acetyltransferase 208 34 20 110 0 Staphylococcus aureus subsp. aureus str. Newbould 305 WP_001216898.1 1 RefSeq MRIAIEKIIGLLKNQSSKESNVKIHRLAYITNSKFDGNNYIDRWCKIRNSHIGEYSYIGFGSDFNNVEVGRYCSISSDVKIGLGKHPTHFFSSSPIFYSNNNPFNIKQKF
WP_010882340.1 1 505 0.178518811881188 PF02722.15:MOSP_C:319:505,PF02707.16:MOSP_N:112:284 MSP porin 505 360 20 505 0 Treponema pallidum subsp. pallidum str. Nichols WP_010882340.1 1 RefSeq MIDPSATSRYGSPRLVSNGFRHRRKVVYQRVGHRRFSLIFFFVVVLGRSPRLWAQVSFTPDIEGYAELAWGIAXEBGXAGNLKHGFKTTTDFKIVFPIVAKKDFKYRGEGNVYAEINVKALKLSLESNGGAKFDTKGSAKTIEATLHCYGAYLTIGKNPDFKSTFAVLWEPWTANGDYKSKGDKPVYEPGFEGAGGKLGYKQTDIAGTGLTFDIAFKFASNTDWEGKDSKGNVPAGVTPSKYGLGGDILFGWERTREDGVQEYIKVELTGNSTLSSDYAQARALAAGAKVSMKLWGLCALAATDVGHKKNGAQGTVGADALLTLGYRWFSAGGYFASXASNVFGGVFLNMAMREHDCAAYIKLETKGSDPDTSFLEGLDLGVDVRTYMPVHYKVLKALPPAIXFPVYGKVWGSYRHDMGEYGWVKVYANLYGGTNKKATPPAAPATKWKAGYCGYYECGVVVSPLEKVEIRLSWEQGKLQENSNVVIEKNVTERWQFVGACRLIW
XP_006230687.1 1 403 0.657882382133995 PF02218.15:HS1_rep:83:117,PF02218.15:HS1_rep:120:155,PF02218.15:HS1_rep:157:192,PF02218.15:HS1_rep:194:229,PF02218.15:HS1_rep:231:255 src substrate cortactin isoform X3 472 168 20 403 0 Rattus norvegicus XP_006230687.1 1 RefSeq MWKASAGHAVSITQDDGGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRENVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDKSAVGHEYQSKLSKHCSQVDSVRGFGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQADRVDKSAVGFDYQGKTEKHESQKDYSKGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYAKGFGGKYGVQKDRMDKNASTFEEVVQVPSAYQKTVPIEAVTSKTSNIRANFENLAKEREQEDRRKAEAERAQRMAQERQEQEEARRKLEEQARAKKQTPPASPSPQPAEDRPPSSPIYEDAAPLKAEPSYGSSEPEPEYSTEAAGLPEASNQQGLAYTSEPVYETTEVPGHYQA
XP_006250934.1 1 164 0.217062195121951 PF02070.15:NMU:134:156 neuromedin-U isoform X1 164 23 20 164 0 Rattus norvegicus XP_006250934.1 1 RefSeq MSRAANRRPGLSAGQLAAATASPLLSLLLLLACCADACRGTPISPQRLPPEQELQLWNEIPEACASFLSVDSQPQASVALRKLCRVLMEIFQKPQFLFHYSKTQKLGNSNVVSSVVHPLLQLVPQLHERRMKRYKVNEYQGPVAPSGGFFLFRPRNGKRSTSFI
XP_006256676.1 168 427 0.716501923076923 ETS domain-containing protein Elk-1 427 0 20 260 0 Rattus norvegicus (Rat) SwissProt::A4GTP4 1 SwissProt PQPPLHPRPASVLPNTTPAGVPAPPSGSRSTSPNPLEACLEAEEAGLPLQVILTPPEAPNQKSEELSLNPGFGRPQPPEVKVEGPKEELEVTEVGGFSPEAVKAEQEVSPSEGLLARLPAILTENTAQVCGLSTSTTEITQPQKGRKPRDLELPLSPSLLGGQGPERTPGSGTSSGLQAQGPALTPSLLPTHTLTPVLLTPSSLPPSIHFWSTLSPIAPRSPAKLSFQFPSSGSAQVHIPSISVDGLSTPVVLSPGPQKP
XP_006502772.1 339 837 0.297753106212425 PF00041.21:fn3:197:272 Granulocyte colony-stimulating factor receptor; G-CSF receptor; G-CSF-R; CD114 antigen 837 76 20 476 1 Mus musculus (Mouse) SwissProt::P40223 1 SwissProt LDTWCQKKQLDPGTVSVQLFWKPTPLQEDSGQIQGYLLSWSSPDHQGQDIHLCNTTQLSCIFLLPSEAQNVTLVAYNKAGTSSPTTVVFLENEGPAVTGLHAMAQDLNTIWVDWEAPSLLPQGYLIEWEMSSPSYNNSYKSWMIEPNGNITGILLKDNINPFQLYRITVAPLYPGIVGPPVNVYTFAGERAPPHAPALHLKHVGTTWAQLEWVPEAPRLGMIPLTHYTIFWADAGDHSFSVTLNISLHDFVLKHLEPASLYHVYLMATSRAGSTNSTGLTLRTLDPSDLNIFLGILCLVLLSTTCVVTWLCCKRRGKTSFWSDVPDPAHSSLSSWLPTIMTEETFQLPSFWDSSVPSITKITELEEDKKPTHWDSESSGNGSLPALVQAYVLQGDPREISNQSQPPSRTGDQVLYGQVLESPTSPGVMQYIRSDSTQPLLGGPTPSPKSYENIWFHSRPQETFVPQPPNQEDDCVFGPPFDFPLFQGLQVHGVEEQGGF
XP_006503870.1 1 737 0.11101736770692 PF02690.15:Na_Pi_cotrans:150:253,PF02690.15:Na_Pi_cotrans:435:542 sodium-dependent phosphate transport protein 2B isoform X1 737 212 20 533 9 Mus musculus XP_006503870.1 1 RefSeq MTATAAGLFAQHLRCIYTGRSAALHLGASCCPLPAKGALIMAPWPELENAQPNPGKFIEGASGPQSSIPAKDKEASKTNDNGTPVAKTELLPSYSALVLIEEHPEGTDPWDLPELQDTGIKWSERDTKGKTLCIFQGVGKFILLLGFLYLFVCSLDVLSSAFQLVGGKVAGQFFSNNSIMSNPVAGLVIGVLVTVMVQSSSTSSSIIVSMVASSLLTVRAAIPIIMGANIGTSITNTIVALMQAGDRNEFRRAFAGATVHDFFNWLSVFVLLPLEAATHYLEILTNLVLETFKFQNGEDAPDILKVITDPFTKLIIQLDKKVIQQIAMGDSAAQNKSLIKIWCKSITNVTEMNVTVPSTDNCTSPSYCWTDGIQTWTIQNVTQKENIAKCQHIFVNFSLPDLAVGIILLTVSLVVLCGCLIMIVKLLGSVLRGQVATVIKKTLNTDFPFPFAWLTGYLAILVGAGMTFIVQSSSVFTSAMTPLIGIGVISIERAYPLTLGSNIGTTTTAILAALASPGNTLRSSLQIALCHFFFNISGILLWYPIPFTRLPIRLAKGLGNISAKYRWFAVFYLIFFFFVTPLTVFGLSLAGWPVLVGVGVPIILLLLLVLCLRMLQFRCPRILPLKLRDWNFLPLWMHSLKPWDNVISLATTCFQRRCCCCCRVCCRVCCMVCGCKCCRCSKCCRDQGEEEEEKEQDIPVKASGAFDNAAMSKECQDEGKGQVEVLSMKALSNTTVF
XP_006513473.1 1 484 0.119254132231405 PF07690.16:MFS_1:29:389 Monocarboxylate transporter 2; MCT 2; Solute carrier family 16 member 7 484 361 20 243 11 Mus musculus (Mouse) SwissProt::O70451 1 SwissProt MPSEPSAPLPQPLPPDGGWGWVVVCASFISIGFSYAFPKAVTVFFKDIQEIFNTTSSQIAWISSIMLAVMYAGGPISSVLVNNYGSRPVVIVGGLLCCIGMILASYSNSVIELYLTVGFIGGLGLAFNLQPALTIIGKYFYRRRPLANGCAMAGSPVFLSTLAPFNQYLFNNYGWKGSFLILGGIFLHSCVAGCLMRPVGPSPNTKKSKSKVGSRHDSTLKKASKVSTAQKVNRFLDFSLFMHRGFLIYLSGNVILFLGIFAPIIFLAQYAKHIGVDDYNSAFLLSVMAFIDMFARPSVGLIANTSLIRPRIQYLFSSAIIFTGICHLLCPLATTYSALVVYVVFFGLGFGSISSLLFECLMDIVGATRFSSAVGLTTIVECCPVLFGPPLAGKLLDITGEYKYLYIASGTVVLVSGTYLLIGNAINYRLLDKERKREKAKKKKSASHASREMEALNRSKQDEVTVKASNAHNPPSDRDKESNI
XP_006517220.1 155 315 0.318455279503106 PF03826.17:OAR:123:140 Pituitary homeobox 1; Hindlimb-expressed homeobox protein backfoot; Homeobox protein P-OTX; Homeobox protein PITX1; Paired-like homeodomain transcription factor 1; Pituitary OTX-related factor 315 18 20 161 0 Mus musculus (Mouse) SwissProt::P70314 1 SwissProt DLCKGGYVPQFSGLVQPYEDVYAAGYSYNNWAAKSLAPAPLSTKSFTFFNSMSPLSSQSMFSAPSSISSMTMPSSMGPGAVPGMPNSGLNNINNLTGSSLNSAMSPGACPYGTPASPYSVYRDTCNSSLASLRLKSKQHSSFGYGGLQGPASGLNACQYNS
XP_006532183.1 1 178 0.232683707865169 PF13671.6:AAA_33:52:156 2',3'-cyclic-nucleotide 3'-phosphodiesterase isoform X2 280 105 20 178 0 Mus musculus XP_006532183.1 1 RefSeq MNTSFTRKSHTFLPKLFFRKMSSSGAKEKPELQFPFLQDEDTVATLHECKTLFILRGLPGSGKSTLARLILEKYHDGTKMVSADAYKIIPGSRADFSEAYKRLDEDLAGYCRRDIRVLVLDDTNHERERLDQLFEMADQYQYQVVLVEPKTAWRLDCAQLKEKNQWQLSADDLKKLKP
XP_006533370.1 1 289 0.243101384083045 PF04790.13:Sarcoglycan_1:23:276 Delta-sarcoglycan; Delta-SG; 35 kDa dystrophin-associated glycoprotein; 35DAG 289 254 20 266 1 Mus musculus (Mouse) SwissProt::P82347 1 SwissProt MPQEQYSHHRSTMPSSEGPHIYKVGIYGWRKRCLYFFVLLLMILILVNLAMTIWILKVMNFTIDGMGNLRITEKGLKLEGDSEFLQPLYAKEIKSRPGNALYFKSARNVTVNILNDQTKVLTQLVTGPKAVEAYGKRFEVKTVSGKLLFSADDSEVVVGAERLRVLGAEGTVFPKSIETPNVRADPFKELRLESPTRSLVMEAPKGVEINAEAGNMEAICRSELRLESKDGEIKLDAAKIKLPRLPRGSYTPTGTRQKVFEVCVCANGRLFLSQAGTGSTCQINTSVCL
XP_006711367.3 104 1872 0.65662357263991 PF06409.11:NPIP:1058:1101 transport and Golgi organization protein 1 homolog isoform X1 1872 44 20 1769 0 Homo sapiens XP_006711367.3 1 RefSeq VVHEYTKEELQVPTDETDFVCFDGGRDDFHNYNVEELLGFLELYNSAATDSEKAVEKTLQDMEKNPELSKEREPEPEPVEANSEESDSVFSENTEDLQEQFTTQKHHSHANSQANHAQGEQASFESFEEMLQDKLKVPESENNKTSNSSQVSNEQDKIDAYKLLKKEMTLDLKTKFGSTADALVSDDETTRLVTSLEDDFDEELDTEYYAVGKEDEENQEDFDELPLLTFTDGEDMKTPAKSGVEKYPTDKEQNSNEEDKVQLTVPPGIKNDDKNILTTWGDTIFSIVTGGEETRDTMDLESSSSEEEKEDDDDALVPDSKQGKPQSATDYSDPDNVDDGLFIVDIPKTNNDKEVNAEHHIKGKGRGVQESKRGLVQDKTELEDENQEGMTVHSSVHSNNLNSMPAAEKGKDTLKSAYDDTENDLKGAAIHISKGMLHEEKPGEQILEGGSESESAQKAAGNQMNDRKIQQESLGSAPLMGDDHPNASRDSVEGDALVNGAKLHTLSVEHQREELKEELVLKTQNQPRFSSPDEIDLPRELEDEVPILGRNLPWQQERDVAATASKQMSEKIRLSEGEAKEDSLDEEFFHHKAMQGTEVGQTDQTDSTGGPAFLSKVEEDDYPSEELLEDENAINAKRSKEKNPGNQGRQFDVNLQVPDRAVLGTIHPDPEIEESKQETSMILDSEKTSETAAKGVNTGGREPNTMVEKERPLADKKAQRPFERSDFSDSIKIQTPELGEVFQNKDSDYLKNDNPEEHLKTSGLAGEPEGELSKEDHENTEKYMGTESQGSAAAEPEDDSFHWTPHTSVEPGHSDKREDLLIISSFFKEQQSLQRFQKYFNVHELEALLQEMSSKLKSAQQESLPYNMEKVLDKVFRASESQILSIAEKMLDTRVAENRDLGMNENNIFEEAAVLDDIQDLIYFVRYKHSTAEETATLVMAPPLEEGLGGAMEEMQPLHEDNFSREKTAELNVQVPEEPTHLDQRVIGDTHASEVSQKPNTEKDLDPGPVTTEDTPMDAIDANKQPETAAEEPASVTPLENAILLIYSFMFYLTKSLVATLPDDVQPGPDFYGLPWKPVFITAFLGIASFAIFLWRTVLVVKDRVYQVTEQQISEKLKTIMKENTELVQKLSNYEQKIKESKKHVQETRKQNMILSDEAIKYKDKIKTLEKNQEILDDTAKNLRVMLESEREQNVKNQDLLQQEIEDWSKLHAELSEQIKSFEKSQKDLEVALTHKDDNINALTNCITQLNLLECESESEGQNKGGNDSDELANGEVGGDRNEKMKNQIKQMMDVSRTQTAISVVEEDLKLLQLKLRASVSTKCNLEDQVKKLEDDRNSLQAAKAGLEDECKTLRQKVEILNELYQQKEMALQKKLSQEEYERQEREHRLSAADEKAVSAAEEVKTYKRRIEEMEDELQKTERSFKNQIATHEKKAHENWLKARAAERAIAEEKREAANLRHKLLELTQKMAMLQEEPVIVKPMPGKPNTQNPPRRGPLSQNGSFGPSPVSGGECSPPLTVEPPVRPLSATLNRRDMPRSEFGSVDGPLPHPRWSAEASGKPSPSDPGSGTATMMNSSSRGSSPTRVLDEGKQTVLQEPEVPSVPSITSLAERPVAVNMAPKGPPPFPGVPLMSTPMGGPVPPPIRYGPPPQLCGPFGPRPLPPPFGPGMRPPLGLREFAPGVPPGRRDLPLHPRGFLPGHAPFRPLGSLGPREYFIPGTRLPPPTHGPQEYPPPPAVRDLLPSGSRDEPPPASQSTSQDCSQALKQSP
XP_006713501.1 1 1727 0.287111291256514 deleted in lung and esophageal cancer protein 1 isoform X2 1727 0 20 1727 0 Homo sapiens XP_006713501.1 1 RefSeq METRSSKTRRSLASRTNECQGTMWAPTSPPAGSSSPSQPTWKSSLYSSLAYSEAFHYSFAARPRRLTQLALAQRPEPQLLRLRPSSLRTQDISHLLTGVFRNLYSAEVIGDEVSASLIKARGSENERHEEFVDQLQQIRELYKQRLDEFEMLERHITQAQARAIAENERVMSQAGVQDLESLVRLPPVKSVSRWCIDSELLRKHHLISPEDYYTDTVPFHSAPKGISLPGCSKLTFSCEKRSVQKKELNKKLEDSCRKKLAEFEDELDHTVDSLTWNLTPKAKERTREPLKKASQPRNKNWMNHLRVPQRELDRLLLARMESRNHFLKNPRFFPPNTRYGGKSLVFPPKKPAPIGEFQSTEPEQSCADTPVFLAKPPIGFFTDYEIGPVYEMVIALQNTTTTSRYLRVLPPSTPYFALGLGMFPGKGGMVAPGMTCQYIVQFFPDCLGDFDDFILVETQSAHTLLIPLQARRPPPVLTLSPVLDCGYCLIGGVKMTRFICKNVGFSVGRFCIMPKTSWPPLSFKAIATVGFVEQPPFGILPSVFELAPGHAILVEVLFSPKSLGKAEQTFIIMCDNCQIKELVTIGIGQLIALDLIYISGEKSQPDPGELTDLTAQHFIRFEPENLRSTARKQLIIRNATHVELAFYWQIMKPNLQPLMPGETFSMDSIKCYPDKETAFSIMPRKGVLSPHTDHEFILSFSPHELRDFHSVLQMVLEEVPEPVSSEAESLGHSSYSVDDVIVLEIEVKGSVEPFQVLLEPYALIIPGENYIGINVKKAFKMWNNSKSPIRYLWGKISDCHIIEVEPGTGVIEPSEVGDFELNFTGGVPGPTSQDLLCEIEDSPSPVVLHIEAVFKGPALIINVSALQFGLLRLGQKATNSIQIRNVSQLPATWRMKESPVSLQERPEDVSPFDIEPSSGQLHSLGECRVDITLEALHCQHLETVLELEVENGAWSYLPVYAEVQKPHVYLQSSQVEVRNLYLGVPTKTTITLINGTLLPTQFHWGKLLGHQAEFCMVTVSPKHGLLGPSEECQLKLELTAHTQEELTHLALPCHVSGMKKPLVLGISGKPQGLQVAITISKESSDCSVFSTEQWPGHPKELRLDFGSAVPLRTRVTRQLILTNRSPIRTRFSLKFEYFGSPQNSLSKKTSLPNMPPALLKTVRMQEHLAKREQLDFMESMLSHGKGAAFFPHFSQGMLGPYQQLCIDITGCANMWGEYWDNLICTVGDLLPEVIPVHMAAVGCPISSLRTTSYTIDQAQKEPAMRFGTQVSGGDTVTRTLRLNNSSPCDIRLDWETYVPEDKEDRLVELLVFYGPPFPLRDQAGNELVCPDTPEGGCLLWSPGPSSSSEFSHETDSSVEGSSSASNRVAQKLISVILQAHEGVPSGHLYCISPKQVVVPAGGSSTIYISFTPMVLSPEILHKVECTGYALGFMSLDSKVEREIPGKRHRLQDFAVGPLKLDLHSYVRPAQLSVELDYGGSMEFQCQASDLIPEQPCSGVLSELVTTHHLKLTNTTEIPHYFRLMVSRPFSVSQDGASQDHRAPGPGQKQECEEETASADKQLVLQAQENMLVVPLRAVVAVPELQLSTSWVDFGTCFVSQQRVREVYLMNLSGCRSYWTMLMGVVSCTSPRWWWKVCSVRSPAPCGSGAKAPMMRDTCCLTSPEAPPQPSAPGPSWRKNIAQGLGAALQHKDTDLGTWGPLGSSWNGRTPFHNGLSLGPHDMSSELT
XP_008760197.1 1 693 0.564596969696969 transcription factor Sp3 isoform X1 866 0 20 693 0 Rattus norvegicus XP_008760197.1 1 RefSeq MSAGKRGGTSGRAVTLFPPFGLEAPPFVFPAQSIKIGKKKIPGPLRPCPPPLPASSPAAAAFASHHVRERATLAASAAATAAHSQPGAATAGSRPRVRDRVSVEAESRTPLRLPSLPASQRPALQRQRRVPAGLGAGGPVRRERIPLCAGAGGGRACRDDDEEEAAAAAGVPAAAAGATGDLASAQLGGAPNRWEVLSATPTTIKDEAGNLVQIPGAATSSGQYVLPLQNLQNQQIFSVAPGSDSSNGTVSNVQYQVIPQIQSTDAQQVQIGFTGSSDNGGINQESSQIQIIPGSNQTLLASGTPPANIQNLIPQTGQVQVQGVAIGGSSFPGQTQVVANVPLGLPGNITFVPINSVDLDSLGLSGSSQTMTAGINADGHLINTGQAMDSSDNSERTGERVSPDVNETNADTDLFVPTSSSSQLPVTIDSTGILQQNTNSLTTTSGQVHSSDLQGNYIQSPVSEETQAQNIQVSTAQPVVQHLQLQDSQQPTSQAQIVQGITPQTIHGVQASGQNISQQALQNLQLQLNPGTFLIQAQTVTPSGQITWQTFQVQGVQNLQNLQIQNTAAQQITLTPVQTLTLGQVAAGGALTSTPVSLSTGQLPNLQTVTVNSIDSTGIQLHPGENADSPADIRIKEEEPDPEEWQLSGDSTLNTNDLTHLRVQVVDEEGDQQHQEGKRLRRVACTCPNCKEG
XP_008765786.1 1 146 0.289748630136986 PF03185.15:CaKB:8:126 calcium-activated potassium channel subunit beta-1 isoform X2 146 119 20 123 1 Rattus norvegicus XP_008765786.1 1 RefSeq MGKKLVMAQKRGETRALCLGVAMVVCAAITYYILGTTVLPLYQKSVWTQESTCHLVETNIKDQEELEGRKVPQYPCLWVNVSAVGRWAMLYHTEDTRDQNQQCSYIPRNLDNYQTALVDVKKLRSRPISSAQQHGVTRNGRGPGQA
XP_008770838.1 1 739 0.484813937753721 disrupted in schizophrenia 1 homolog isoform X1 824 0 20 739 0 Rattus norvegicus XP_008770838.1 1 RefSeq MQGAGSRGAWIHSPSHCPGNGHGSPPAVAPQRRRLTRRPGYMRSTASPGIGFLSPAVGMPRPISAGLTGQEFYPSQSKARQCSLDLRSHCQDSLVGNPFLKGSLGPAVTSVGHLHPAQGSMRERMVHSGVHSGNDRRQSERLTGDSGCRQEFLSSDSSKSLASSLDVAWSKGSRGLKTVRPLVSPASNGPVDIPSLPGFQDTFTSNFSFIRLSLGAAGERGEAEGCLPSREAEPLHQSPQEMAAEGSGSDRPHGEPRHLWTFSLHAAPGLVDLAQGTRSNRQPECGMVSSSDAGFSSQDASPAGGRSDQDGGWADAHGWHALLREWEPMLQDYLLSNRRQLEVTSLILKLQKLQEKAVEDGDYDMAETLRQRLEDLEQEKGRLPWALPSQQPALRSFLGYLATQTHAALHGAPQRAGSDDPEAPLEGQRRTTAQDSLPGLAVTRRDWLMREKEQLQKEIEALRARVSVLEAKEQRLSQELEDQEMLLRWQGCDQMALVAQLSPGQLQEVSKALGETLTSARWAPFRVEPPETLRSLRERTKSLDLAVRELTEQVCSGEKLCSSLRKRLADLDTRLPALLEAKMLALSGSCFSTAKELAEEIWAVSSEREGLEMFLGRLLALSSRNTRRLGSVKEDYLRCRQDLALQEAAHKTRVKANTVKCTEVLEGQLSCCRCPLLERVWKADLEACQLLMQSLEIQEAGSSSHVEDEKQVHSTGEAAQTAALAVPRTPHPEEEKSPL
XP_011508407.1 163 329 0.554906586826348 LIM/homeobox protein Lhx4 isoform X1 329 0 20 167 0 Homo sapiens XP_011508407.1 1 RefSeq WGQFYKSVKRSRGSSKQEKESSAEDCGVSDSELSFREDQILSELGHTNRIYGNVGDVTGGQLMNGSFSMDGTGQSYQDLRDGSPYGIPQSPSSISSLPSHAPLLNGLDYTVDSNLGIIAHAGQGVSQTLRAMAGGPTSDISTGSSVGYPDFPTSPGSWLDEMDHPPF
XP_011509125.1 1 1024 0.34114599609375 PF13890.6:Rab3-GTPase_cat:614:768 rab3 GTPase-activating protein catalytic subunit isoform X1 1024 155 20 1024 0 Homo sapiens XP_011509125.1 1 RefSeq MAADSEPESEVFEITDFTTASEWERFISKVEEVLNDWKLIGNSLGKPLEKGIFTSGTWEEKSDEISFADFKFSVTHHYLVQESTDKEGKDELLEDVVPQSMQDLLGMNNDFPPRAHCLVRWYGLREFVVIAPAAHSDAVLSESKCNLLLSSVSIALGNTGCQVPLFVQIHHKWRRMYVGECQGPGVRTDFEMVHLRKVPNQYTHLSGLLDIFKSKIGCPLTPLPPVSIAIRFTYVLQDWQQYFWPQQPPDIDALVGGEVGGLEFGKLPFGACEDPISELHLATTWPHLTEGIIVDNDVYSDLDPIQAPHWSVRVRKAENPQCLLGDFVTEFFKICRRKESTDEILGRSAFEEEGKETADITHALSKLTEPASVPIHKLSVSNMVHTAKKKIRKHRGVEESPLNNDVLNTILLFLFPDAVSEKPLDGTTSTDNNNPPSESEDYNLYNQFKSAPSDSLTYKLALCLCMINFYHGGLKGVAHLWQEFVLEMRFRWENNFLIPGLASGPPDLRCCLLHQKLQMLNCCIERKKARDEGKKTSASDVTNIYPGDAGKAGDQLVPDNLKETDKEKGEVGKSWDSWSDSEEEFFECLSDTEELKGNGQESGKKGGPKEMANLRPEGRLYQHGKLTLLHNGEPLYIPVTQEPAPMTEDLLEEQSEVLAKLGTSAEGAHLRARMQSACLLSDMESFKAANPGCSLEDFVRWYSPRDYIEEEVIDEKGNVVLKGELSARMKIPSNMWVEAWETAKPIPARRQRRLFDDTREAEKVLHYLAIQKPADLARHLLPCVIHAAVLKVKEEESLENISSVKKIIKQIISHSSKVLHFPNPEDKKLEEIIHQITNVEALIARARSLKAKFGTEKCEQEEEKEDLERFVSCLLEQPEVLVTGAGRGHAGRIIHKLFVNAQRAAAMTPPEEELKRMGSPEERRQNSVSDFPPPAGREFILRTTVPRPAPYSKALPQRMYSVLTKEDFRLAAPQVCPGRQLLPGAGIEANLQEKPTHSRCVEAAGRNPARIQLGCNGNNATNNP
XP_011512581.1 117 612 0.211253629032258 PF00754.25:F5_F8_type_C:176:286,PF00754.25:F5_F8_type_C:327:434,PF07707.15:BACK:27:123 BTB/POZ domain-containing protein 9 612 316 20 496 0 Homo sapiens (Human) SwissProt::Q96Q07 1 SwissProt AHKYGFPELEDSTSEYLCTILNIQNVCMTFDVASLYSLPKLTCMCCMFMDRNAQEVLSSEGFLSLSKTALLNIVLRDSFAAPEKDIFLALLNWCKHNSKENHAEIMQAVRLPLMSLTELLNVVRPSGLLSPDAILDAIKVRSESRDMDLNYRGMLIPEENIATMKYGAQVVKGELKSALLDGDTQNYDLDHGFSRHPIDDDCRSGIEIKLGQPSIINHIRILLWDRDSRSYSYFIEVSMDELDWVRVIDHSQYLCRSWQKLYFPARVCRYIRIVGTHNTVNKIFHIVAFECMFTNKTFTLEKGLIVPMENVATIADCASVIEGVSRSRNALLNGDTKNYDWDSGYTCHQLGSGAIVVQLAQPYMIGSIRLLLWDCDDRSYSYYVEVSTNQQQWTMVADRTKVSCKSWQSVTFERQPASFIRIVGTHNTANEVFHCVHFECPEQQSSQKEENSEESGTGDTSLAGQQLDSHALRAPSGSSLPSSPGSNSRSPNRQHQ
XP_011520120.1 1 297 0.579375757575758 cell death regulator Aven isoform X1 297 0 20 297 0 Homo sapiens XP_011520120.1 1 RefSeq MKWTHILSSMESSSTLYPSDHFIKVEDDSDAETYGEENDEQGNYSKRKIVSNWDRYQDIEKEVNNESGESQRGTDFSVLLSSAGDSFSQFRFAEEKEWDSEASCPKQNSAFYVDSELLVRALQELPLCLRLNVAAELVQGTVPLEVPQVKPKRTDDGKGLGMQLKGPLGPGGRGPIFELKSVAAGCPVLLGKDNPSPGPSRDSQKPTSPLQSAGDHLEEELDLLLNLDAPIKEGDNILPDQTSQDLKSKEDGEVVQEEEVCAKPSVTEEKNMEPEQPSTSKNVTEEELEDWLDSMIS
XP_011530003.1 1 365 0.145473424657534 PF14360.6:PAP2_C:221:293,PF01569.21:PAP2:223:298 Phosphatidylcholine:ceramide cholinephosphotransferase 2; Sphingomyelin synthase 2; EC 2.7.8.27 365 78 20 232 6 Homo sapiens (Human) SwissProt::Q8NHU3 1 SwissProt MDIIETAKLEEHLENQPSDPTNTYARPAEPVEEENKNGNGKPKSLSSGLRKGTKKYPDYIQIAMPTESRNKFPLEWWKTGIAFIYAVFNLVLTTVMITVVHERVPPKELSPPLPDKFFDYIDRVKWAFSVSEINGIILVGLWITQWLFLRYKSIVGRRFCFIIGTLYLYRCITMYVTTLPVPGMHFQCAPKLNGDSQAKVQRILRLISGGGLSITGSHILCGDFLFSGHTVTLTLTYLFIKEYSPRHFWWYHLICWLLSAAGIICILVAHEHYTIDVIIAYYITTRLFWWYHSMANEKNLKVSSQTNFLSRAWWFPIFYFFEKNVQGSIPCCFSWPLSWPPGCFKSSCKKYSRVQKIGEDNEKST
XP_011540439.1 1 257 0.253829961089494 PF12483.8:GIDE:59:212 mitochondrial ubiquitin ligase activator of NFKB 1 isoform X1 313 154 20 234 1 Homo sapiens XP_011540439.1 1 RefSeq MGAKKVHLGEDLKSILSEAPGKCVPYAVIEGAVRSVKETLNSQFVENCKGVIQRLTLQEHKMVWNRTTHLWNDCSKIIHQRTNTVPFDLVPHEDGVDVAVRVLKPLDSVDLGLETVYEKFHPSIQSFTDVIGHYISGERPKGIQETEEMLKVGATLTGVGELVLDNNSVRLQPPKQGMQYYLSSQDFDSLLQRQESSVRLWKVLALVFGFATCATLFFILRKQYLQRQERLRLKQMQEEFQEHEAQLLSRAKPEDRE
XP_011541156.1 1 1436 0.559656197771588 PF15712.5:NPAT_C:758:1436 protein NPAT isoform X1 1436 679 20 1436 0 Homo sapiens XP_011541156.1 1 RefSeq MLLPSDVARLVLGYLQQENLISTCQTFILESSDLKEYAEHCTDEGFIPACLLSLFGKNLTTILNEYVAMKTKETSNNVPAIMSSLWKKLDHTLSQIRSMQSSPRFAGSQRARTRTGIAEIKRQRKLASQTAPASAELLTLPYLSGQFTTPPSTGTQVTRPSGQISDPSRSYFVVVNHSQSQDTVTTGEALNVIPGAQEKKAHASLMSPGRRKSESQRKSTTLSGPHSTIRNFQDPNAFAVEKQMVIENAREKILSNKSLQEKLAENINKFLTSDNNIAQVPKQTDNNPTEPETSIDEFLGLPSEIHMSEEAIQDILEQTESDPAFQALFDLFDYGKTKNNKNISQSISSQPMESNPSIVLADETNLAVKGSFETEESDGQSGQPAFCTSYQNDDPLNALKNSNNHDVLRQEDQENFSQISTSIQKKAFKTAVPTEQKCDIDITFESVPNLNDFNQRGNSNAECNPHCAELYTNQMSTETEMAIGIEKNSLSSNVPSESQLQPDQPDIPITSFVSLGCEANNENLILSGKSSQLLSQDTSLTGKPSKKSQFCENSNDTVKLKINFHGSKSSDSSEVHKSKIEINVLEPVMSQLSNCQDNSCLQSEILPVSVESSHLNVSGQVEIHLGDSLSSTKQPSNDSASVELNHTENEAQASKSENSQEPSSSVKEENTIFLSLGGNANCEKVALTPPEGTPVENSHSLPPESVCSSVGDSHPESQNTDDKPSSNNSAEIDASNIVSLKVIISDDPFVSSDTELTSAVSSINGENLPTIILSSPTKSPTKNAELVKCLSSEETVGAVVYAEVGDSASMEQSLLTFKSEDSAVNNTQNEDGIAFSANVTPCVSKDGGYIQLMPATSTAFGNSNNILIATCVTDPTALGTSVSQSNVVVLPGNSAPMTAQPLPPQLQTPPRSNSVFAVNQAVSPNFSQGSAIIIASPVQPVLQGMVGMIPVSVVGQNGNNFSTPPRQVLHMPLTAPVCNRSIPQFPVPPKSQKAQGLRNKPCIGKQVNNLVDSSGHSVGCHAQKNSFVLFCFRTEVSDKSIATDLGKKSEETTVPFPEESIVPAAKPCHRRVLCFDSTTAPVANTQGPNHKMVSQNKERNAVSFPNLDSPNVSSTLKPPSNNAIKREKEKPPLPKILSKSESAISRHTTIRETQSEKKVSPTEIVLESFHKATANKENELCSDVERQKNPENSKLSIGQQNGGLRSEKSIASLQEMTKKQGTSSNNKNVLSVGTAVKDLKQEQTKSASSLITTEMLQDIQRHSSVSRLADSSDLPVPRTPGSGAGEKHKEEPIDIIKAPSSRRFSEDSSTSKVMVPPVTPDLPACSPASETGSENSVNMAAHTLMILSRAAISRTTSATPLKDNTQQFRASSRSTTKKRKIEELDERERNSRPSSKNLTNSSIPMKKKKIKKKKLPSSFPAGMDVDKFLLSLHYDE
XP_011541444.1 1 732 0.26813606557377 PF00041.21:fn3:124:208,PF00041.21:fn3:428:501,PF09240.10:IL6Ra-bind:27:118 Interleukin-31 receptor subunit alpha; IL-31 receptor subunit alpha; IL-31R subunit alpha; IL-31R-alpha; IL-31RA; Cytokine receptor-like 3; GLM-R; hGLM-R; Gp130-like monocyte receptor; Gp130-like receptor; ZcytoR17 732 251 20 709 1 Homo sapiens (Human) SwissProt::Q8NI17 1 SwissProt MMWTWALWMLPSLCKFSLAALPAKPENISCVYYYRKNLTCTWSPGKETSYTQYTVKRTYAFGEKHDNCTTNSSTSENRASCSFFLPRITIPDNYTIEVEAENGDGVIKSHMTYWRLENIAKTEPPKIFRVKPVLGIKRMIQIEWIKPELAPVSSDLKYTLRFRTVNSTSWMEVNFAKNRKDKNQTYNLTGLQPFTEYVIALRCAVKESKFWSDWSQEKMGMTEEEAPCGLELWRVLKPAEADGRRPVRLLWKKARGAPVLEKTLGYNIWYYPESNTNLTETMNTTNQQLELHLGGESFWVSMISYNSLGKSPVATLRIPAIQEKSFQCIEVMQACVAEDQLVVKWQSSALDVNTWMIEWFPDVDSEPTTLSWESVSQATNWTIQQDKLKPFWCYNISVYPMLHDKVGEPYSIQAYAKEGVPSEGPETKVENIGVKTVTITWKEIPKSERKGIICNYTIFYQAEGGKGFSKTVNSSILQYGLESLKRKTSYIVQVMASTSAGGTNGTSINFKTLSFSVFEIILITSLIGGGLLILIILTVAYGLKKPNKLTHLCWPTVPNPAESSIATWHGDDFKDKLNLKESDDSVNTEDRILKPCSTPSDKLVIDKLVVNFGNVLQEIFTDEARTGQENNLGGEKNGYVTCPFRPDCPLGKSFEELPVSPEIPPRKSQYLRSRMPEGTRPEAKEQLLFSGQSLVPDHLCEEGAPNPYLKNSVTAREFLVSEKLPEHTKGEV
XP_011543045.1 1 439 0.620364464692483 PF09666.10:Sororin:89:214 sororin isoform X3 439 126 20 439 0 Homo sapiens XP_011543045.1 1 RefSeq MSGRRTRSGGAAQRSGPRAPSPTKPLRRSQRKSGSELPSILPEIWPKTPSAAAVRKPIVLKRIVAHAVEVPAVQSPRRSPRISFFLEKENEPPGRELTKEDLFKTHSVPATPTSTPVPNPEAESSSKEGELDARDLEMSKKVRRSYSRLETLGSASTSTPGRRSCFGFEGLLGAEDLSGVSPVVCSKLTEVPRVCAKPWAPDMTLPGISPPPEKQKRKKKKMPEILSLRGRQRAHSKLANKPVVQPVEEQEPKGPQASEERNLEPPSSEQLEPTVTFLFTLLNTSEPTEPKDPESDSEIQEYQFLDQEDWGPQRTSKEIRQLQNDCMRLRESLNTTQVHNLALGEKLQNLPTLLYKSLKEGAQAIQEEAQAIQDEVKALQEESQALPEVALLRETTAKRMEIQGCLSVFTTWCPGGHVTLQAHPGARVQAQKKQKVSQK
XP_016859035.1 1 196 0.328226020408163 PF00581.20:Rhodanese:18:130 dual specificity protein phosphatase 2 isoform X1 342 113 20 196 0 Homo sapiens XP_016859035.1 1 RefSeq MGLEAARELECAALGTLLRDPREAERTLLLDCRPFLAFCRRHVRAARPVPWNALLRRRARGPPAAVLACLLPDRALRTRLVRGELARAVVLDEGSASVAELRPDSPAHVLLAALLHETRAGPTAVYFLRGEQAGRPWPAPPDSSPAFPADRALPLLAGGFDGFQGCCPDLCSEAPAPALPPTGDKTSRSDSRAPVY
XP_016866267.1 1 486 0.430637242798354 patatin-like phospholipase domain-containing protein 1 isoform X4 486 0 20 486 0 Homo sapiens XP_016866267.1 1 RefSeq MVQMMRQFLYRVLPEDSYKVTTGKLHVSLTRLTDGENVVVSEFTSKEELIEALYCSCFVPVYCGLIPPTYRGVWAFLTLPPQRYIDGGFTGMQPCAFWTDAITISTFSGQQDICPRDCPAIFHDFRMFNCSFQFSLENIARMTHALFPPDLVILHDYYYRGYEDAVLYLRRLNAVYLNSSSKRVIFPRVEVYCQIELALGNECPERSQPSLRARQASLEGATQPHKEWVPKGDGRGSHGPPVSQPVQTLEFTCESPVSAPVSPLEQPPAQPLASSTPLSLSGMPPVSFPAVHKPPSSTPGSSLPTPPPGLSPLSPQQQVQPSGSPARSLHSQAPTSPRPSLGPSTVGAPQTLPRSSLSAFPAQPPVEELGQEQPQAVALLVSSKPKSAVPLVHVKETVSKPYVTESPAEDSNWVNKVFKKNKQKTSGTRKGFPRHSGSKKPSSKVQSAPCPLNFPLLSTSETVWVTYRPHPSRIQECCPEVWNSLG
XP_016870295.1 1 482 0.155159336099585 PF12036.8:DUF3522:232:367 transmembrane protein 8B isoform X3 482 136 20 370 5 Homo sapiens XP_016870295.1 1 RefSeq MNMPQSLGNQPLPPEPPSLGTPAEGPGTTSPPEHCWPVRPTLRNELDTFSVHFYIFFGPSVALPPERPAVFAMRLLPVLDSGGVLSLELQLNASSVRQENVTVFGCLTHEVPLSLGDAAVTCSKESLAGFLLSVSATTRVARLRIPFPQTGTWFLALRSLCGVGPRFVRCRNATAEVRMRTFLSPCVDDCGPYGQCKLLRTHNYLYAACECKAGWRGWGCTDSADALTYGFQLLSTLLLCLSNLMFLPPVVLAIRSRYVLEAAVYTFTMFFSTFYHACDQPGIVVFCIMDYDVLQFCDFLGSLMSVWVTVIAMARLQPVVKQVLYLLGAMLLSMALQLDRHGLWNLLGPSLFALGILATAWYAASAAGTATHPRGAAGFSTCALAALLQAVPSCFMLLWRPGTTTSTFTAFGICSLRAVWASCCPLVPRLTTGSHLEPGPGAVVTSYASTSRRSWASWAQEGPLSAASVPAERGFGPGPEGI
XP_016870841.1 1 303 0.335475907590759 PF00643.24:zf-B_box:22:58 Tripartite motif-containing protein 14 442 37 20 303 0 Homo sapiens (Human) SwissProt::Q14142 1 SwissProt MAGAATGSRTPGRSELVEGCGWRCPEHGDRVAELFCRRCRRCVCALCPVLGAHRGHPVGLALEAAVHVQKLSQECLKQLAIKKQQHIDNITQIEDATEKLKANAESSKTWLKGKFTELRLLLDEEEALAKKFIDKNTQLTLQVYREQADSCREQLDIMNDLSNRVWSISQEPDPVQRLQAYTATEQEMQQQMSLGELCHPVPLSFEPVKSFFKGLVEAVESTLQTPLDIRLKESINCQLSDPSSTKPGTLLKTSPSPERSLLLKYARTPTLDPDTMHARLRLSADRLTVRCGLLGSLGPVPVL
XP_016871592.1 565 1172 0.714390953947367 RNA-binding protein 20 isoform X1 1172 0 20 608 0 Homo sapiens XP_016871592.1 1 RefSeq DMFREADRYGPERPRSRSPVSRSLSPRSHTPSFTSCSSSHSPPGPSRADWGNGRDSWEHSPYARREEERDPAPWRDNGDDKRDRMDPWAHDRKHHPRQLDKAELDERPEGGRPHREKYPRSGSPNLPHSVSSYKSREDGYYRKEPKAKSDKYLKQQQDAPGRSRRKDEARLRESRHPHPDDSGKEDGLGPKVTRAPEGAKAKQNEKNKTKRTDRDQEGADDRKENTMAENEAGKEEQEGMEESPQSVGRQEKEAEFSDPENTRTKKEQDWESESEAEGESWYPTNMEELVTVDEVGEEEDFIVEPDIPELEEIVPIDQKDKICPETCLCVTTTLDLDLAQDFPKEGVKAVGNGAAEISLKSPRELPSASTSCPSDMDVEMPGLNLDAERKPAESETGLSLEDSDCYEKEAKGVESSDVHPAPTVQQMSSPKPAEERARQPSPFVDDCKTRGTPEDGACEGSPLEEKASPPIETDLQNQACQEVLTPENSRYVEMKSLEVRSPEYTEVELKQPLSLPSWEPEDVFSELSIPLGVEFVVPRTGFYCKLCGLFYTSEETAKMSHCRSAVHYRNLQKYLSQLAEEGLKETEGADSPRPEDSGIVPRFERKKL
XP_016885236.1 1 146 0.392537671232877 X antigen family member 1 isoform X2 146 0 20 146 0 Homo sapiens XP_016885236.1 1 RefSeq MLLWCPPQCACSLGVFPSAPSPVWGTRRSCEPATRVPEVWILSPLLRHGGHTQTQNHTASPRSPVMESPKKKNQQLKVGILHLGSRQKKIRIQLRSQCATWKVICKSCISQTPGINLDLGSGVKVKIIPKEEHCKMPEAGEEQPQV
XP_017168388.1 1 548 0.46991003649635 PF10523.9:BEN:259:326 Protein BANP; Btg3-associated nuclear protein; Scaffold/matrix-associated region-1-binding protein 548 68 20 548 0 Mus musculus (Mouse) SwissProt::Q8VBU8 1 SwissProt MMSEQDLADVVQIAVEDLSPDHPVVLENHVVTDDDEPALKRQRLEINCQDPSIKSFLYSINQTICLRLDSIEAKLQALEATCKSLEEKLDLVTNKQHSPIQVPMVAGSPLGATQTCNKVRCVVPQTTVILNNDRQNAIVAKMEDPLSNRAPDSLENIISNAVPGRRQNTIVVKVPGQDDSHNEDGESGSEASDSVSNCGQPGSQNIGSNVTLITLNSEEDYPNGTWLGDENNPEMRVRCAIIPSDMLHISTNCRTAEKMALTLLDYLFHREVQAVSNLSGQGKHGKKQLDPLTIYGIRCHLFYKFGITESDWYRIKQSIDSKCRTAWRRKQRGQSLAVKSFSRRTPSSSSYSASETMMGTPPPTSELQQSQPQALHYALANAQQVQIHQIGEDGQVQVIPQGHLHIAQVPQGEQVQITQDSEGNLQIHHVGQDGQSWGLCQNPIPVSGDSVAQANPSQLWPLGGDTLDLPAGNEMIQVLQGAQLIAVASSDPAATGVDGSPLQGSDIQVQYVQLAPVSDHTAAAQTAEALQPTLQPDMQLEHGAIQIQ
XP_017444311.1 1 323 0.464914860681114 lutropin subunit beta isoform X1 323 0 20 323 0 Rattus norvegicus XP_017444311.1 1 RefSeq MAGRTLALRYGPPWSPISETEVLGAWPNWHLTSSGVAHHRIPLAPFPPPTLQSTVKEPLPAASKQDPHIWAFDEVISRWETTSGSAHTPKWGSGPCAQPKAPEHEDPRRTLGIKSLAEKLRRHEGLGVPLTTKYQISETKAQYKDWPDLDQSDPLLAEPLSLELTDHHRGGPSQALIPWTRNPKLAGQPFTVNKMGVLDRLQPYMTTSARDFSRKLSGYPYQKSEICQSWPKKASRRELKSLPSVRAARAGPSQPIVPYLGALPLTRESYGPPMHPFCRMDRICLVDAPWGGLHRNPVPGIYSVPKAYCTENSRYGSARRELV
XP_714462.1 1 533 0.411991744840525 PF13928.6:Flocculin_t3:225:268,PF13928.6:Flocculin_t3:276:319,PF13928.6:Flocculin_t3:327:368 Yeast-form wall Protein 1; GPI-anchored protein 24; Thiol-extractable peptide 1; flocculation protein 1 533 130 20 533 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59Y31 1 SwissProt MKVSTIFAAASALFAATTTLAQDVACLVDNQQVAVVDLDTGVCPFTIPASLAAFFTFVSLEEYNVQFYYTIVNNVRYNTDIRNAGKVINVPARNLYGAGAVPFFQVHLEKQLEANSTAAIRRRLMGETPIVKRDQIDDFIASIENTEGTALEGSTLEVVDYVPGSSSASPSGSASPSGSESGSGSDSATIRSTTVVSSSSCESSGDSAATATGANGESTVTEQNTVVVTITSCHNDACHATTVPATASIGVTTVHGTETIFTTYCPLSSYETVESTKVITITSCSENKCQETTVEATPSTATTVSEGVVTEYVTYCPVSSVETVASTKVITVVACDEHKCHETTAVATPTEVTTVVEGSTTHYVTYKPTGSGPTQGETYATNAITSEGTVYVPKTTAVTTHGSTFETVAYITVTKATPTKGGEQHQPGSPAGAATSAPGAPAPGASGAHASTANKVTVEAQATPGTLTPENTVAGGVNGEQVAVSAKTTISQTTVAKASGSGKAAISTFEGAAAASAGASVLALALIPLAYFI
YP_002344954.1 1 923 0.0905275189599134 PF02913.19:FAD-oxidase_C:268:510,PF01565.23:FAD_binding_4:40:177,PF12838.7:Fer4_7:536:610,PF13534.6:Fer4_17:537:610 oxidoreductase 923 456 20 923 0 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002344954.1 1 RefSeq MANFKAFYKEAKYIFKDRIFNDYARCYAYGIDASCYFYIPKIVIIAKNEDEIKQIIQLANTYKTPITFRAAGTSLSGQSSCDGVLVVIKFAFKKIKINKDANEITLGCGVVGIHANESLAFLKKKIGPDPATINSALIGGIINNNSSGMCCGTKDNSYKTLRSIRVILANGSMLDTSDALSVARFKDENKKLINELREIKEEINANKELKDLIIKKFKIKNTTGYSLNAFVDYDDEIDILAHLLIGSEGTLGFVSEVKLAVLDDLEFKACALLFFDNINNAANTIKEFAKVDFVSSAEIMDYASLKAASTYDELRDILADIKEGNTCVLIQSEHSNELKLDENINKIKEISKLAYKSYFSKNKAEYDLWWKIRKALLPIAASLRKAGSTVITEDVCFNIEDLADGIKSIQELFYKYGFGDNGIIFGHALAGNIHFIITPDLNNKLEFDNFSNLVKEMSNIVASYGGSIKAEHGTGRMVAPFVEVEWGKQAYLINKKIKSIFDKDSLFNPDVIISDDKDIYKKNIKQVSWIDEKLNTCMECGFCERFCPSNEYTITPRQRIAILREIKRLESLNDDESKAKLKDIKKYYNHLVDSSCAACGVCSFSCPLGINFADFSLKYRKNNIGFISKILGNLAYKNHEKTLKIAKFSLSIANKFDNLSLDNKLEKASNFLSIIPRTRAYLPKVNDYELKSHKRVCNVVYFTSCLNKSFKPNEKMHDKRSLQEVFESLCKKANIGIIYAPNDLCCGKAYENFQDIQDKNIQKINDFLSNIDSPIVLDHSACSAKLISDHSKYEIYDLSEYLLKFIAPKLRIDKINENVGLYIMCAARKLGLNENIIKLTKLCTNGKVLIDNDTYCCGFAGYKGFFKPQLNISATKGFKKFYAKTNIKRGFSTSSTCEIGLSDATGISWQHIAYLLDECSEAI
YP_178005.1 276 699 0.349362735849057 PF00905.22:Transpeptidase:89:345 bifunctional penicillin-insensitive transglycosylase/penicillin-sensitive transpeptidase 810 257 20 424 0 Mycobacterium tuberculosis H37Rv YP_178005.1 1 RefSeq GVLPQPNELPRGCIAAGDRAFFCDYVQEYLSRAGISKEQVATGGYLIRTTLDPEVQAPVKAAIDKYASPNLAGISSVMSVIKPGKDAHKVLAMASNRKYGLDLEAGETMRPQPFSLVGDGAGSIFKIFTTAAALDMGMGINAQLDVPPRFQAKGLGSGGAKGCPKETWCVVNAGNYRGSMNVTDALATSPNTAFAKLISQVGVGRAVDMAIKLGLRSYANPGTARDYNPDSNESLADFVKRQNLGSFTLGPIELNALELSNVAATLASGGVWCPPNPIDQLIDRNGNEVAVTTETCDQVVPAGLANTLANAMSKDAVGSGTAAGSAGAAGWDLPMSGKTGTTEAHRSAGFVGFTNRYAAANYIYDDSSSPTDLCSGPLRHCGSGDLYGGNEPSRTWFAAMKPIANNFGEVQLPPTDPRYVDGAP
CAZy::AAD33514.1 1 361 0.194110249307479 PF00852.19:Glyco_transf_10:189:360,PF17039.5:Glyco_tran_10_N:62:170 3-galactosyl-N-acetylglucosaminide 4-alpha-L-fucosyltransferase (EC 2.4.1.65) 361 281 19 361 0 Homo sapiens BRENDA::P21217 1 BRENDA MDPLGAAKPQWPWRRCLAALLFQLLVAVCFFSYLRVSRDDATGSPRAPSGSSRQDTTPTRPTLLILLWTWPFHIPVALSRCSEMVPGTADCHITADRKVYPQADTVIVHHWDIMSNPKSRLPPSPRPQGQRWIWFNLEPPPNCQHLEALDRYFNLTMSYRSDSDIFTPYGWLEPWSGQPAHPPLNLSAKTELVAWAVSNWKPDSARVRYYQSLQAHLKVDVYGRSHKPLPKGTMMETLSRYKFYLAFENSLHPDYITEKLWRNALEAWAVPVVLGPSRSNYERFLPPDAFIHVDDFQSPKDLARYLQELDKDHARYLSYFRWRETLRPRSFSWALDFCKACWKLQQESRYQTVRSIAAWFT
NP_000283.1 1 1235 0.225888663967611 PF00723.21:Glyco_hydro_15:8:919 Phosphorylase b kinase regulatory subunit alpha, liver isoform; Phosphorylase kinase alpha L subunit 1235 912 19 1235 0 Homo sapiens (Human) SwissProt::P46019 1 SwissProt MRSRSNSGVRLDGYARLVQQTILCYQNPVTGLLSASHEQKDAWVRDNIYSILAVWGLGMAYRKNADRDEDKAKAYELEQNVVKLMRGLLQCMMRQVAKVEKFKHTQSTKDSLHAKYNTATCGTVVGDDQWGHLQVDATSLFLLFLAQMTASGLRIIFTLDEVAFIQNLVFYIEAAYKVADYGMWERGDKTNQGIPELNASSVGMAKAALEAIDELDLFGAHGGRKSVIHVLPDEVEHCQSILFSMLPRASTSKEIDAGLLSIISFPAFAVEDVNLVNVTKNEIISKLQGRYGCCRFLRDGYKTPREDPNRLHYDPAELKLFENIECEWPVFWTYFIIDGVFSGDAVQVQEYREALEGILIRGKNGIRLVPELYAVPPNKVDEEYKNPHTVDRVPMGKVPHLWGQSLYILSSLLAEGFLAAGEIDPLNRRFSTSVKPDVVVQVTVLAENNHIKDLLRKHGVNVQSIADIHPIQVQPGRILSHIYAKLGRNKNMNLSGRPYRHIGVLGTSKLYVIRNQIFTFTPQFTDQHHFYLALDNEMIVEMLRIELAYLCTCWRMTGRPTLTFPISRTMLTNDGSDIHSAVLSTIRKLEDGYFGGARVKLGNLSEFLTTSFYTYLTFLDPDCDEKLFDNASEGTFSPDSDSDLVGYLEDTCNQESQDELDHYINHLLQSTSLRSYLPPLCKNTEDRHVFSAIHSTRDILSVMAKAKGLEVPFVPMTLPTKVLSAHRKSLNLVDSPQPLLEKVPESDFQWPRDDHGDVDCEKLVEQLKDCSNLQDQADILYILYVIKGPSWDTNLSGQHGVTVQNLLGELYGKAGLNQEWGLIRYISGLLRKKVEVLAEACTDLLSHQKQLTVGLPPEPREKIISAPLPPEELTKLIYEASGQDISIAVLTQEIVVYLAMYVRAQPSLFVEMLRLRIGLIIQVMATELARSLNCSGEEASESLMNLSPFDMKNLLHHILSGKEFGVERSVRPIHSSTSSPTISIHEVGHTGVTKTERSGINRLRSEMKQMTRRFSADEQFFSVGQAASSSAHSSKSARSSTPSSPTGTSSSDSGGHHIGWGERQGQWLRRRRLDGAINRVPVGFYQRVWKILQKCHGLSIDGYVLPSSTTREMTPHEIKFAVHVESVLNRVPQPEYRQLLVEAIMVLTLLSDTEMTSIGGIIHVDQIVQMASQLFLQDQVSIGAMDTLEKDQATGICHFFYDSAPSGAYGTMTYLTRAVASYLQELLPNSGCQMQ
NP_001025007.1 255 561 0.590226058631921 PF00629.23:MAM:168:307 Nephronectin; Preosteoblast EGF-like repeat protein with MAM domain 561 140 19 307 0 Mus musculus (Mouse) SwissProt::Q91V88 1 SwissProt YIPKVMIEPSGPIHMPERNGTISKGDGGHANRIPDAGSTRWPLKTPYIPPVITNRPTSKPTTRPTPNPTPQPTPPPPPPLPTEPRTTPLPPTPERPSTRPTTIAPATSTTTRVITVDNRIQTDPQKPRGDVFIPRQPTNDLFEIFEIERGVSADEEVKDDPGILIHSCNFDHGLCGWIREKDSDLHWETARDPAGGQYLTVSAAKAPGGKAARLVLRLGHLMHSGDLCLSFRHKVTGLHSGTLQVFVRKHGTHGAALWGRNGGHGWRQTQITLRGADVKSVIFKGEKRRGHTGEIGLDDVSLKRGRC
NP_001036045.1 1 238 0.039772268907563 PF00335.20:Tetraspanin:10:229 CD63 antigen; CD63 antigen 238 220 19 146 4 Mus musculus (Mouse) SwissProt::P41731 1 SwissProt MAVEGGMKCVKFLLYVLLLAFCACAVGLIAIGVAVQVVLKQAITHETTAGSLLPVVIIAVGAFLFLVAFVGCCGACKENYCLMITFAIFLSLIMLVEVAVAIAGYVFRDQVKSEFNKSFQQQMQNYLKDNKTATILDKLQKENNCCGASNYTDWENIPGMAKDRVPDSCCINITVGCGNDFKESTIHTQGCVETIAIWLRKNILLVAAAALGIAFVEVLGIIFSCCLVKSIRSGYEVM
NP_001074812.1 1 481 0.148794386694387 PF15019.6:C9orf72-like:61:324 Guanine nucleotide exchange C9orf72 homolog 481 264 19 481 0 Mus musculus (Mouse) SwissProt::Q6DFW0 1 SwissProt MSTICPPPSPAVAKTEIALSGESPLLAATFAYWDNILGPRVRHIWAPKTDQVLLSDGEITFLANHTLNGEILRNAESGAIDVKFFVLSEKGVIIVSLIFDGNWNGDRSTYGLSIILPQTELSFYLPLHRVCVDRLTHIIRKGRIWMHKERQENVQKIVLEGTERMEDQGQSIIPMLTGEVIPVMELLASMKSHSVPEDIDIADTVLNDDDIGDSCHEGFLLNAISSHLQTCGCSVVVGSSAEKVNKIVRTLCLFLTPAERKCSRLCEAESSFKYESGLFVQGLLKDATGSFVLPFRQVMYAPYPTTHIDVDVNTVKQMPPCHEHIYNQRRYMRSELTAFWRATSEEDMAQDTIIYTDESFTPDLNIFQDVLHRDTLVKAFLDQVFHLKPGLSLRSTFLAQFLLILHRKALTLIKYIEDDTQKGKKPFKSLRNLKIDLDLTAEGDLNIIMALAEKIKPGLHSFIFGRPFYTSVQERDVLMTF
NP_001078527.1 1 1987 0.520456165072976 PF15628.6:RRM_DME:1877:1977,PF15629.6:Perm-CXXC:1843:1874 Transcriptional activator DEMETER; DNA glycosylase-related protein DME; EC 3.2.2.- 1987 133 19 1987 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LK56 1 SwissProt MNSRADPGDRYFRVPLENQTQQEFMGSWIPFTPKKPRSSLMVDERVINQDLNGFPGGEFVDRGFCNTGVDHNGVFDHGAHQGVTNLSMMINSLAGSHAQAWSNSERDLLGRSEVTSPLAPVIRNTTGNVEPVNGNFTSDVGMVNGPFTQSGTSQAGYNEFELDDLLNPDQMPFSFTSLLSGGDSLFKVRQYGPPACNKPLYNLNSPIRREAVGSVCESSFQYVPSTPSLFRTGEKTGFLEQIVTTTGHEIPEPKSDKSMQSIMDSSAVNATEATEQNDGSRQDVLEFDLNKTPQQKPSKRKRKFMPKVVVEGKPKRKPRKPAELPKVVVEGKPKRKPRKAATQEKVKSKETGSAKKKNLKESATKKPANVGDMSNKSPEVTLKSCRKALNFDLENPGDARQGDSESEIVQNSSGANSFSEIRDAIGGTNGSFLDSVSQIDKTNGLGAMNQPLEVSMGNQPDKLSTGAKLARDQQPDLLTRNQQCQFPVATQNTQFPMENQQAWLQMKNQLIGFPFGNQQPRMTIRNQQPCLAMGNQQPMYLIGTPRPALVSGNQQLGGPQGNKRPIFLNHQTCLPAGNQLYGSPTDMHQLVMSTGGQQHGLLIKNQQPGSLIRGQQPCVPLIDQQPATPKGFTHLNQMVATSMSSPGLRPHSQSQVPTTYLHVESVSRILNGTTGTCQRSRAPAYDSLQQDIHQGNKYILSHEISNGNGCKKALPQNSSLPTPIMAKLEEARGSKRQYHRAMGQTEKHDLNLAQQIAQSQDVERHNSSTCVEYLDAAKKTKIQKVVQENLHGMPPEVIEIEDDPTDGARKGKNTASISKGASKGNSSPVKKTAEKEKCIVPKTPAKKGRAGRKKSVPPPAHASEIQLWQPTPPKTPLSRSKPKGKGRKSIQDSGKARGPSGELLCQDSIAEIIYRMQNLYLGDKEREQEQNAMVLYKGDGALVPYESKKRKPRPKVDIDDETTRIWNLLMGKGDEKEGDEEKDKKKEKWWEEERRVFRGRADSFIARMHLVQGDRRFSPWKGSVVDSVIGVFLTQNVSDHLSSSAFMSLAARFPPKLSSSREDERNVRSVVVEDPEGCILNLNEIPSWQEKVQHPSDMEVSGVDSGSKEQLRDCSNSGIERFNFLEKSIQNLEEEVLSSQDSFDPAIFQSCGRVGSCSCSKSDAEFPTTRCETKTVSGTSQSVQTGSPNLSDEICLQGNERPHLYEGSGDVQKQETTNVAQKKPDLEKTMNWKDSVCFGQPRNDTNWQTTPSSSYEQCATRQPHVLDIEDFGMQGEGLGYSWMSISPRVDRVKNKNVPRRFFRQGGSVPREFTGQIIPSTPHELPGMGLSGSSSAVQEHQDDTQHNQQDEMNKASHLQKTFLDLLNSSEECLTRQSSTKQNITDGCLPRDRTAEDVVDPLSNNSSLQNILVESNSSNKEQTAVEYKETNATILREMKGTLADGKKPTSQWDSLRKDVEGNEGRQERNKNNMDSIDYEAIRRASISEISEAIKERGMNNMLAVRIKDFLERIVKDHGGIDLEWLRESPPDKAKDYLLSIRGLGLKSVECVRLLTLHNLAFPVDTNVGRIAVRMGWVPLQPLPESLQLHLLELYPVLESIQKFLWPRLCKLDQRTLYELHYQLITFGKVFCTKSRPNCNACPMRGECRHFASAYASARLALPAPEERSLTSATIPVPPESYPPVAIPMIELPLPLEKSLASGAPSNRENCEPIIEEPASPGQECTEITESDIEDAYYNEDPDEIPTIKLNIEQFGMTLREHMERNMELQEGDMSKALVALHPTTTSIPTPKLKNISRLRTEHQVYELPDSHRLLDGMDKREPDDPSPYLLAIWTPGETANSAQPPEQKCGGKASGKMCFDETCSECNSLREANSQTVRGTLLIPCRTAMRGSFPLNGTYFQVNELFADHESSLKPIDVPRDWIWDLPRRTVYFGTSVTSIFRGLSTEQIQFCFWKGFVCVRGFEQKTRAPRPLMARLHFPASKLKNNKT
NP_001078940.1 211 490 0.729983571428571 Forkhead box protein N3; Checkpoint suppressor 1 490 0 19 280 0 Homo sapiens (Human) SwissProt::O00409 1 SwissProt HPHVFNTPPTCPQAYQSTSGPPIWPGSTFFKRNGALLQDPDIDAASAMMLLNTPPEIQAGFPPGVIQNGARVLSRGLFPGVRPLPITPIGVTAAMRNGITSCRMRTESEPSCGSPVVSGDPKEDHNYSSAKSSNARSTSPTSDSISSSSSSADDHYEFATKGSQEGSEGSEGSFRSHESPSDTEEDDRKHSQKEPKDSLGDSGYASQHKKRQHFAKARKVPSDTLPLKKRRTEKPPESDDEEMKEAAGSLLHLAGIRSCLNNITNRTAKGQKEQKETTKN
NP_001091995.1 1 683 0.573856222547584 PF15297.6:CKAP2_C:325:673 Cytoskeleton-associated protein 2; CTCL tumor antigen se20-10; Tumor- and microtubule-associated protein 683 349 19 683 0 Homo sapiens (Human) SwissProt::Q8WWK9 1 SwissProt MSTPAVPQDLQLPPSQRAQSAFKEQRRQKLKEHLLRRKTLFAYKQENEMLSSSRDQRVVTSEDQVQEGTKVLKLKTKMADKENMKRPAESKNNTVVGKHCIPLKPSNELTNSTVVIDTHKPKDSNQTPHLLLTEDDPQSQHMTLSQAFHLKNNSKKKQMTTEKQKQDANMPKKPVLGSYRGQIVQSKINSFRKPLQVKDESSAATKKLSATIPKATKPQPVNTSSVTVKSNRSSNMTATTKFVSTTSQNTQLVRPPIRSHHSNTRDTVKQGISRTSANVTIRKGPHEKELLQSKTALSSVKTSSSQGIIRNKTLSRSIASEVIARPASLSNDKLMEKSEPVDQRRHTAGKAIVDSRSAQPKETSEERKARLSEWKAGKGRVLKRPPNSVVTQHEPAGQNEKPVGSFWTTMAEEDEQRLFTEKVNNTFSECLNLINEGCPKEDILVTLNDLIKNIPDAKKLVKYWICLALIEPITSPIENIIAIYEKAILAGAQPIEEMRHTIVDILTMKSQEKANLGENMEKSCASKEEVKEVSIEDTGVDVDPEKLEMESKLHRNLLFQDCEKEQDNKTKDPTHDVKTPNTETRTSCLIKYNVSTTPYLQSVKKKVQFDGTNSAFKELKFLTPVRRSRRLQEKTSKLPDMLKDHYPCVSSLEQLTELGRETDAFVCRPNAALCRVYYEADTT
NP_001120669.1 313 663 0.623624216524216 ETS-related transcription factor Elf-4; E74-like factor 4; Myeloid Elf-1-like factor 663 0 19 351 0 Homo sapiens (Human) SwissProt::Q99607 1 SwissProt PPQASTASVASASTTRRTSSRVSSRSAPQGKGSSSWEKPKIQHVGLQPSASLELGPSLDEEIPTTSTMLVSPAEGQVKLTKAVSASSVPSNIHLGVAPVGSGSALTLQTIPLTTVLTNGPPASTTAPTQLVLQSVPAASTFKDTFTLQASFPLNASFQDSQVAAPGAPLILSGLPQLLAGANRPTNPAPPTVTGAGPAGPSSQPPGTVIAAFIRTSGTTAAPRVKEGPLRSSSYVQGMVTGAPMEGLLVPEETLRELLRDQAHLQPLPTQVVSRGSHNPSLLGNQTLSPPSRPTVGLTPVAELELSSGSGSLLMAEPSVTTSGSLLTRSPTPAPFSPFNPTSLIKMEPHDI
NP_001135820.1 1 94 0.307887234042553 sodium channel subunit beta-4 isoform 2 94 0 19 71 1 Homo sapiens NP_001135820.1 0 RefSeq MPGAGDGGKAPARWLGTGLLVEEVDNTVTLIILAVVGGVIGLLILILLIKKLIIFILKKTREKKKECLVSSSGNDNTENGLPGSKAEEKPPSKV
NP_001139780.1 134 364 0.816851082251082 Drebrin-like protein; Actin-binding protein 1; SH3 domain-containing protein 7 436 0 19 231 0 Mus musculus (Mouse) SwissProt::Q62418 1 SwissProt KASGANYSFHKESTSFQDVGPQAPVGSVYQKTNAISEIKRVGKDNFWAKAEKEEENRRLEEKRRAEEERQRLEEERRERELQEAARREQRYQEQHRSAGAPSPSSRTGEPEQEAVSRTRQEWESAGQQAPHPREIFKQKERAMSTTSVTSSQPGKLRSPFLQKQLTQPETSYGREPTAPVSRPAAGVCEEPAPSTLSSAQTEEEPTYEVPPEQDTLYEEPPLVQQQGAGSE
NP_001153890.1 92 354 0.729074524714829 Z-DNA-binding protein 1 isoform c 354 0 19 263 0 Homo sapiens NP_001153890.1 1 RefSeq PEDSGRRAKSASIIYQHNPINMICQNGPNSWISIANSEAIQIGHGNIITRQTVSREDGSAGPRHLPSMAPGDSSTWGTLVDPWGPQDIHMEQSILRRVQLGHSNEMRLHGVPSEGPAHIPPGSPPVSATAAGPEASFEARIPSPGTHPEGEAAQRIHMKSCFLEDATIGNSNKMSISPGVAGPGGVAGSGEGEPGEDAGRRPADTQSRSHFPRDIGQPITPSHSKLTPKLETMTLGNRSHKAAEGSHYVDEASHEGSWWGGGI
NP_001157751.1 1 356 0.0561626404494382 PF07690.16:MFS_1:2:307 glucose-6-phosphate exchanger SLC37A4 isoform 3 356 306 19 157 9 Homo sapiens NP_001157751.1 0 RefSeq MSARWLFSSGLLLVGLVNIFFAWSSTVPVFAALWFLNGLAQGLGWPPCGKVLRKWFEPSQFGTWWAILSTSMNLAGGLGPILATILAQSYSWRSTLALSGALCVVVSFLCLLLIHNEPADVGLRNLDPMPSEGKKGSLKEESTLQELLLSPYLWVLSTGYLVVFGVKTCCTDWGQFFLIQEKGQSALVGSSYMSALEVGGLVGSIAAGYLSDRAMAKAGLSNYGNPRHGLLLFMMAGMTVSMYLFRVTVTSDSPKLWILVLGAVFGFSSYGPIALFGVIANESAPPNLCGTSHAIVGLMANVGGFLAGLPFSTIAKHYSWSTAFWVAEVICAASTAAFFLLRNIRTKMGRVSKKAE
NP_001162910.1 37 906 0.35178091954023 PF07679.16:I-set:419:497,PF07679.16:I-set:628:701,PF07679.16:I-set:736:814,PF13927.6:Ig_3:630:700,PF13927.6:Ig_3:739:802,PF00047.25:ig:630:705,PF00047.25:ig:736:811,PF07686.17:V-set:305:396 PDGF- and VEGF-receptor related, isoform I 1528 328 19 847 1 Drosophila melanogaster NP_001162910.1 1 RefSeq IENCGGENGAPLMTPCKSAIILDAQTSTTLKCEDDEPMSWWTSQSQYVHVKSFDNTEDPARPFGTSLHLIEVTADYVAAYYCVKTSKFSQIAKEEQSDEAMIELVNQGYASSIYVYVNDPDTKLVDSHNVVTARQYTDVVIPCKPAMPDTEVLLETSNGEMHSSKSVGRYDPQRGFTIEIRSIVDGGDYYCRPNPPFPHNEEEMTSIEVRFIATGLDIPRTQTTNMVYTYAPGVTDGDDEVLTVTNQSTGNLALIRGGDGTLSRERARRSPARLAPMNASPSPRPGQDGKPLPKPVIRSSVEHHVFTDTNFTLDCEQSAYVESVYGMEWFTPSRDENRIFASQSRTDPKTRNSTHQTGRSTLTVLNAQPSDTGLYKCVTTDNSNQNVQRATYRIKVLKQNESYLNVGEPSGHYNVQEYANRTIQMTANFEGFPTPSFSWFKPDGTEVRQSENNFKILSTELSTMLQVLNAQLQDSGTYVLRGSNSFGVVQREYNVSVMDAPALKMSDAYVQVGSVARLECTVRSYPPAIVTFFFRPCSLEPQWPTCSVLNQNFSLPSEQEKYQFQTRPRPGKLSVERIYEVSFLPTEPGILTCIAQNIIDGKERRTLTKAHVLLGNISENMTIYGFDKDHKIAKEDNVNFTCEALAYHFDGNLKWFINGEDLKESDSVHIETSHTKYSYKSTVHITTISDRDRGTYECRAYHNDKDAVYSSREIDLYVHDPSAPQWTNGGQEGHSKIKRKLSQTLELECASTAVPVAIVRWFKDDKEVTESKLRHIIEKESKLLITHLYPGDEGVYKCVVENRLDRIERSFTVVISDLPGISMAWVWFGVILFLILIGLCVFLAVRYQKEHKRHLALKAAGLANFEEGAV
NP_001185361.1 1 626 0.3191607028754 PF03181.15:BURP:411:623 Polygalacturonase 1 beta-like protein 3; AtPGL3; Aromatic-rich glycoprotein JP650; PG1beta-like protein 3; Polygalacturonase 2; Probable polygalacturonase non-catalytic subunit JP650 626 213 19 603 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92990 1 SwissProt MLKQFLLLQSFSFFLFNVVIVGGRTFGGGFSAEENPFTPKASLVRYWNKEIRGQSPRSEFLISKASPLNAVDSATFSKLAAANSLPTRFPDFCSAANLFCFPDLGASLEKHDDDVKFSVYDQKNFTNYGNARAGGADSFKNYSKDGNVVTDSFRRYSRNAAGHDDKFTVYGENSNVVEEGFNSYGTFGTGGAGDFTNYQNNVNNPTSRFTAYSDGGNGRSQTFKTYTHEANAGNGQSFTSYGKNGNGVPNEFTSYGVSSNVIGSGFSNYGESGNAANDTFTSYGSDGNVPQNNFNNYGASGNAAVDTFANYRDKANVGDDSFSSYAKDSNSEKVNFVNYGQSFNPGSETFTGYGKGAEGSKLSFKTYTPNSTFKDYAKKGVAFAKYNVSTTTANTVGDGKTVNKWIEPGKFFRESSLKEGTVIPMPDIKDKMPKRSFLPRSIITKLPFSTSKLGEIKRIFHAVENSTMGGIITDAVTECERPPSVGETKRCVGSAEDMIDFATSVLGRSVVLRTTENVAGSKEKVVIGKVNGINGGKLTKAVSCHQSLYPYLLYYCHSVPKVRVYEADLLELNSKKKINHGIAICHMDTSSWGPSHGAFLALGSKPGRIEVCHWIFENDMNWAIAD
NP_001186492.1 1 360 0.193841944444444 PF04831.13:Popeye:41:266 Blood vessel epicardial substance; hBVES; Popeye domain-containing protein 1; Popeye protein 1 360 226 19 297 3 Homo sapiens (Human) SwissProt::Q8NE79 1 SwissProt MNYTESSPLRESTAIGFTPELESIIPVPSNKTTCENWREIHHLVFHVANICFAVGLVIPTTLHLHMIFLRGMLTLGCTLYIVWATLYRCALDIMIWNSVFLGVNILHLSYLLYKKRPVKIEKELSGMYRRLFEPLRVPPDLFRRLTGQFCMIQTLKKGQTYAAEDKTSVDDRLSILLKGKMKVSYRGHFLHNIYPCAFIDSPEFRSTQMHKGEKFQVTIIADDNCRFLCWSRERLTYFLESEPFLYEIFRYLIGKDITNKLYSLNDPTLNDKKAKKLEHQLSLCTQISMLEMRNSIASSSDSDDGLHQFLRGTSSMSSLHVSSPHQRASAKMKPIEEGAEDDDDVFEPASPNTLKVHQLP
NP_001191181.1 1 160 0.31356375 PF03954.14:Lectin_N:16:115,PF03954.14:Lectin_N:114:160,PF03915.13:AIP3:60:154 C-type lectin domain family 10 member A isoform 1 305 145 19 137 1 Mus musculus NP_001191181.1 1 RefSeq MIYENLQNSRIEEKTQEPGKAAPSQSFLWRILSWTHLLLFSLGLSLLLLVVVSVIGSQNSQLRRDLGTLRATLDNTTSKIKAEFQSLDSRADSFEKGISSLKVDVEDHRQELQAGRDLSQKVTSLESTVEKREQALKTDLSDLTDHVQQLRKDLKALTCQ
NP_001195.2 520 1038 0.691954913294796 Bone morphogenetic protein receptor type-2; BMP type-2 receptor; BMPR-2; Bone morphogenetic protein receptor type II; BMP type II receptor; BMPR-II; EC 2.7.11.30 1038 0 19 519 0 Homo sapiens (Human) SwissProt::Q13873 1 SwissProt PMSTAMQNERNLSHNRRVPKIGPYPDYSSSSYIEDSIHHTDSIVKNISSEHSMSSTPLTIGEKNRNSINYERQQAQARIPSPETSVTSLSTNTTTTNTTGLTPSTGMTTISEMPYPDETNLHTTNVAQSIGPTPVCLQLTEEDLETNKLDPKEVDKNLKESSDENLMEHSLKQFSGPDPLSSTSSSLLYPLIKLAVEATGQQDFTQTANGQACLIPDVLPTQIYPLPKQQNLPKRPTSLPLNTKNSTKEPRLKFGSKHKSNLKQVETGVAKMNTINAAEPHVVTVTMNGVAGRNHSVNSHAATTQYANGTVLSGQTTNIVTHRAQEMLQNQFIGEDTRLNINSSPDEHEPLLRREQQAGHDEGVLDRLVDRRERPLEGGRTNSNNNNSNPCSEQDVLAQGVPSTAADPGPSKPRRAQRPNSLDLSATNVLDGSSIQIGESTQDGKSGSGEKIKKRVKTPYSLKRWRPSTWVISTESLDCEVNNNGSNRAVHSKSSTAVYLAEGGTATTMVSKDIGMNCL
NP_001239366.1 1 269 0.251500743494424 E3 ubiquitin-protein ligase MGRN1 isoform 1 533 0 19 269 0 Mus musculus NP_001239366.1 1 RefSeq MGSILSRRIAGVEDIDIQANSAYRYPPKSAGNYFASHFFMGGEKFDTPHPEGYLFGENMDLNFLGSRPVQFPYVTPAPHEPVKTLRSLVNIRKDSLRLVRYKEDADSPTEDGEKPRVLYSLEFTFDADARVAITIYCQAVEELVNGVAVYSCKNPSLQSETVHYKRGVSQQFSLPSFKIDFSEWKDDELNFDLDRGVFPVVIQAVVDEGDVVEVTGHAHVLLAAFEKHVDGSFSVKPLKQKQIVDRVSYLLQEIYGIENKNNQETKPSD
NP_001246685.1 1 328 0.283243292682927 PF01153.19:Glypican:52:320 division abnormally delayed, isoform B 626 269 19 328 0 Drosophila melanogaster NP_001246685.1 1 RefSeq MAARSVRLAQLLLFTLLCGFVGLSAAKHLDLDGIHHHQHHLHSATTHHRRRLQRDSRAKDAVGGSTHQCDAVKSYFESIDIKSSGTYSEKGAICGGNCCNNATELELRDKAAGMFEQLLHHHTSSLRGVLETNAKQFQSHVLELAQISENMTHSLFSKVYTRMVPSSRMMIHQLYTEIMNHLIYTSNYTNSNGQLGRRGIGSVQSNLEEAVRHFFVQLFPVAYHQMVHLSKNNLGDLHEDYVNCLQHNFDEMHPFGDIPQQVQSNLGKSVHMSNVFMNALLQAAEVLSEADALYGEQLTDTCKLHLLKMHYCPNCNGHHSSSRSETKL
NP_001248342.1 89 401 0.417794249201278 PF04912.14:Dynamitin:7:311 Dynactin subunit 2; 50 kDa dynein-associated polypeptide; Dynactin complex 50 kDa subunit; DCTN-50; p50 dynamitin 401 305 19 313 0 Homo sapiens (Human) SwissProt::Q13561 1 SwissProt LGEGLGVKETPQQKYQRLLHEVQELTTEVEKIKTTVKESATEEKLTPVLLAKQLAALKQQLVASHLEKLLGPDAAINLTDPDGALAKRLLLQLEATKNSKGGSGGKTTGTPPDSSLVTYELHSRPEQDKFSQAAKVAELEKRLTELETAVRCDQDAQNPLSAGLQGACLMETVELLQAKVSALDLAVLDQVEARLQSVLGKVNEIAKHKASVEDADTQSKVHQLYETIQRWSPIASTLPELVQRLVTIKQLHEQAMQFGQLLTHLDTTQQMIANSLKDNTTLLTQVQTTMRENLATVEGNFASIDERMKKLGK
NP_001260530.1 1 653 0.438954823889739 PF09730.9:BicD:80:653 Protein bicaudal D 782 574 19 653 0 Drosophila melanogaster (Fruit fly) SwissProt::P16568 1 SwissProt MSSASNNGPSADQSVQDLQMEVERLTRELDQVSSASAQSAQYGLSLLEEKSALQQKCEELETLYDNTRHELDITQEALTKFQTSQKVTNKTGIEQEDALLNESAARETSLNLQIFDLENELKQLRHELERVRNERDRMLQENSDFGRDKSDSEADRLRLKSELKDLKFRETRMLSEYSELEEENISLQKQVSSLRSSQVEFEGAKHEIRRLTEEVELLNQQVDELANLKKIAEKQMEEALETLQGEREAKYALKKELDGHLNRESMYHISNLAYSIRSNMEDNASNNSDGEEENLALKRLEADLSTELKSPDGTKCDLFSEIHLNELKKLEKQLESMESEKTHLTANLREAQTSLDKSQNELQNFMSRLALLAAHVDALVQLKKQIDVKEQGKEGGQKKDELEQQLRALISQYANWFTLSAKEIDGLKTDIAELQKGLNYTDATTTLRNEVTNLKNKLLATEQKSLDLQSDVQTLTHISQNAGQSLGSARSTLVALSDDLAQLYHLVCTVNGETPTRVLLDHKTDDMSFENDSLTAIQSQFKSDVFIAKPQIVEDLQGLADSVEIKKYVDTVSDQIKYLKTAVEHTIDMNKHKIRSEGGDALEKVNTEEMEELQEQIVKLKSLLSVKREQIGTLRNVLKSNKQTAEVALTNLK
NP_001278974.1 1 158 0.269175316455696 PF02070.15:NMU:126:150 neuromedin-U isoform 2 precursor 158 25 19 135 1 Homo sapiens NP_001278974.1 1 RefSeq MLRTESCRPRSPAGQVAAASPLLLLLLLLAWCAGACRGAPILPQGLQPEQQLQLWNEASNALEELCFMIMGMLPKPQEQDEKDNTKRFLFHYSKTQKLGKSNVVSSVVHPLLQLVPHLHERRMKRFRVDEEFQSPFASQSRGYFLFRPRNGRRSAGFI
NP_001288294.1 1 3130 0.23115092651757 PF14844.6:PH_BEACH:3020:3114 Lysosomal-trafficking regulator; Beige homolog 3801 95 19 3130 0 Homo sapiens (Human) SwissProt::Q99698 1 SwissProt MSTDSNSLAREFLTDVNRLCNAVVQRVEAREEEEEETHMATLGQYLVHGRGFLLLTKLNSIIDQALTCREELLTLLLSLLPLVWKIPVQEEKATDFNLPLSADIILTKEKNSSSQRSTQEKLHLEGSALSSQVSAKVNVFRKSRRQRKITHRYSVRDARKTQLSTSDSEANSDEKGIAMNKHRRPHLLHHFLTSFPKQDHPKAKLDRLATKEQTPPDAMALENSREIIPRQGSNTDILSEPAALSVISNMNNSPFDLCHVLLSLLEKVCKFDVTLNHNSPLAASVVPTLTEFLAGFGDCCSLSDNLESRVVSAGWTEEPVALIQRMLFRTVLHLLSVDVSTAEMMPENLRKNLTELLRAALKIRICLEKQPDPFAPRQKKTLQEVQEDFVFSKYRHRALLLPELLEGVLQILICCLQSAASNPFYFSQAMDLVQEFIQHHGFNLFETAVLQMEWLVLRDGVPPEASEHLKALINSVMKIMSTVKKVKSEQLHHSMCTRKRHRRCEYSHFMHHHRDLSGLLVSAFKNQVSKNPFEETADGDVYYPERCCCIAVCAHQCLRLLQQASLSSTCVQILSGVHNIGICCCMDPKSVIIPLLHAFKLPALKNFQQHILNILNKLILDQLGGAEISPKIKKAACNICTVDSDQLAQLEETLQGNLCDAELSSSLSSPSYRFQGILPSSGSEDLLWKWDALKAYQNFVFEEDRLHSIQIANHICNLIQKGNIVVQWKLYNYIFNPVLQRGVELAHHCQHLSVTSAQSHVCSHHNQCLPQDVLQIYVKTLPILLKSRVIRDLFLSCNGVSQIIELNCLNGIRSHSLKAFETLIISLGEQQKDASVPDIDGIDIEQKELSSVHVGTSFHHQQAYSDSPQSLSKFYAGLKEAYPKRRKTVNQDVHINTINLFLCVAFLCVSKEAESDRESANDSEDTSGYDSTASEPLSHMLPCISLESLVLPSPEHMHQAADIWSMCRWIYMLSSVFQKQFYRLGGFRVCHKLIFMIIQKLFRSHKEEQGKKEGDTSVNENQDLNRISQPKRTMKEDLLSLAIKSDPIPSELGSLKKSADSLGKLELQHISSINVEEVSATEAAPEEAKLFTSQESETSLQSIRLLEALLAICLHGARTSQQKMELELPNQNLSVESILFEMRDHLSQSKVIETQLAKPLFDALLRVALGNYSADFEHNDAMTEKSHQSAEELSSQPGDFSEEAEDSQCCSFKLLVEEEGYEADSESNPEDGETQDDGVDLKSETEGFSASSSPNDLLENLTQGEIIYPEICMLELNLLSASKAKLDVLAHVFESFLKIIRQKEKNVFLLMQQGTVKNLLGGFLSILTQDDSDFQACQRVLVDLLVSLMSSRTCSEELTLLLRIFLEKSPCTKILLLGILKIIESDTTMSPSQYLTFPLLHAPNLSNGVSSQKYPGILNSKAMGLLRRARVSRSKKEADRESFPHRLLSSWHIAPVHLPLLGQNCWPHLSEGFSVSLWFNVECIHEAESTTEKGKKIKKRNKSLILPDSSFDGTESDRPEGAEYINPGERLIEEGCIHIISLGSKALMIQVWADPHNATLIFRVCMDSNDDMKAVLLAQVESQENIFLPSKWQHLVLTYLQQPQGKRRIHGKISIWVSGQRKPDVTLDFMLPRKTSLSSDSNKTFCMIGHCLSSQEEFLQLAGKWDLGNLLLFNGAKVGSQEAFYLYACGPNHTSVMPCKYGKPVNDYSKYINKEILRCEQIRELFMTKKDVDIGLLIESLSVVYTTYCPAQYTIYEPVIRLKGQMKTQLSQRPFSSKEVQSILLEPHHLKNLQPTEYKTIQGILHEIGGTGIFVFLFARVVELSSCEETQALALRVILSLIKYNQQRVHELENCNGLSMIHQVLIKQKCIVGFYILKTLLEGCCGEDIIYMNENGEFKLDVDSNAIIQDVKLLEELLLDWKIWSKAEQGVWETLLAALEVLIRADHHQQMFNIKQLLKAQVVHHFLLTCQVLQEYKEGQLTPMPREVCRSFVKIIAEVLGSPPDLELLTIIFNFLLAVHPPTNTYVCHNPTNFYFSLHIDGKIFQEKVRSIMYLRHSSSGGRSLMSPGFMVISPSGFTASPYEGENSSNIIPQQMAAHMLRSRSLPAFPTSSLLTQSQKLTGSLGCSIDRLQNIADTYVATQSKKQNSLGSSDTLKKGKEDAFISSCESAKTVCEMEAVLSAQVSVSDVPKGVLGFPVVKADHKQLGAEPRSEDDSPGDESCPRRPDYLKGLASFQRSHSTIASLGLAFPSQNGSAAVGRWPSLVDRNTDDWENFAYSLGYEPNYNRTASAHSVTEDCLVPICCGLYELLSGVLLILPDVLLEDVMDKLIQADTLLVLVNHPSPAIQQGVIKLLDAYFARASKEQKDKFLKNRGFSLLANQLYLHRGTQELLECFIEMFFGRHIGLDEEFDLEDVRNMGLFQKWSVIPILGLIETSLYDNILLHNALLLLLQILNSCSKVADMLLDNGLLYVLCNTVAALNGLEKNIPMSEYKLLACDIQQLFIAVTIHACSSSGSQYFRVIEDLIVMLGYLQNSKNKRTQNMAVALQLRVLQAAMEFIRTTANHDSENLTDSLQSPSAPHHAVVQKRKSIAGPRKFPLAQTESLLMKMRSVANDELHVMMQRRMSQENPSQATETELAQRLQRLTVLAVNRIIYQEFNSDIIDILRTPENVTQSKTSVFQTEISEENIHHEQSSVFNPFQKEIFTYLVEGFKVSIGSSKASGSKQQWTKILWSCKETFRMQLGRLLVHILSPAHAAQERKQIFEIVHEPNHQEILRDCLSPSLQHGAKLVLYLSELIHNHQGELTEEELGTAELLMNALKLCGHKCIPPSASTKADLIKMIKEEQKKYETEEGVNKAAWQKTVNNNQQSLFQRLDSKSKDISKIAADITQAVSLSQGNERKKVIQHIRGMYKVDLSASRHWQELIQQLTHDRAVWYDPIYYPTSWQLDPTEGPNRERRRLQRCYLTIPNKYLLRDRQKSEDVVKPPLSYLFEDKTHSSFSSTVKDKAASESIRVNRRCISVAPSRETAGELLLGKCGMYFVEDNASDTVESSSLQGELEPASFSWTYEEIKEVHKRWWQLRDNAVEIFLTNGRTLLLAFDNTKVRDDVYHNILTNNLPNLLEYGNITA
NP_001306036.1 1 598 0.213789464882943 PF00612.27:IQ:295:315,PF00612.27:IQ:388:408 IQ calmodulin-binding motif-containing protein 1; Nephrocystin-5; p53 and DNA damage-regulated IQ motif protein; PIQ 598 42 19 598 0 Homo sapiens (Human) SwissProt::Q15051 1 SwissProt MKPTGTDPRILSIAAEVAKSPEQNVPVILLKLKEIINITPLGSSELKKIKQDIYCYDLIQYCLLVLSQDYSRIQGGWTTISQLTQILSHCCVGLEPGEDAEEFYNELLPSAAENFLVLGRQLQTCFINAAKAEEKDELLHFFQIVTDSLFWLLGGHVELIQNVLQSDHFLHLLQADNVQIGSAVMMMLQNILQINSGDLLRIGRKALYSILDEVIFKLFSTPSPVIRSTATKLLLLMAESHQEILILLRQSTCYKGLRRLLSKQETGTEFSQELRQLVGLLSPMVYQEVEEQKLHQAACLIQAYWKGFQTRKRLKKLPSAVIALQRSFRSKRSKMLLEINRQKEEEDLKLQLQLQRQRAMRLSRELQLSMLEIVHPGQVEKHYREMEEKSALIIQKHWRGYRERKNFHQQRQSLIEYKAAVTLQRAALKFLAKCRKKKKLFAPWRGLQELTDARRVELKKRVDDYVRRHLGSPMSDVVSRELHAQAQERLQHYFMGRALEERAQQHREALIAQISTNVEQLMKAPSLKEAEGKEPELFLSRSRPVAAKAKQAHLTTLKHIQAPWWKKLGEESGDEIDVPKDELSIELENLFIGGTKPP
NP_001310823.1 1 470 0.351913191489361 Regulator of microtubule dynamics protein 3; RMD-3; hRMD-3; Cerebral protein 10; Protein FAM82A2; Protein FAM82C; Protein tyrosine phosphatase-interacting protein 51; TCPTP-interacting protein 51 470 0 19 447 1 Homo sapiens (Human) SwissProt::Q96TC7 1 SwissProt MSRLGALGGARAGLGLLLGTAAGLGFLCLLYSQRWKRTQRHGRSQSLPNSLDYTQTSDPGRHVMLLRAVPGGAGDASVLPSLPREGQEKVLDRLDFVLTSLVALRREVEELRSSLRGLAGEIVGEVRCHMEENQRVARRRRFPFVRERSDSTGSSSVYFTASSGATFTDAESEGGYTTANAESDNERDSDKESEDGEDEVSCETVKMGRKDSLDLEEEAASGASSALEAGGSSGLEDVLPLLQQADELHRGDEQGKREGFQLLLNNKLVYGSRQDFLWRLARAYSDMCELTEEVSEKKSYALDGKEEAEAALEKGDESADCHLWYAVLCGQLAEHESIQRRIQSGFSFKEHVDKAIALQPENPMAHFLLGRWCYQVSHLSWLEKKTATALLESPLSATVEDALQSFLKAEELQPGFSKAGRVYISKCYRELGKNSEARWWMKLALELPDVTKEDLAIQKDLEELEVILRD
NP_001321273.1 1 1695 0.182607787610619 Calpain-type cysteine protease DEK1; Phytocalpain DEK1; Protein DEFECTIVE KERNEL 1; AtDEK1; Protein EMBRYO DEFECTIVE 1275; Protein EMBRYO DEFECTIVE 80; EC 3.4.22.- 2151 0 19 1185 23 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RVL2 1 SwissProt MEGDERGVLLACVISGTLFTVFGSGSFWILWAVNWRPWRLYSWIFARKWPKVLQGPQLDILCGVLSLFAWIVVVSPIAILIGWGSWLIVILDRHIIGLAIIMAGTALLLAFYSIMLWWRTQWQSSRAVALLLLLGVALLCAYELCAVYVTAGAHASQQYSPSGFFFGVSAIALAINMLFICRMVFNGNGLDVDEYVRRAYKFAYSDCIEVGPVACLPEPPDPNELYPRQTSRASHLGLLYLGSLVVLLAYSVLYGLTARESRWLGGITSAAVIVLDWNIGACLYGFKLLQNRVLALFVAGISRLFLICFGIHYWYLGHCISYIFVASVLSGAAVSRHLSITDPSAARRDALQSTVIRLREGFRRKEQNSSSSSSDGCGSSIKRSSSIDAGHTGCTNEANRTAESCTADNLTRTGSSQEGINSDKSEESGRPSLGLRSSSCRSVVQEPEAGTSYFMDKVSDQNNTLVVCSSSGLDSQGYESSTSNSANQQLLDMNLALAFQDQLNNPRIASILKKKAKEGDLELTNLLQDKGLDPNFAVMLKEKNLDPTILALLQRSSLDADRDHRDNTDITIIDSNSVDNTLPNQISLSEELRLRGLEKWLKLSRLLLHHVAGTPERAWGLFSLVFILETIIVAIFRPKTITIINSSHQQFEFGFSVLLLSPVVCSIMAFLRSLQVEEMALTSKSRKYGFVAWLLSTSVGLSLSFLSKSSVLLGISLTVPLMAACLSIAVPIWMHNGYQFWVPQLSCGDQARDLRSPRIKGFILWICVVLFAGSVISLGAIISAKPLDDLKYKLFSARENNVTSPYTSSVYLGWAMSSGIALVVTAILPIVSWFATYRFSHSSAVCLMIFSVVLVAFCGTSYLEVVKSRDDQLPTKGDFLAALLPLACIPALLSLCCGMVKWKDDCWILSRGVYVFFSIGLLLLFGAIAAVIAVKPWTIGVSFLLVLFLMVVTIGVIHLWASNNFYLTRKQTSFVCFLALLLGLAAFLLGWHQDKAFAGASVGYFTFLSLLAGRALAVLLSPPIVVYSPRVLPVYVYDAHADCGKNVSAAFLVLYGIALATEGWGVVASLIIYPPFAGAAVSAITLVVAFGFAVSRPCLTLEMMEVAVRFLSKDTIVQAISRSATKTRNALSGTYSAPQRSASSAALLVGDPSAMRDKAGNFVLPRDDVMKLRDRLRNEERVAGSIFYKMQCRKGFRHEPPTNVDYRRDMCAHARVLALEEAIDTEWVYMWDKFGGYLLLLLGLTAKAERVQDEVRLRLFLDSIGFSDLSARKISKWKPEDRRQFEIIQESYLREKEMEEESLMQRREEEGRGKERRKALLEKEERKWKEIEASLIPSIPNAGSREAAAMAAAIRAVGGDSVLEDSFARERVSGIARRIRTAQLERRAQQTGISGAVCVLDDEPMISGKHCGQMDSSVCQSQKISFSVTAMIQSDSGPVCLFGTEFQKKVCWEILVAGSEQGIEAGQVGLRLITKGERQTTVAREWYIGATSITDGRWHTVTITIDADAGEATCYIDGGFDGYQNGLPLSIGSAIWEQGAEVWLGVRPPIDVDAFGRSDSDGVESKMHIMDVFLWGKCLSEEEAASLHAAIGMADLDMIDLSDDNWQWTDSPPRVDGWDSDPADVDLYDRDDVDWDGQYSSGRKRRSGRDFVMSVDSFARRHRKPRMETQEDINQRMRSVELAVKEALSARGDKQ
NP_001416.1 1 163 0.0101656441717791 PF00822.20:PMP22_Claudin:1:156,PF13903.6:Claudin_2:49:157 epithelial membrane protein 3 163 157 19 71 4 Homo sapiens NP_001416.1 0 RefSeq MSLLLLVVSALHILILILLFVATLDKSWWTLPGKESLNLWYDCTWNNDTKTWACSNVSENGWLKAVQVLMVLSLILCCLSFILFMFQLYTMRRGGLFYATGLCQLCTSVAVFTGALIYAIHAEEILEKHPRGGSFGYCFALAWVAFPLALVSGIIYIHLRKRE
NP_001431.1 1 660 0.251115757575758 PF03016.15:Exostosin:191:500 Exostosin-like 3; EXT-related protein 1; Glucuronyl-galactosyl-proteoglycan 4-alpha-N-acetylglucosaminyltransferase; Hereditary multiple exostoses gene isolog; Multiple exostosis-like protein 3; Putative tumor suppressor protein EXTL3; EC 2.4.1.223 919 310 19 637 1 Homo sapiens (Human) SwissProt::O43909 1 SwissProt MTGYTMLRNGGAGNGGQTCMLRWSNRIRLTWLSFTLFVILVFFPLIAHYYLTTLDEADEAGKRIFGPRVGNELCEVKHVLDLCRIRESVSEELLQLEAKRQELNSEIAKLNLKIEACKKSIENAKQDLLQLKNVISQTEHSYKELMAQNQPKLSLPIRLLPEKDDAGLPPPKATRGCRLHNCFDYSRCPLTSGFPVYVYDSDQFVFGSYLDPLVKQAFQATARANVYVTENADIACLYVILVGEMQEPVVLRPAELEKQLYSLPHWRTDGHNHVIINLSRKSDTQNLLYNVSTGRAMVAQSTFYTVQYRPGFDLVVSPLVHAMSEPNFMEIPPQVPVKRKYLFTFQGEKIESLRSSLQEARSFEEEMEGDPPADYDDRIIATLKAVQDSKLDQVLVEFTCKNQPKPSLPTEWALCGEREDRLELLKLSTFALIITPGDPRLVISSGCATRLFEALEVGAVPVVLGEQVQLPYQDMLQWNEAALVVPKPRVTEVHFLLRSLSDSDLLAMRRQGRFLWETYFSTADSIFNTVLAMIRTRIQIPAAPIREEAAAEIPHRSGKAAGTDPNMADNGDLDLGPVETEPPYASPRYLRNFTLTVTDFYRSWNCAPGPFHLFPHTPFDPVLPSEAKFLGSGTGFRPIGGGAGGSGKEFQAALGGNVPR
NP_002150.1 1 57 0.145008771929825 Histatin-1; Histidine-rich protein 1; Post-PB protein; PPB 57 0 19 57 0 Homo sapiens (Human) SwissProt::P15515 1 SwissProt MKFFVFALVLALMISMISADSHEKRHHGYRRKFHEKHHSHREFPFYGDYGSNYLYDN
NP_002212.3 1 653 0.753681470137825 Inositol-trisphosphate 3-kinase B; Inositol 1,4,5-trisphosphate 3-kinase B; IP3 3-kinase B; IP3K B; InsP 3-kinase B; EC 2.7.1.127 946 0 19 653 0 Homo sapiens (Human) SwissProt::P27987 1 SwissProt MAVYCYALNSLVIMNSANEMKSGGGPGPSGSETPPPPRRAVLSPGSVFSPGRGASFLFPPAESLSPEEPRSPGGWRSGRRRLNSSSGSGSGSSGSSVSSPSWAGRLRGDRQQVVAAGTLSPPGPEEAKRKLRILQRELQNVQVNQKVGMFEAHIQAQSSAIQAPRSPRLGRARSPSPCPFRSSSQPPGRVLVQGARSEERRTKSWGEQCPETSGTDSGRKGGPSLCSSQVKKGMPPLPGRAAPTGSEAQGPSAFVRMEKGIPASPRCGSPTAMEIDKRGSPTPGTRSCLAPSLGLFGASLTMATEVAARVTSTGPHRPQDLALTEPSGRARELEDLQPPEALVERQGQFLGSETSPAPERGGPRDGEPPGKMGKGYLPCGMPGSGEPEVGKRPEETTVSVQSAESSDSLSWSRLPRALASVGPEEARSGAPVGGGRWQLSDRVEGGSPTLGLLGGSPSAQPGTGNVEAGIPSGRMLEPLPCWDAAKDLKEPQCPPGDRVGVQPGNSRVWQGTMEKAGLAWTRGTGVQSEGTWESQRQDSDALPSPELLPQDPDKPFLRKACSPSNIPAVIITDMGTQEDGALEETQGSPRGNLPLRKLSSSSASSTGFSSSYEDSEEDISSDPERTLDPNSAFLHTLDQQKPRVSKSWRKIKN
NP_003449.2 75 2356 0.742587773882561 PF05715.13:zf-piccolo:95:152,PF05715.13:zf-piccolo:390:447 Protein bassoon; Zinc finger protein 231 3926 116 19 2282 0 Homo sapiens (Human) SwissProt::Q9UPA5 1 SwissProt STSRRLDPKEPLGNQRAASPTPKQASATTPGHESPRETRAQGPAGQEADGPRRTLQVDSRTQRSGRSPSVSPDRGSTPTSPYSVPQIAPLPSSTLCPICKTSDLTSTPSQPNFNTCTQCHNKVCNQCGFNPNPHLTQVKEWLCLNCQMQRALGMDMTTAPRSKSQQQLHSPALSPAHSPAKQPLGKPDQERSRGPGGPQPGSRQAETARATSVPGPAQAAAPPEVGRVSPQPPQPTKPSTAEPRPPAGEAPAKSATAVPAGLGATEQTQEGLTGKLFGLGASLLTQASTLMSVQPEADTQGQPAPSKGTPKIVFNDASKEAGPKPLGSGPGPGPAPGAKTEPGARMGPGSGPGALPKTGGTTSPKHGRAEHQAASKAAAKPKTMPKERAICPLCQAELNVGSKSPANYNTCTTCRLQVCNLCGFNPTPHLVEKTEWLCLNCQTKRLLEGSLGEPTPLPPPTSQQPPVGAPHRASGTSPLKQKGPQGLGQPSGPLPAKASPLSTKASPLPSKASPQAKPLRASEPSKTPSSVQEKKTRVPTKAEPMPKPPPETTPTPATPKVKSGVRRAEPATPVVKAVPEAPKGGEAEDLVGKPYSQDASRSPQSLSDTGYSSDGISSSQSEITGVVQQEVEQLDSAGVTGPHPPSPSEIHKVGSSMRPLLQAQGLAPSERSKPLSSGTGEEQKQRPHSLSITPEAFDSDEELEDILEEDEDSAEWRRRREQQDTAESSDDFGSQLRHDYVEDSSEGGLSPLPPQPPARAAELTDEDFMRRQILEMSAEEDNLEEDDTATSGRGLAKHGTQKGGPRPRPEPSQEPAALPKRRLPHNATTGYEELLPEGGSAEATDGSGTLQGGLRRFKTIELNSTGSYGHELDLGQGPDPSLDREPELEMESLTGSPEDRSRGEHSSTLPASTPSYTSGTSPTSLSSLEEDSDSSPSRRQRLEEAKQQRKARHRSHGPLLPTIEDSSEEEELREEEELLREQEKMREVEQQRIRSTARKTRRDKEELRAQRRRERSKTPPSNLSPIEDASPTEELRQAAEMEELHRSSCSEYSPSPSLDSEAEALDGGPSRLYKSGSEYNLPTFMSLYSPTETPSGSSTTPSSGRPLKSAEEAYEEMMRKAELLQRQQGQAAGARGPHGGPSQPTGPRGLGSFEYQDTTDREYGQAAQPAAEGTPASLGAAVYEEILQTSQSIVRMRQASSRDLAFAEDKKKEKQFLNAESAYMDPMKQNGGPLTPGTSPTQLAAPVSFSTPTSSDSSGGRVIPDVRVTQHFAKETQDPLKLHSSPASPSSASKEIGMPFSQGPGTPATTAVAPCPAGLPRGYMTPASPAGSERSPSPSSTAHSYGHSPTTANYGSQTEDLPQAPSGLAAAGRAAREKPLSASDGEGGTPQPSRAYSYFASSSPPLSPSSPSESPTFSPGKMGPRATAEFSTQTPSPAPASDMPRSPGAPTPSPMVAQGTQTPHRPSTPRLVWQESSQEAPFMVITLASDASSQTRMVHASASTSPLCSPTETQPTTHGYSQTTPPSVSQLPPEPPGPPGFPRVPSAGADGPLALYGWGALPAENISLCRISSVPGTSRVEPGPRTPGTAVVDLRTAVKPTPIILTDQGMDLTSLAVEARKYGLALDPIPGRQSTAVQPLVINLNAQEHTFLATATTVSITMASSVFMAQQKQPVVYGDPYQSRLDFGQGGGSPVCLAQVKQVEQAVQTAPYRSGPRGRPREAKFARYNLPNQVAPLARRDVLITQMGTAQSIGLKPGPVPEPGAEPHRATPAELRSHALPGARKPHTVVVQMGEGTAGTVTTLLPEEPAGALDLTGMRPESQLACCDMVYKLPFGSSCTGTFHPAPSVPEKSMADAAPPGQSSSPFYGPRDPEPPEPPTYRAQGVVGPGPHEEQRPYPQGLPGRLYSSMSDTNLAEAGLNYHAQRIGQLFQGPGRDSAMDLSSLKHSYSLGFADGRYLGQGLQYGSVTDLRHPTDLLAHPLPMRRYSSVSNIYSDHRYGPRGDAVGFQEASLAQYSATTAREISRMCAALNSMDQYGGRHGSGGGGPDLVQYQPQHGPGLSAPQSLVPLRPGLLGNPTFPEGHPSPGNLAQYGPAAGQGTAVRQLLPSTATVRAADGMIYSTINTPIAATLPITTQPASVLRPMVRGGMYRPYASGGITAVPLTSLTRVPMIAPRVPLGPTGLYRYPAPSRFPIASSVPPAEGPVYLGKPAAAKAPGAGGPSRPEMPVGAAREEPLPTTTPAAIKEAAGAPAPAPLAGQKPPADAAPGGGSGALSRPGFEK
NP_003704.3 1 311 0.0809520900321543 PF01569.21:PAP2:132:276 Phospholipid phosphatase 3; Lipid phosphate phosphohydrolase 3; PAP2-beta; Phosphatidate phosphohydrolase type 2b; Phosphatidic acid phosphatase 2b; PAP-2b; PAP2b; Vascular endothelial growth factor and type I collagen-inducible protein; VCIP; EC 3.1.3.4 311 145 19 186 6 Homo sapiens (Human) SwissProt::O14495 1 SwissProt MQNYKYDKAIVPESKNGGSPALNNNPRRSGSKRVLLICLDLFCLFMAGLPFLIIETSTIKPYHRGFYCNDESIKYPLKTGETINDAVLCAVGIVIAILAIITGEFYRIYYLKKSRSTIQNPYVAALYKQVGCFLFGCAISQSFTDIAKVSIGRLRPHFLSVCNPDFSQINCSEGYIQNYRCRGDDSKVQEARKSFFSGHASFSMYTMLYLVLYLQARFTWRGARLLRPLLQFTLIMMAFYTGLSRVSDHKHHPSDVLAGFAQGALVACCIVFFVSDLFKTKTTLSLPAPAIRKEILSPVDIIDRNNHHNMM
NP_004258.2 1 530 0.281245471698113 PF00685.27:Sulfotransfer_1:168:504,PF13469.6:Sulfotransfer_3:325:445 Carbohydrate sulfotransferase 2; Galactose/N-acetylglucosamine/N-acetylglucosamine 6-O-sulfotransferase 2; GST-2; N-acetylglucosamine 6-O-sulfotransferase 1; GlcNAc6ST-1; Gn6ST-1; EC 2.8.2.- 530 337 19 510 1 Homo sapiens (Human) SwissProt::Q9Y4C5 1 SwissProt MSRSPQRALPPGALPRLLQAAPAAAPRALLPQWPRRPGRRWPASPLGMKVFRRKALVLCAGYALLLVLTMLNLLDYKWHKEPLQQCNPDGPLGAAAGAAGGSWGRPGPPPAGPPRAHARLDLRTPYRPPAAAVGAAPAAAAGMAGVAAPPGNGTRGTGGVGDKRQLVYVFTTWRSGSSFFGELFNQNPEVFFLYEPVWHVWQKLYPGDAVSLQGAARDMLSALYRCDLSVFQLYSPAGSGGRNLTTLGIFGAATNKVVCSSPLCPAYRKEVVGLVDDRVCKKCPPQRLARFEEECRKYRTLVIKGVRVFDVAVLAPLLRDPALDLKVIHLVRDPRAVASSRIRSRHGLIRESLQVVRSRDPRAHRMPFLEAAGHKLGAKKEGVGGPADYHALGAMEVICNSMAKTLQTALQPPDWLQGHYLVVRYEDLVGDPVKTLRRVYDFVGLLVSPEMEQFALNMTSGSGSSSKPFVVSARNATQAANAWRTALTFQQIKQVEEFCYQPMAVLGYERVNSPEEVKDLSKTLLRKPRL
NP_004648.1 158 425 0.672813805970149 PF15237.6:PTRF_SDPR:1:137 Caveolae-associated protein 2; Cavin-2; PS-p68; Phosphatidylserine-binding protein; Serum deprivation-response protein 425 137 19 268 0 Homo sapiens (Human) SwissProt::O95810 1 SwissProt LIFQEENEIPASVFVKQPVSGAVEGKEELPDENKSLEETLHTVDLSSDDDLPHDEEALEDSAEEKVEESRAEKIKRSSLKKVDSLKKAFSRQNIEKKMNKLGTKIVSVERREKIKKSLTSNHQKISSGKSSPFKVSPLTFGRKKVREGESHAENETKSEDLPSSEQMPNDQEEESFAEGHSEASLASALVEGEIAEEAAEKATSRGSNSGMDSNIDLTIVEDEEEESVALEQAQKVRYEGSYALTSEEAERSDGDPVQPAVLQVHQTS
NP_005049.1 110 496 0.702706718346252 PF08081.11:RBM1CTR:65:109 RNA-binding motif protein, Y chromosome, family 1 member A1; RNA-binding motif protein 1; RNA-binding motif protein 2; Y chromosome RNA recognition motif 1; hRBMY 496 45 19 387 0 Homo sapiens (Human) SwissProt::P0DJD3 1 SwissProt RSARGSRGGTRGWLPSHEGHLDDGGYTPDLKMSYSRGLIPVKRGPSSRSGGPPPKKSAPSAVARSNSWMGSQGPMSQRRENYGVPPRRATISSWRNDRMSTRHDGYATNDGNHPSCQETRDYAPPSRGYAYRDNGHSNRDEHSSRGYRNHRSSRETRDYAPPSRGHAYRDYGHSRRDESYSRGYRNRRSSRETREYAPPSRGHGYRDYGHSRRHESYSRGYRNHPSSRETRDYAPPHRDYAYRDYGHSSWDEHSSRGYSYHDGYGEALGRDHSEHLSGSSYRDALQRYGTSHGAPPARGPRMSYGGSTCHAYSNTRDRYGRSWESYSSCGDFHYCDREHVCRKDQRNPPSLGRVLPDPREACGSSSYVASIVDGGESRSEKGDSSRY
NP_005641.1 362 1548 0.788810614995786 transcription factor 20 isoform 1 1960 0 19 1187 0 Homo sapiens NP_005641.1 1 RefSeq QNFSPISNPSPAASVVQSPSCSSTPSPLMQTGENLQCGQGSVPMGSRNRILQLMPQLSPTPSMMPSPNSHAAGFKGFGLEGVPEKRLTDPGLSSLSALSTQVANLPNTVQHMLLSDALTPQKKTSKRPSSSKKADSCTNSEGSSQPEEQLKSPMAESLDGGCSSSSEDQGERVRQLSGQSTSSDTTYKGGASEKAGSSPAQGAQNEPPRLNASPAAREEATSPGAKDMPLSSDGNPKVNEKTVGVIVSREAMTGRVEKPGGQDKGSQEDDPAATQRPPSNGGAKETSHASLPQPEPPGGGGSKGNKNGDNNSNHNGEGNGQSGHSAAGPGFTSRTEPSKSPGSLRYSYKDSFGSAVPRNVSGFPQYPTGQEKGDFTGHGERKGRNEKFPSLLQEVLQGYHHHPDRRYSRSTQEHQGMAGSLEGTTRPNVLVSQTNELASRGLLNKSIGSLLENPHWGPWERKSSSTAPEMKQINLTDYPIPRKFEIEPQSSAHEPGGSLSERRSVICDISPLRQIVRDPGAHSLGHMSADTRIGRNDRLNPTLSQSVILPGGLVSMETKLKSQSGQIKEEDFEQSKSQASFNNKKSGDHCHPPSIKHESYRGNASPGAATHDSLSDYGPQDSRPTPMRRVPGRVGGREGMRGRSPSQYHDFAEKLKMSPGRSRGPGGDPHHMNPHMTFSERANRSSLHTPFSPNSETLASAYHANTRAHAYGDPNAGLNSQLHYKRQMYQQQPEEYKDWSSGSAQGVIAAAQHRQEGPRKSPRQQQFLDRVRSPLKNDKDGMMYGPPVGTYHDPSAQEAGRCLMSSDGLPNKGMELKHGSQKLQESCWDLSRQTSPAKSSGPPGMSSQKRYGPPHETDGHGLAEATQSSKPGSVMLRLPGQEDHSSQNPLIMRRRVRSFISPIPSKRQSQDVKNSSTEDKGRLLHSSKEGADKAFNSYAHLSHSQDIKSIPKRDSSKDLPSPDSRNCPAVTLTSPAKTKILPPRKGRGLKLEAIVQKITSPNIRRSASSNSAEAGGDTVTLDDILSLKSGPPEGGSVAVQDADIEKRKGEVASDLVSPANQELHVEKPLPRSSEEWRGSVDDKVKTETHAETVTAGKEPPGAMTSTTSQKPGSNQGRPDGSLGGTAPLIFPDSKNVPPVGILAPEANPKAEEKENDTVTISPKQEGFPPKGYFPSGKKKGRPIGSVN
NP_006323.2 1 250 0.1556492 PF03227.16:GILT:63:164 Gamma-interferon-inducible lysosomal thiol reductase; Gamma-interferon-inducible protein IP-30; Legumaturain; EC 1.8.-.- 250 102 19 250 0 Homo sapiens (Human) SwissProt::P13284 1 SwissProt MTLSPLLLFLPPLLLLLDVPTAAVQASPLQALDFFGNGPPVNYKTGNLYLRGPLKKSNAPLVNVTLYYEALCGGCRAFLIRELFPTWLLVMEILNVTLVPYGNAQEQNVSGRWEFKCQHGEEECKFNKVEACVLDELDMELAFLTIVCMEEFEDMERSLPLCLQLYAPGLSPDTIMECAMGDRGMQLMHANAQRTDALQPPHEYVPWVTVNGKPLEDQTQLLTLVCQLYQGKKPDVCPSSTSSLRSVCFK
NP_009358.1 1 415 0.283869879518072 PF07970.12:COPIIcoated_ERV:143:399,PF13850.6:ERGIC_N:7:95 ER-derived vesicles protein ERV46 415 346 19 392 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39727 1 SwissProt MKRSTLLSLDAFAKTEEDVRVRTRAGGLITLSCILTTLFLLVNEWGQFNSVVTRPQLVVDRDRHAKLELNMDVTFPSMPCDLVNLDIMDDSGEMQLDILDAGFTMSRLNSEGRPVGDATELHVGGNGDGTAPVNNDPNYCGPCYGAKDQSQNENLAQEEKVCCQDCDAVRSAYLEAGWAFFDGKNIEQCEREGYVSKINEHLNEGCRIKGSAQINRIQGNLHFAPGKPYQNAYGHFHDTSLYDKTSNLNFNHIINHLSFGKPIQSHSKLLGNDKRHGGAVVATSPLDGRQVFPDRNTHFHQFSYFAKIVPTRYEYLDNVVIETAQFSATFHSRPLAGGRDKDHPNTLHVRGGIPGMFVFFEMSPLKVINKEQHGQTWSGFILNCITSIGGVLAVGTVMDKLFYKAQRSIWGKKSQ
NP_009375.1 1 261 0.206222222222222 PF11561.8:Saw1:1:243 Single-strand annealing weakened protein 1 261 243 19 261 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39735 1 SwissProt MAPSIATVKIARDMVLPLRIFVNRKQILQTNDKTSNKSNATIFEAPLLSNNSIICLKSPNTRIYLSQQDKKNLCDEIKEDLLLIVYELASPEIISSVLSKIRVGHSTDFQINVLPKLFAGADTDNAVTSHIQSVTRLAKFKYKLHYKHKWELDIFINSIKKIANLRHYLMFQTLTLNGFSLNAGPKTLLARKIEKQPQVPNLLIENGDADALDTPVEEDIKPVIEFMYKPVINLGEIIDVHVLHRPRRHKVRTQSKQPQEE
NP_009736.3 1 451 0.228450554323725 PF00561.20:Abhydrolase_1:166:430,PF12146.8:Hydrolase_4:167:407 Medium-chain fatty acid ethyl ester synthase/esterase 2; Alcohol O-acetyltransferase; Ethanol hexanoyl transferase 1; EC 2.3.1.84; EC 3.1.1.- 451 265 19 451 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38295 1 SwissProt MSEVSKWPAINPFHWGYNGTVSHIVGENGSIKLHLKDNKEQVDFDEFANKYVPTLKNGAQFKLSPYLFTGILQTLYLGAADFSKKFPVFYGREIVKFSDGGVCTADWLIDSWKKDYEFDQSTTSFDKKKFDKDEKATHPEGWPRLQPRTRYLKDNELEELREVDLPLVVILHGLAGGSHEPIIRSLAENLSRSGRFQVVVLNTRGCARSKITTRNLFTAYHTMDIREFLQREKQRHPDRKLYAVGCSFGATMLANYLGEEGDKSPLSAAATLCNPWDLLLSAIRMSQDWWSRTLFSKNIAQFLTRTVQVNMGELGVPNGSLPDHPPTVKNPSFYMFTPENLIKAKSFKSTREFDEVYTAPALGFPNAMEYYKAASSINRVDTIRVPTLVINSRDDPVVGPDQPYSIVEKNPRILYCRTDLGGHLAYLDKDNNSWATKAIAEFFTKFDELVV
NP_009745.2 1 300 0.273038 Pachytene checkpoint protein 2 564 0 19 300 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38126 1 SwissProt MSYIVDLQVRGSSLRVIKCMFREDEQISSLHSGSDSKQNSNKKLGEFLNLLKAVVKRKLESFPKDRLKTSIITGQELMREGQGSIEIKDPPTEAQQHLIRSLAKVLLHQFSSINGKVNTVNEGQDNLFLSLFVKKISIEQQSTSHVSIKLNFHEKINLGQHIDSILDSEETNESDTYHMGSVDEFIIYPFCCLEEQDELKNGSILSTEFDKIDLELDEDDGFEGETLNNCINSVGNFDIPLSKQTLNLVNISYLPGTTFEGQWESLYFGNNIKERLYSYATISLKIARFKQTGDSNQEDI
NP_009789.1 1 134 0.207367164179104 Mitochondrial outer membrane protein OM14; Outer membrane protein of 14 kDa 134 0 19 134 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38325 1 SwissProt MSATAKHDSNASPNSDSEDGHHHNNKKECAIEYLKARLNSASAVACGYLQAFVSKTQDFAKVCFLELQNPVVLVNLLLHSSVVCYLCNGYANHNARFLKGKPNSTVLATTAGALGLLTLDGIISKKYYSRYDKK
NP_009950.1 1 332 0.128581927710843 PF01036.18:Bac_rhodopsin:36:269 30 kDa heat shock protein 332 234 19 179 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25619 1 SwissProt MNDTLSSFLNRNEALGLNPPHGLDMHITKRGSDWLWAVFAVFGFILLCYVVMFFIAENKGSRLTRYALAPAFLITFFEFFAFFTYASDLGWTGVQAEFNHVKVSKSITGEVPGIRQIFYSKYIAWFLSWPCLLFLIELAASTTGENDDISALDMVHSLLIQIVGTLFWVVSLLVGSLIKSTYKWGYYTIGAVAMLVTQGVICQRQFFNLKTRGFNALMLCTCMVIVWLYFICWGLSDGGNRIQPDGEAIFYGVLDLCVFAIYPCYLLIAVSRDGKLPRLSLTGGFSHHHATDDVEDAAPETKEAVPESPRASGETAIHEPEPEAEQAVEDTA
NP_010139.1 1 283 0.0708943462897526 PF01066.21:CDP-OH_P_transf:69:133 Cardiolipin synthase (CMP-forming); CLS; EC 2.7.8.41 283 65 19 217 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07560 1 SwissProt MIQMVPIYSCSALLRRTIPKRPFYHVLSGLTVRFKVNPQLNYNLFRDLTRREYATNPSKTPHIKSKLLNIPNILTLSRIGCTPFIGLFIITNNLTPALGLFAFSSITDFMDGYIARKYGLKTIAGTILDPLADKLLMITTTLALSVPSGPQIIPVSIAAIILGRDVLLAISALFIRYSTLKLKYPGRVAWNSYWDIVRYPSAEVRPSQLSKWNTFFQMVYLGSGVLLLLYEKEEGCEKTEEDFEDRKQDFQKAFSYLGYVTATTTIMSGVSYALKRNAFKLLK
NP_010622.1 1 1224 0.114665196078431 Protein MSN5 1224 0 19 1224 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P52918 1 SwissProt MDSTGASQIVSALDVIYSPKSNNSQRQEAQKFLDEVKLCSESPFWGYEIALQNPTNSILKYFGLGLLDHAVKKNWNDYDEGKRVALRKWVMELNFGVQDYDTRYIKEKLATLWVEVAKRTWGEALKQTNPTEEQLLTSWVDMDNNLFELWNINQSSRELALIIFRILFEDVFLLDDLIVLKRMTVIQPLCVMIVCPIEVFAIKYKFSDKWTKFKANEEGWFSVWIPELNNALQQNNSEYIIRLLETLKTCLNWPLTEVIVRNDVLSSLLTCLSSNIPRAQSMALDSIHILLTRPYSNESHYQMTIDRVFDNMDLLDSVYESLLFDPTDDIDETKYPIIKKFVDMISCLYVCVPKIKETNGQIQKYFKLVLKTTYNPSLIVSGLTLDLWCTCLRNDEYLPKLEKYVIPDLLQFAADALVYYEQIDGHISKKFAEIDFQSKSEFQTFCSTYRKRIRDIIRLISCVELDLTYDWLNNRLNNYFSSPFGQQVLSSTFLDHKLEPYLGALSQYMIVECFINGCIRWKIWYPTGDDYDEKLDSILQKLEILSNQLIALNLREPLLLKKQIQNFALFLTMLKDNVLFTLLEKIITSATMDYPEINLEERGAESDAVRDLRYACGIELNRMALLMPESLKKIYPDLESVIARIMPNLSYHEKISFKSFLLIIVLKSSLDMKEERFAAIVDPELLAWSDKTTVVGLSDLHWFMERLGIVQIAEYFQRRDIDENSDLLSIPIDDEGKELKSELTKRWQSLFPVRATRMFIHYSMQSIKTDEEFKMLQDLWRPRIVPILPYITRLLYQLQSYHDPDNWKGLPTVVQSFVKYSTIERFWEAGASNKSKDEFIDEHMKAMQTLRDFADSVGHIIRYTREYTLLVLSAISSLGSVFYLLDESPDLLLNSIAIFKPGSNEISPGVSTHGWKHIMNIAIRPILKGCPKDCLGKFMPAFLPKLFEILDLLLCQKWSSHMNDMDMNPVPTDDDQMTEEILEENLLRQLTTVVVRIVIDCVGQGNANPNSAKSRLNNHQMEMRKIIFNDLNTLAPFLKLLNHLISFKDTKCSFNSILVMKCCLTSVLNQNNTVDEYFTFEVMKNLLLNVLCNSAFKDSFHEALYAFTVIFLTLCKEYPSARAFLFEISNGYNIDELYRNLRSVDEYKTQRALMIDFIDWVKSTSGKEDGNVDHAGDERKRQEKREAILKKANERLIKKNKENGDMLDDPNIEDGAVGNLFDDN
NP_011362.3 66 341 0.525357246376812 PF04695.13:Pex14_N:18:58 Peroxisomal membrane protein PEX14; Peroxin-14 341 41 19 276 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53112 1 SwissProt KKIGSTENRASQDMYLYEAMPPTLPHRDWKDYFVMATATAGLLYGAYEVTRRYVIPNILPEAKSKLEGDKKEIDDQFSKIDTVLNAIEAEQAEFRKKESETLKELSDTIAELKQALVQTTRSREKIEDEFRIVKLEVVNMQNTIDKFVSDNDGMQELNNIQKEMESLKSLMNNRMESGNAQDNRLFSISPNGIPGIDTIPSASEILAKMGMQEESDKEKENGSDANKDDNAVPAWKKAREQTIDSNASIPEWQKNTAANEISVPDWQNGQVEDSIP
NP_011860.1 1 411 0.0966260340632605 PF03798.16:TRAM_LAG1_CLN8:173:377,PF08390.11:TRAM1:110:167 Ceramide synthase LAG1; Longevity assurance factor 1; Longevity assurance gene 1 protein; Longevity assurance protein 1; Sphingosine N-acyltransferase LAG1; EC 2.3.1.-; EC 2.3.1.24 411 263 19 263 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38703 1 SwissProt MTSATDKSIDRLVVNAKTRRRNSSVGKIDLGDTVPGFAAMPESAASKNEAKKRMKALTGDSKKDSDLLWKVWFSYREMNYRHSWLTPFFILVCVYSAYFLSGNRTESNPLHMFVAISYQVDGTDSYAKGIKDLSFVFFYMIFFTFLREFLMDVVIRPFTVYLNVTSEHRQKRMLEQMYAIFYCGVSGPFGLYIMYHSDLWLFKTKPMYRTYPVITNPFLFKIFYLGQAAFWAQQACVLVLQLEKPRKDYKELVFHHIVTLLLIWSSYVFHFTKMGLAIYITMDVSDFFLSLSKTLNYLNSVFTPFVFGLFVFFWIYLRHVVNIRILWSVLTEFRHEGNYVLNFATQQYKCWISLPIVFVLIAALQLVNLYWLFLILRILYRLIWQGIQKDERSDSDSDESAENEESKEKCE
NP_011968.1 1 185 0.174583783783784 PF09419.10:PGP_phosphatase:3:166,PF13242.6:Hydrolase_like:128:168 Phosphatidylglycerophosphatase GEP4, mitochondrial; Genetic interactor of prohibitins 4; PGP phosphatase GEP4; EC 3.1.3.27 185 166 19 185 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38812 1 SwissProt MNISGTLNTLRLLYNPSLCKPSLVVPTFNDLPIPIHDSIKAVVLDKDNCIAFPHDDKIWPDYLQHWETLRSKYSNKALLIVSNTAGSNSDKDYSQAKLLEDKTGIPVLRHSTKKPGCHNEILDYFYRNKTITNPKEVAVVGDRLFTDILMANLMGSYGVWIRDGVKVSANPLSKFEKKLYNFLGF
NP_012224.1 1 138 0.00708768115942029 PF12716.7:Apq12:38:83 Nuclear membrane organization protein APQ12 138 46 19 92 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40532 0 SwissProt MDATQPQYELSVVTQCLKSAIDVIQWLIPTITKFSQSHPLVFQLLFIFFTFYVFYKLLMNFITLVKRFLYLTLVVTCIGIYMRGSQQFLTVDLLNFYNFVMSNRYYAFKIYTLFINALEREINTVYHLAQMKMEQLLK
NP_012451.1 1 1046 0.502840439770555 PF02752.22:Arrestin_C:360:526,PF00339.29:Arrestin_N:251:341 Arrestin-related trafficking adapter 3; Arrestin-like protein 2 1046 258 19 1046 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47029 1 SwissProt MPMDQSISSPLFPMEKDIDIPLDATPLAQSSSLQLFIHLAEPVVFLQGFDPQKTEYPSVVLRGCLVVRILKPTKLKSISLSFKGYSRTEWPEGIPPKRQEFVEIKDIVDHTWALYPPTEQKSKKKMDASAPNESNNAANNFLTKESGASLYRTLSDNETITSRKNSISGLSSLNLSPLGAPGNSSVNVKDRESRQRSRSSSVTSSNGPSRNLSPINLLKRATSPSVSHHNYKPTTTSIFSDLLNNTFTHNDAASHHGHHIPTSSNHLAMTSNNFTSGSGGEFFVFQPGDYIYAFEELIPQAYPESIKADFGFVEYFLFASIERPGAFKSNISARQVVNIVRTQAHNSVEESEPIIISRDWENQLYYDIVIASKDIILDAFLPITFKFAPLDKVTLHRIRIYVTETMEYYCREKKVHRMEPTKKFLLTEQKGPKLPNLPNDANLSKAKNMGNLLQDPKNGDLVNKEYEYQIFIPSRFNNHQQLHPDTSYENIKANHWIKICLRLSRVVDNKRKHYEISIDSPIHVLHRLCSHANTLLPSYDGHPASFPKETDSSISSILESSDDNINLYHNSNIFFPKEVLSSPVLSPNVQPLDILIPHLPSTSLTRNSRQFNRNSKSHPSDNTIFNSAKLKSNIYQPESLQRELASPQAIPLSPITSPMSNMEVPPPDFDFSSDFISDAASGTTTTEVSSSESSILPRDPPSYKDTVLHDNNQKRRPNSKHPTPPSLKASHPNKNSDKNSSETLNKKESMSKIEENKHKRETTPKKRENRDVKSLSTPQREESKDSTSTGNQSNEKNRKRVLSLSSSLHSSPNNSGFAHSALGNLSNESLRSLNRRESVQDNLPSTIRHDNPFFTDLNQVLIEDELKNHDKNELNRHSTNTSSTPASARSSFDYSGINISKDKLNMEPLLSKTETLTNKVNEDSFLRPNDSYVDLLEPSVDTTIDITAPYARNSSAWHPLQNDNDNNQFSPLLGSNENFLNAANAQNSAESDHNNDIFTQGSGLTESSKNSDSEERFISRLSSPEKVLINTLDNESGLQSINESTL
NP_012757.1 1 341 0.394202346041056 PF00399.19:PIR:64:81,PF00399.19:PIR:83:100,PF00399.19:PIR:102:119,PF00399.19:PIR:126:143,PF00399.19:PIR:145:162,PF00399.19:PIR:164:181,PF00399.19:PIR:183:199,PF00399.19:PIR:203:219 Cell wall mannoprotein PIR1; Covalently-linked cell wall protein 6; Protein with internal repeats 1 341 142 19 341 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03178 1 SwissProt MQYKKSLVASALVATSLAAYAPKDPWSTLTPSATYKGGITDYSSTFGIAVEPIATTASSKAKRAAAISQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTKAAAVSQIGDGQIQATTKTTSAKTTAAAVSQIGDGQIQATTKTKAAAVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTKTTAAAVSQIGDGQIQATTNTTVAPVSQITDGQIQATTLTSATIIPSPAPAPITNGTDPVTAETCKSSGTLEMNLKGGILTDGKGRIGSIVANRQFQFDGPPPQAGAIYAAGWSITPEGNLAIGDQDTFYQCLSGNFYNLYDEHIGTQCNAVHLQAIDLLNC
NP_012814.1 1 453 0.553762472406181 PF11719.8:Drc1-Sld2:7:73,PF11719.8:Drc1-Sld2:79:453 DNA replication regulator SLD2; DNA replication and checkpoint protein 1 453 442 19 453 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34252 1 SwissProt MYSFELDKLKIELKTWEHDFIDKNKREPTRDDIKSLRTVRQMYKQYSTLKKKQSLQRQKVDTQESVELPAHKKDHDEVVEIGPTPQVYGKAISIFDMNLSPIKPIYMTFTNNIDVNNDNSKTISNESSPRKTILLKSSPADRTLVAEPISSVKRQLNFQMLNASSTRTPTSSPCKNRNGKLVEIKKCSPTINPPLESGKPSGYYGPNSPLKLDEENIHLNISLNSSTKRRLQIAYPSLQKTPSKDQADISTSFSPSPLIRRPLTKSLIELAREHTEIVKEFGVLQEEDIEEEEEGEEGENGYDEKNHEDDFGLEDELIRPKVVKDIFQEDDDNDDSQAREDTFIRKRPKRRKVIRRLRDNDPETETAGFERDVHKELVKLKRRKVAEFLGSTSQISDTEFEHDPEASSGVVSSEQKPTAKRKGRKKYNLVSNNFRRLKLPKKNRFSNGRWGRR
NP_012881.1 1 366 0.457060928961749 PF04383.13:KilA-N:208:253 Putative transcription factor PHD1 366 46 19 366 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36093 1 SwissProt MYHVPEMRLHYPLVNTQSNAAITPTRSYDNTLPSFNELSHQSTINLPFVQRETPNAYANVAQLATSPTQAKSGYYCRYYAVPFPTYPQQPQSPYQQAVLPYATIPNSNFQPSSFPVMAVMPPEVQFDGSFLNTLHPHTELPPIIQNTNDTSVARPNNLKSIAAASPTVTATTRTPGVSSTSVLKPRVITTMWEDENTICYQVEANGISVVRRADNNMINGTKLLNVTKMTRGRRDGILRSEKVREVVKIGSMHLKGVWIPFERAYILAQREQILDHLYPLFVKDIESIVDARKPSNKASLTPKSSPAPIKQEPSDNKHEIATEIKPKSIDALSNGASTQGAGELPHLKINHIDTEAQTSRAKNELS
NP_012886.3 1 1170 0.46697829059829 PF00172.18:Zn_clus:45:84 Glucose transport transcription regulator RGT1; Restores glucose transport protein 1 1170 40 19 1170 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32862 1 SwissProt MNELNTVSTNSSDSTKNGGTSNSPDDMDSAAAASHAIKKRTKASRACDQCRKKKIKCDYKDEKGVCSNCQRNGDRCSFDRVPLKRGPSKGYTRSTSHPRTNEIQDHNNSRSYNTFDNSNNTLNNNTGNSGDNGINSNTVPSTPSRSNSVLLPPLTQYIPQAGGIPPSFQNPAIQSTMPAGNIGQQQFWKVPYHEFQHQRKGSIDSLQSDISVRTLNPNEQLSYNTVQQSPITNKHTNDSGNANGSVTGSGSASGSGGYWSFIRTSGLLAPTDDHNGEQTRRSSSIPSLLRNTSNSLLLGGQPQLPPPQQQSQPQAHQQKLQQGQNLYSYSQFSQQQPYNPSISSFGQFAANGFHSRQGSVASEAMSPSAPAMFTSTSTNPVNVAQQTQRPQGQQVPQFSSELDGNKRRQSAPVSVTLSTDRLNGNENNNGEINNNNGSNNSGSSKDTSQHSQESVTTPAALEASSPGSTPQRSTKKRRKSYVSKKTKPKRDSSISITSKDSAHPMTTSSTIAYGQISDVDLIDTYYEFIHVGFPIIPLNKTTLTSDLLLVNTQPISNIHEVNSYVILWFRNSLELLVRVALKQKPGGKFFDNIVGVALSPSNDNNKAGFTTATARDDAEKTRRDSHNEVQDTLEVQSVFIAALNECFQKIVDIHPKFRENNDQISPKIKVIYLSTFILLNYILAFVGYDNSFVLGMSVTIFNEFKLYKLLLFPEPDINDVKPPVDEEVSTGNGNTKTSEFEIGSESAGHMNPSNSPNSMDENISHYSVLFKRLYVLLSVFDSLQSCAFGGPKLLNISIQGSTERFFSNDLGSKWCLEQSQLRLKSVLQSLKLGELMSELTRNRISMNGNRKPGFDITNSSSLLSEYVETQPLSVAQLFCKLLIGKHNFINCLLSLYDSEAGVYSDLTLDLSSKIADSLCSLISIILQVLTLILRLNPTNSIDFNYRPPNPPANNPTVQEGPSAMGSSPVAGNLSAAPPSEGNPDFYKKLLGLKQDTGTILSDLCRGIISPFAIAILHEVYNITELVKQMPTSLISIMMTATTTQNTQDTKKSQDLVMKLSNSMNEVVQITSVLTMIKPFKIFEHELNKPIMSLTGGLSSTTRNDVMWPKSGQGLRESSVMKTLLDERRTSGTQPTTAPVAAEEPRLENVALENFVSIGWKLLDDSELGWY
NP_013124.1 1 1872 0.153728632478632 PF02207.20:zf-UBR:98:169 E3 ubiquitin-protein ligase UBR2; RING-type E3 ubiquitin transferase UBR2; Ubiquitin-protein ligase E3 component N-recognin-1 homolog; EC 2.3.2.27 1872 72 19 1872 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07963 1 SwissProt MEDSDLSITNIRDFLTELPKLAKCEYSETTSYLLWKTLNLRLKHSDNDINWRSLVSILNSEAWENEKYRDILNGRKWRTLEFENDHHSVGNMHIGTACTRLCFPSETIYYCFTCSTNPLYEICELCFDKEKHVNHSYVAKVVMRPEGRICHCGDPFAFNDPSDAFKCKNELNNIPISNDNSNVTDDENVISLLNYVLDFLIDVTVSYKEEAEAHSSERKASSLMHPNQNSITDDIMEKHECEPLVNDENFVFFDNNWSNTRKEAHMEWAIQIEEEECNVHYMDLASTITRILNTPVEYAISITKALEDSHDVVTVLQSENFFEIDQIAKEFQKENIVVHVRKADDIFKRKLTDDLTDWLYSLCFKAATSLQNKYALRISMLDVWYSHFSKMRVSPTNTNPDFSKINLLGGFLISNEDSDESWFKPWSLENIEDERISKILTNYNERLIRAHSPNTVSHFYNFYGSRFQYIIINSINILSKKSKFKMLKIMASLFSLRDESRKFLAAQYIDVYLSVLYDAVASDAKECQVTLMSILGQYTFQDPSIANMTISSGFIERTIRFAFTLMAFNPEDLMSYLPISLYNGFKLPTETIRNRRTIICFKDLCTIMSANTVPEELLSNEAIFNAIIESFSEFSNVLPLKRETKEHVEVENFDFSAFYFFFSSILIMTDGYTRSISLVKDAAFRKQIVLKLLDVAQTREFESLTNSRKAISPDNASTNENDSNKATLSTVRETICNYVAETINFQVGVNTQYFFNPMSYLFKFVIQWSQCGRYEPIPASLTNYINLFEVFQDKQKALYISESALSTLVLIGQINVGFWVRNGTPITHQARMYTKYSMREFTYISDIFNVQFSMAMCNPDELMVTYLSRWGLKHWANGVPMYDYPDTETTVAVVNECILLLIQLLTEVRSLVMKSSKEGFERTFKSEIIHALCFDTCSYAQIVNCIPEHITKHPSFDIYLEKYANYTSPVSLTDNGIFVLKEKYKDEIDPYYIGLSSSRRYDVEKNIRLNMANLKKMKYEDTFVPAKKVKDLLKNTLFSGLYSISSVNTFGLFLKNTLDHIIKYDYDNLLPRVVHLIHLCVVNNLNEFMGILWHEYAIVDTEFCHYHSIGSILYYCLLKDNFSESHGKIREIFRYLMETAPHVNVNSYLREQTTSYTPGILWPTKEDKSHKDKEFERKKHLARLRKKKLMKKLAQQQMKFMENNSVDTSDISTPRTTSPSLSPTRINAENSSNTINSCCDDDCVFCKMPKDDDVFVYFSYQERNICDHGIDFTNPTDVNRINSLFSGKQTKDSAIQENPQDDDGTRLKFTSCEPVLRACGHGSHTKCLSGHMKSIRGIQNQTTKNIPLSYGSGLIYCPVCNSLSNSFLPKTNDIDKRTSSQFFMCIEKRSEAEENLDPMSSICIKAAMILGDLQGKKVTTIEDAYKVVNSVFINTISNTELRLRSHKKEGKIVNMERISSQCILTLHLVCELKSFIYKKFVNSKTFSSEISRKIWNWNEFLIKGNNVNLLLYMSQNFDNIDGGKTPQPPNLCIYEMFKRRFHQLLLLLARDMMRVNFYKDCRNKIKISSNGSEEPSTSFSYLFNTFKKYVDLFKPDDVRFDFTSLEKIKDFICSLLLESLSIFCRRTFLLFNIQYDDDGDGDNNNNRSNNFMDVKQREIELIFRYFKLPNLTHFLKDFFYNELTQNIERYNDGNDNLRIQQVIYDMVQNINTRAYPSPEHIQLIELPLNLSKFSLDNDEISNKCDKYEIAVCLLCGQKCHIQKSIALQGYLQGECTDHMRNGCEITSAYGVFLMTGTNAIYLSYGKRGTFYAAPYLSKYGETNEDYKFGTPVYLNRARYANLANEIVFGNMIPHIVFRLTDGSADLGGWETM
NP_013249.1 349 918 0.088348596491228 PF00637.20:Clathrin:237:391 Vacuolar membrane protein PEP3; Carboxypeptidase Y-deficient protein 3; Vacuolar morphogenesis protein 8; Vacuolar protein sorting-associated protein 18; Vacuolar protein-targeting protein 18 918 155 19 570 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P27801 1 SwissProt DNKFDKALSLKGLTVREIESVKLSKAMYLFHTAKDFHSAAQTLGSMKDLSHFGEIALNFLQIKDYNDLNVILIKQLDNVPWKSTQVVLSSWIIWNFMKQLNDIELKINTTKPASTDEDNLLNWNLNLKEKSNELTKFLESHLEKLDNETVYQIMSKQNRQNELLIFASLINDMKFLLSFWIDQGNWYESLKILLTINNHDLVYKYSLILLLNSPEATVSTWMKIKDLDPNKLIPTILKFFTNWQNNSKLITNISEYPENYSLTYLKWCVREVPKMCNPIVYNSILYMMITDPRNDMILENDIIKFMKSNENKYDLNFQLRLSLKFKKTKTSIFLLTRLNLFEDAIDLALKNNLIDDCKVIVNDEILIEDYKLRKRLWLKIAKHLLLSMKDIDIKQLIRTILNDSNEILTIKDLLPFFNEYTTIANLKEELIKFLENHNMKMNEISEDIINSKNLKVEINTEISKFNEIYRILEPGKSCDECGKFLQIKKFIVFPCGHCFHWNCIIRVILNSNDYNLRQKTENFLKAKSKHNLNDLENIIVEKCGLCSDININKIDQPISIDETELAKWNE
NP_013306.2 1 317 0.105124605678233 PF01126.20:Heme_oxygenase:17:252 Heme-binding protein HMX1 317 236 19 294 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32339 1 SwissProt MEDSSNTIIPSPTDVGALANRINFQTRDAHNKINTFMGIKMAIAMRHGFIYRQGILAYYYVFDAIEQEIDRLLNDPVTEEELQTSTILKQFWLEDFRRSTQIYKDLKLLYSNTFKSTESLNEFLATFQKPPLLQQFINNIHENIHKEPCTILSYCHVLYLALFAGGKLIRSNLYRRLGLFPNFEKLSQKELVKKGTNFFTFSDLGPTEETRLKWEYKKNYELATRTELTEAQKLQIISVAEGIFDWNFNIVAEIGELNRRELMGKFSFKCITYLYEEWMFNKDSATRRALHTVMLLVLSIIAIWVLYFLVKSFLSIV
NP_013424.1 1 1454 0.227539133425034 PF09462.10:Mus7:758:1022 E3 ubiquitin-protein ligase substrate receptor MMS22; Methyl methanesulfonate-sensitivity protein 22; Synthetically lethal with MCM10 protein 2 1454 265 19 1454 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06164 1 SwissProt MDVDEPNPIVISDSEATDEEISIIYEPEFNENYLWAEENVQEASRSQKIVTERLSLDSTAGESCTPSVVTDTQVTTGLRWSLRKRKAIQKMPYSLERIKHRQLLEGYDISSFDSISNQLTLPKNASTVIHSNDILLTKRTGKPLDEQKDVTIDSIKPENSSVQSQRYDSDEEIPKKRHRTFKDLDQDIVFQSGDSTEDEQDLASTNLQNTQNDEVIFRGRVLNVRTGYRGVLPRVAWEKSLQKQQSSKVTKRKTQLLNHKGVAKRKMNRSAHIEDEEQNLLNDLIAPDDELDIEENAPPDIYLGNLPEDREANEKELKELQEYYESKYSEDAQSAGTSGFNLNEEYRNEPVYELEYDGPGSCISHVSYKDQPIIYLNSRHSDSGFSEQYNISAEDNQSVISLDAAEEHNDGIIDKMLVKPKRIKATNDANFLNTKSKRVRRYKYKYRNSCLAPSTKAIKVGKRSAHKSHLAANNPVSFVSKKNHVIDDYFFEELESQSLEQDDSSSLKPQKKRRKKKAPIYSSFSADLESRRKPVFNTVVEVPTNRYAFTKPNVRNRDSINHDMEFEEEDSNQELGPIMVVLDSILLKKPFEPPNFFKIQLSDKSFLLSKLNPADIATSLQKIFRVIIDKGITDTELVHFNESLIAFLVHLDMPELFDLIGEFHREFRSKVNSLRKKAKPIHFFQIAACQLMFLEISRYNKISAAAKFDMDVKLLDHIVSFFKLLSVCYDSVMKNPMQYLYTSYYILSAVVDVIHKKEALWDLFQKHPFSPHISLLLVNIFPTKVCRWQVLRLDSEFQPLSSAFRFINYCIETCNWNVTNSLILSLDRIFKRRRFSDFEEESDLSQNNKIIYPPTNQLTSRLMFNRYLHLLTLCELSSSDTQRVIPMGDISMNDSLSVLKNRLNLLIVLATRFDLNLEKRFQELTRPLYSKEYLNLHTQNTVRTITTLIMQASLSFLEISRIKNHPFSGKFIASLFDKLVLQQPSISGVTENFLKEFTNLVSKMKRKSVSMLKFLYPSLVAMSQENIFESSFFLLLQVYLKSLDVLGPTWVQNYLFQFIKSKAQENERWIECYCQIGKFLVDSGIFTWWTFFTYNGLDAALHFQLAFHSLIIDFCDTDSFELLKKPLYSIASDLLLISKDDAFYHFLSNLLKRAHIIVADLKPVSDENELLRLAYIFSKALKKNAYQDLLAVFLSLAKKHYDEGDISRNFLAKYLEFLNKNCLTELRNNQLFISLRRELGISSDEDEKCAFWDSFNEAGDILSKAAFVETGIVQACCTGNEIDGYLDNLSTLFTSTMLESPFAFFSDLVIAHIFENRPFFDVNIKNFLLSHFIDLFNKVLKMKFEQVSPDEFAELCKVYRALCIECATDDTFNSNSDLIAAKDAFLVSVLRIADGFWEHDKLLQLRMLDSNMNIPNQIPHTTLQSSLSAIVIKIIESNIGKIEASEPFKTFKNT
NP_013873.1 1 244 0.720452049180328 Nucleoporin NUP53; Nuclear pore protein NUP53 475 0 19 244 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03790 1 SwissProt MADLQKQENSSRFTNVSVIAPESQGQHEQQKQQEQLEQQKQPTGLLKGLNGFPSAPQPLFMEDPPSTVSGELNDNPAWFNNPRKRAIPNSIIKRSNGQSLSPVRSDSADVPAFSNSNGFNNVTFGSKKDPRILKNVSPNDNNSANNNAHSSDLGTVVFDSNEAPPKTSLADWQKEDGIFSSKTDNIEDPNLSSNITFDGKPTATPSPFRPLEKTSRILNFFDKNTKTTPNTASSEASAGSKEGA
NP_014172.1 91 590 0.4223718 PF12171.8:zf-C2H2_jaz:248:273,PF00096.26:zf-C2H2:248:272,PF12874.7:zf-met:248:272,PF12756.7:zf-C2H2_2:248:284 J protein JJJ1 590 37 19 500 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53863 1 SwissProt ATVTGVTTDELLLFFNSALYTKIDNSAAGIYQIAGKIFAKLAKDEILSGKRLGKFSEYQDDVFEQDINSIGYLKACDNFINKTDKLLYPLFGYSPTDYEYLKHFYKTWSAFNTLKSFSWKDEYMYSKNYDRRTKREVNRRNEKARQQARNEYNKTVKRFVVFIKKLDKRMKEGAKIAEEQRKLKEQQRKNELNNRRKFGNDNNDEEKFHLQSWQTVKEENWDELEKVYDNFGEFENSKNDKEGEVLIYECFICNKTFKSEKQLKNHINTKLHKKNMEEIRKEMEEENITLGLDNLSDLEKFDSADESVKEKEDIDLQALQAELAEIERKLAESSSEDESEDDNLNIEMDIEVEDVSSDENVHVNTKNKKKRKKKKKAKVDTETEESESFDDTKDKRSNELDDLLASLGDKGLQTDDDEDWSTKAKKKKGKQPKKNSKSTKSTPSLSTLPSSMSPTSAIEVCTTCGESFDSRNKLFNHVKIAGHAAVKNVVKRKKVKTKRI
NP_014616.1 1 113 0.184251327433628 PF08219.11:TOM13:15:91 Mitochondrial import protein 1; Mitochondrial 13 kDa outer membrane protein 113 77 19 113 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08176 1 SwissProt MTEVVGFWESVSDDESEDKDCMEVQNTVSADESPLVQSLVSFVGSCSINLLLPFLNGMMLGFGELFAHELCWRFNWFNHRNKGYKVYPESRKIAALKEISSPGTRGRVASKFL
NP_014723.2 1 732 0.153056830601093 PF00646.33:F-box:209:240,PF00560.33:LRR_1:581:601 Protein DIA2; Digs into agar protein 2 732 53 19 732 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08496 1 SwissProt MSSPGNSGVAIDSTVLKAIELGTRLFKSGEYLQAKRIFTNALRVCDSYSQEQIMRIRNAYQLDTARPDNKRLYHPRYIKILDNICACYEKLNDLKSCLDVSQRLLKLEPGNIKCYIRCTRTLIKLKDWKRAYKTCSRGLQLCNNDSNHLRQQKQFIKNNMVQKQDGKRSYIDPLEETKIAKKKKNNNVLESLPKKKIKGSTKKTDLVGNLPIEILPIIFQRFTTKELVTLSLVCNKWRDKILYHLDCFQEFNLAPINFKNFVKFMDFLQQNFTRTYRKYILSQVKVSSRITSEELRITQLLFSKMPKCINIERLILSMPTLTTTQIFKLMVRGGTDFFTRLLELSLMITYRPDKQHELEILQTCPLLKKIELIFVNSLVPIFDGNNSVGRDGSFNVMARHTNMQISTADNDEQGIVEEKVIYSELEKITLICDKKKIKNFPLCRALLRGQFPLLQKLTITGVTFPMNNQDIMNFQWLLNFPDLKELWIEDNDNCELSKFLQLLKFSNVWKNLEKLTFRENKLYPIVNLDEDQPVTNDDEVPSMLFYKENLQNLEKLDLMGTSISGSALTRLCEQEYLDGRKLRSLNIGNCPNIQFPNNHAHTARMILDVNAVLKRLSKLEEINLSHLSSLNDSTMKSFIINVPFLENLKRLDISHNFEITGISIYEFLKKFQMDHDNEAGGQPLAYLNIDGCSQVSHITVNMIRAQNLVTQVDCVYERDVWRKFGINSYSYS
NP_015268.1 1 382 0.0929455497382199 PF04488.15:Gly_transf_sug:69:152 Mannosyl phosphorylinositol ceramide synthase SUR1; EC 2.4.-.- 382 84 19 316 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33300 1 SwissProt MRKELKYLICFNILLLLSIIYYTFDLLTLCIDDTVKDAILEEDLNPDAPPKPQLIPKIIHQTYKTEDIPEHWKEGRQKCLDLHPDYKYILWTDEMAYEFIKEEYPWFLDTFENYKYPIERADAIRYFILSHYGGVYIDLDDGCERKLDPLLAFPAFLRKTSPLGVSNDVMGSVPRHPFFLKALKSLKHYDKYWFIPYMTIMGSTGPLFLSVIWKQYKRWRIPKNGTVRILQPAYYKMHSYSFFSITKGSSWHLDDAKLMKALENHILSCVVTGFIFGFFILYGEFTFYCWLCSKNFSNLTKNWKLNAIKVRFVTILNSLGLRLKLSKSTSDTASATLLARQQKRLRKDSNTNIVLLKSSRKSDVYDLEKNDSSKYSLGNNSS
NP_015466.1 1 381 0.227851443569554 PF01553.21:Acyltransferase:65:226 Lysophosphatidylcholine acyltransferase; 1-acylglycerophosphocholine O-acyltransferase; Tafazzin homolog; lyso-PC acyltransferase; EC 2.3.1.23 381 162 19 381 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06510 1 SwissProt MSFRDVLERGDEFLEAYPRRSPLWRFLSYSTSLLTFGVSKLLLFTCYNVKLNGFEKLETALERSKRENRGLMTVMNHMSMVDDPLVWATLPYKLFTSLDNIRWSLGAHNICFQNKFLANFFSLGQVLSTERFGVGPFQGSIDASIRLLSPDDTLDLEWTPHSEVSSSLKKAYSPPIIRSKPSWVHVYPEGFVLQLYPPFENSMRYFKWGITRMILEATKPPIVVPIFATGFEKIASEAVTDSMFRQILPRNFGSEINVTIGDPLNDDLIDRYRKEWTHLVEKYYDPKNPNDLSDELKYGKEAQDLRSRLAAELRAHVAEIRNEVRKLPREDPRFKSPSWWKRFNTTEGKSDPDVKVIGENWAIRRMQKFLPPEGKPKGKDD
NP_032155.1 1 274 0.276644525547446 PF00248.21:Aldo_ket_red:76:211 glutamate--cysteine ligase regulatory subunit 274 136 19 274 0 Mus musculus NP_032155.1 1 RefSeq MGTDSRAAGALLARASTLHLQTGNLLNWGRLRKKCPSTHSEELRDCIQKTLNEWSSQISPDLVREFPDVLECTMSHAVEKINPDEREEMKVSAKLFIVGSNSSSSTRSAVDMACSVLGVAQLDSVIMASPPIEDGVNLSLEHLQPYWEELENLVQSKKIVAIGTSDLDKTQLEQLYQWAQVKPNSNQVNLASCCVMPPDLTAFAKQFDIQLLTHNDPKELLSEASFQEALQESIPDIEAQDWVPLWLLRYSVIVKSRGIIKSKGYILQAKRRGS
NP_033360.2 1 459 0.521038344226579 PF03299.14:TF_AP-2:230:424 transcription factor AP-2-beta isoform 1 459 195 19 459 0 Mus musculus NP_033360.2 1 RefSeq MHSPPRDQAAIMLWKLVENVKYEDIYEDRHDGVPSHSSRLSQLGSVSQGPYSSAPPLSHTPSSDFQPPYFPPPYQPLPYHQSQDPYSHVNDPYSLNPLHQPQQHPWGQRQRQEVGSEAGSLLPQPRAALPQLSGLDPRRDYHSVRRPDVLLHSAHHGLDAGMGDSLSLHGLGHPGMEDVQSVEDANNSGMNLLDQSVIKKVPVPPKSVTSLMMNKDGFLGGMSVNTGEVFCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLRERLEKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYICETEFPAKAVSEYLNRQHTDPSDLHSRKNMLLATKQLCKEFTDLLAQDRTPIGNSRPSPILEPGIQSCLTHFSLITHGFGAPAICAALTALQNYLTEALKGMDKMFLNNTTNRHTSGEGPGSKTGDKEEKHRK
NP_034753.1 426 1038 0.525029200652528 Kinesin-like protein KIF17; MmKIF17 1038 0 19 613 0 Mus musculus (Mouse) SwissProt::Q99PW8 1 SwissProt AEQESRVRLQEDITAMRNSYDVKLSTLQENLRKEKETEAILKAEVLCKTEVMSRAELASGPEYSPPLQYETAVKPTILSMPDMPPSGKVTKSQAPLAFEEPHGETSRSEFSFESNECSTLEDSATSEAFPGPEEFSNMEFSMAAALTESRYLPEEYLGGQEAAASPLEAERYVQENEPSLEPLRILASLQDPFAEVEAKLARLSSTVAMSDSSQTVVPQIPKQPSSADLLEPSDTKSEADVAVADNVVLGTEPDVNLRVAEEVVSEAETGVWMESEAQVAHVAQVSEEAQPQPLLAMVSVRRESVGVEVAVLTEEELQPVDQQQVLARLQLLEQQVVGGEQAKNKDLREKHKRRKRYADERKKQLVAALQNSDEDGGDWVLLNVYDSIQEEVRAKSKLLEKMQRKLRAAEVEIKDLQSEFQLEKIDYLATIRRQERDSMLFQQLLEQVQPLIRRDCNYSNLEKIRRESSWDEDNGFWKIPDPIILKTSLPVVPTGTQNKPARKTSAVDSGEPHMQEEDRYKLMLSRSDSENIASNYFRSKRASQILSTDPMKSLTYHNSPPGLNSSLSNNSALPPTQTPEMPQPRPFRLESLDIPFSKAKRKKSKNSFGGEPL
NP_034955.1 1 275 0.519692363636363 PF16493.5:Meis_PKNOX_N:110:193 homeobox protein Meis2 isoform 2 470 84 19 275 0 Mus musculus NP_034955.1 1 RefSeq MAQRYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNVMPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFNEDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGHASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKK
NP_035900.2 224 526 0.492302640264026 zinc finger protein Helios 526 0 19 303 0 Mus musculus NP_035900.2 1 RefSeq NVSMEAAGQVMSHHVPPMEDCKEQEPIMDNNISLVPFERPAVIEKLTANMGKRKSSTPQKFVGEKLMRFSYPDIHFDMNLTYEKEAELMQSHMMDQAINNAITYLGAEALHPLMQHAPSTIAEVAPVISSAYSQVYHPNRIERPISRETSDSHENNMDGPISLIRPKSRPQEREASPSNSCLDSTDSESSHDDRQSYQGNPALNPKRKQSPAYMKEDVKALDATKAPKGSLKDIYKVFNGEGEQIRAFKCEHCRVLFLDHVMYTIHMGCHGYRDPLECNICGYRSQDRYEFSSHIVRGEHTFH
NP_035957.2 1 79 0.109926582278481 PF07213.11:DAP10:1:79 Hematopoietic cell signal transducer; DNAX-activation protein 10; Membrane protein DAP10; Transmembrane adapter protein KAP10 79 79 19 56 1 Mus musculus (Mouse) SwissProt::Q9QUJ0 0 SwissProt MDPPGYLLFLLLLPVAASQTSAGSCSGCGTLSLPLLAGLVAADAVMSLLIVGVVFVCMRPHGRPAQEDGRVYINMPGRG
NP_036023.3 123 441 0.799756112852665 SH3 domain-binding protein 2 isoform a 559 0 19 319 0 Mus musculus NP_036023.3 1 RefSeq ALLRREIGHFHEKKELPLDTSDSSSDTDSFYGAVERPIDISLSSYPMDNEDYEHEDEDDSYLEPDSPGPMKLEDALTYPPAYPPPPVPVPRKPAFSDLPRAHSFTSKSPSPLLPPPPPKRGLPDTGSAPEDAKDALGLRRVEPGLRVPATPRRMSDPPMSNVPTVPNLRKHPCFRDSVNPGLEPWTPGHGTSSVSSSTTMAVATSRNCDKLKSFHLSSRGPPTSEPPPVPANKPKFLKIAEEPSPREAAKFAPVPPVAPRPPVQKMPMPEATVRPAVLPRPENTPLPHLQRSPPDGQSFRGFSFEKARQPSQADTGEED
NP_036449.1 1 146 0.218722602739726 PF16297.5:DUF4939:28:137 Protein LDOC1; Leucine zipper protein down-regulated in cancer cells 146 110 19 146 0 Homo sapiens (Human) SwissProt::O95751 1 SwissProt MVDELVLLLHALLMRHRALSIENSQLMEQLRLLVCERASLLRQVRPPSCPVPFPETFNGESSRLPEFIVQTASYMLVNENRFCNDAMKVAFLISLLTGEAEEWVVPYIEMDSPILGDYRAFLDEMKQCFGWDDDEDDDDEEEEDDY
NP_036815.1 1 285 0.0739287719298245 PF00201.18:UDPGT:30:284 UDP-glucuronosyltransferase 1-1; UDPGT 1-1; UGT1*1; UGT1-01; UGT1.1; B1; UDP-glucuronosyltransferase 1A1; EC 2.4.1.17 535 255 19 262 1 Rattus norvegicus (Rat) SwissProt::Q64550 1 SwissProt MSVVCRSSCSLLLLPCLLLCVLGPSASHAGKLLVIPIDGSHWLSMLGVIQQLQQKGHEVVVIAPEASIHIKEGSFYTMRKYPVPFQNENVTAAFVELGRSVFDQDPFLLRVVKTYNKVKRDSSMLLSGCSHLLHNAEFMASLEQSHFDALLTDPFLPCGSIVAQYLSLPAVYFLNALPCSLDLEATQCPAPLSYVPKSLSSNTDRMNFLQRVKNMIIALTENFLCRVVYSPYGSLATEILQKEVTVKDLLSPASIWLMRNDFVKDYPRPIMPNMVFIGGINCLQK
NP_038467.2 151 303 0.567716993464052 Paired immunoglobulin-like type 2 receptor alpha; Cell surface receptor FDF03; Inhibitory receptor PILR-alpha 303 0 19 130 1 Homo sapiens (Human) SwissProt::Q9UKJ1 1 SwissProt QAVTTTTQRPSSMTTTWRLSSTTTTTGLRVTQGKRRSDSWHISLETAVGVAVAVTVLGIMILGLICLLRWRRRKGQQRTKATTPAREPFQNTEEPYENIRNEGQNTDPKLNPKDDGIVYASLALSSSTSPRAPPSHRPLKSPQNETLYSVLKA
NP_057123.1 1 244 0.214106967213115 PF10036.9:RLL:2:244 RNA transcription, translation and transport factor protein; CLE7 homolog; CLE; hCLE 244 243 19 244 0 Homo sapiens (Human) SwissProt::Q9Y224 1 SwissProt MFRRKLTALDYHNPAGFNCKDETEFRNFIVWLEDQKIRHYKIEDRGNLRNIHSSDWPKFFEKYLRDVNCPFKIQDRQEAIDWLLGLAVRLEYGDNAEKYKDLVPDNSKTADNATKNAEPLINLDVNNPDFKAGVMALANLLQIQRHDDYLVMLKAIRILVQERLTQDAVAKANQTKEGLPVALDKHILGFDTGDAVLNEAAQILRLLHIEELRELQTKINEAIVAVQAIIADPKTDHRLGKVGR
NP_057603.1 1 447 0.614348545861297 PF05111.12:Amelin:5:447 Ameloblastin 447 443 19 447 0 Homo sapiens (Human) SwissProt::Q9NP70 1 SwissProt MSASKIPLFKMKDLILILCLLEMSFAVPFFPQQSGTPGMASLSLETMRQLGSLQRLNTLSQYSRYGFGKSFNSLWMHGLLPPHSSLPWMRPREHETQQYEYSLPVHPPPLPSQPSLKPQQPGLKPFLQSAAATTNQATALKEALQPPIHLGHLPLQEGELPLVQQQVAPSDKPPKPELPGVDFADPQGPSLPGMDFPDPQGPSLPGLDFADPQGSTIFQIARLISHGPMPQNKQSPLYPGMLYVPFGANQLNAPARLGIMSSEEVAGGREDPMAYGAMFPGFGGMRPGFEGMPHNPAMGGDFTLEFDSPVAATKGPENEEGGAQGSPMPEANPDNLENPAFLTELEPAPHAGLLALPKDDIPGLPRSPSGKMKGLPSVTPAAADPLMTPELADVYRTYDADMTTSVDFQEEATMDTTMAPNSLQTSMPGNKAQEPEMMHDAWHFQEP
NP_059106.2 85 252 0.383439285714286 PF12284.8:HoxA13_N:4:84 Homeobox protein Hox-C13; Homeobox protein Hox-3G 330 81 19 168 0 Homo sapiens (Human) SwissProt::P31276 1 SwissProt LGAPQGAVYTDIPAPEAARQCAPPPAPPTSSSATLGYGYPFGGSYYGCRLSHNVNLQQKPCAYHPGDKYPEPSGALPGDDLSSRAKEFAFYPSFASSYQAMPGYLDVSVVPGISGHPEPRHDALIPVEGYQHWALSNGWDSQVYCSKEQSQSAHLWKSPFPDVVPLQP
NP_060649.3 1 937 0.711484311632872 PF16788.5:ATF7IP_BD:565:777 Activating transcription factor 7-interacting protein 1; ATF-interacting protein; ATF-IP; ATF7-interacting protein; ATFa-associated modulator; hAM; MBD1-containing chromatin-associated factor 1; P621 1270 213 19 937 0 Homo sapiens (Human) SwissProt::Q6VMQ6 1 SwissProt MDSLEEPQKKVFKARKTMRVSDRQQLEAVYKVKEELLKTDVKLLNGNHENGDLDPTSPLENMDYIKDKEEVNGIEEICFDPEGSKAEWKETPCILSVNVKNKQDDDLNCEPLSPHNITPEPVSKLPAEPVSGDPAPGDLDAGDPASGVLASGDSTSGDPTSSEPSSSDAASGDATSGDAPSGDVSPGDATSGDATADDLSSGDPTSSDPIPGEPVPVEPISGDCAADDIASSEITSVDLASGAPASTDPASDDLASGDLSSSELASDDLATGELASDELTSESTFDRTFEPKSVPVCEPVPEIDNIEPSSNKDDDFLEKNGADEKLEQIQSKDSLDEKNKADNNIDANEETLETDDTTICSDRPPENEKKVEEDIITELALGEDAISSSMEIDQGEKNEDETSADLVETINENVIEDNKSENILENTDSMETDEIIPILEKLAPSEDELTCFSKTSLLPIDETNPDLEEKMESSFGSPSKQESSESLPKEAFLVLSDEEDISGEKDESEVISQNETCSPAEVESNEKDNKPEEEEQVIHEDDERPSEKNEFSRRKRSKSEDMDNVQSKRRRYMEEEYEAEFQVKITAKGDINQKLQKVIQWLLEEKLCALQCAVFDKTLAELKTRVEKIECNKRHKTVLTELQAKIARLTKRFEAAKEDLKKRHEHPPNPPVSPGKTVNDVNSNNNMSYRNAGTVRQMLESKRNVSESAPPSFQTPVNTVSSTNLVTPPAVVSSQPKLQTPVTSGSLTATSVLPAPNTATVVATTQVPSGNPQPTISLQPLPVILHVPVAVSSQPQLLQSHPGTLVTNQPSGNVEFISVQSPPTVSGLTKNPVSLPSLPNPTKPNNVPSVPSPSIQRNPTASAAPLGTTLAVQAVPTAHSIVQATRTSLPTVGPSGLYSPSTNRGPIQMKIPISAFSTSSAAEQNSNTTPRIENQTN
NP_061925.2 1 782 0.475201406649616 PF07111.12:HCR:27:773 Coiled-coil alpha-helical rod protein 1; Alpha-helical coiled-coil rod protein; Putative gene 8 protein; Pg8 782 747 19 782 0 Homo sapiens (Human) SwissProt::Q8TD31 1 SwissProt MFPPSGSTGLIPPSHFQARPLSTLPRMAPTWLSDIPLVQPPGHQDVSERRLDTQRPQVTMWERDVSSDRQEPGRRGRSWGLEGSQALSQQAEVIVRQLQELRRLEEEVRLLRETSLQQKMRLEAQAMELEALARAEKAGRAEAEGLRAALAGAEVVRKNLEEGSQRELEEVQRLHQEQLSSLTQAHEEALSSLTSKAEGLEKSLSSLETRRAGEAKELAEAQREAELLRKQLSKTQEDLEAQVTLVENLRKYVGEQVPSEVHSQTWELERQKLLETMQHLQEDRDSLHATAELLQVRVQSLTHILALQEEELTRKVQPSDSLEPEFTRKCQSLLNRWREKVFALMVQLKAQELEHSDSVKQLKGQVASLQEKVTSQSQEQAILQRSLQDKAAEVEVERMGAKGLQLELSRAQEARRRWQQQTASAEEQLRLVVNAVSSSQIWLETTMAKVEGAAAQLPSLNNRLSYAVRKVHTIRGLIARKLALAQLRQESCPLPPPVTDVSLELQQLREERNRLDAELQLSARLIQQEVGRAREQGEAERQQLSKVAQQLEQELQQTQESLASLGLQLEVARQGQQESTEEAASLRQELTQQQELYGQALQEKVAEVETRLREQLSDTERRLNEARREHAKAVVSLRQIQRRAAQEKERSQELRRLQEEARKEEGQRLARRLQELERDKNLMLATLQQEGLLSRYKQQRLLTVLPSLLDKKKSVVSSPRPPECSASAPVAAAVPTRESIKGSLSVLLDDLQDLSEAISKEEAVCQGDNLDRCSSSNPQMSS
NP_062090.1 1023 2461 0.727131271716469 PF00414.17:MAP1B_neuraxin:866:882,PF00414.17:MAP1B_neuraxin:883:899,PF00414.17:MAP1B_neuraxin:917:933,PF00414.17:MAP1B_neuraxin:934:950,PF00414.17:MAP1B_neuraxin:1002:1018,PF00414.17:MAP1B_neuraxin:1019:1035 microtubule-associated protein 1B 2461 102 19 1439 0 Rattus norvegicus NP_062090.1 1 RefSeq AREEDHEPDKTEAEDYVMAVVDKAAEAGVTEDQYDFLGTPAKQPGVQSPSREPASSIHDETLPGGSESEATASDEENREDQPEEFTATSGYTQSTIEISSEPTPMDEMSTPRDVMTDETNNEETESPSQEFVNITKYESSLYSQEYSKPVVASFNGLSDGSKTDATDGRDYNASASTISPPSSMEEDKFSKSALRDAYRPEETDVKTGAELDIKDVSDERLSPAKSPSLSPSPPSPIEKTPLGERSVNFSLTPNEIKASAEGEATAVVSPGVTQAVVEEHCASPEEKTLEVVSPSQSVTGSAGHTPYYQSPTDEKSSHLPTEVTENAQAVPVSFEFTEAKDENERSSISPMDEPVPDSESPIEKVLSPLRSPPLIGSESAYEDFLSADDKALGRRSESPFEGKNGKQGFSDKESPVSDLTSDLYQDKQEEKSAGFIPIKEDFSPEKKASDAEIMSSQSALALDERKLGGDGSPTQVDVSQFGSFKEDTKMSISEGTVSDKSATPVDEGVAEDTYSHMEGVASVSTASVATSSFPEPTTDDVSPSLHAEVGSPHSTEVDDSLSVSVVQTPTTFQETEMSPSKEECPRPMSISPPDFSPKTAKSRTPVQDHRSEQSSMSIEFGQESPEHSLAMDFSRQSPDHPTVGAGMLHITENGPTEVDYSPSDIQDSSLSHKIPPTEEPSYTQDNDLSELISVSQVEASPSTSSAHTPSQIASPLQEDTLSDVVPPRDMSLYASLASEKVQSLEGEKLSPKSDISPLTPRESSPTYSPGFSDSTSGAKESTAAYQTSSSPPIDAAAAEPYGFRSSMLFDTMQHHLALSRDLTTSSVEKDNGGKTPGDFNYAYQKPESTTESPDEEDYDYESHEKTIQAHDVGGYYYEKTERTIKSPCDSGYSYETIEKTTKTPEDGGYSCEITEKTTRTPEEGGYSYEISEKTTRTPEVSGYTYEKTERSRRLLDDISNGYDDTEDGGHTLGDCSYSYETTEKITSFPESESYSYETTTKTTRSPDTSAYCYETMEKITKTPQASTYSYETSDRCYTPERKSPSEARQDVDLCLVSSCEFKHPKTELSPSFINPNPLEWFAGEEPTEESEKPLTQSGGAPPPSGGKQQGRQCDETPPTSVSESAPSQTDSDVPPETEECPSITADANIDSEDESETIPTDKTVTYKHMDPPPAPMQDRSPSPRHPDVSMVDPEALAIEQNLGKALKKDLKEKAKTKKPGTKTKSSSPVKKGDGKSKPSAASPKPGALKESSDKVSRVASPKKKESVEKAMKTTTTPEVKATRGEEKDKETKNAANASASKSVKTATAGPGTTKTAKSSTVPPGLPVYLDLCYIPNHSNSKNVDVEFFKRVRSSYYVVSGNDPAAEEPSRAVLDALLEGKAQWGSNMQVTLIPTHDSEVMREWYQETHEKQQDLNIMVLASSSTVVMQDESFPACKIEL
NP_062322.2 1 619 0.176284975767367 PF05978.16:UNC-93:143:210 protein unc-93 homolog B1 isoform a 619 68 19 356 12 Mus musculus NP_062322.2 1 RefSeq MKEVPTSCWCPELQALDLDLVMEVEPPLYPVAGAAGPQGDEDRHGVPDGPEAPLDELVGAYPNYNEEEEERRYYRRKRLGVVKNVLAASTGVTLTYGVYLGLLQMQLILHYDETYREVKYGNMGLPDIDSKMLMGINVTPIAALLYTPVLIRFFGTKWMMFLAVGIYALFVSTNYWERYYTLVPSAVALGMAIVPLWASMGNYITRMSQKYYEYSHYKEQDEQGPQQRPPRGSHAPYLLVFQAIFYSFFHLSFACAQLPMIYFLNNYLYDLNHTLINVQSCGTKSQGILNGFNKTVLRTLPRSKNLIVVESVLMAVAFLAMLMVLGLCGAAYRPTEEIDLRSVGWGNIFQLPFKHVRDFRLRHLVPFFIYSGFEVLFACTGFALGYGVCSMGLERLAYLLIAYSLGASASSVLGLLGLWLPRSVPLVAGAGLHLLLTLSLFFWAPAPRVLQHSWIFYFVAALWGVGSALNKTGLSTLLGILYEDKERQDFIFTIYHWWQAVAIFVVYLGSSLPMKAKLAVLLVTLVAAAASYLWMEQKLQQGLVPRQPRIPKPQHKVRGYRYLEEDNSDESDMEGEQGQGDCAEDEAPQAGPLGAEPAGPCRKPCPYEQALGGDGPEEQ
NP_064705.1 1 560 0.158993214285714 PF07690.16:MFS_1:72:452 Vesicular glutamate transporter 1; VGluT1; Brain-specific Na(+)-dependent inorganic phosphate cotransporter; Solute carrier family 17 member 7 560 381 19 284 12 Homo sapiens (Human) SwissProt::Q9P2U7 1 SwissProt MEFRQEEFRKLAGRALGKLHRLLEKRQEGAETLELSADGRPVTTQTRDPPVVDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVSMVNNSTTHRGGHVVVQKAQFSWDPETVGLIHGSFFWGYIVTQIPGGFICQKFAANRVFGFAIVATSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTAFCGSYAGAVVAMPLAGVLVQYSGWSSVFYVYGSFGIFWYLFWLLVSYESPALHPSISEEERKYIEDAIGESAKLMNPLTKFSTPWRRFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGLVSALPHLVMTIIVPIGGQIADFLRSRRIMSTTNVRKLMNCGGFGMEATLLLVVGYSHSKGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKHKTREEWQYVFLIASLVHYGGVIFYGVFASGEKQPWAEPEEMSEEKCGFVGHDQLAGSDDSEMEDEAEPPGAPPAPPPSYGATHSTFQPPRPPPPVRDY
NP_065079.1 1 582 0.134876632302406 PF07690.16:MFS_1:80:460 Vesicular glutamate transporter 2; VGluT2; Differentiation-associated BNPI; Differentiation-associated Na(+)-dependent inorganic phosphate cotransporter; Solute carrier family 17 member 6 582 381 19 306 12 Homo sapiens (Human) SwissProt::Q9P2U8 1 SwissProt MESVKQRILAPGKEGLKNFAGKSLGQIYRVLEKKQDTGETIELTEDGKPLEVPERKAPLCDCTCFGLPRRYIIAIMSGLGFCISFGIRCNLGVAIVDMVNNSTIHRGGKVIKEKAKFNWDPETVGMIHGSFFWGYIITQIPGGYIASRLAANRVFGAAILLTSTLNMLIPSAARVHYGCVIFVRILQGLVEGVTYPACHGIWSKWAPPLERSRLATTSFCGSYAGAVIAMPLAGILVQYTGWSSVFYVYGSFGMVWYMFWLLVSYESPAKHPTITDEERRYIEESIGESANLLGAMEKFKTPWRKFFTSMPVYAIIVANFCRSWTFYLLLISQPAYFEEVFGFEISKVGMLSAVPHLVMTIIVPIGGQIADFLRSKQILSTTTVRKIMNCGGFGMEATLLLVVGYSHTRGVAISFLVLAVGFSGFAISGFNVNHLDIAPRYASILMGISNGVGTLSGMVCPIIVGAMTKNKSREEWQYVFLIAALVHYGGVIFYAIFASGEKQPWADPEETSEEKCGFIHEDELDEETGDITQNYINYGTTKSYGATTQANGGWPSGWEKKEEFVQGEVQDSHSYKDRVDYS
NP_065131.1 1 69 0.0354130434782609 PF00095.21:WAP:30:69 Eppin; Cancer/testis antigen 71; CT71; Epididymal protease inhibitor; Protease inhibitor WAP7; Serine protease inhibitor-like with Kunitz and WAP domains 1; WAP four-disulfide core domain protein 7 133 40 19 69 0 Homo sapiens (Human) SwissProt::O95925 1 SwissProt MGSSGLLSLLVLFVLLANVQGPGLTDWLFPRRCPKIREECEFQERDVCTKDRQCQDNKKCCVFSCGKKC
NP_065393.1 174 1054 0.494045970488081 PF02453.17:Reticulon:832:880 Reticulon-4; Foocen; Neurite outgrowth inhibitor; Nogo protein; Neuroendocrine-specific protein; NSP; Neuroendocrine-specific protein C homolog; RTN-x; Reticulon-5 1192 49 19 858 1 Homo sapiens (Human) SwissProt::Q9NQC3 1 SwissProt AAPKRRGSSGSVDETLFALPAASEPVIRSSAENMDLKEQPGNTISAGQEDFPSVLLETAASLPSLSPLSAASFKEHEYLGNLSTVLPTEGTLQENVSEASKEVSEKAKTLLIDRDLTEFSELEYSEMGSSFSVSPKAESAVIVANPREEIIVKNKDEEEKLVSNNILHNQQELPTALTKLVKEDEVVSSEKAKDSFNEKRVAVEAPMREEYADFKPFERVWEVKDSKEDSDMLAAGGKIESNLESKVDKKCFADSLEQTNHEKDSESSNDDTSFPSTPEGIKDRSGAYITCAPFNPAATESIATNIFPLLGDPTSENKTDEKKIEEKKAQIVTEKNTSTKTSNPFLVAAQDSETDYVTTDNLTKVTEEVVANMPEGLTPDLVQEACESELNEVTGTKIAYETKMDLVQTSEVMQESLYPAAQLCPSFEESEATPSPVLPDIVMEAPLNSAVPSAGASVIQPSSSPLEASSVNYESIKHEPENPPPYEEAMSVSLKKVSGIKEEIKEPENINAALQETEAPYISIACDLIKETKLSAEPAPDFSDYSEMAKVEQPVPDHSELVEDSSPDSEPVDLFSDDSIPDVPQKQDETVMLVKESLTETSFESMIEYENKEKLSALPPEGGKPYLESFKLSLDNTKDTLLPDEVSTLSKKEKIPLQMEELSTAVYSNDDLFISKEAQIRETETFSDSSPIEIIDEFPTLISSKTDSFSKLAREYTDLEVSHKSEIANAPDGAGSLPCTELPHDLSLKNIQPKVEEKISFSDDFSKNGSATSKVLLLPPDVSALATQAEIESIVKPKVLVKEAEKKLPSDTEKEDRSPSAIFSAELSKTSVVDLLYWRDIKKTGVVFGASLFLLLSLTVFSIVSVTAYIALALLSVTISF
NP_065972.3 1 2133 0.466993295827474 ninein isoform 2 2133 0 19 2133 0 Homo sapiens NP_065972.3 1 RefSeq MDEVEQDQHEARLKELFDSFDTTGTGSLGQEELTDLCHMLSLEEVAPVLQQTLLQDNLLGRVHFDQFKEALILILSRTLSNEEHFQEPDCSLEAQPKYVRGGKRYGRRSLPEFQESVEEFPEVTVIEPLDEEARPSHIPAGDCSEHWKTQRSEEYEAEGQLRFWNPDDLNASQSGSSPPQDWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGEMLEEVFHNLDPDGTMSVEDFFYGLFKNGKSLTPSASTPYRQLKRHLSMQSFDESGRRTTTSSAMTSTIGFRVFSCLDDGMGHASVERILDTWQEEGIENSQEILKALDFSLDGNINLTELTLALENELLVTKNSIHQAALASFKAEIRHLLERVDQVVREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLRKLDEEYKERIAALKNELRKEREQILQQAGKQRLELEQEIEKAKTEENYIRDRLALSLKENSRLENELLENAEKLAEYENLTNKLQRNLENVLAEKFGDLDPSSAEFFLQEERLTQMRNEYERQCRVLQDQVDELQSELEEYRAQGRVLRLPLKNSPSEEVEANSGGIEPEHGLGSEECNPLNMSIEAELVIEQMKEQHHRDICCLRLELEDKVRHYEKQLDETVVSCKKAQENMKQRHENETHTLEKQISDLKNEIAELQGQAAVLKEAHHEATCRHEEEKKQLQVKLEEEKTHLQEKLRLQHEMELKARLTQAQASFEREREGLQSSAWTEEKVRGLTQELEQFHQEQLTSLVEKHTLEKEELRKELLEKHQRELQEGREKMETECNRRTSQIEAQFQSDCQKVTERCESALQSLEGRYRQELKDLQEQQREEKSQWEFEKDELTQECAEAQELLKETLKREKTTSLVLTQEREMLEKTYKEHLNSMVVERQQLLQDLEDLRNVSETQQSLLSDQILELKSSHKRELREREEVLCQAGASEQLASQRLERLEMEHDQERQEMMSKLLAMENIHKATCETADRERAEMSTEISRLQSKIKEMQQATSPLSMLQSGCQVIGEEEVEGDGALSLLQQGEQLLEENGDVLLSLQRAHEQAVKENVKMATEISRLQQRLQKLEPGLVMSSCLDEPATEFFGNTAEQTEPFLQQNRTKQVEGVTRRHVLSDLEDDEVRDLGSTGTSSVQRQEVKIEESEASVEGFSELENSEETRTESWELKNQISQLQEQLMMLCADCDRASEKKQDLLFDVSVLKKKLKMLERIPEASPKYKLLYEDVSRENDCLQEELRMMETRYDEALENNKELTAEVFRLQDELKKMEEVTETFLSLEKSYDEVKIENEELNVLVLRLQGKIEKLQESVVQRCDCCLWEASLENLEIEPDGNILQLNQTLEECVPRVRSVHHVIEECKQENQYLEGNTQLLEKVKAHEIAWLHGTIQTHQERPRVQNQVILEENTTLLGFQDKHFQHQATIAELELEKTKLQELTRKLKERVTILVKQKDVLSHGEKEEELKAMMHDLQITCSEMQQKVELLRYESEKLQQENSILRNEITTLNEEDSISNLKLGTLNGSQEEMWQKTETVKQENAAVQKMVENLKKQISELKIKNQQLDLENTELSQKNSQNQEKLQELNQRLTEMLCQKEKEPGNSALEEREQEKFNLKEELERCKVQSSTLVSSLEAELSEVKIQTHIVQQENHLLKDELEKMKQLHRCPDLSDFQQKISSVLSYNEKLLKEKEALSEELNSCVDKLAKSSLLEHRIATMKQEQKSWEHQSASLKSQLVASQEKVQNLEDTVQNVNLQMSRMKSDLRVTQQEKEALKQEVMSLHKQLQNAGGKSWAPEIATHPSGLHNQQKRLSWDKLDHLMNEEQQLLWQENERLQTMVQNTKAELTHSREKVRQLESNLLPKHQKHLNPSGTMNPTEQEKLSLKRECDQFQKEQSPANRKVSQMNSLEQELETIHLENEGLKKKQVKLDEQLMEMQHLRSTATPSPSPHAWDLQLLQQQACPMVPREQFLQLQRQLLQAERINQHLQEELENRTSETNTPQGNQEQLVTVMEERMIEVEQKLKLVKRLLQEKVNQLKEQLCKNTKADAMVKDLYVENAQLLKALEVTEQRQKTAEKKNYLLEEKIASLSNIVRNLTPAPLTSTPPLRS
NP_065997.1 395 1009 0.718485365853657 DENN domain-containing protein 1A; Connecdenn 1; Connecdenn; Protein FAM31A 1009 0 19 615 0 Homo sapiens (Human) SwissProt::Q8TEH3 1 SwissProt AGSDKLYHQWLSTVRKGSGAILNTVKTKANPAMKTVYKFAKDHAKMGIKEVKNRLKQKDIAENGCAPTPEEQLPKTAPSPLVEAKDPKLREDRRPITVHFGQVRPPRPHVVKRPKSNIAVEGRRTSVPSPEQPQPYRTLRESDSAEGDEAESPEQQVRKSTGPVPAPPDRAASIDLLEDVFSNLDMEAALQPLGQAKSLEDLRAPKDLREQPGTFDYQRLDLGGSERSRGVTVALKLTHPYNKLWSLGQDDMAIPSKPPAASPEKPSALLGNSLALPRRPQNRDSILNPSDKEEVPTPTLGSITIPRPQGRKTPELGIVPPPPIPRPAKLQAAGAALGDVSERLQTDRDRRAALSPGLLPGVVPQGPTELLQPLSPGPGAAGTSSDALLALLDPLSTAWSGSTLPSRPATPNVATPFTPQFSFPPAGTPTPFPQPPLNPFVPSMPAAPPTLPLVSTPAGPFGAPPASLGPAFASGLLLSSAGFCAPHRSQPNLSALSMPNLFGQMPMGTHTSPLQPLGPPAVAPSRIRTLPLARSSARAAETKQGLALRPGDPPLLPPRPPQGLEPTLQPSAPQQARDPFEDLLQKTKQDVSPSPALAPAPDSVEQLRKQWETFE
NP_067045.1 1 453 0.254722737306843 PF02833.14:DHHA2:217:358,PF01368.20:DHH:20:172 Exopolyphosphatase PRUNE1; Drosophila-related expressed sequence 17; DRES-17; DRES17; HTcD37; Protein prune homolog 1; hPrune; EC 3.6.1.1 453 295 19 453 0 Homo sapiens (Human) SwissProt::Q86TP1 1 SwissProt MEDYLQGCRAALQESRPLHVVLGNEACDLDSTVSALALAFYLAKTTEAEEVFVPVLNIKRSELPLRGDIVFFLQKVHIPESILIFRDEIDLHALYQAGQLTLILVDHHILSKSDTALEEAVAEVLDHRPIEPKHCPPCHVSVELVGSCATLVTERILQGAPEILDRQTAALLHGTIILDCVNMDLKIGKATPKDSKYVEKLEALFPDLPKRNDIFDSLQKAKFDVSGLTTEQMLRKDQKTIYRQGVKVAISAIYMDLEAFLQRSNLLADLHAFCQAHSYDVLVAMTIFFNTHNEPVRQLAIFCPHVALQTTICEVLERSHSPPLKLTPASSTHPNLHAYLQGNTQVSRKKLLPLLQEALSAYFDSMKIPSGQPETADVSREQVDKELDRASNSLISGLSQDEEDPPLPPTPMNSLVDECPLDQGLPKLSAEAVFEKCSQISLSQSTTASLSKK
NP_071404.2 1 193 0.0184735751295337 PF00822.20:PMP22_Claudin:25:163 p53 apoptosis effector related to PMP-22; Keratinocyte-associated protein 1; KCP-1; P53-induced protein PIGPC1; Transmembrane protein THW 193 139 19 101 4 Homo sapiens (Human) SwissProt::Q96FX8 0 SwissProt MIRCGLACERCRWILPLLLLSAIAFDIIALAGRGWLQSSDHGQTSSLWWKCSQEGGGSGSYEEGCQSLMEYAWGRAAAAMLFCGFIILVICFILSFFALCGPQMLVFLRVIGGLLALAAVFQIISLVIYPVKYTQTFTLHANPAVTYIYNWAYGFGWAATIILIGCAFFFCCLPNYEDDLLGNAKPRYFYTSA
NP_071903.2 1 490 0.0656424489795918 PF04791.16:LMBR1:20:273,PF04791.16:LMBR1:276:450 Limb region 1 protein homolog; Differentiation-related gene 14 protein 490 429 19 288 9 Homo sapiens (Human) SwissProt::Q8WVP7 1 SwissProt MEGQDEVSAREQHFHSQVRESTICFLLFAILYVVSYFIITRYKRKSDEQEDEDAIVNRISLFLSTFTLAVSAGAVLLLPFSIISNEILLSFPQNYYIQWLNGSLIHGLWNLASLFSNLCLFVLMPFAFFFLESEGFAGLKKGIRARILETLVMLLLLALLILGIVWVASALIDNDAASMESLYDLWEFYLPYLYSCISLMGCLLLLLCTPVGLSRMFTVMGQLLVKPTILEDLDEQIYIITLEEEALQRRLNGLSSSVEYNIMELEQELENVKTLKTKLERRKKASAWERNLVYPAVMVLLLIETSISVLLVACNILCLLVDETAMPKGTRGPGIGNASLSTFGFVGAALEIILIFYLMVSSVVGFYSLRFFGNFTPKKDDTTMTKIIGNCVSILVLSSALPVMSRTLGITRFDLLGDFGRFNWLGNFYIVLSYNLLFAIVTTLCLVRKFTSAVREELFKALGLHKLHLPNTSRDSETAKPSVNGHQKAL
NP_078905.2 1 307 0.635147557003258 PF13097.6:CENP-U:144:307 Centromere protein U; CENP-U; Centromere protein of 50 kDa; CENP-50; Interphase centromere complex protein 24; KSHV latent nuclear antigen-interacting protein 1; MLF1-interacting protein; Polo-box-interacting protein 1 418 164 19 307 0 Homo sapiens (Human) SwissProt::Q71F23 1 SwissProt MAPRGRRRPRPHRSEGARRSKNTLERTHSMKDKAGQKCKPIDVFDFPDNSDVSSIGRLGENEKDEETYETFDPPLHSTAIYADEEEFSKHCGLSLSSTPPGKEAKRSSDTSGNEASEIESVKISAKKPGRKLRPISDDSESIEESDTRRKVKSAEKISTQRHEVIRTTASSELSEKPAESVTSKKTGPLSAQPSVEKENLAIESQSKTQKKGKISHDKRKKSRSKAIGSDTSDIVHIWCPEGMKTSDIKELNIVLPEFEKTHLEHQQRIESKVCKAAIATFYVNVKEQFIKMLKESQMLTNLKRKNA
NP_079107.6 1 616 0.562772077922078 Trimethylguanosine synthase; CLL-associated antigen KW-2; Cap-specific guanine-N2 methyltransferase; Hepatocellular carcinoma-associated antigen 137; Nuclear receptor coactivator 6-interacting protein; PRIP-interacting protein with methyltransferase motif; PIMT; PIPMT; EC 2.1.1.- 853 0 19 616 0 Homo sapiens (Human) SwissProt::Q96RS0 1 SwissProt MCCEKWSRVAEMFLFIEEREDCKILCLCSRAFVEDRKLYNLGLKGYYIRDSGNNSGDQATEEEEGGYSCGTAESHDSKGIGLDESELDSEAELMRSMGLPLQFGRITAHKDFEVSMNTRNKVKIKKKKHQKKYLDEIVQESWRKEYEEDDILASDDPSSIEQYENTRTYELQSKKDTETENPPVENTLSPKLEITEKWEKYWNEYGGGLLWQSWQEKHPGQALSSEPWNFPDTKEEWEQHYSQLYWYYLEQFQYWEAQGWTFDASQSCDTDTYTSKTEADDKNDEKCMKVDLVSFPSSPIMVDNDSSGTSDKDHSEILDGISNIKLNSEEVTQSQLDSCTSHDGHQQLSEVSSKRECPASGQSEPRNGGTNEESNSSGNTNTDPPAEDSQKSSGANTSKDRPHASGTDGDESEEDPPEHKPSKLKRSHELDIDENPASDFDDSGSLLGFKYGSGQKYGGIPNFSHRQVRYLEKNVKLKSKYLDMRRQIKMKNKHIFFTKESEKPFFKKSKILSKVEKFLTWVNKPMDEEASQESSSHDNVHDASTSSDSEEQDMSVKKGDDLLETNNPEPEKCQSVSSAGELETENYERDSLLATVPDEQDCVTQEVPDSRQAETE
NP_085143.1 310 665 0.524175280898876 Dual specificity protein phosphatase 16; Mitogen-activated protein kinase phosphatase 7; MAP kinase phosphatase 7; MKP-7; EC 3.1.3.16; EC 3.1.3.48 665 0 19 356 0 Homo sapiens (Human) SwissProt::Q9BY84 1 SwissProt KLKLLHLEKPNEPVPAVSEGGQKSETPLSPPCADSATSEAAGQRPVHPASVPSVPSVQPSLLEDSPLVQALSGLHLSADRLEDSNKLKRSFSLDIKSVSYSASMAASLHGFSSSEDALEYYKPSTTLDGTNKLCQFSPVQELSEQTPETSPDKEEASIPKKLQTARPSDSQSKRLHSVRTSSSGTAQRSLLSPLHRSGSVEDNYHTSFLFGLSTSQQHLTKSAGLGLKGWHSDILAPQTSTPSLTSSWYFATESSHFYSASAIYGGSASYSAYSCSQLPTCGDQVYSVRRRQKPSDRADSRRSWHEESPFEKQFKRRSCQMEFGESIMSENRSREELGKVGSQSSFSGSMEIIEVS
NP_112192.2 1 597 0.183290954773869 PF05978.16:UNC-93:122:189 Protein unc-93 homolog B1; Unc-93B1; hUNC93B1 597 68 19 337 12 Homo sapiens (Human) SwissProt::Q9H1C4 1 SwissProt MEAEPPLYPMAGAAGPQGDEDLLGVPDGPEAPLDELVGAYPNYNEEEEERRYYRRKRLGVLKNVLAASAGGMLTYGVYLGLLQMQLILHYDETYREVKYGNMGLPDIDSKMLMGINVTPIAALLYTPVLIRFFGTKWMMFLAVGIYALFVSTNYWERYYTLVPSAVALGMAIVPLWASMGNYITRMAQKYHEYSHYKEQDGQGMKQRPPRGSHAPYLLVFQAIFYSFFHLSFACAQLPMIYFLNHYLYDLNHTLYNVQSCGTNSHGILSGFNKTVLRTLPRSGNLIVVESVLMAVAFLAMLLVLGLCGAAYRPTEEIDLRSVGWGNIFQLPFKHVRDYRLRHLVPFFIYSGFEVLFACTGIALGYGVCSVGLERLAYLLVAYSLGASAASLLGLLGLWLPRPVPLVAGAGVHLLLTFILFFWAPVPRVLQHSWILYVAAALWGVGSALNKTGLSTLLGILYEDKERQDFIFTIYHWWQAVAIFTVYLGSSLHMKAKLAVLLVTLVAAAVSYLRMEQKLRRGVAPRQPRIPRPQHKVRGYRYLEEDNSDESDAEGEHGDGAEEEAPPAGPRPGPEPAGLGRRPCPYEQAQGGDGPEEQ
NP_115902.1 1 323 0.149030959752322 PF01545.21:Cation_efflux:90:229 Zinc transporter 2; ZnT-2; Solute carrier family 30 member 2 323 140 19 231 4 Homo sapiens (Human) SwissProt::Q9BRI3 1 SwissProt MEAKEKQHLLDARPAIRSYTGSLWQEGAGWIPLPRPGLDLQAIELAAQSNHHCHAQKGPDSHCDPKKGKAQRQLYVASAICLLFMIGEVVEILGALVSVLSIWVVTGVLVYLAVERLISGDYEIDGGTMLITSGCAVAVNIIMGLTLHQSGHGHSHGTTNQQEENPSVRAAFIHVIGDFMQSMGVLVAAYILYFKPEYKYVDPICTFVFSILVLGTTLTILRDVILVLMEGTPKGVDFTAVRDLLLSVEGVEALHSLHIWALTVAQPVLSVHIAIAQNTDAQAVLKTASSRLQGKFHFHTVTIQIEDYSEDMKDCQACQGPSD
NP_172176.1 1 1016 0.283866633858267 PF16940.5:Tic110:77:650 Protein TIC110, chloroplastic; Translocon at the inner envelope membrane of chloroplasts 110; AtTIC110 1016 574 19 1016 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LPR9 1 SwissProt MNPSLVTAINAPISPSPRSPLLSHFLPTLPHRFSKSECLSRRRYRVSFPRSSAASSDQLSVSTQAKNPGIHGNKKELTGLQPIVEKMTPPVRLATSAVVLAASLATGYGLGLRLAGSRNIAFGGAAVAGAAGGAVVYALNSAVPEVAAISLHNYVAEFEDPASVTKDDVEKIADRYGVNKGDEAFQAEICDIYCRYVTSVLPTEGQSLKGDEVAKIVKFKNALGIDEPDAAAMHMEIGRRIFRQRLETGEREGDAEQRRAFMRLVYVSALVFGDASSFLLPWKRVLKVTDAQVEIAIRENAKQLYAERLKLVGRDINVENLVDLRKSQLSFKLSDELAEDLFREHTRKVVVENISSALSILKSRTRAAKSLASVVEELEKVLEFNNLLVSLKSHSEADQFARGVGPISLIGDESDFERRMDDLKLLYRAYVTDALSGGRLEENKLVAMSQLRNILGLGKREAEAISVDVTSKSYRKRLANAVSSGDLEAQDSKAKYLQKLCEELHFDAQKAGAIHEEIYRQKLQQCVTDGELSDDNVAALLRLRVMLCIPQQTVDTAHAEICGTIFEKVVRDAISSGVDGYDAETRKSVRKAAHGLRLSRETAMSIASKAVRRVFTNYIRRARAAENRTDSAKELKKMIAFNTLVVTEMVADIKGESSDKAPEEDPVQEKEEDDEDEEWGSLESLRKTRPDKELAEKMGKPGQTEITLKDDLPDRDRIDLYKTYLLYCVTGEVTRIPFGAQITTKRDDSEYLLLNQLGGILGLSSKEIVNIHVGLAEQAFRQQAEVILADGQLTKARVEQLDELQKQVGLPQPQAEKVIKNITTTKMANAIETAVNQGRLNIKQIRELKEANVSLDSMIAVSLREKLFKKTVSDIFSSGTGEFDETEVYQTIPSDLSIDVEKAKRVVHDLAQSRLSNSLVQAVALLRQRNSKGVVLSLNDLLACDKAVPAEPMSWEVSEELSDLYAIYSKSDPKPAPEKVLRLQYLLGIDDSTATALREMEDGALSSAAEEGNFVF
NP_172330.1 1 319 0.420959561128527 RNA polymerase sigma factor sigB; Sigma factor B; Sigma-B; Protein ABERRANT CHLOROPLAST 1; RNA polymerase sigma factor sig1; Atsig1; Sigma factor 1; RNA polymerase sigma factor sig2; Atsig2; Sigma factor 2; RNA polymerase sigma factor sigA; Sigma factor A; Sigma-A 572 0 19 319 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22056 1 SwissProt MSSCLLPQFKCPPDSFSIHFRTSFCAPKHNKGSVFFQPQCAVSTSPALLTSMLDVAKLRLPSFDTDSDSLISDRQWTYTRPDGPSTEAKYLEALASETLLTSDEAVVVAAAAEAVALARAAVKVAKDATLFKNSNNTNLLTSSTADKRSKWDQFTEKERAGILGHLAVSDNGIVSDKITASASNKESIGDLESEKQEEVELLEEQPSVSLAVRSTRQTERKARRAKGLEKTASGIPSVKTGSSPKKKRLVAQEVDHNDPLRYLRMTTSSSKLLTVREEHELSAGIQDLLKLERLQTELTERSGRQPTFAQWASAAGVDQ
NP_174703.1 1 291 0.309790034364261 PF00364.22:Biotin_lipoyl:41:112,PF02817.17:E3_binding:183:218 2-oxoacid dehydrogenases acyltransferase family protein 465 108 19 291 0 Arabidopsis thaliana NP_174703.1 1 RefSeq MSRLLQTPFLPSVSLPTKTRSSVTGFRVKPRIIPIQAKIREIFMPALSSTMTEGKIVSWVKSEGDKLNKGESVVVVESDKADMDVETFYDGYLAAIMVEEGGVAPVGSAIALLAETEDEIADAKAKASGGGGGGDSKAPPASPPTAAVEAPVSVEKKVAAAPVSIKAVAASAVHPASEGGKRIVASPYAKKLAKELKVELAGLVGSGPMGRIVAKDVEAVAAGGGVQAAVAVKEVVAAPGVELGSVVPFTTMQGAVSRNMVESLGVPTFRVGYTISTDALDALYKKIKSKG
NP_175173.2 1 50 0.327372 hypothetical protein 50 0 19 50 0 Arabidopsis thaliana NP_175173.2 1 RefSeq MMSFVANLAIKRFDHASTVYVEDVVDSSRVAYSENGGDDDDSGYDYAPAA
NP_176012.1 128 296 0.569040828402367 Transcription factor MYB72; Myb-related protein 72; AtMYB72 296 0 19 169 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGU3 1 SwissProt SSTHDQSTKADHDKNCDGAQEEIHSGLNESQNSATSSHHQGECMHTKPELHEVNGLNEIQFLLDHDDFDDITSEFLQDNDILFPLDSLLHNHQTHISTQEMTREVTKSQSFDHPQPDIPCGFEDTNEESDLRRQLVESTTPNNEYDEWFNFIDNQTYFDDFNFVGEVCL
NP_176038.1 1 324 0.157495987654321 PF03059.16:NAS:7:277 nicotianamine synthase (EC 2.5.1.43) 324 271 19 324 0 Arabidopsis thaliana BRENDA::Q6L5P3 1 BRENDA MGYCQDDQLVNKICDLYEKISKLETLKPCEDVDTLFKQLVSTCIPPNPNIDVTKMSENIQEMRSNLIKICGEAEGYLEHHFSSILTSFEDNPLHHLNLFPYYNNYLKLSKLEFDLLEQNLNGFVPRTVAFIGSGPLPLTSVVLASSHLKDSIFHNFDIDPSANMVAARLVSSDPDLSQRMFFHTVDIMDVTESLKGFDVVFLAALVGMDKKEKVKVVEHLEKHMSPGALLMLRSAHGPRAFLYPIVEPCDLEGFEVLSVYHPTDEVINSIVISRKLGEDANGVVHDHIDQASDLACNCSKIHVIMNKKKSIIEEFAGANEEQLT
NP_179803.4 309 1577 0.164882978723404 PF00514.23:Arm:216:255 Protein CELLULOSE SYNTHASE INTERACTIVE 1; Protein POM-POM 2 2150 40 19 1269 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IIM1 1 SwissProt QSKEAKREIANSNGIPVLINATIAPSKEFMQGEYAQALQENAMCALANISGGLSYVISSLGQSLESCSSPAQTADTLGALASALMIYDGKAETTRASDPLVVEQTLLKQFKPRLPFLVQERTIEALASLYGNSILSVKLSNSDAKRLLVGLITMAVNEVQDELVKALLMLCNHEGSLWQALQGREGIQLLISLLGLSSEQQQECAVALLCLLSNENDESKWAITAAGGIPPLVQILETGSAKAREDSATILRNLCNHSEDIRACVESADAVPALLWLLKNGSPNGKEIAAKTLNHLIHKSDTATISQLTALLTSDLPESKIYVLDALKSMLSVVPFNDMLREGSASNDAIETMIKLMSSGKEETQANSASALAAIFQSRKDLRESALALKTLLSAIKLLNVDSERILVESCRCLAAILLSIKENRDVAISAREALPTIVSLANSSVLEVAEQGMCALANLILDSEVSEKVIVEDIILSATRILREGTVSGKTLAAAAIARLLSRRRIDSALTDSVNRAGTVLTLVSLLESADGRSDAISEALDALAIFSRSGANGNVKPAWAVLAESPNSMAPIVSSIVSVANPSLQDKAIEVLSRLCRDQPIVLGNMVNNARDCVSSIAKRVINTRDPKIKIGGAAIIICAAKVDDQKMIENLNETQLCAKFVQALVGILDSVQDQEKDEKDKICICIHPKEKEEDEEEEATENREGSTGATVISGDNLAIWLLSVLSCHDEKSRAVILESEGIELITDRIGNRFLQADNGEDANIWVCALLLAILFQDREITRAHATMKAVPVLSNLVKSEEYADRYFAAQALASLVCNGSRGTLLSVANSGAAAGFISLLGCSDDDIKELLQLSQEFTLVRYPDQVALERLFRVEDIRVGATSRKAIPLLVELLKPIPDRPGAPLLSLNLLTQLAGDCPQNMIVMVESGALEGLSKYLSLGPQDEQEEAATGLLGILFSSAEIRRHESAFGAVSQLVAVLRLGGRGARYSAAKALDSLFTADHIRNAESSRQAVQPLVEILNTGSEREQHAAIAALVRLLSDNPSRALAVADVEMNAVDVLCRILSSNYTMELKGDAAELCYVLFANTRIRSTVAAARCVEPLVSLLVTEFSPAQHSVVRALDKLVDDEQLAELVAAHGAVVPLVGLLYGKNYVLHEAISRALVKLGKDRPACKLEMVKAGVIDCVLDILHEAPDFLCAAFSELLRILTNNATIAKGQSAAKVVEPLFHLLTRLEFGADGQHSALQVLVNILEHPQCRADYTLTPH
NP_180072.1 1 144 0.442728472222222 WRKY DNA-binding protein 60 271 0 19 144 0 Arabidopsis thaliana NP_180072.1 1 RefSeq MDYDPNTNPFDLHFSGKLPKREVSASASKVVEKKWLVKDEKRNMLQDEINRVNSENKKLTEMLARVCEKYYALNNLMEELQSRKSPESVNFQNKQLTGKRKQELDEFVSSPIGLSLGPIENITNDKATVSTAYFAAEKSDTSLT
NP_187418.1 1 567 0.403875837742504 Lysine-specific demethylase JMJ25; Jumonji domain-containing protein 25; Lysine-specific histone demethylase JMJ25; Protein INCREASE IN BONSAI METHYLATION 1; Protein JUMONJI 25; EC 1.14.11.- 1027 0 19 567 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SSE9 1 SwissProt MDSVEEEGVVRVEEENGRGGLRRHRRVSTKLANYVDPPTDDEEDGGPKRKGKRGGNRAPKKTPKKDEEMQKNEIDEANRVTGLVKEKRAATKILNRKDSIIEVGEASGSMPKEVKGIRIGKRKGEIDGEIPTKPGKKPKTTVDPRIIGYRPDNMCHQCQKSDRIVERCQTCNSKRYCHPCLDTWYPLIAKEDVAKKCMFCSSTCNCRACLRLDTKLKGINSNLIVSEEEKVQASKFILQSLLPHLKGINDEQVAEKEVEAKIYGLKFEEVRPQDAKAFPDERLYCDICKTSIYDLHRNCKSCSFDICLSCCLEIRNGKALACKEDVSWNYINRGLEYEHGQEGKVIEKPANKLDDKLKDKLDGKPDDKPKGKPKGRPKGKPDDKPKGKLKGKQDDKPDDKPDEKPVNTDHMKYPSLWKANEAGIITCCCGAGELVLKRLLPDGWISELVNRVEKTAEAGELLNLPETVLERCPCSNSDRHIDIDSCNLLKAACREGSEDNYLYSPSVWDVQQDDLKHFQHHWVKGEPVIVRNVLEATSGLSWEPMVMHRACRQISHVQHGSLKDVVA
NP_189308.1 1 141 0.362660283687943 PF07207.11:Lir1:1:133 Light-regulated protein 1, chloroplastic; Protein CCR-LIKE, chloroplastic 141 133 19 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96500 1 SwissProt MQGALFIKPTILLPLPSSVSSPKLTFLLPHATKASRLSSLRSNNSSSSSSLTSDPNTVDYNSSILSVFPAEACEVISGYACSADIYPEVKLDTKPVSRPVASEPVDREYEEYNSPKTVFREEACDDLGGEFCEPDFQKDAN
NP_191230.1 1 151 0.556191390728477 PF05678.14:VQ:45:70 Sigma factor binding protein 1, chloroplastic; AtsibI; Sigma factor binding protein I; SigA binding protein; VQ motif-containing protein 23; AtVQ23 151 26 19 151 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDH1 1 SwissProt MESSSSTFLTTTSLDKKKPSPVSRKSPKQKKKTTSTNKPIKVRYISNPMRVQTCASKFRELVQELTGQDAVDLQPEPIYSPSSDDHNLSPPAENLAPRVLHQEPFGERDSDCYEPLNAEDMFLPDQMSAGFSGFFSNGFYNVNDFGSIDSM
NP_191839.2 154 355 0.658693069306931 Double-stranded RNA-binding protein 4; dsRNA-binding protein 4; AtDRB4 355 0 19 202 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H1D4 1 SwissProt NQTGSPTLPSERQEDVNSNVKSSPQEIHSQPSSKVVMTPDTPSKGIKVNEDEFPDLHDAPASNAKEINVALNEPENPTNDGTLSALTTDGMKMNIASSSLPIPHNPTNVITLNAPAANGIKRNIAACSSWMPQNPTNDGSETSSCVVDESEKKKLIMGTGHLSIPTGQHVVCRPWNPEITLPQDAEMLFRDDKFIAYRLVKP
NP_196061.1 166 567 0.432077363184079 NAC domain-containing protein 78; ANAC078; Protein NTM1-like 11 567 0 19 379 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84K00 1 SwissProt NGEQYGAPYLEEEWEEDGMTYVPAQDAFSEGLALNDDVYVDIDDIDEKPENLVVYDAVPILPNYCHGESSNNVESGNYSDSGNYIQPGNNVVDSGGYFEQPIETFEEDRKPIIREGSIQPCSLFPEEQIGCGVQDENVVNLESSNNNVFVADTCYSDIPIDHNYLPDEPFMDPNNNLPLNDGLYLETNDLSCAQQDDFNFEDYLSFFDDEGLTFDDSLLMGPEDFLPNQEALDQKPAPKELEKEVAGGKEAVEEKESGEGSSSKQDTDFKDFDSAPKYPFLKKTSHMLGAIPTPSSFASQFQTKDAMRLHAAQSSGSVHVTAGMMRISNMTLAADSGMGWSYDKNGNLNVVLSFGVVQQDDAMTASGSKTGITATRAMLVFMCLWVLLLSVSFKIVTMVSAR
NP_196857.2 802 1625 0.277216140776699 PF18346.1:SH3_15:65:183,PF18346.1:SH3_15:189:246,PF18346.1:SH3_15:252:309,PF18346.1:SH3_15:315:365,PF18346.1:SH3_15:371:433,PF18346.1:SH3_15:441:562,PF18346.1:SH3_15:568:689,PF18346.1:SH3_15:697:816 E3 ubiquitin-protein ligase KEG; Protein KEEP ON GOING; RING finger protein KEG; RING-type E3 ubiquitin transferase KEG; EC 2.3.2.27; EC 2.7.11.1 1625 713 19 824 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FY48 1 SwissProt AAKMIRENLDWLIVMLRSPDAAVDVRNHSGKTVRDFLEALPREWISEDLMEALLKRGVHLSPTIYEVGDWVKFKRGITTPLHGWQGAKPKSVGFVQTILEKEDMIIAFCSGEARVLANEVVKLIPLDRGQHVRLRADVKEPRFGWRGQSRDSVGTVLCVDEDGILRVGFPGASRGWKADPAEMERVEEFKVGDWVRIRQNLTSAKHGFGSVVPGSMGIVYCVRPDSSLLVELSYLPNPWHCEPEEVEPVAPFRIGDRVCVKRSVAEPRYAWGGETHHSVGKISEIENDGLLIIEIPNRPIPWQADPSDMEKIDDFKVGDWVRVKASVSSPKYGWEDITRNSIGVMHSLDEDGDVGIAFCFRSKPFSCSVTDVEKVTPFHVGQEIHMTPSITQPRLGWSNETPATIGKVMRIDMDGTLSAQVTGRQTLWRVSPGDAELLSGFEVGDWVRSKPSLGNRPSYDWSNVGRESIAVVHSIQETGYLELACCFRKGRWSTHYTDLEKIPALKVGQFVHFQKGITEPRWGWRAAKPDSRGIITTVHADGEVRVAFFGLPGLWRGDPADLEVEPMFEVGEWVRLREGVSCWKSVGPGSVGVVHGVGYEGDEWDGTTSVSFCGEQERWAGPTSHLEKAKKLVVGQKTRVKLAVKQPRFGWSGHSHGSVGTISAIDADGKLRIYTPAGSKTWMLDPSEVETIEEEELKIGDWVRVKASITTPTYQWGEVNPSSTGVVHRMEDGDLCVSFCFLDRLWLCKAGELERIRPFRIGDRVKIKDGLVTPRWGWGMETHASKGHVVGVDANGKLRIKFLWREGRPWIGDPADIVLDETSG
NP_197014.1 1 367 0.0721948228882834 PF03547.18:Mem_trans:9:362 Auxin efflux carrier component 8; AtPIN8 367 354 19 193 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LFP6 1 SwissProt MISWLDIYHVVSATVPLYVSMTLGFLSARHLKLFSPEQCAGINKFVAKFSIPLLSFQIISENNPFKMSPKLILSDILQKFLVVVVLAMVLRFWHPTGGRGGKLGWVITGLSISVLPNTLILGMPILSAIYGDEAASILEQIVVLQSLIWYTILLFLFELNAARALPSSGASLEHTGNDQEEANIEDEPKEEEDEEEVAIVRTRSVGTMKILLKAWRKLIINPNTYATLIGIIWATLHFRLGWNLPEMIDKSIHLLSDGGLGMAMFSLGLFMASQSSIIACGTKMAIITMLLKFVLGPALMIASAYCIRLKSTLFKVAILQAALPQGVVPFVFAKEYNLHPEIISTGVIFGMLIALPTTLAYYFLLDL
NP_197618.1 1 613 0.175819902120718 PHD finger protein MALE STERILITY 1 672 0 19 613 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMS5 1 SwissProt MANLIRTDHQQHIPKKRKRGESRVFRLKTFGESGHPAEMNELSFRDNLAKLLEFGHFESSGLMGSWSFQLEIQRNPNPLYVLLFVVEEPIEASLNLRCNHCQYVGWGNQMICNKKYHFVIPSKETMAAFLKLEGGGYAFPEKESFSHLVELQGHVLHGFFHSNGFGHLLSLNGIETGSDLTGHQVMDLWDRLCTGLKARKIGLNDASHKKGMELRLLHGVAKGEPWFGRWGYRFGSGTYGVTQKIYEKALESVRNIPLCLLNHHLTSLNRETPILLSKYQSLSTEPLITLSDLFRFMLHLHSRLPRDNYMSNSRNQIISIDSTNCRWSQKRIQMAIKVVIESLKRVEYRWISRQEVRDAARNYIGDTGLLDFVLKSLGNQVVGNYLVRRSLNPVKKVLEYSLEDISNLLPSSNNELITLQNQNSMGKMATNGHNKITRGQVMKDMFYFYKHILMDYKGVLGPIGGILNQIGMASRAILDAKYFIKEYHYIRDTSAKTLHLDRGEELGIFCTIAWKCHHHNNEIKVPPQECIVVKKDATLSEVYGEAERVFRDIYWELRDVVVESVVGGQIEITRVDEMALNGNKGLVLEGNVGMMMNIEVTKCYEDDDKKKDK
NP_197928.1 1 369 0.194277235772358 PF04862.12:DUF642:29:184,PF04862.12:DUF642:197:363 transmembrane protein, putative (Protein of unknown function, DUF642) 369 323 19 349 1 Arabidopsis thaliana NP_197928.1 1 RefSeq MEGVTVVSFFLLFIATAMAAKSTVSFRDGMLPNGDFELGPKPSDMKGTEILNKLAIPNWEVTGFVEYIKSGHKQGDMLLVVPAGKFAVRLGNEASIKQRLKVVKGMYYSLTFSAARTCAQDERLNISVAPDSGVIPIQTVYSSSGWDLYAWAFQAESDVAEVVIHNPGVEEDPACGPLIDGVAMRSLYPPRPTNKNILKNGGFEEGPLVLPGSTTGVLIPPFIEDDHSPLPGWMVESLKAVKYVDVEHFSVPQGRRAIELVAGKESAIAQVVRTVIGKTYVLSFAVGDANNACKGSMVVEAFAGKDTLKVPYESKGTGGFKRASIRFVAVSTRSRIMFYSTFYAMRSDDFSSLCGPVIDDVKLISVRKP
NP_200236.1 56 490 0.0757349425287355 PF00520.31:Ion_trans:35:359 Cyclic nucleotide-gated ion channel 4; AtCNGC4; Cyclic nucleotide- and calmodulin-regulated ion channel 4; AtHLM1 694 325 19 297 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94AS9 1 SwissProt IGLTCGGRRNGSSNNNKWMMLGRILDPRSKWVREWNKVFLLVCATGLFVDPLFLYTLSVSDTCMCLLVDGWLALTVTALRSMTDLLHLWNIWIQFKIARRWPYPGGDSDGDTNKGGGTRGSTRVAPPYVKKNGFFFDLFVILPLPQVVLWVVIPSLLKRGSVTLVVSVLLVTFLFQYLPKIYHSIRHLRRNATLSGYIFGTVWWGIALNMIAYFVAAHAAGACWYLLGVQRSAKCLKEQCENTIGCDLRMLSCKEPVYYGTTVMVLDRARLAWAQNHQARSVCLDINTNYTYGAYQWTIQLVSSESRLEKILFPIFWGLMTLSTFGNLESTTEWSEVVFNIIVLTSGLLLVTMLIGNIKVFLHATTSKKQAMHLKMRNIEWWMKKRHLPIGFRQRVRNYERQRWAAMRGVDECEMVQNLPEGLRRDIKYHLCLDL
NP_216493.1 1 348 0.211675287356322 PF01435.18:Peptidase_M48:67:263 hypothetical protein 348 197 19 325 1 Mycobacterium tuberculosis H37Rv NP_216493.1 1 RefSeq MSQTPATTRKTFPEISSRAWEHPADRTALSALRRLKGFDQILKLMSGMLRERQHRLLYLASAARVGPRQFADLDALLDECVDVLDASAKPELYVMQSPIADAFTIGMGKPFTVITSGLYDLVTHDEMRFVMGHELGHALSGHAVYRTMMMHLLRLARSFGVLPVGGWALRAIVAALLEWQRKSELSGDRAGLLCAQDLDTALRVEMKLAGGCRLDKLDSEAFLAQAREYETSGDMRDGVLKLLNLELQTHPFSVLRAAALTHWVDTGGYAKVIAGEYPRRADDGNAKFADDLGAAARYYRDGFDQSNDPLIKGIRDGFGGIVEGVGRAASNAADSLGRKITEWRQPSK
NP_217598.1 1 340 0.193636470588236 PF12625.7:Arabinose_bd:23:207,PF12833.7:HTH_18:256:333,PF00165.23:HTH_AraC:301:332 HTH-type transcriptional regulator VirS; Virulence-regulating protein VirS 340 263 19 340 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMJ3 1 SwissProt MELGSLIRATNLWGYTDLMRELGADPLPFLRRFDIPPGIEHQEDAFMSLAGFVRMLEASAAELDCPDFGLRLARWQGLGILGPVAVIARNAATLFGGLEAIGRYLYVHSPALTLTVSSTTARSNVRFGYEVTEPGIPYPLQGYELSMANAARMIRLLGGPQARARVFSFRHAQLGTDAAYREALGCTVRFGRTWCGFEVDHRLAGRPIDHADPETKRIATKYLESQYLPSDATLSERVVGLARRLLPTGQCSAEAIADQLDMHPRTLQRRLAAEGLRCHDLIERERRAQAARYLAQPGLYLSQIAVLLGYSEQSALNRSCRRWFGMTPRQYRAYGGVSGR
NP_218420.1 1 451 0.265220399113082 Outer membrane channel protein CpnT; EC 3.2.2.5 846 0 19 451 0 Mycobacterium bovis (strain BCG / Pasteur 1173P2) SwissProt::A0A0H3MAA9 1 SwissProt MAPLAVDPAALDSAGGAVVAAGAGLGAVISSLTAALAGCAGMAGDDPAGAVFGRSYDGSAAALVQAMSVARNGLCNLGDGVRMSAHNYSLAEAMSDVAGRAAPLPAPPPSGCVGVGAPPSAVGGGGGAPKGWGWVAPYIGMIWPNGDSTKLRAAAVAWRSAGTQFALTEIQSTAGPMGVIRAQQLPEAGLIESAFADAYASTTAVVGQCHQLAAQLDAYAARIDAVHAAVLDLLARICDPLTGIKEVWEFLTDQDEDEIQRIAHDIAVVVDQFSGEVDALAAEITAVVSHAEAVITAMADHAGKQWDRFLHSNPVGVVIDGTGQQLKGFGEEAFGMAKDSWDLGPLRASIDPFGWYRSWEEMLTGMAPLAGLGGENAPGVVESWKQFGKSLIHWDEWTTNPNEALGKTVFDAATLALPGGPLSKLGSKGRDILAGVRGLKERLEPTTPHLE
NP_219585.1 1 560 0.546981071428571 hypothetical protein 560 0 19 560 0 Chlamydia trachomatis D/UW-3/CX NP_219585.1 1 RefSeq MSISGSGNVSPATPDFDPSILMGRQAASAHAAKEASGASKATETSAAEQQALISSGTELDYVTDLQQSEGKYKKTLDKTSKSPKTKLKGNFSKVRAGTKGFLTGFGTRASRISARKAENNGEGMSMIPSQMEYVKKKGNRVSPEMQNFYLGASGLWSPTSDVSSITENCLGATALSTTPLLTTMQDPVSIEHLSSGEITALASFNPNVRTASLNEQTINAWTEARLGGEMVSTLLDPNIETSSLLRRAPTVSNEGMVDVSDMGNQTTSLSMEGLVNTVVDDPASAEEEKKTGELSLEEMAAMAKMMAALLSSGQGMAVFIASSTPSSGLTQFPEPKFSGTIPHHFSKKEDNETIWGLDSQIGSIAFDTRRENNASPLPTTSLHEEASYRFPVGEAPLDVNEIPFAVQHSTVFSKETANTEQALIQNESLGEIPVSAEVVGQDTVSSAYQFPSHLGMAVLASVPLSTEDYKTAVEHRKGPGGPPDPLIYQYRNVAVDPAIIFQSPSPFSVSSRFSVQGKPEAVAVYNDDQEEAAGGNRDSDEGKDQEQDKTRETEDAGGDS
NP_248716.1 1 328 0.224001829268293 phospholipase C 328 0 19 328 0 Pseudomonas aeruginosa PAO1 NP_248716.1 1 RefSeq MKTFARLAAGLCICAIASQANAWSQPTHKNIVKDALAFMNSSYATEEMRQAYRFYVSAAGSEAQAGEILGQAAFDVDDFKDTRLGGWWVGYEHAPLWGAASGIVNYTSYWHFLNLARDGDSHGNPHGGYDYRYHKVDGGIADVDWYAMVYLYNRELKREDFDTTEAHYRQGTRSDWQEHYGDFQTAAFQPIDNLATYWFEQFRAAPSLQTIGYALHATGDVAQPHHVWITSANGHSSWEGWVDDHYASEKLNDPAAVANLVGRYDPSKSIRDLLTQTGQVAYARPEPLYDTSYETRLRVAKELIPESIALTVTVLTKGANSFDAPTAL
NP_250114.1 1 274 0.311833211678832 PF08447.12:PAS_3:20:105,PF08447.12:PAS_3:142:227,PF13426.7:PAS_9:14:109,PF13426.7:PAS_9:132:233,PF08448.10:PAS_4:12:109,PF08448.10:PAS_4:130:234,PF00989.25:PAS:14:103,PF00989.25:PAS:131:230,PF13188.7:PAS_8:13:60 Biofilm dispersion protein BdlA; Chemotaxis regulator BdlA 417 203 19 274 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9I3S1 1 SwissProt MAALDRSMARVEFDPDGNITDANENFLTLLGYRRDEILGKPHRQLCDGAYAQSEDYRRFWERLRRGEHFSGRCKRITREGRPLWLEATYNPVRDGQGRLLKVVKYASDIDAIVHQEHEMQSKLDALSRSMAMIEFDLDGNVLAANDNFLATMGYGRAELASANHRQFCEPGYRDGPQYADLWRRLNRGEYVTGQFRRVHRNGQPVWLEASYNPVYDADGKLYKVVKFASDVSDRMRRYQAEADNAHQAHTLSTETRTVAEHGALIIQSAVEEML
NP_252555.1 222 672 0.410657206208426 PF06958.12:Pyocin_S:298:442 pyocin protein 764 145 19 451 0 Pseudomonas aeruginosa PAO1 NP_252555.1 1 RefSeq NAITTPTATKVQQRVKAVDASLSQVSTQVSGAVASATQAVQVKTAQAQQQANSQISSSQNLISAAFRNQIALAAQASGEAQVTAFNTQVKQIVNEATAFSTARKQALAQFAANAAAEVETEVNRLTAQVKASPTKAASQAAQATLRQFTESTYAKVNTYAQQTEAELQAKAKEVSAAVAEAQRAATNDLQKTADVVPGQIIQAANTLAMPATSTPLVSVAGFGSAAVETARLAASLTNAVNRLVQIAGSGPGAYVATFAVLSLYSDQAGKDSDKVPAGVRNALALEASALGLPGTADLQSVAKAGGTVDMPVRLTSAAQESPSGKSQIAAMLTNGATVPKGVPVRAATLNAATGRYEVTVPAKSTVPNTPPLILTWTPATPPGSQNPSSTTPVVPQPVPVYEGATITPVQAEPESYPGVPLDLDDLIVIFPVGSGVKPIYIMFNHNPHDVV
NP_277058.1 130 410 0.369649466192171 PF05210.13:Sprouty:169:273 Sprouty-related, EVH1 domain-containing protein 2; Spred-2 410 105 19 281 0 Mus musculus (Mouse) SwissProt::Q924S7 1 SwissProt SSTLHNEAELGDDDVFTTATDSSSNSSQKREPTTRTISSPTSCEHRKIYTLDPYPMDHYHPDQRLPRSYPQVTFPEDDEEIVRINPREKIWMTGYEDYRHAPVRGKYLDTTEDADSYVRFAKGEVPKHEYTYPYVDSSDFGFGEDPKGSVIKTQPPRAKSRRRKENGERSRCVYCRDMFNHEENRRGHCQDAPDAVRTCIRRVSCMWCADSMLYHCMSDPEGDYTDPCSCDTSDEKFCLRWMALIALSFLAPCMCCYLPLRACHRCGVMCRCCGGKHKAAA
NP_390161.1 1 492 0.242275609756098 PF09547.10:Spore_IV_A:1:492 Stage IV sporulation protein A; Coat morphogenetic protein SpoIVA; EC 3.6.1.3 492 492 19 492 0 Bacillus subtilis (strain 168) SwissProt::P35149 1 SwissProt MEKVDIFKDIAERTGGDIYLGVVGAVRTGKSTFIKKFMELVVLPNISNEADRARAQDELPQSAAGKTIMTTEPKFVPNQAMSVHVSDGLDVNIRLVDCVGYTVPGAKGYEDENGPRMINTPWYEEPIPFHEAAEIGTRKVIQEHSTIGVVITTDGTIGDIARSDYIEAEERVIEELKEVGKPFIMVINSVRPYHPETEAMRQDLSEKYDIPVLAMSVESMRESDVLSVLREALYEFPVLEVNVNLPSWVMVLKENHWLRESYQESVKETVKDIKRLRDVDRVVGQFSEFEFIESAGLAGIELGQGVAEIDLYAPDHLYDQILKEVVGVEIRGRDHLLELMQDFAHAKTEYDQVSDALKMVKQTGYGIAAPALADMSLDEPEIIRQGSRFGVRLKAVAPSIHMIKVDVESEFAPIIGTEKQSEELVRYLMQDFEDDPLSIWNSDIFGRSLSSIVREGIQAKLSLMPENARYKLKETLERIINEGSGGLIAIIL
NP_416661.1 1 489 0.0379811860940695 PF00324.21:AA_permease:21:476,PF13520.6:AA_permease_2:20:433 lysine:H+ symporter 489 457 19 213 12 Escherichia coli K-12 substr. MG1655 ecocyc::LYSP-MONOMER 0 ecocyc MVSETKTTEAPGLRRELKARHLTMIAIGGSIGTGLFVASGATISQAGPGGALLSYMLIGLMVYFLMTSLGELAAYMPVSGSFATYGQNYVEEGFGFALGWNYWYNWAVTIAVDLVAAQLVMSWWFPDTPGWIWSALFLGVIFLLNYISVRGFGEAEYWFSLIKVTTVIVFIIVGVLMIIGIFKGAQPAGWSNWTIGEAPFAGGFAAMIGVAMIVGFSFQGTELIGIAAGESEDPAKNIPRAVRQVFWRILLFYVFAILIISLIIPYTDPSLLRNDVKDISVSPFTLVFQHAGLLSAAAVMNAVILTAVLSAGNSGMYASTRMLYTLACDGKAPRIFAKLSRGGVPRNALYATTVIAGLCFLTSMFGNQTVYLWLLNTSGMTGFIAWLGIAISHYRFRRGYVLQGHDINDLPYRSGFFPLGPIFAFILCLIITLGQNYEAFLKDTIDWGGVAATYIGIPLFLIIWFGYKLIKGTHFVRYSEMKFPQNDKK
NP_417011.1 135 337 0.705029064039409 PF13464.6:DUF4115:121:195 transmembrane component of cytoskeleton 337 75 19 203 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10015-MONOMER 1 ecocyc DRKAQQEEITTMADQSSAELSSNSEQGQSVPLNTSTTTDPATTSTPPASVDTTATNTQTPAVTAPAPAVDPQQNAVVSPSQANVDTAATPAPTAATTPDGAAPLPTDQAGVTTPVADPNALVMNFTADCWLEVTDATGKKLFSGMQRKDGNLNLTGQAPYKLKIGAPAAVQIQYQGKPVDLSRFIRTNQVARLTLNAEQSPAQ
NP_524137.2 58 138 0.391753086419753 Cell death protein Grim 138 0 19 81 0 Drosophila melanogaster (Fruit fly) SwissProt::Q24570 1 SwissProt QQRPQFRANISVPLGSQQGSMTMSEFGCWDLLAQIFCYALRIYSYSSSQRQPTVIQISFEISSGGQNNDEDDVTDATSKEN
NP_566670.1 1 164 0.706034756097561 Nuclear transcription factor Y subunit A-9; AtNF-YA-9 303 0 19 164 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q945M9 1 SwissProt MGIEDMHSKSDSGGNKVDSEVHGTVSSSINSLNPWHRAAAACNANSSVEAGDKSSKSIALALESNGSKSPSNRDNTVNKESQVTTSPQSAGDYSDKNQESLHHGITQPPPHPQLVGHTVGWASSNPYQDPYYAGVMGAYGHHPLGFVPYGGMPHSRMPLPPEMA
NP_567052.1 1 389 0.163905398457584 PF16076.5:Acyltransf_C:238:311,PF01553.21:Acyltransferase:75:227 1-acyl-sn-glycerol-3-phosphate acyltransferase 2; Lysophosphatidyl acyltransferase 2; EC 2.3.1.51 389 227 19 323 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LG50 1 SwissProt MVIAAAVIVPLGLLFFISGLAVNLFQAVCYVLIRPLSKNTYRKINRVVAETLWLELVWIVDWWAGVKIQVFADNETFNRMGKEHALVVCNHRSDIDWLVGWILAQRSGCLGSALAVMKKSSKFLPVIGWSMWFSEYLFLERNWAKDESTLKSGLQRLSDFPRPFWLALFVEGTRFTEAKLKAAQEYAASSELPIPRNVLIPRTKGFVSAVSNMRSFVPAIYDMTVTIPKTSPPPTMLRLFKGQPSVVHVHIKCHSMKDLPESDDAIAQWCRDQFVAKDALLDKHIAADTFPGQQEQNIGRPIKSLAVVLSWACVLTLGAIKFLHWAQLFSSWKGITISALGLGIITLCMQILIRSSQSERSTPAKVVPAKPKDNHHPESSSQTETEKEK
NP_567532.1 1 262 0.303679389312977 Light-harvesting complex-like protein 3 isotype 1, chloroplastic; LHC-like protein 3 isoform 1 262 0 19 262 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYX1 1 SwissProt MALFSPPISSSSLQNPNFIPKFSFSLLSSNRFSLLSVTRASSDSGSTSPTAAVSVEAPEPVEVIVKEPPQSTPAVKKEETATAKNVAVEGEEMKTTESVVKFQDARWINGTWDLKQFEKDGKTDWDSVIVAEAKRRKWLEENPETTSNDEPVLFDTSIIPWWAWIKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDVDKLKNLFDETTLYDKQWQAAWKNDDDESLGSKKK
NP_569002.1 1 96 0.70946875 PF17232.2:Pep1_7:6:92 elicitor peptide 3 precursor 96 87 19 96 0 Arabidopsis thaliana NP_569002.1 1 RefSeq MENLRNGEDNGSLIPFTFFDQSSVTIPLLKCSGLESSSSSSSSCDLSSSHSEEDESIDIKEEEEEEEEDGMTIEIKARGKNKTKPTPSSGKGGKHN
NP_571989.2 1 162 0.0791154320987654 PF01146.17:Caveolin:37:159 Caveolin-2 162 123 19 139 1 Rattus norvegicus (Rat) SwissProt::Q2IBC5 1 SwissProt MGLETEKADVQLFMADDAYSHHSVVDYTDPEKYVDSSQDRDPHQLNSHLKLGFEDLIAEPPTTHSFDKVWICSHALFEISKYVIYKFLTVFLAIPLAFIAGILFATLSCLHIWILMPFVKTCLMVLPSVQTIWKSVTDVVIGPLCTSVGRIFSSVSMQLSHD
NP_594609.1 1 750 0.329449733333333 PF04059.12:RRM_2:596:692,PF00076.22:RRM_1:197:261 RNA-binding protein involved in meiosis Mei2 750 162 19 750 0 Schizosaccharomyces pombe CharProtDB::CH_124448 1 CharProtDB MIMETESPLSITSPSPSDSTFQVDMEKTMHALPSSLLDSPLLSTNEHYPPKSTLLLSGPSPIRNIQLSATKSSESNSIDYLTDTQNIFPNFVNNENNYQFSTAPLNPIDACRVGERKVFTTGNVLLSADRQPLSTWQQNISVLSESPPQNGIQSYISSSEQAAQALTRKPSVTGFRSSSLNSNSDDIDIFSHASRYLFVTNLPRIVPYATLLELFSKLGDVKGIDTSSLSTDGICIVAFFDIRQAIQAAKSLRSQRFFNDRLLYFQFCQRSSIQKMINQGATIQFLDDNEGQLLLNMQGGSVLSILQLQSILQTFGPLLIMKPLRSQNVSQIICEFYDTRDASFALDELDGRIIHNCCLQVAYYDAMADSVSTSSASSLSVPRGFSGMLNNNSEWNNSMTMSSNQETPTAASCAVSRIGSSYGMSNNFGSVPLGRTESSPAWGTSGYYDVSSTSPVAPSDRNPSRQYNSIRYGLDVNPIAPPNSSRLKQRNSDLLNGINPQWSPFSSNTGKVFDSPTGSLGMRRSLTVGANASCSNPTNLSFASLTLHDSKADSTLSASSLNPDLNLQRYTPTVEKHASDRNSVDYAQIASGIDTRTTVMIKNIPNKFTQQMLRDYIDVTNKGTYDFLYLRIDFVNKCNVGYAFINFIEPQSIITFGKARVGTQWNVFHSEKICDISYANIQGKDRLIEKFRNSCVMDENPAYRPKIFVSHGPNRGMEEPFPAPNNARRKLRSIASAQQIGLFPPTASKC
NP_596876.2 1 69 0.500405797101449 urocortin-2 precursor 110 0 19 69 0 Rattus norvegicus NP_596876.2 1 RefSeq MMTRWALVVFMVLMLDRVPGTPIPTFQLLPQNYPETTPSSVSSESPSDTTTGPSASWSNSKASPYLDTR
NP_619608.1 71 661 0.691829949238578 TGF-beta-activated kinase 1 and MAP3K7-binding protein 2; Mitogen-activated protein kinase kinase kinase 7-interacting protein 2; TAK1-binding protein 2; TAB-2; TGF-beta-activated kinase 1-binding protein 2 693 0 19 591 0 Mus musculus (Mouse) SwissProt::Q99K90 1 SwissProt LRNHMTSLNLDLQSQNVYHHGREGSRVNGSRTLTHSVSDGQLHGGQSNNELFQQEPQTAPAQVPQGFNVFGMPSTSGASNSTPHLGFHLGSKGTSNLSQQTPRFNPIMVTLAPNIQTGRSTPTSLHIHGVPPPVLNSPQGNSIYIRPYITTPSGTARQTQQHSGWVSQFNPMNPQQAYQPSQPGPWTTYPASNPLPHTSTQQPNQQGHQTSHVYMPISSPTTPQPPTIHSSGSSQSSAHSQYNIQNISTGPRKNQIEIKLEPPQRNSSSKLRSSGPRTASTSSLVNSQTLNRNQPTVYIAASPPNTDEMISRSQPKVYISANATAGDEQGMRNQPTLFISTNSGPSAASRNMSGQVSMGPAFIHHHPPKSRVLGGNSATSPRVVVTQPNTKYTFKITVSPNKPPAVSPGVVSPTFELTNLLNHPDHYVETENIQHLTDPALAHVDRISEARKLSMGSDDAAYTQALLVHQKARMERLQRELEMQKKKLDKLKSEVNEMENNLTRRRLKRSNSISQIPSLEEMQQLRSCNRQLQIDIDCLTKEIDLFQARGPHFNPSAIHNFYDNIGFVGPVPPKPKDQRSTIKAPKTQDAE
NP_619638.2 1 183 0.665039344262296 Krueppel-like factor 14 323 0 19 183 0 Homo sapiens NP_619638.2 1 RefSeq MSAAVACLDYFAAECLVSMSAGAVVHRRPPDPEGAGGAAGSEVGAAPPESALPGPGPPGPASVPQLPQVPAPSPGAGGAAPHLLAASVWADLRGSSGEGSWENSGEAPRASSGFSDPIPCSVQTPCSELAPASGAAAVCAPESSSDAPAVPSAPAAPGAPAASGGFSGGALGAGPAPAADQAP
NP_687034.1 100 553 0.664438766519824 T-cell activation Rho GTPase-activating protein isoform a 553 0 19 454 0 Homo sapiens NP_687034.1 1 RefSeq GENIPVHSSITSDDSLEHTDSSDVSTLQNDSAYDSNDPDVESNSSSGISSPSRQPQVPMATAAGLDSAGPQDAREVSPEPIVSTVARLKSSLAQPDRRYSEPSMPSSQECLESRVTNQTLTKSEGDFPVPRVGSRLESEEAEDPFPEEVFPAVQGKTKRPVDLKIKNLAPGSVLPRALVLKAFSSSSLDASSDSSPVASPSSPKRNFFSRHQSFTTKTEKGKPSREIKKHSMSFTFAPHKKVLTKNLSAGSGKSQDFTRDHVPRGVRKESQLAGRIVQENGCETHNQTARGFCLRPHALSVDDVFQGADWERPGSPPSYEEAMQGPAARLVASESQTVGSMTVGSMRARMLEAHCLLPPLPPAHHVEDSRHRGSKEPLPGHGLSPLPERWKQSRTVHASGDSLGHVSGPGRPELLPLRTVSESVQRNKRDCLVRRCSQPVFEADQFQYAKESYI
NP_689525.3 1 721 0.179331067961165 PF13896.6:Glyco_transf_49:431:495,PF13896.6:Glyco_transf_49:498:665,PF01501.20:Glyco_transf_8:127:345 LARGE xylosyl- and glucuronyltransferase 2; Glycosyltransferase-like 1B; EC 2.4.-.-; EC 2.4.2.-; EC 2.4.1.- 721 452 19 698 1 Homo sapiens (Human) SwissProt::Q8N3Y3 1 SwissProt MLPRGRPRALGAAALLLLLLLLGFLLFGGDLGCERREPGGRAGAPGCFPGPLMPRVPPDGRLRRAAALDGDPGAGPGDHNRSDCGPQPPPPPKCELLHVAIVCAGHNSSRDVITLVKSMLFYRKNPLHLHLVTDAVARNILETLFHTWMVPAVRVSFYHADQLKPQVSWIPNKHYSGLYGLMKLVLPSALPAELARVIVLDTDVTFASDISELWALFAHFSDTQAIGLVENQSDWYLGNLWKNHRPWPALGRGFNTGVILLRLDRLRQAGWEQMWRLTARRELLSLPATSLADQDIFNAVIKEHPGLVQRLPCVWNVQLSDHTLAERCYSEASDLKVIHWNSPKKLRVKNKHVEFFRNFYLTFLEYDGNLLRRELFVCPSQPPPGAEQLQQALAQLDEEDPCFEFRQQQLTVHRVHVTFLPHEPPPPRPHDVTLVAQLSMDRLQMLEALCRHWPGPMSLALYLTDAEAQQFLHFVEASPVLAARQDVAYHVVYREGPLYPVNQLRNVALAQALTPYVFLSDIDFLPAYSLYDYLRASIEQLGLGSRRKAALVVPAFETLRYRFSFPHSKVELLALLDAGTLYTFRYHEWPRGHAPTDYARWREAQAPYRVQWAANYEPYVVVPRDCPRYDPRFVGFGWNKVAHIVELDAQEYELLVLPEAFTIHLPHAPSLDISRFRSSPTYRDCLQALKDEFHQDLSRHHGAAALKYLPALQQPQSPARG
NP_694571.2 307 831 0.569421904761904 Prickle-like protein 1; REST/NRSF-interacting LIM domain protein 1 831 0 19 525 0 Homo sapiens (Human) SwissProt::Q96MT3 1 SwissProt SLGEDVHASDSSDSAFQSARSRDSRRSVRMGKSSRSADQCRQSLLLSPALNYKFPGLSGNADDTLSRKLDDLSLSRQGTSFASEEFWKGRVEQETPEDPEEWADHEDYMTQLLLKFGDKSLFQPQPNEMDIRASEHWISDNMVKSKTELKQNNQSLASKKYQSDMYWAQSQDGLGDSAYGSHPGPASSRRLQELELDHGASGYNHDETQWYEDSLECLSDLKPEQSVRDSMDSLALSNITGASVDGENKPRPSLYSLQNFEEMETEDCEKMSNMGTLNSSMLHRSAESLKSLSSELCPEKILPEEKPVHLPVLRRSKSQSRPQQVKFSDDVIDNGNYDIEIRQPPMSERTRRRVYNFEERGSRSHHHRRRRSRKSRSDNALNLVTERKYSPKDRLRLYTPDNYEKFIQNKSAREIQAYIQNADLYGQYAHATSDYGLQNPGMNRFLGLYGEDDDSWCSSSSSSSDSEEEGYFLGQPIPQPRPQRFAYYTDDLSSPPSALPTPQFGQRTTKSKKKKGHKGKNCIIS
NP_694972.3 1 1070 0.234524485981308 PF13424.6:TPR_12:943:1016,PF13424.6:TPR_12:1001:1058,PF13374.6:TPR_10:943:982,PF13374.6:TPR_10:984:1024,PF13181.6:TPR_8:985:1017 Nephrocystin-3 1330 116 19 1070 0 Homo sapiens (Human) SwissProt::Q7Z494 1 SwissProt MGTASSLVSPAGGEVIEDTYGAGGGEACEIPVEVKPKARLLRNSFRRGAGAAAGAGPGSLPRGVGAGGLLGASFKSTGSSVPELEYAAAEYERLRKEYEIFRVSKNQELLSMGRREAKLDTENKRLRAELQALQKTYQKILREKESALEAKYQAMERAATFEHDRDKVKRQFKIFRETKENEIQDLLRAKRELESKLQRLQAQGIQVFDPGESDSDDNCTDVTAAGTQCEYWTGGALGSEPSIGSMIQLQQSFRGPEFAHSSIDVEGPFANVNRDDWDIAVASLLQVTPLFSHSLWSNTVRCYLIYTDETQPEMDLFLKDYSPKLKRMCETMGYFFHAVYFPIDVENQYLTVRKWEIEKSSLVILFIHLTLPSLLLEDCEEAFLKNPEGKPRLIFHRLEDGKVSSDSVQQLIDQVSNLNKTSKAKIIDHSGDPAEGVYKTYICVEKIIKQDILGFENTDLETKDLGSEDSIPEEDDFGDVLWDIHDEQEQMETFQQASNSAHELGFEKYYQRLNDLVAAPAPIPPLLVSGGPGSGKSLLLSKWIQLQQKNSPNTLILSHFVGRPMSTSSESSLIIKRLTLKLMQHSWSVSALTLDPAKLLEEFPRWLEKLSARHQGSIIIVIDSIDQVQQVEKHMKWLIDPLPVNVRVIVSVNVETCPPAWRLWPTLHLDPLSPKDAKSIIIAECHSVDIKLSKEQEKKLERHCRSATTCNALYVTLFGKMIARAGRAGNLDKILHQCFQCQDTLSLYRLVLHSIRESMANDVDKELMKQILCLVNVSHNGVSESELMELYPEMSWTFLTSLIHSLYKMCLLTYGCGLLRFQHLQAWETVRLEYLEGPTVTSSYRQKLINYFTLQLSQDRVTWRSADELPWLFQQQGSKQKLHDCLLNLFVSQNLYKRGHFAELLSYWQFVGKDKSAMATEYFDSLKQYEKNCEGEDNMSCLADLYETLGRFLKDLGLLSQAIVPLQRSLEIRETALDPDHPRVAQSLHQLASVYVQWKKFGNAEQLYKQALEISENAYGADHPYTARELEALATLYQKQNKYEQAEHFRKKSFKIHQKAIKKKGNLYGF
NP_724582.1 1 1049 0.618758817921832 PF08174.11:Anillin:929:1036,PF16018.5:Anillin_N:180:227 Anillin; Actin-binding protein 8; ABP8; Protein scraps 1239 156 19 1049 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9V4P1 1 SwissProt MDPFTQHMLEKAEQRSRALGISNASKFPLVECSVPSSSATSASGGDAGVLAPRSRSPGGQSAASGGGKVVTLGKATLEASPAKPLRHYTAVNKENLDMGIEINITTDKPIGVQVEIQEQEVTDDEEQAEGGALNPLLEAEPVNQPLARLRDTSRSRLQRMGALYSNTDDLSSPIHRTEGQFHVTTGEEEDCGNRSSRQPKQRLGKLAALADTINQWEDDTSHHEVHRLLEAPPPKPHLSSRRAEKGPAPLPPKKDEVDEASRTKQLKWDPKVLSSLEAQGFQRRESSTIKHTYDYAKQEEAAPASKVEDAVLTAKPPVPQKSTTVSQVAKNFASSAPAPKPAPAPAVSVKSGLVSGRAALFENKGTGGQSQGLRNQKDPCELSLKERMKLFETGNNKAMLPMAPIGSAPSITQIRAEEVKQHLAAMHPVTAAAATTVVAATKPKQENKLRDKVAALVANAQSSAETRIKDIDRQRQEDMQIISNRFNKQKELFDNQPSDSSVAAQARPPAPAPSRVVRPMPPPPPPPIAALSPGLASSKRRSPGDAPTTDEDSKRARKSHSDRLYPALSDLDSSGDNCCAAETASATDDSHQQDEEETESCMDESDDQSQTEDSSAGMCNGSLGREIMSAVQRNEVEMQQQQTGKKTVRYADQDMYYDDSSLNSSQVSAGIDDYLDEALVEDYGSTQDDQSDSGDEQNASRLSLGSKGTTASNSFSFRKNPASICTPIEEHHEMEMDLQTPLLSGAQPVKSELSVNQDNDNLVTLVHTVSFYRRQQSANSSNSTPVRKICREQQVMRSALAGDCHAKHRLEYDSPQQSDYVAAATDIADQTDEDDEEMQNAREVNDASQAQDKIKKLLSEVCKQQQVIGQASQALNLCAATVEFSGSTESVEGERYLLLATHRRQACLDEVQRLRVENSIRPVGAPKEKGLLTVKDITIPLRQEYVRKMASNNINGHHLVCLLKYNEHVLATKTVPTMPGLLSVKFPDVLQLNNVYADFRITLEIYGMLAQRDQLPHELKYHINLNKKGGIKTPKKKGGENRLVMPPVQ
NP_766404.1 1 367 0.102473024523161 PF01545.21:Cation_efflux:75:270 Zinc transporter 8; ZnT-8; Solute carrier family 30 member 8 367 196 19 229 6 Mus musculus (Mouse) SwissProt::Q8BGG0 1 SwissProt MEFLERTYLVNDQATKMYAFPLDRELRQKPVNKDQCPGDRPEHPEAGGIYHCHNSAKATGNRSSKQAHAKWRLCAASAICFIFMVAEVVGGHVAGSLAILTDAAHLLIDLTSFLLSLFSLWLSSRPPSKRLTFGWYRAEILGALLSVLCIWVVTGVLLYLACERLLYPDYQIQAGIMITVSGCAVAANIVLTMILHQRNFGYNHKDVQANASVRAAFVHALGDVFQSISVLISALIIYFKPDYKIADPVCTFIFSILVLASTVMILKDFSILLMEGVPKGLSYNSVKEIILAVDGVISVHSLHIWSLTVNQVILSVHVATAASQDSQSVRTGIAQALSSFDLHSLTIQIESAADQDPSCLLCEDPQD
NP_850600.2 296 669 0.605675935828877 response regulator 1 669 0 19 374 0 Arabidopsis thaliana NP_850600.2 1 RefSeq GGVSQHQGNLNNSFMTGQDASFGPLSTLNGFDLQALAVTGQLPAQSLAQLQAAGLGRPAMVSKSGLPVSSIVDERSIFSFDNTKTRFGEGLGHHGQQPQQQPQMNLLHGVPTGLQQQLPMGNRMSIQQQIAAVRAGNSVQNNGMLMPLAGQQSLPRGPPPMLTSSQSSIRQPMLSNRISERSGFSGRNNIPESSRVLPTSYTNLTTQHSSSSMPYNNFQPELPVNSFPLASAPGISVPVRKATSYQEEVNSSEAGFTTPSYDMFTTRQNDWDLRNIGIAFDSHQDSESAAFSASEAYSSSSMSRHNTTVAATEHGRNHQQPPSGMVQHHQVYADGNGGSVRVKSERVATDTATMAFHEQYSNQEDLMSALLKQV
NP_851036.1 1 478 0.0448167364016736 PF07690.16:MFS_1:41:377,PF00083.24:Sugar_tr:77:251 Protein ZINC INDUCED FACILITATOR-LIKE 1; Protein ZIF-LIKE 1 478 337 19 211 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94BZ1 0 SwissProt MAEEYAECLLEKNFHEDCSGCKVDQMKRLRRGFPFWELFTVWIIVLCTALPISSLFPFLYFMIDDFNIAKKEEDIGFYAGFVGCSFMLGRAFTSVAWGLVADRYGRKPVILIGTASVVVFNTLFGLSLNFWMAIITRFCLGSFNGLLGPIKAYAMEIFRDEYQGLALSAVSTAWGIGLIIGPAIGGFLAQPAKQYPSLFSQDSIFGKFPFFLPCLAISVFAFLVTIVSSRIPETLHNHKFNDDESYDALKDLSDDPESNKVAERNGKSSLLNNWPLISSIIVYCVFSLHDMAYTEIFSLWANSPRKYGGLGYSTADVGSVLAFSGFGLLIFQLSLYSYAERLLGPIIVTRISGSLAMVVLSCYPLIAKLSGLALTVTVTSASVAKSVLGTSAITGLFILQNKAVRQDQRGAANGIAMTAMSLFKAIGPAAAGIIFSWSEKRQGAAFLPGTQMVFFILNVVLALGVVLTFKPFLAETQQ
NP_989612.1 182 494 0.811723322683706 Transcription factor SOX-9 494 0 19 313 0 Gallus gallus (Chicken) SwissProt::P48434 1 SwissProt VKNGQSEQEEGSEQTHISPNAIFKALQADSPQSSSSISEVHSPGEHSGQSQGPPTPPTTPKTDAQQPGKQDLKREGRPLAEGGRQPPHIDFRDVDIGELSSDVISNIETFDVNEFDQYLPPNGHPGVPATHGQVTTYSGTYGISSSASSPAGAGHAWMAKQQPQPPQPPAQPPAQHTLPALSGEQGPAQQRPHIKTEQLSPSHYSEQQQHSPQQQQQQQQQLGYGSFNLQHYGSSYPPITRSQYDYTEHQNSGSYYSHAAGQSGGLYSTFTYMNPTQRPMYTPIADTSGVPSIPQTHSPQHWEQPVYTQLTRP
NP_998283.1 127 315 0.576307407407407 PF12336.8:SOXp:1:71 Transcription factor Sox-2 315 71 19 189 0 Danio rerio (Zebrafish) (Brachydanio rerio) SwissProt::Q6P0E1 1 SwissProt GLLAPGGNGMGAGVGVGAGLGAGVNQRMDSYAHMNGWTNGGYGMMQEQLGYPQHPSLNAHNTAQMQPMHRYDMSALQYNSMTNSQTYMNGSPTYSMSYSQQSTPGMTLGSMGSVVKSESSSSPPVVTSSSHSRAGQCQTGDLRDMISMYLPGAEVQDQSAQSRLHMSQHYQSAPVPGTTINGTIPLSHM
O13345 1 271 0.196549446494465 PF00067.22:p450:32:231 O-methylsterigmatocystin oxidoreductase; OMST oxidoreductase; Aflatoxin B synthase; Aflatoxin biosynthesis protein Q; Cytochrome P450 64; Cytochrome P450 monooxygenase aflQ; EC 1.14.14.117 528 200 19 253 1 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::O13345 1 SwissProt MIYSIIICAGALLGFLILQKLLAPKDTRPPLPPGPWRKPIIGNLTDFPPKGTPEWLFWAKHHERYGPMSSLEVMGQTIIMINDAHLGIEIMHKKSALSQMIPDAPFAHMAGWGMSLATERNKQAWKTIRANMKQEIGTRRAIATFHPKMEIGIRRFLLRTLDNPDDLRFHIRKEANAFMMDVAYGYTIAPHGKDELYDLTQQSVRQFSHIFSPGEWSVNFFPILRYVPSWFPGASFQIKAAEYKRTIERMTMVPYLWIKDQVARGCTRPSI
O51366 1 203 0.130895073891626 PF13161.6:DUF3996:39:201 Uncharacterized protein 203 163 19 203 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) O51366 1 SwissProt/TReMBL MRMLLATIILILTTGLLAAQSKSKSMTEDDFDFDKLLAKEESVRRLFGIGFGVGYPLANITISVPYVDIDLGYGGFVGLKPNNFLPYVVMGVDLLFKDEIHKNTMISGGIGIGADWSKGSPEKSNEKLEEEEENEAQQVASLQNRIGVVIRLPLVIEYSFLKNIVIGFKAVATIGTTMLLGSPMSFEGARFNFLGTGFIKIYI
O60244 1 1454 0.306873521320495 PF08638.11:Med14:50:237 Mediator of RNA polymerase II transcription subunit 14; Activator-recruited cofactor 150 kDa component; ARC150; Cofactor required for Sp1 transcriptional activation subunit 2; CRSP complex subunit 2; Mediator complex subunit 14; RGR1 homolog; hRGR1; Thyroid hormone receptor-associated protein complex 170 kDa component; Trap170; Transcriptional coactivator CRSP150; Vitamin D3 receptor-interacting protein complex 150 kDa component; DRIP150 1454 188 19 1454 0 Homo sapiens (Human) SwissProt::O60244 1 SwissProt MAPVQLENHQLVPPGGGGGGSGGPPSAPAPPPPGAAVAAAAAAAASPGYRLSTLIEFLLHRAYSELMVLTDLLPRKSDVERKIEIVQFASRTRQLFVRLLALVKWANNAGKVEKCAMISSFLDQQAILFVDTADRLASLARDALVHARLPSFAIPYAIDVLTTGSYPRLPTCIRDKIIPPDPITKIEKQATLHQLNQILRHRLVTTDLPPQLANLTVANGRVKFRVEGEFEATLTVMGDDPDVPWRLLKLEILVEDKETGDGRALVHSMQISFIHQLVQSRLFADEKPLQDMYNCLHSFCLSLQLEVLHSQTLMLIRERWGDLVQVERYHAGKCLSLSVWNQQVLGRKTGTASVHKVTIKIDENDVSKPLQIFHDPPLPASDSKLVERAMKIDHLSIEKLLIDSVHARAHQKLQELKAILRGFNANENSSIETALPALVVPILEPCGNSECLHIFVDLHSGMFQLMLYGLDQATLDDMEKSVNDDMKRIIPWIQQLKFWLGQQRCKQSIKHLPTISSETLQLSNYSTHPIGNLSKNKLFIKLTRLPQYYIVVEMLEVPNKPTQLSYKYYFMSVNAADREDSPAMALLLQQFKENIQDLVFRTKTGKQTRTNAKRKLSDDPCPVESKKTKRAGEMCAFNKVLAHFVAMCDTNMPFVGLRLELSNLEIPHQGVQVEGDGFSHAIRLLKIPPCKGITEETQKALDRSLLDCTFRLQGRNNRTWVAELVFANCPLNGTSTREQGPSRHVYLTYENLLSEPVGGRKVVEMFLNDWNSIARLYECVLEFARSLPDIPAHLNIFSEVRVYNYRKLILCYGTTKGSSISIQWNSIHQKFHISLGTVGPNSGCSNCHNTILHQLQEMFNKTPNVVQLLQVLFDTQAPLNAINKLPTVPMLGLTQRTNTAYQCFSILPQSSTHIRLAFRNMYCIDIYCRSRGVVAIRDGAYSLFDNSKLVEGFYPAPGLKTFLNMFVDSNQDARRRSVNEDDNPPSPIGGDMMDSLISQLQPPPQQQPFPKQPGTSGAYPLTSPPTSYHSTVNQSPSMMHTQSPGNLHAASSPSGALRAPSPASFVPTPPPSSHGISIGPGASFASPHGTLDPSSPYTMVSPSGRAGNWPGSPQVSGPSPAARMPGMSPANPSLHSPVPDASHSPRAGTSSQTMPTNMPPPRKLPQRSWAASIPTILTHSALNILLLPSPTPGLVPGLAGSYLCSPLERFLGSVIMRRHLQRIIQQETLQLINSNEPGVIMFKTDALKCRVALSPKTNQTLQLKVTPENAGQWKPDELQVLEKFFETRVAGPPFKANTLIAFTKLLGAPTHILRDCVHIMKLELFPDQATQLKWNVQFCLTIPPSAPPIAPPGTPAVVLKSKMLFFLQLTQKTSVPPQEPVSIIVPIIYDMASGTTQQADIPRQQNSSVAAPMMVSNILKRFAEMNPPRQGECTIFAAVRDLMANLTLPPGGRP
O75381 81 296 0.463298148148148 Peroxisomal membrane protein PEX14; PTS1 receptor-docking protein; Peroxin-14; Peroxisomal membrane anchor protein PEX14 377 0 19 197 1 Homo sapiens (Human) SwissProt::O75381 1 SwissProt GPATQVVPVQPPHLISQPYSPAGSRWRDYGALAIIMAGIAFGFHQLYKKYLLPLILGGREDRKQLERMEAGLSELSGSVAQTVTQLQTTLASVQELLIQQQQKIQELAHELAAAKATTSTNWILESQNINELKSEINSLKGLLLNRRQFPPSPSAPKIPSWQIPVKSPSPSSPAAVNHHSSSDISPVSNESTSSSPGKEGHSPEGSTVTYHLLGPQ
O77051 141 370 0.443293043478261 Transcription factor E2F2; dE2F2; E2F transcription factor 2; E2F-like transcription factor E2F2 370 0 19 230 0 Drosophila melanogaster (Fruit fly) SwissProt::O77051 1 SwissProt FNNAKDQENYDLARSRTNHLKMLEDDLDRQLEYAQRNLRYVMQDPSNRSYAYVTRDDLLDIFGDDSVFTIPNYDEEVDIKRNHYELAVSLDNGSAIDIRLVTNQGKSTTNPHDVDGFFDYHRLDTPSPSTSSHSSEDGNAPACAGNVITDEHGYSCNPGMKDEMKLLENELTAKIIFQNYLSGHSLRRFYPDDPNLENPPLLQLNPPQEDFNFALKSDEGICELFDVQCS
O95816 1 106 0.470540566037736 BAG family molecular chaperone regulator 2; BAG-2; Bcl-2-associated athanogene 2 211 0 19 106 0 Homo sapiens (Human) SwissProt::O95816 1 SwissProt MAQAKINAKANEGRFCRSSSMADRSSRLLESLDQLELRVEALREAATAVEQEKEILLEMIHSIQNSQDMRQISDGEREELNLTANRLMGRTLTVEVSVETIRNPQQ
P06537 1 414 0.606395169082125 PF02155.15:GCR:27:409 Glucocorticoid receptor; GR; Nuclear receptor subfamily 3 group C member 1 783 383 19 414 0 Mus musculus (Mouse) SwissProt::P06537 1 SwissProt MDSKESLAPPGRDEVPSSLLGRGRGSVMDLYKTLRGGATVKVSASSPSVAAASQADSKQQRILLDFSKGSASNAQQQQQQQQPQPDLSKAVSLSMGLYMGETETKVMGNDLGYPQQGQLGLSSGETDFRLLEESIANLNRSTSRPENPKSSTPAAGCATPTEKEFPQTHSDPSSEQQNRKSQPGTNGGSVKLYTTDQSTFDILQDLEFSAGSPGKETNESPWRSDLLIDENLLSPLAGEDDPFLLEGDVNEDCKPLILPDTKPKIQDTGDTILSSPSSVALPQVKTEKDDFIELCTPGVIKQEKLGPVYCQASFSGTNIIGNKMSAISVHGVSTSGGQMYHYDMNTASLSQQQDQKPVFNVIPPIPVGSENWNRCQGSGEDNLTSLGAMNFAGRSVFSNGYSSPGMRPDVSSPP
P08018 1 339 0.745546017699116 MAP kinase kinase PBS2; Polymyxin B resistance protein 2; Suppressor of fluoride sensitivity 4; EC 2.7.12.2 668 0 19 339 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P08018 1 SwissProt MEDKFANLSLHEKTGKSSIQLNEQTGSDNGSAVKRTSSTSSHYNNINADLHARVKAFQEQRALKRSASVGSNQSEQDKGSSQSPKHIQQIVNKPLPPLPVAGSSKVSQRMSSQVVQASSKSTLKNVLDNQETQNITDVNINIDTTKITATTIGVNTGLPATDITPSVSNTASATHKAQLLNPNRRAPRRPLSTQHPTRPNVAPHKAPAIINTPKQSLSARRGLKLPPGGMSLKMPTKTAQQPQQFAPSPSNKKHIETLSNSKVVEGKRSNPGSLINGVQSTSTSSSTEGPHDTVGTTPRTGNSNNSSNSGSSGGGGLFANFSKYVDIKSGSLNFAGKLS
P24202 1 304 0.316861842105263 PF04471.12:Mrr_cat:164:281,PF14338.6:Mrr_N:6:91,PF13156.6:Mrr_cat_2:196:285 methylated adenine and cytosine restriction protein 304 208 19 304 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10612-MONOMER 1 ecocyc MTVPTYDKFIEPVLRYLATKPEGAAARDVHEAAADALGLDDSQRAKVITSGQLVYKNRAGWAHDRLKRAGLSQSLSRGKWCLTPAGFDWVASHPQPMTEQETNHLAFAFVNVKLKSRPDAVDLDPKADSPDHEELAKSSPDDRLDQALKELRDAVADEVLENLLQVSPSRFEVIVLDVLHRLGYGGHRDDLQRVGGTGDGGIDGVISLDKLGLEKVYVQAKRWQNTVGRPELQAFYGALAGQKAKRGVFITTSGFTSQARDFAQSVEGMVLVDGERLVHLMIENEVGVSSRLLKVPKLDMDYFE
P25653 1 1182 0.413621404399324 PF13928.6:Flocculin_t3:421:464,PF13928.6:Flocculin_t3:530:573,PF13928.6:Flocculin_t3:740:771,PF13928.6:Flocculin_t3:1056:1117,PF13928.6:Flocculin_t3:1141:1176 Factor-induced gene 2 protein; Cell wall adhesin FIG2 1609 218 19 1182 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25653 1 SwissProt MNSFASLGLIYSVVNLLTRVEAQIVFYQNSSTSLPVPTLVSTSIADFHESSSTGEVQYSSSYSYVQPSIDSFTSSSFLTSFEAPTETSSSYAVSSSLITSDTFSSYSDIFDEETSSLISTSAASSEKASSTLSSTAQPHRTSHSSSSFELPVTAPSSSSLPSSTSLTFTSVNPSQSWTSFNSEKSSALSSTIDFTSSEISGSTSPKSLESFDTTGTITSSYSPSPSSKNSNQTSLLSPLEPLSSSSGDLILSSTIQATTNDQTSKTIPTLVDATSSLPPTLRSSSMAPTSGSDSISHNFTSPPSKTSGNYDVLTSNSIDPSLFTTTSEYSSTQLSSLNRASKSETVNFTASIASTPFGTDSATSLIDPISSVGSTASSFVGISTANFSTQGNSNYVPESTASGSSQYQDWSSSSLPLSQTTWVVINTTNTQGSVTSTTSPAYVSTATKTVDGVITEYVTWCPLTQTKSQAIGVSSSISSVPQASSFSGSSILSSNSSTLAASNNVPESTASGSSQYQDWSSSSLPLSQTTWVVINTTNTQGSVTSTTSPAYVSTATKTVDGVITEYVTWCPLTQTKSQAIGISSSTISATQTSKPSSILTLGISTLQLSDATFKGTETINTHLMTESTSITEPTYFSGTSDSFYLCTSEVNLASSLSSYPNFSSSEGSTATITNSTVTFGSTSKYPSTSVSNPTEASQHVSSSVNSLTDFTSNSTETIAVISNIHKTSSNKDYSLTTTQLKTSGMQTLVLSTVTTTVNGAATEYTTWCPASSIAYTTSISYKTLVLTTEVCSHSECTPTVITSVTATSSTIPLLSTSSSTVLSSTVSEGAKNPAASEVTINTQVSATSEATSTSTQVSATSATATASESSTTSQVSTASETISTLGTQNFTTTGSLLFPALSTEMINTTVVSRKTLIISTEVCSHSKCVPTVITEVVTSKGTPSNGHSSQTLQTEAVEVTLSSHQTVTMSTEVCSNSICTPTVITSVQMRSTPFPYLTSSTSSSSLASTKKSSLEASSEMSTFSVSTQSLPLAFTSSEKRSTTSVSQWSNTVLTNTIMSSSSNVISTNEKPSSTTSPYNFSSGYSLPSSSTPSQYSLSTATTTINGIKTVYTTWCPLAEKSTVAASSQSSRSVDRFVSSSKPSSSLSQTSIQYTLSTATTTISGLKTVYTTWCPLTSKSTLG 1
P35198 1 433 0.433963972286375 PF17235.2:STD1:123:333 Protein MTH1 433 211 19 433 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P35198 1 SwissProt/TReMBL MFVSPPPATSKNQVLQRRPLESTNSNHGFASSLQAIPENTMSGSDNASFQSLPLSMSSSQSTTSSRRENFVNAPPEYTDRARDEIKKRLLASSPSRRSHHSSSMHSASRRSSVAESGSLLSDNASSYQSSIFSAPSTVHTQLTNDSSFSEFPNHKLITRVSLDEALPKTFYDMYSPDILLADPSNILCNGRPKFTKRELLDWDLNDIRSLLIVEKLRPEWGNQLPEVITVGDNMPQFRLQLLPLYSSDETIIATLVHSDLYMEANLDYEFKLTSAKYTVATARKRHEHITGRNEAVMNLSKPEWRNIIENYLLNIAVEAQCRFDFKQRCSEYKKWKLQQSNLKRPDMPPPSIIPRKNSTETKSLLKKALLKNIQLKNPNNNLDELMMRSSAATNQQGKNKVSLSKEEKATIWSQCQAQVYQRLGLDWQPDSVS
P36033 1 711 0.106277074542897 PF08030.12:NAD_binding_6:537:690,PF08022.12:FAD_binding_8:431:532,PF01794.19:Ferric_reduct:281:396 Ferric/cupric reductase transmembrane component 2; Ferric-chelate reductase 2; EC 1.16.1.9 711 372 19 554 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36033 1 SwissProt MHWTSILSAILLFCLSGARASPAKTVIRNKVPLLVTNACTRIFQKVTWEYTSKSKRSSPVCSYEPAFQSMLYCIYETLDEKGYSNKTLEKTFSTIKKNCASYSDALQNMTNSEFYDVLNNGTRHMTPYVKGSANLTYPVEMDTQLRKAYYHALHGFYANLDVGNIYGGIICAYFVAIMAFAGVLHCMNYTPFKTVLLKQKLVGYVRGYLTLPTIGSKHASDFSYFRIFTGYLPTRLEGIIILGYLVLHTVFLAYGYEYDPENIIFKSRRVQVARYVADRSGVLAFAHFPLIVLFAGRNNFLEYISGVKYTSFIMFHKWLGRMMFLDAMIHGSAYTSYTVANKTWATSKNRLYWQFGVAALCLAGTMVFFSFAVFRKYFYEAFLFLHIVLGAMFFYACWEHVVSLSGIEWIYTAIAIWIVDRIIRIIKASYFGFPKASLQLIGDDLIRLTVKKPARPWRAKPGQYVFVSFLHPLYFWQSHPFTVLDSVSKNGELVIILKEKKGVTRLVKKYVCRNGGKTSMRLAIEGPYGSSSPVNNYNNVLLLTGGTGLPGPIAHAIKLGKTSAAAGKQSVKLVIAVRGFDVLEAYKPELMCLENLNVQLHIYNTMEVPSLTPSDSLDISQQDEKADEKGTVVATTLEKSANPLGFDGVVFHCGRPNVKELLHEAAELSGSLSVVCCGPPIFVDKVRNETAKIVLDKSAKAIEYFEEYQCW
P36934 1 150 0.48922 PF05069.13:Phage_tail_S:3:149 Tail completion protein S 150 147 19 150 0 Escherichia phage P2 P36934 1 SwissProt/TReMBL MNEFKRFEDRLTGLIESLSPSGRRRLSAELAKRLRQSQQRRVMAQKAPDGTPYAPRQQQSVRKKTGRVKRKMFAKLITSRFLHIRASPEQASMEFYGGKSPKIASVHQFGLSEENRKDGKKIDYPARPLLGFTGEDVQMIEEIILAHLER
P40425 1 242 0.559195867768595 PF03792.13:PBC:50:242 Pre-B-cell leukemia transcription factor 2; Homeobox protein PBX2; Protein G17 430 193 19 242 0 Homo sapiens (Human) SwissProt::P40425 1 SwissProt MDERLLGPPPPGGGRGGLGLVSGEPGGPGEPPGGGDPGGGSGGVPGGRGKQDIGDILQQIMTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYEQACNEFTTHVMNLLREQSRTRPVAPKEMERMVSIIHRKFSAIQMQLKQSTCEAVMILRSRFL
P46640 1 228 0.291700438596491 PF03791.13:KNOX2:122:168,PF03790.13:KNOX1:69:110,PF03789.13:ELK:208:228 Homeobox protein knotted-1-like 2; Protein ATK1; Protein KNAT2 310 110 19 228 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46640 1 SwissProt MDRMCGFRSTEDYSEKATLMMPSDYQSLICSTTGDNQRLFGSDELATALSSELLPRIRKAEDNFSLSVIKSKIASHPLYPRLLQTYIDCQKVGAPMEIACILEEIQRENHVYKRDVAPLSCFGADPELDEFMETYCDILVKYKTDLARPFDEATTFINKIEMQLQNLCTGPASATALSDDGAVSSDEELREDDDIAADDSQQRSNDRDLKDQLLRKFGSHISSLKLEF
P53199 1 349 0.203716332378223 PF01073.19:3Beta_HSD:8:279,PF01370.21:Epimerase:7:233,PF16363.5:GDP_Man_Dehyd:8:341,PF04321.17:RmlD_sub_bind:7:272,PF07993.12:NAD_binding_4:10:184,PF13460.6:NAD_binding_10:11:183,PF02719.15:Polysacc_synt_2:7:124 Sterol-4-alpha-carboxylate 3-dehydrogenase, decarboxylating; EC 1.1.1.170 349 335 19 349 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53199 1 SwissProt MSKIDSVLIIGGSGFLGLHLIQQFFDINPKPDIHIFDVRDLPEKLSKQFTFNVDDIKFHKGDLTSPDDMENAINESKANVVVHCASPMHGQNPDIYDIVNVKGTRNVIDMCKKCGVNILVYTSSAGVIFNGQDVHNADETWPIPEVPMDAYNETKAIAEDMVLKANDPSSDFYTVALRPAGIFGPGDRQLVPGLRQVAKLGQSKFQIGDNNNLFDWTYAGNVADAHVLAAQKLLDPKTRTAVSGETFFITNDTPTYFWALARTVWKADGHIDKHVIVLKRPVAICAGYLSEWVSKMLGKEPGLTPFRVKIVCAYRYHNIAKAKKLLGYTPRVGIEEGINKTLAWMDEGL
P55056 1 127 0.164387401574803 PF15119.6:APOC4:32:124 Apolipoprotein C-IV 127 93 19 127 0 Homo sapiens P55056 1 SwissProt/TReMBL MSLLRNRLQALPALCLCVLVLACIGACQPEAQEGTLSPPPKLKMSRWSLVRGRMKELLETVVNRTRDGWQWFWSPSTFRGFMQTYYDDHLRDLGPLTKAWFLESKDSLLKKTHSLCPRLVCGDKDQG
P92941 296 775 0.117045416666667 PF00654.20:Voltage_CLC:22:262,PF00571.28:CBS:294:356,PF00571.28:CBS:410:461 Chloride channel protein CLC-a; AtCLC-a; CBS domain-containing protein CBSCLC5 775 356 19 342 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92941 1 SwissProt AFIEICNSGKCGLFGSGGLIMFDVSHVEVRYHAADIIPVTLIGVFGGILGSLYNHLLHKVLRLYNLINQKGKIHKVLLSLGVSLFTSVCLFGLPFLAECKPCDPSIDEICPTNGRSGNFKQFNCPNGYYNDLSTLLLTTNDDAVRNIFSSNTPNEFGMVSLWIFFGLYCILGLITFGIATPSGLFLPIILMGSAYGRMLGTAMGSYTNIDQGLYAVLGAASLMAGSMRMTVSLCVIFLELTNNLLLLPITMFVLLIAKTVGDSFNLSIYEIILHLKGLPFLEANPEPWMRNLTVGELNDAKPPVVTLNGVEKVANIVDVLRNTTHNAFPVLDGADQNTGTELHGLILRAHLVKVLKKRWFLNEKRRTEEWEVREKFTPVELAEREDNFDDVAITSSEMQLYVDLHPLTNTTPYTVVQSMSVAKALVLFRSVGLRHLLVVPKIQASGMSPVIGILTRQDLRAYNILQAFPHLDKHKSGKAR
Q03111 149 473 0.929750461538461 Protein ENL; YEATS domain-containing protein 1 559 0 19 325 0 Homo sapiens (Human) SwissProt::Q03111 1 SwissProt DTVSRPSPDYPMLPTIPLSAFSDPKKTKPSHGSKDANKESSKTSKPHKVTKEHRERPRKDSESKSSSKELEREQAKSSKDTSRKLGEGRLPKEEKAPPPKAAFKEPKMALKETKLESTSPKGGPPPPPPPPPRASSKRPATADSPKPSAKKQKKSSSKGSRSAPGTSPRTSSSSSFSDKKPAKDKSSTRGEKVKAESEPREAKKALEVEESNSEDEASFKSESAQSSPSNSSSSSDSSSDSDFEPSQNHSQGPLRSMVEDLQSEESDEDDSSSGEEAAGKTNPGRDSRLSFSDSESDNSADSSLPSREPPPPQKPPPPNSKVSGR
Q12033 211 661 0.291436807095344 PF03097.18:BRO1:8:146,PF13949.6:ALIX_LYPXL_bnd:179:438 pH-response regulator protein palA/RIM20; Regulator of IME2 protein 20 661 399 19 451 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12033 1 SwissProt INDAQRGKLIRSDWINHLKAKKAYFSAVTYYRIALSFNEKKQFGNVVKALQMGLQFINESTLSSQAKFKTVVESSLKEAQRDNEFIYLQEVPSELPSIKPALMVKPSSSATLLPSIKKDETLFKDLIPIEVMEYCTAYNERQDEYVEQRVTNPLASLNKLLKESLTTFQIPQGLTKVSEAELSHYQASLNNLLINNKNVQVQLDNIEQILNEEAFTDNQLRLKHGTLNWTLPESSTTNTAYYEKLKKLRGYLDEGSAIDKQTNELFQSIDKNLIGSEIRLPESNDPLTNKIKMIIQERNDYIDRTRRKSSEYRILPKIITSYKKNGTVDFEPIFIGHLKYFDEDLRYVNSTKEENIKLIEEVNLSKKNNPGRSGIEPKKMVRIDPRELYIEDLRYSFKLLDEVKENLSAGTAFYENLITSTSNLYNEVQEYDTARRAEKARLDKSLTFEDQ
Q12473 1 712 0.0830290730337079 PF08022.12:FAD_binding_8:442:543,PF08030.12:NAD_binding_6:550:691,PF01794.19:Ferric_reduct:287:402 Ferric reductase transmembrane component 6; Ferric-chelate reductase 6; EC 1.16.1.9 712 360 19 557 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12473 1 SwissProt MHRTLLFLTWLISLTKAFNIKLPHTEKKDHLESNAVLACASYINTLKWSFDSSVVPGFYSTICSYSPAFDTWSLCIFNSLTDQIIPMDNTSFEESLGNVRKTCSFVDKKFSNISLEQYYSSLNNASSHALEDYGSIESLSTSIRVDRETRSRWIRAFHAHAYNLDISSVYGAYLTYYFVIVGIIAVFFHMSHYNGLNRALFASRFVNYIRGHFVLPTFLVDKHANHFKFLNVEVFTGLMPNSLEAWIIFGYTLANIIFLSISYIIDPYNLIFNSHLSQFTRLLADRSGILAFTQFPLIIIFTARNSFLEFLTGVKFNSFISFHKWIGRIMVLNATIHSLSYSLFAIINHAFKISNKQLYWKFGIASITVLCVLLVLSLGIVRKRHYEFFLYTHIILALLFFYCCWQHVKIFNGWKEWIVVSLLIWGLEKLFRIWNILQFRFPKATLINLNTSNNPHDEMFKVIIPKYNRRWHSKPGQYCFIYFLHPLVFWQCHPFTIIDEGEKCVLVIKPKSGLTRFIYNHILQSLNGKLQLRVAIEGPYGPSNLHLDKFDHLLLLSGGTGLPGPLDHAIKLSRNPDKPKSIDLIMAIKNPSFLNGYKSEILELKNSRSHVNVQVYLTQKTAVTKAANARDQLIHFDDIMTELTSFAHIGNARPNFSNVIENAIKSTPPGDSLAVVCCGPPVLVDDVRNTVSQKLLGYPERIIEYFEEYQCW
Q14999 462 1698 0.253916814874696 PF00888.22:Cullin:515:1055,PF03256.16:ANAPC10:393:509 Cullin-7; CUL-7 1698 658 19 1237 0 Homo sapiens (Human) SwissProt::Q14999 1 SwissProt WRWRPMTELYAVPYVLPEDEDTEECEHLTLAEWWELLFFIKKLDGPDHQEVLQILQENLDGEILDDEILAELAVPIELAQDLLLTLPQRLNDSALRDLINCHVYKKYGPEALAGNQAYPSLLEAQEDVLLLDAQAQAKDSEDAAKVEAKEPPSQSPNTPLQRLVEGYGPAGKILLDLEQALSSEGTQENKVKPLLLQLQRQPQPFLALMQSLDTPETNRTLHLTVLRILKQLVDFPEALLLPWHEAVDACMACLRSPNTDREVLQELIFFLHRLTSVSRDYAVVLNQLGARDAISKALEKHLGKLELAQELRDMVFKCEKHAHLYRKLITNILGGCIQMVLGQIEDHRRTHQPINIPFFDVFLRYLCQGSSVEVKEDKCWEKVEVSSNPHRASKLTDHNPKTYWESNGSAGSHYITLHMRRGILIRQLTLLVASEDSSYMPARVVVCGGDSTSSLHTELNSVNVMPSASRVILLENLTRFWPIIQIRIKRCQQGGIDTRIRGLEILGPKPTFWPVFREQLCRHTRLFYMVRAQAWSQDMAEDRRSLLHLSSRLNGALRQEQNFADRFLPDDEAAQALGKTCWEALVSPVVQNITSPDEDGISPLGWLLDQYLECQEAVFNPQSRGPAFFSRVRRLTHLLVHVEPCEAPPPVVATPRPKGRNRSHDWSSLATRGLPSSIMRNLTRCWRAVVEKQVNNFLTSSWRDDDFVPRYCEHFNILQNSSSELFGPRAAFLLALQNGCAGALLKLPFLKAAHVSEQFARHIDQQIQGSRIGGAQEMERLAQLQQCLQAVLIFSGLEIATTFEHYYQHYMADRLLGVVSSWLEGAVLEQIGPCFPNRLPQQMLQSLSTSKELQRQFHVYQLQQLDQELLKLEDTEKKIQVGLGASGKEHKSEKEEEAGAAAVVDVAEGEEEEEENEDLYYEGAMPEVSVLVLSRHSWPVASICHTLNPRTCLPSYLRGTLNRYSNFYNKSQSHPALERGSQRRLQWTWLGWAELQFGNQTLHVSTVQMWLLLYLNDLKAVSVESLLAFSGLSADMLNQAIGPLTSSRGPLDLHEQKDIPGGVLKIRDGSKEPRSRWDIVRLIPPQTYLQAEGEDGQNLEKRRNLLNCLIVRILKAHGDEGLHIDQLVCLVLEAWQKGPCPPRGLVSSLGKGSACSSTDVLSCILHLLGKGTLRRHDDRPQVLSYAVPVTVMEPHTESLNPGSSGPNPPLTFHTLQIRSRGVPYASCTATQSFSTFR
Q64280 1 368 0.288720108695652 PF00688.18:TGFb_propeptide:93:211,PF00019.20:TGF_beta:264:355 Left-right determination factor 1; Protein lefty-1; Lefty protein; Stimulated by retinoic acid gene 3 protein; Transforming growth factor beta-4; TGF-beta-4 368 211 19 368 0 Mus musculus (Mouse) SwissProt::Q64280 1 SwissProt MPFLWLCWALWALSLVSLREALTGEQILGSLLQQLQLDQPPVLDKADVEGMVIPSHVRTQYVALLQHSHASRSRGKRFSQNLREVAGRFLVSETSTHLLVFGMEQRLPPNSELVQAVLRLFQEPVPRTALRRQKRLSPHSARARVTIEWLRFRDDGSNRTALIDSRLVSIHESGWKAFDVTEAVNFWQQLSRPRQPLLLQVSVQREHLGPGTWSSHKLVRFAAQGTPDGKGQGEPQLELHTLDLKDYGAQGNCDPEAPVTEGTRCCRQEMYLDLQGMKWAENWILEPPGFLTYECVGSCLQLPESLTSRWPFLGPRQCVASEMTSLPMIVSVKEGGRTRPQVVSLPNMRVQTCSCASDGALIPRRLQP
Q7Z333 1 1948 0.376401026694045 Probable helicase senataxin; Amyotrophic lateral sclerosis 4 protein; SEN1 homolog; Senataxin; EC 3.6.4.- 2677 0 19 1948 0 Homo sapiens (Human) SwissProt::Q7Z333 1 SwissProt MSTCCWCTPGGASTIDFLKRYASNTPSGEFQTADEDLCYCLECVAEYHKARDELPFLHEVLWELETLRLINHFEKSMKAEIGDDDELYIVDNNGEMPLFDITGQDFENKLRVPLLEILKYPYLLLHERVNELCVEALCRMEQANCSFQVFDKHPGIYLFLVHPNEMVRRWAILTARNLGKVDRDDYYDLQEVLLCLFKVIELGLLESPDIYTSSVLEKGKLILLPSHMYDTTNYKSYWLGICMLLTILEEQAMDSLLLGSDKQNDFMQSILHTMEREADDDSVDPFWPALHCFMVILDRLGSKVWGQLMDPIVAFQTIINNASYNREIRHIRNSSVRTKLEPESYLDDMVTCSQIVYNYNPEKTKKDSGWRTAICPDYCPNMYEEMETLASVLQSDIGQDMRVHNSTFLWFIPFVQSLMDLKDLGVAYIAQVVNHLYSEVKEVLNQTDAVCDKVTEFFLLILVSVIELHRNKKCLHLLWVSSQQWVEAVVKCAKLPTTAFTRSSEKSSGNCSKGTAMISSLSLHSMPSNSVQLAYVQLIRSLLKEGYQLGQQSLCKRFWDKLNLFLRGNLSLGWQLTSQETHELQSCLKQIIRNIKFKAPPCNTFVDLTSACKISPASYNKEESEQMGKTSRKDMHCLEASSPTFSKEPMKVQDSVLIKADNTIEGDNNEQNYIKDVKLEDHLLAGSCLKQSSKNIFTERAEDQIKISTRKQKSVKEISSYTPKDCTSRNGPERGCDRGIIVSTRLLTDSSTDALEKVSTSNEDFSLKDDALAKTSKRKTKVQKDEICAKLSHVIKKQHRKSTLVDNTINLDENLTVSNIESFYSRKDTGVQKGDGFIHNLSLDPSGVLDDKNGEQKSQNNVLPKEKQLKNEELVIFSFHENNCKIQEFHVDGKELIPFTEMTNASEKKSSPFKDLMTVPESRDEEMSNSTSVIYSNLTREQAPDISPKSDTLTDSQIDRDLHKLSLLAQASVITFPSDSPQNSSQLQRKVKEDKRCFTANQNNVGDTSRGQVIIISDSDDDDDERILSLEKLTKQDKICLEREHPEQHVSTVNSKEEKNPVKEEKTETLFQFEESDSQCFEFESSSEVFSVWQDHPDDNNSVQDGEKKCLAPIANTTNGQGCTDYVSEVVKKGAEGIEEHTRPRSISVEEFCEIEVKKPKRKRSEKPMAEDPVRPSSSVRNEGQSDTNKRDLVGNDFKSIDRRTSTPNSRIQRATTVSQKKSSKLCTCTEPIRKVPVSKTPKKTHSDAKKGQNRSSNYLSCRTTPAIVPPKKFRQCPEPTSTAEKLGLKKGPRKAYELSQRSLDYVAQLRDHGKTVGVVDTRKKTKLISPQNLSVRNNKKLLTSQELQMQRQIRPKSQKNRRRLSDCESTDVKRAGSHTAQNSDIFVPESDRSDYNCTGGTEVLANSNRKQLIKCMPSEPETIKAKHGSPATDDACPLNQCDSVVLNGTVPTNEVIVSTSEDPLGGGDPTARHIEMAALKEGEPDSSSDAEEDNLFLTQNDPEDMDLCSQMENDNYKLIELIHGKDTVEVEEDSVSRPQLESLSGTKCKYKDCLETTKNQGEYCPKHSEVKAADEDVFRKPGLPPPASKPLRPTTKIFSSKSTSRIAGLSKSLETSSALSPSLKNKSKGIQSILKVPQPVPLIAQKPVGEMKNSCNVLHPQSPNNSNRQGCKVPFGESKYFPSSSPVNILLSSQSVSDTFVKEVLKWKYEMFLNFGQCGPPASLCQSISRPVPVRFHNYGDYFNVFFPLMVLNTFETVAQEWLNSPNRENFYQLQVRKFPADYIKYWEFAVYLEECELAKQLYPKENDLVFLAPERINEEKKDTERNDIQDLHEYHSGYVHKFRRTSVMRNGKTECYLSIQTQENFPANLNELVNCIVISSLVTTQRKLKAMSLLGSRNQLARAVLNPNPMDFCTKDLLTTTSERIIAYLRDFNEDQKKAIETAYAM
Q8S905 382 974 0.48774300168634 PF11995.8:DUF3490:411:573 Kinesin-like protein KIN-7A; NPK1-activating kinesin-1; AtNACK1; Protein HINKEL 974 163 19 593 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S905 1 SwissProt ERRTPGPSTEKDFKIQQMEMEIGELRRQRDDAQIQLEELRQKLQGDQQQNKGLNPFESPDPPVRKCLSYSVAVTPSSENKTLNRNERARKTTMRQSMIRQSSTAPFTLMHEIRKLEHLQEQLGEEATKALEVLQKEVACHRLGNQDAAQTIAKLQAEIREMRTVKPSAMLKEVGDVIAPNKSVSANLKEEITRLHSQGSTIANLEEQLESVQKSIDKLVMSLPSNISAGDETPKTKNHHHQSKKKKLLPLTPSSASNRQNFLKSPCSPLSASRQVLDCDAENKAPQENNSSAARGATTPQGSEKETPQKGEESGDVSSREGTPGYRRSSSVNMKKMQQMFQNAAEENVRSIRAYVTELKERVAKLQYQKQLLVCQVLELEANDGAGYSVENEENTIMEDEEQNQVAWHITFIEERQQIIELWHVCHVSIIHRTQFYLLFKGDQADQIYMEVELRRLTWLEQHLAEVGNATPARNCDESVVSLSSSIKALRREREFLAKRVNSRLTPEEREELYMKWDVPLEGKQRKLQFVNKLWTDPYDSRHVQESAEIVAKLVGFCESGNISKEMFELNFAVPSDKRQWNIGWDNISNLLHL
Q9H479 1 210 0.304234285714286 PF03881.14:Fructosamin_kin:21:197,PF01636.23:APH:33:200 Fructosamine-3-kinase; Protein-psicosamine 3-kinase FN3K; Protein-ribulosamine 3-kinase FN3K; EC 2.7.1.171; EC 2.7.1.172 309 180 19 210 0 Homo sapiens (Human) SwissProt::Q9H479 1 SwissProt MEQLLRAELRTATLRAFGGPGAGCISEGRAYDTDAGPVFVKVNRRTQARQMFEGEVASLEALRSTGLVRVPRPMKVIDLPGGGAAFVMEHLKMKSLSSQASKLGEQMADLHLYNQKLREKLKEEENTVGRRGEGAEPQYVDKFGFHTVTCCGFIPQVNEWQDDWPTFFARHRLQAQLDLIEKDYADREARELWSRLQVKIPDLFCGLEIV
SwissProt::C8VTV4 235 573 0.820223008849557 Developmental and secondary metabolism regulator veA; Velvet complex subunit A 573 0 19 339 0 Emericella nidulans (strain FGSC A4 / ATCC 38163 / CBS 112.46 / NRRL 194 / M139) (Aspergillus nidulans) SwissProt::C8VTV4 1 SwissProt MRRRGDKRTEDYDYDNERGYNNRRPDQYAGSDAYANAPERPRSTSISTNMDPYSYPSRRPSAVEYGQPIAQPYQRPMASTPAPSSTPIPAPIPMPGPVALPPSTPSPASAHAPAPPSVPLAAPPPLHTPSYQSHLSFGATQTQYPAPQLSHIPQQTTTPTHPYSPRSSISHSRNQSISEYEPSMGYPGSQTRLSAERPSYGQPSQTTSLPPLRHSLEPSVNSRSKTPSNMITSLPPIQSLSELPSTTSQPSSAIGSSPANEPGPRLWETNSMLSKRTYEESFGHDDRPLYNGMRPDSESYPGGMQRRPSYERSSLLDGPDQMAYKRANGRMVSKPATMR
SwissProt::Q6ZMG9 128 384 0.121634630350195 PF03798.16:TRAM_LAG1_CLN8:4:197 Ceramide synthase 6; CerS6; LAG1 longevity assurance homolog 6; EC 2.3.1.- 384 194 19 173 4 Homo sapiens (Human) SwissProt::Q6ZMG9 1 SwissProt TLTRFCESMWRFSFYLYVFTYGVRFLKKTPWLWNTRHCWYNYPYQPLTTDLHYYYILELSFYWSLMFSQFTDIKRKDFGIMFLHHLVSIFLITFSYVNNMARVGTLVLCLHDSADALLEAAKMANYAKFQKMCDLLFVMFAVVFITTRLGIFPLWVLNTTLFESWEIVGPYPSWWVFNLLLLLVQGLNCFWSYLIVKIACKAVSRGKVSKDDRSDIESSSDEEDSEPPGKNPHTATTTNGTSGTNGYLLTGSCSMDD 1
SwissProt::Q86B87 1 610 0.551539344262295 PF00651.31:BTB:23:118,PF04500.16:FLYWCH:453:512 Modifier of mdg4 610 156 19 610 0 Drosophila melanogaster (Fruit fly) SwissProt::Q86B87 1 SwissProt MADDEQFSLCWNNFNTNLSAGFHESLCRGDLVDVSLAAEGQIVKAHRLVLSVCSPFFRKMFTQMPSNTHAIVFLNNVSHSALKDLIQFMYCGEVNVKQDALPAFISTAESLQIKGLTDNDPAPQPPQESSPPPAAPHVQQQQIPAQRVQRQQPRASARYKIETVDDGLGDEKQSTTQIVIQTTAAPQATIVQQQQPQQAAQQIQSQQLQTGTTTTATLVSTNKRSAQRSSLTPASSSAGVKRSKTSTSANVMDPLDSTTETGATTTAQLVPQQITVQTSVVSAAEAKLHQQSPQQVRQEEAEYIDLPMELPTKSEPDYSEDHGDAAGDAEGTYVEDDTYGDMRYDDSYFTENEDAGNQTAANTSGGGVTATTSKAVVKQQSQNYSESSFVDTSGDQGNTEAQAATSASATKIPPRKRGRPKTKVEDQTPKPKLLEKLQAATLNEEASEPAVYASTTKGGVKLIFNGHLFKFSFRKADYSVFQCCYREHGEECKVRVVCDQKRVFPYEGEHVHFMQASDKSCLPSQFMPGESGVISSLSPSKELLMKNTTKLEEADDKEDEDFEEFEIQEIDEIELDEPEKTPAKEEEVDPNDFREKIKRRLQKALQNKKK
SwissProt::Q8K3I6 1 234 0.200683333333333 Interleukin-27 subunit alpha; IL-27 subunit alpha; IL-27-A; IL27-A; p28 234 0 19 234 0 Mus musculus (Mouse) SwissProt::Q8K3I6 1 SwissProt MGQVTGDLGWRLSLLLLPLLLVQAGSWGFPTDPLSLQELRREFTVSLYLARKLLSEVQGYVHSFAESRLPGVNLDLLPLGYHLPNVSLTFQAWHHLSDSERLCFLATTLRPFPAMLGGLGTQGTWTSSEREQLWAMRLDLRDLHRHLRFQVLAAGFKCSKEEEDKEEEEEEEEEEKKLPLGALGGPNQVSSQVSWPQLLYTYQLLHSLELVLSRAVRDLLLLSLPRRPGSAWDS
SwissProt::Q9QZR5 548 1196 0.572974576271186 Homeodomain-interacting protein kinase 2; Nuclear body-associated kinase 1; Sialophorin tail-associated nuclear serine/threonine-protein kinase; EC 2.7.11.1 1196 0 19 649 0 Mus musculus (Mouse) SwissProt::Q9QZR5 1 SwissProt MEICKRRVNMYDTVNQSKTPFITHVAPSTSTNLTMTFNNQLTTVHNQAPTTSSATLSLANPEVSILNYQSALYQPSAASMAAVAPRSMPLQTGTAQICARPDPFQQALIVCPPGFQGLQASPSKHAGYSVRMENAVPIVTQAPGAQPLQIQPGLLAQQAWPGGAQQILLPPAWQQLTGVATHTSVQHAAVIPETMAGTQQLADWRNTHAHGSHYNPIMQQPALLTGHVTLPAAQPLNVGVAHVMRQQPTSTTSSRKSKQHQSSVRNVSTCEVTSSQAISSPQRSKRVKENTPPRCAMVHSSPACSTSVTCGWGDVASSTTRERQRQTIVIPDTPSPTVSVITISSDTDEEEEQKHAPTSTVSKQRKNVISCVTVHDSPYSDSSSNTSPYSVQQRTGHNGTNTLDTKGGLENHCTGNPRTIIVPPLKTQASEVLVECDSLGPAISASHHSSSFKSKSSSTVTSTSGHSSGSSSGAIAYRQQRPGPHFQQQQPLNLSQAQQHMAADRTGSHRRQQAYITPTMAQAPYTFPHNSPSHGTVHPHLAAAAHLPTQPHLYTYTAPTALGSTGTVAHLVASQGSARHTVQHTAYPASIVHQVPVSMGPRVLPSPTIHPSQYPAQFAHQTYISASPASTVYTGYPLSPAKVNQYPYI
SwissProt::Q9UW95 1 334 0.180307784431138 PF00067.22:p450:38:321 Versicolorin B desaturase; Aflatoxin biosynthesis protein L; EC 1.14.19.n5 500 284 19 311 1 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q9UW95 1 SwissProt MYFLSLPSLVIVIPVGYLLFHLGYNLFFHPLRGYPGPLLWRASSLPWKIALLRGTMHHDLMRFHQKYGDTVRIKPDEISYANAQAWRDIHAHVPGRPEFLKDPVRLPLAPNGVMSILVSDTKNHARFRSLFGHAFSDKGLRTQESTIVQYADLLVEVLREVADTGRSAEMVYYFNMAIFDSIGALSFGESFDSLKSRQLHPWVDAIHKNLKSVAISHVLRSMGIEFLTPYVLPKELRGKRQENYSYAVEKLNKRMKMEGDQGDFWDKVLVKSADDNQRGDGMSAGEMLNNAAVMVVAGSETTASALSGAMYLLCLSGKIEKATAEIRKSFASPE
VIMSS10078401 1 91 0.445954945054945 PF03242.13:LEA_3:1:87 Late embryogenis abundant protein 2 91 87 19 91 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRX6 1 SwissProt MARSLANAKIQSVFGSEKLSNAVFRRGFAAAAKTALDGSVSTAEMKKRAGEASSEKAPWVPDPKTGYYRPETVSEEIDPAELRAILLNNKQ
VIMSS10079184 1 449 0.201396659242762 PF14543.6:TAXi_N:104:270,PF14541.6:TAXi_C:294:444,PF00026.23:Asp:104:445 Aspartyl protease AED3; Apoplastic EDS1-dependent protein 3; EC 3.4.23.- 449 342 19 449 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04496 1 SwissProt MASSSLHFFFFLTLLLPFTFTTATRDTCATAAPDGSDDLSIIPINAKCSPFAPTHVSASVIDTVLHMASSDSHRLTYLSSLVAGKPKPTSVPVASGNQLHIGNYVVRAKLGTPPQLMFMVLDTSNDAVWLPCSGCSGCSNASTSFNTNSSSTYSTVSCSTAQCTQARGLTCPSSSPQPSVCSFNQSYGGDSSFSASLVQDTLTLAPDVIPNFSFGCINSASGNSLPPQGLMGLGRGPMSLVSQTTSLYSGVFSYCLPSFRSFYFSGSLKLGLLGQPKSIRYTPLLRNPRRPSLYYVNLTGVSVGSVQVPVDPVYLTFDANSGAGTIIDSGTVITRFAQPVYEAIRDEFRKQVNVSSFSTLGAFDTCFSADNENVAPKITLHMTSLDLKLPMENTLIHSSAGTLTCLSMAGIRQNANAVLNVIANLQQQNLRILFDVPNSRIGIAPEPCN
VIMSS10080279 126 357 0.552376724137931 BES1 (BRI1-EMS-SUPPRESSOR 1); protein binding / transcription factor/ transcription regulator (RefSeq) 357 0 19 232 0 Arabidopsis thaliana VIMSS10080279 1 MicrobesOnline ATPYSSHNQSPLSSTFDSPILSYQVSPSSSSFPSPSRVGDPHNISTIFPFLRNGGIPSSLPPLRISNSAPVTPPVSSPTSRNPKPLPTWESFTKQSMSMAAKQSMTSLNYPFYAVSAPASPTHHRQFHAPATIPECDESDSSTVDSGHWISFQKFAQQQPFSASMVPTSPTFNLVKPAPQQLSPNTAAIQEIGQSSEFKFENSQVKPWEGERIHDVAMEDLELTLGNGKAHS
VIMSS10080878 114 306 0.376795336787565 Ethylene-responsive transcription factor ESR2; Protein DORNROESCHEN-LIKE; Protein DRN-LIKE; Protein ENHANCER OF SHOOT REGENERATION 2; Protein SUPPRESSOR OF PHYTOCHROMEB 2 306 0 19 193 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FYK5 1 SwissProt VYPMPSLDSYHHRIFSSPPMNMFLLRDVLNSQSLSPLTTFAYPPCNLSNVNDVVHESFTNVNDVCEDLSPKAKRSSTIENESLISNIFEPEPASSGLLQEIVQGFLPKPISQHASIPPKSNQQSVGVFPTMPESGFQTDVRLADFHVEGNGFGQVKYHGELGWADHENGFDSAKMQQNGNGGMFYQYCFHDDY
VIMSS10081679 1 512 0.0722058593749999 PF04172.16:LrgB:276:500 Plastidal glycolate/glycerate translocator 1, chloroplastic; Bacterial membrane protein LrgB-like protein; AtLrgB 512 225 19 239 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FVQ4 1 SwissProt MATLLATPIFSPLASSPARNRLSCSKIRFGSKNGKILNSDGAQKLNLSKFRKPDGQRFLQMGSSKEMNFERKLSVQAMDGAGTGNTSTISRNVIAISHLLVSLGIILAADYFLKQAFVAASIKFPSALFGMFCIFSVLMIFDSVVPAAANGLMNFFEPAFLFIQRWLPLFYVPSLVVLPLSVRDIPAASGVKICYIVAGGWLASLCVAGYTAIAVRKMVKTEMTEAEPMAKPSPFSTLELWSWSGIFVVSFVGALFYPNSLGTSARTSLPFLLSSTVLGYIVGSGLPSSIKKVFHPIICCALSAVLAALAFGYASGSGLDPVLGNYLTKVASDPGAGDILMGFLGSVILSFAFSMFKQRKLVKRHAAEIFTSVIVSTVFSLYSTALVGRLVGLEPSLTVSILPRCITVALALSIVSLFEGTNSSLTAAVVVVTGLIGANFVQVVLDKLRLRDPIARGIATASSAHGLGTAALSAKEPEALPFCAIAYALTGIFGSLLCSVPAVRQSLLAVVG
VIMSS10081845 1 644 0.15803400621118 PF00931.22:NB-ARC:173:241,PF00931.22:NB-ARC:232:365,PF05659.11:RPW8:12:119 Disease resistance protein ADR1; Activated disease resistance protein 1 787 301 19 644 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FW44 1 SwissProt MASFIDLFAGDITTQLLKLLALVANTVYSCKGIAERLITMIRDVQPTIREIQYSGAELSNHHQTQLGVFYEILEKARKLCEKVLRCNRWNLKHVYHANKMKDLEKQISRFLNSQILLFVLAEVCHLRVNGDRIERNMDRLLTERNDSLSFPETMMEIETVSDPEIQTVLELGKKKVKEMMFKFTDTHLFGISGMSGSGKTTLAIELSKDDDVRGLFKNKVLFLTVSRSPNFENLESCIREFLYDGVHQRKLVILDDVWTRESLDRLMSKIRGSTTLVVSRSKLADPRTTYNVELLKKDEAMSLLCLCAFEQKSPPSPFNKYLVKQVVDECKGLPLSLKVLGASLKNKPERYWEGVVKRLLRGEAADETHESRVFAHMEESLENLDPKIRDCFLDMGAFPEDKKIPLDLLTSVWVERHDIDEETAFSFVLRLADKNLLTIVNNPRFGDVHIGYYDVFVTQHDVLRDLALHMSNRVDVNRRERLLMPKTEPVLPREWEKNKDEPFDAKIVSLHTGEMDEMNWFDMDLPKAEVLILNFSSDNYVLPPFIGKMSRLRVLVIINNGMSPARLHGFSIFANLAKLRSLWLKRVHVPELTSCTIPLKNLHKIHLIFCKVKNSFVQTSFDISKIFPSLSDLTIDHCDDLLEL
VIMSS10083385 1 212 0.539970283018868 PF02309.16:AUX_IAA:83:194 Auxin-responsive protein IAA18; Indoleacetic acid-induced protein 18 267 112 19 212 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O24408 1 SwissProt MEGYSRNGEISPKLLDLMIPQERRNWFHDEKNSVFKTEEKKLELKLGPPGEEDDDESMIRHMKKEPKDKSILSLAGKYFSPSSTKTTSHKRTAPGPVVGWPPVRSFRKNLASGSSSKLGNDSTTSNGVTLKNQKCDAAAKTTEPKRQGGMFVKINMYGVPIGRKVDLSAHNSYEQLSFTVDKLFRGLLAAQRDFPSSIEDEKPITGLLDGNG
VIMSS10085222 1 406 0.497654679802956 PF06203.14:CCT:357:399,PF00643.24:zf-B_box:14:57 zinc finger (B-box type) family protein (RefSeq) 406 87 19 406 0 Arabidopsis thaliana VIMSS10085222 1 MicrobesOnline MMKSLASAVGGKTARACDSCVKRRARWYCAADDAFLCHACDGSVHSANPLARRHERVRLKSASAGKYRHASPPHQATWHQGFTRKARTPRGGKKSHTMVFHDLVPEMSTEDQAESYEVEEQLIFEVPVMNSMVEEQCFNQSLEKQNEFPMMPLSFKSSDEEDDDNAESCLNGLFPTDMELAQFTADVETLLGGGDREFHSIEELGLGEMLKIEKEEVEEEGVVTREVHDQDEGDETSPFEISFDYEYTHKTTFDEGEEDEKEDVMKNVMEMGVNEMSGGIKEEKKEKALMLRLDYESVISTWGGQGIPWTARVPSEIDLDMVCFPTHTMGESGAEAHHHNHFRGLGLHLGDAGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRSSIGVAH
VIMSS10085699 1 178 0.588620224719102 NF-YA3 (NUCLEAR FACTOR Y, SUBUNIT A3); transcription factor (RefSeq) 341 0 19 178 0 Arabidopsis thaliana VIMSS10085699 1 MicrobesOnline MMHQMLNKKDSATHSTLPYLNTSISWGVVPTDSVANRRGSAESLSLKVDSRPGHIQTTKQISFQDQDSSSTQSTGQSYTEVASSGDDNPSRQISFSAKSGSEITQRKGFASNPKQGSMTGFPNIHFAPAQANFSFHYADPHYGGLLAATYLPQAPTCNPQMVSMIPGRVPLPAELTET
VIMSS10085884 1 467 0.279734047109208 PF01494.19:FAD_binding_3:56:89,PF07992.14:Pyr_redox_2:57:223,PF01134.22:GIDA:58:92 Geranylgeranyl diphosphate reductase, chloroplastic; Geranylgeranyl reductase; EC 1.3.1.83 467 168 19 467 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CA67 1 SwissProt MATTVTLKSFTGLRQSSTEQTNFVSHVPSSLSLPQRRTSLRVTAARATPKLSNRKLRVAVIGGGPAGGAAAETLAQGGIETILIERKMDNCKPCGGAIPLCMVGEFNLPLDIIDRRVTKMKMISPSNIAVDIGRTLKEHEYIGMVRREVLDAYLRERAEKSGATVINGLFLKMDHPENWDSPYTLHYTEYDGKTGATGTKKTMEVDAVIGADGANSRVAKSIDAGDYDYAIAFQERIRIPDEKMTYYEDLAEMYVGDDVSPDFYGWVFPKCDHVAVGTGTVTHKGDIKKFQLATRNRAKDKILGGKIIRVEAHPIPEHPRPRRLSKRVALVGDAAGYVTKCSGEGIYFAAKSGRMCAEAIVEGSQNGKKMIDEGDLRKYLEKWDKTYLPTYRVLDVLQKVFYRSNPAREAFVEMCNDEYVQKMTFDSYLYKRVAPGSPLEDIKLAVNTIGSLVRANALRREIEKLSV
VIMSS10086539 1 354 0.16897175141243 PF01344.25:Kelch_1:107:150,PF01344.25:Kelch_1:164:199,PF13964.6:Kelch_6:105:153 Galactose oxidase/kelch repeat superfamily protein 354 85 19 354 0 Arabidopsis thaliana NP_565238.1 1 RefSeq MELIPNLPDDVARECLLRSSYQQFPVIASVCRAWNREVSLSQFLHQRKASRHSQELLILSQARVDPAGSGKIIATPEYRISVLESGSGLWTELPPIPGQTKGLPLFCRLVSVGSDLIVLGGLDPITWQAHDSVFVFSFLTSKWRVGATMPGVRRSFFGCASDSDRTVLVAGGHNEEKCALTSAMVYDVSEDKWTFLPDMARERDECKAVFHAGRFHVIGGYATEEQGQFSKTAESFDVSTWEWGPLTEDFLDDTGDTVSPPTCVAGGDLYACWGGDVMMFLNDKWQKVGQIPADVYNVTYVAVRPGMLIVIGNGKALAGYGEATVGYICDLSSSRWVKLETHGGHVQAGCFLEV
VIMSS10089030 1 104 0.523219230769231 hypothetical protein (RefSeq) 104 0 19 104 0 Arabidopsis thaliana VIMSS10089030 1 MicrobesOnline MASQTNQTVVDTKKIETENPPKPQVPASSCRKRVKDDNATFFANLKDHMDEFIHASMDEHKTCFKNTMDKIFGSFSKAEAVAEKQIEAKEVVEIHSPLQTAVTK
VIMSS10089966 1 512 0.0912015625000001 PF07690.16:MFS_1:109:470,PF00083.24:Sugar_tr:140:285,PF05977.13:MFS_3:111:281 Sodium-dependent phosphate transport protein 1, chloroplastic; Anion transporter 1; Na(+)/PI cotransporter 1; Phosphate transporter PHT4;1; Sodium/phosphate cotransporter 1 512 362 19 288 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82390 1 SwissProt MNARALLCSSNIHSLYTSNRPPEKTSSSRSLRNLKPSPKSLRVWIYPRNRSSVFRVLVRSSDKSESSNSYYVEGDKVSGNNDVVSDSPSSIVLPWWEEFPKRWVIVLLCFSAFLLCNMDRVNMSIAILPMSAEYGWNPATVGLIQSSFFWGYLLTQIAGGIWADTVGGKRVLGFGVIWWSIATILTPVAAKLGLPYLLVVRAFMGVGEGVAMPAMNNILSKWVPVQERSRSLALVYSGMYLGSVTGLAFSPFLIHQFGWPSVFYSFGSLGTVWLTLWLTKAESSPLEDPTLLPEERKLIADNCASKEPVKSIPWRLILSKPPVWALISCHFCHNWGTFILLTWMPTYYHQVLKFNLMESGLLSVFPWMTMAISANAGGWIADTLVSRGFSVTNVRKIMQTIGFLGPAFFLTQLKHIDSPTMAVLCMACSQGTDAFSQSGLYSNHQDIAPRYSGVLLGLSNTAGVLAGVLGTAATGHILQHGSWDDVFTISVGLYLVGTVIWNLFSTGEKIID
VIMSS10091080 1 576 0.199269618055556 PF03094.15:Mlo:5:489 Seven transmembrane MLO family protein 576 485 19 421 7 Arabidopsis thaliana NP_565902.1 1 RefSeq MAIKERSLEETPTWAVAVVCFVLLFISIMIEYFLHFIGHWFKKKHKKALSEALEKVKAELMLLGFISLLLVVLQTPVSEICIPRNIAATWHPCSNHQEIAKYGKDYIDDGRKILEDFDSNDFYSPRRNLATKGYDKCAEKGKVALVSAYGIHQLHIFIFVLAVFHVLYCIITYALGKTKMKKWKSWERETKTIEYQYANDPERFRFARDTSFGRRHLNIWSKSTFTLWITCFFRQFFGSVTKVDYLTLRHGFIMAHLPAGSAARFDFQKYIERSLEQDFTVVVGISPLIWCIAVLFILTNTHGWDSYLWLPFLPLIVILIVGAKLQMIISKLGLRIQEKGDVVKGAPVVEPGDDLFWFGRPRFILFLIHLVLFTNAFQLAFFVWSTYEFTLKNCFHHKTEDIAIRITMGVLIQVLCSYITLPLYALVTQMGTSMRPTIFNDRVANALKKWHHTAKKQTKHGHSGSNTPHSSRPTTPTHGMSPVHLLHNYNNRSLDQQTSFTASPSPPRFSDYSGQGHGHQHFFDPESQNHSYQREITDSEFSNSHHPQVDMASPVREEKEIVEHVKVDLSEFTFKK
VIMSS10091959 1 174 0.266875862068965 PF14159.6:CAAD:89:172 Protein CURVATURE THYLAKOID 1B, chloroplastic; Photosystem I protein P; Thylakoid membrane phosphoprotein 14 kDa 174 84 19 174 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LCA1 1 SwissProt MASLSVSSSSTIIDSRAPPSRLASASASSPSCISLPTLPIQSHTRAAKATAYCRKIVRNVVTRATTEVGEAPATTTEAETTELPEIVKTAQEAWEKVDDKYAIGSLAFAGVVALWGSAGMISAIDRLPLVPGVLELVGIGYTGWFTYKNLVFKPDREALFEKVKSTYKDILGSS
VIMSS10092250 1 357 0.108109803921569 PF03214.13:RGP:18:352 UDP-arabinopyranose mutase 1; Reversibly glycosylated polypeptide 1; AtRGP1; UDP-L-arabinose mutase 1; EC 5.4.99.30 357 335 19 357 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRT9 1 SwissProt MVEPANTVGIPVNHIPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKTIAVPEGFDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSTPFFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRELIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLGLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEDIIPFFQSAKLTKEAVTVQQCYMELSKLVKEKLSPIDPYFDKLADAMVTWIEAWDELNPPTKA
VIMSS10092344 494 1145 0.177320398773006 PF03552.14:Cellulose_synt:24:642,PF13632.6:Glyco_trans_2_3:346:466 Cellulose synthase-like protein D3; AtCslD3; Protein KOJAK; EC 2.4.1.- 1145 619 19 525 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M9M4 1 SwissProt PDSIRRRSDAYHAREEIKAMKLQRQNRDEEIVEPVKIPKATWMADGTHWPGTWINSGPDHSRSDHAGIIQVMLKPPSDEPLHGVSEGFLDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSQALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFDPPRAKEHHPGFCSCCFSRKKKKSRVPEENRSLRMGGDSDDDEEMNLSLVPKKFGNSTFLIDSIPVAEFQGRPLADHPAVQNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGSRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAFFASPRMKILQRIAYLNVGIYPFTSFFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIISITLCLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVIQGLLKVVAGIEISFTLTSKSGGEDVDDEFADLYIVKWTSLMIPPITIMMVNLIAIAVGFSRTIYSVIPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWVAINPPAGSTQIGGSFTFP
VIMSS10093668 499 1054 0.212614928057554 PF13855.6:LRR_8:81:137 disease resistance protein (NBS-LRR class), putative (RefSeq) 1054 57 19 556 0 Arabidopsis thaliana VIMSS10093668 1 MicrobesOnline LAQFASGEFSSKFEDGCKLQVSERTRYLSYLRDNYAEPMEFEALREVKFLRTFLPLSLTNSSRSCCLDQMVSEKLLPTLTRLRVLSLSHYKIARLPPDFFKNISHARFLDLSRTELEKLPKSLCYMYNLQTLLLSYCSSLKELPTDISNLINLRYLDLIGTKLRQMPRRFGRLKSLQTLTTFFVSASDGSRISELGGLHDLHGKLKIVELQRVVDVADAAEANLNSKKHLREIDFVWRTGSSSSENNTNPHRTQNEAEVFEKLRPHRHIEKLAIERYKGRRFPDWLSDPSFSRIVCIRLRECQYCTSLPSLGQLPCLKELHISGMVGLQSIGRKFYFSDQQLRDQDQQPFRSLETLRFDNLPDWQEWLDVRVTRGDLFPSLKKLFILRCPELTGTLPTFLPSLISLHIYKCGLLDFQPDHHEYSYRNLQTLSIKSSCDTLVKFPLNHFANLDKLEVDQCTSLYSLELSNEHLRGPNALRNLRINDCQNLQLLPKLNALPQNLQVTITNCRYLRQPMEQQPQYHHPQFHLPRSNVSGSPKSHGSHRSYDSRSSSRYD
VIMSS10093816 1 225 0.825983555555555 Late embryogenesis abundant protein 29; LEA 76 homolog 225 0 19 225 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LW12 1 SwissProt MASNQQSYKAGETRGKAQEKTGQAMGTMRDKAEEGRDKTSQTAQTAQQKAHETAQSAKDKTSQTAQAAQQKAHETAQSAKEKTSQTAQTAQQKAHETTQAAKEKTSQAGDKAREAKDKAGSYLSETGEAIKNKAQDAAQYTKETAQGAAQYTKETAEAGRDKTGGFLSQTGEHVKQMAMGAADAVKHTFGMATEEEDKEHYPGSTTTTTATTRTTDPTHQTYQRK
VIMSS10094773 1 371 0.611757951482479 PF00010.26:HLH:201:248 Transcription factor bHLH77; Basic helix-loop-helix protein 77; AtbHLH77; bHLH 77; Protein ACTIVATOR FOR CELL ELONGATION 3; Transcription factor EN 87; bHLH transcription factor bHLH077 371 48 19 371 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LK48 1 SwissProt MNMDKETEQTLNYLPLGQSDPFGNGNEGTIGDFLGRYCNNPQEISPLTLQSFSLNSQISENFPISGGIRFPPYPGQFGSDREFGSQPTTQESNKSSLLDPDSVSDRVHTTKSNSRKRKSIPSGNGKESPASSSLTASNSKVSGENGGSKGGKRSKQDVAGSSKNGVEKCDSKGDNKDDAKPPEAPKDYIHVRARRGQATDSHSLAERARREKISERMTLLQDLVPGCNRITGKAVMLDEIINYVQSLQRQVEFLSMKLATVNPRMEFNANASLSTEMIQPGESLTQSLYAMACSEQRLPSAYYSLGKNMPRFSDTQFPSNDGFVHTETPGFWENNDLQSIVQMGFGDILQQQSNNNNNNCSEPTLQMKLEP
VIMSS10094880 89 330 0.599443388429752 AT-HSFC1; DNA binding / transcription factor (RefSeq) 330 0 19 242 0 Arabidopsis thaliana VIMSS10094880 1 MicrobesOnline ANEHFLRGQKHLLNNIARRKHARGMYGQDLEDGEIVREIERLKEEQRELEAEIQRMNRRIEATEKRPEQMMAFLYKVVEDPDLLPRMMLEKERTKQQQQVSDKKKRRVTMSTVKSEEEEVEEDEGRVFRVMSSSTPSPSSTENLYRNHSPDGWIVPMTQGQFGSYETGLVAKSMLSNSTSSTSSSLTSTFSLPESVNGGGGGGCGSIQGERRYKETATFGGVVESNPPTTPPYPFSLFRGGF
VIMSS10096814 1 168 0.275686309523809 PF13912.6:zf-C2H2_6:39:64,PF13912.6:zf-C2H2_6:86:109 Zinc finger protein ZAT7 168 50 19 168 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42453 1 SwissProt MVARSEEIVIVEEDTTAKCLMLLSRVGECGGGCGGDERVFRCKTCLKEFSSFQALGGHRASHKKLINSDNPSLLGSLSNKKTKTSHPCPICGVKFPMGQALGGHMRRHRNEKVSGSLVTRSFLPETTTVTALKKFSSGKRVACLDLDLDSMESLVNWKLELGRTISWS
VIMSS10098341 1 497 0.117638028169014 PF13540.6:RCC1_2:171:198,PF00020.18:TNFR_c6:347:395 Serine/threonine-protein kinase-like protein ACR4; Protein CRINKLY 4; AtCR4; EC 2.7.11.1 895 77 19 451 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LX29 1 SwissProt MRMFETRAREWILLVKLVLFTSIWQLASALGSMSSIAISYGEGGSVFCGLKSDGSHLVVCYGSNSAILYGTPGHLQFIGLTGGDGFMCGLLMLSHQPYCWGNSAFIQMGVPQPMTKGAEYLEVSAGDYHLCGLRKPIVGRRKNSNIISSSLVDCWGYNMTRNFVFDKQLHSLSAGSEFNCALSSKDKSVFCWGDENSSQVISLIPKEKKFQKIAAGGYHVCGILDGLESRVLCWGKSLEFEEEVTGTSTEEKILDLPPKEPLLAVVGGKFYACGIKRYDHSAVCWGFFVNRSTPAPTGIGFYDLAAGNYFTCGVLTGTSMSPVCWGLGFPASIPLAVSPGLCIDTPCPPGTHELSNQENSPCKFTGSHICLPCSTSCPPGMYQKSVCTERSDQVCVYNCSSCSSHDCSSNCSSSATSGGKEKGKFWSLQLPIATAEIGFALFLVAVVSITAALYIRYRLRNCRCSENDTRSSKDSAFTKDNGKIRPDLDELQKRRRA
VIMSS10098394 1 130 0.19842 Protein AUXIN-REGULATED GENE INVOLVED IN ORGAN SIZE; AtARGOS 130 0 19 84 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NMD6 1 SwissProt MIREISNLQKDIINIQDSYSNNRVMDVGRNNRKNMSFRSSPEKSKQELRRSFSAQKRMMIPANYFSLESLFLLVGLTASLLILPLVLPPLPPPPFMLLLVPIGIMVLLVVLAFMPSSHSNANTDVTCNFM
VIMSS10098664 1 363 0.527336639118457 PF00010.26:HLH:194:238 Transcription factor PIF6; Basic helix-loop-helix protein 132; AtbHLH132; bHLH 132; Protein PHYTOCHROME INTERACTING FACTOR 3-LIKE 2; Protein PHYTOCHROME-INTERACTING FACTOR 6; Transcription factor EN 111; bHLH transcription factor bHLH132 363 45 19 363 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L5W7 1 SwissProt MMFLPTDYCCRLSDQEYMELVFENGQILAKGQRSNVSLHNQRTKSIMDLYEAEYNEDFMKSIIHGGGGAITNLGDTQVVPQSHVAAAHETNMLESNKHVDDSETLKASSSKRMMVDYHNRKKIKFIPPDEQSVVADRSFKLGFDTSSVGFTEDSEGSMYLSSSLDDESDDARPQVPARTRKALVKRKRNAEAYNSPERNQRNDINKKMRTLQNLLPNSHKDDNESMLDEAINYMTNLQLQVQMMTMGNRFVTPSMMMPLGPNYSQMGLAMGVGMQMGEQQFLPAHVLGAGLPGINDSADMLRFLNHPGLMPMQNSAPFIPTENCSPQSVPPSCAAFPNQIPNPNSLSNLDGATLHKKSRKTNR
VIMSS10099220 1 361 0.304206371191135 PF03171.20:2OG-FeII_Oxy:223:305 AOP3; iron ion binding / oxidoreductase (RefSeq) 361 83 19 361 0 Arabidopsis thaliana VIMSS10099220 1 MicrobesOnline MELQGSVLKAMQELFALPTEAKQRNVCPKPFTGYLSHNGLSESFGIKDANILEKAHEFTQQLWPEGNKSISKMIQLYAEKLAELDMMVRRLILESYGIEYFIDEHLNSTYYRMRLMKYIARPDNDITAAVGANVDNGANDNADGDANVNDDGASIGVKVNVDVGDDVNDNDSVNIGVGVDINVETNVNGDLDAEANGDATAWVVGAVSGNASVGAKEANVDAELGLPSHTDKSLTGIIYQHQIDGLEVKTKEGKWIRVKPAPNTVIVIAGDALCALMNGRIPSPYHRVRVTEKKKTRYAAALFSNPKEGYIIDSPKELVDEKHPRAFKPFDFVDLFNFYHTEAGRRAPSTLQAFCGVSAGK
VIMSS10099517 139 743 0.282048760330578 PF01852.19:START:116:335 Homeobox-leucine zipper protein PROTODERMAL FACTOR 2; HD-ZIP protein PDF2; Homeodomain transcription factor PDF2 743 220 19 605 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93V99 1 SwissProt ENNRYKEALSNATCPNCGGPAAIGEMSFDEQHLRIENARLREEIDRISAIAAKYVGKPLGSSFAPLAIHAPSRSLDLEVGNFGNQTGFVGEMYGTGDILRSVSIPSETDKPIIVELAVAAMEELVRMAQTGDPLWLSTDNSVEILNEEEYFRTFPRGIGPKPLGLRSEASRQSAVVIMNHINLVEILMDVNQWSCVFSGIVSRALTLEVLSTGVAGNYNGALQVMTAEFQVPSPLVPTRENYFVRYCKQHSDGSWAVVDVSLDSLRPSTPILRTRRRPSGCLIQELPNGYSKVTWIEHMEVDDRSVHNMYKPLVQSGLAFGAKRWVATLERQCERLASSMASNIPGDLSVITSPEGRKSMLKLAERMVMSFCSGVGASTAHAWTTMSTTGSDDVRVMTRKSMDDPGRPPGIVLSAATSFWIPVAPKRVFDFLRDENSRKEWDILSNGGMVQEMAHIANGHEPGNCVSLLRVNSGNSSQSNMLILQESCTDASGSYVIYAPVDIVAMNVVLSGGDPDYVALLPSGFAILPDGSVGGGDGNQHQEMVSTTSSGSCGGSLLTVAFQILVDSVPTAKLSLGSVATVNSLIKCTVERIKAAVSCDVGGGA
VIMSS10102511 1 250 0.159474 PF12481.8:DUF3700:2:230 hypothetical protein (RefSeq) 250 229 19 250 0 Arabidopsis thaliana VIMSS10102511 1 MicrobesOnline MLAIFHEAFAHPPEELNSPASEKCSKQPKLPEETLNDFLLRYPLNTFSMSFGQAAVLAYVRPSASFSIHQRLFCGFDDIYCLFFGSLNNLCQLNKQYGLTKTTNEAMFVIEAYRTLRDRGPYPADQVVKDLDGSFSFVVYDSKAGSVFTALGSDGGVKLYWGIAADGSVVISDDLDVIKEGCAKSFAPFPTGCMFHSEGGLMSFEHPMNKIKAMPRVDSEGVLCGANFKVDVYNRVNSIPRRGSEANWSL
VIMSS10102715 1 356 0.394578651685393 PF00642.24:zf-CCCH:124:144 Zinc finger C-x8-C-x5-C-x3-H type family protein 356 21 19 356 0 Arabidopsis thaliana NP_194648.1 1 RefSeq MMIGETRRTYPTVEIPPWPVLEELTTSEFFSPVMNSPDCSMLEALAGLQRYLPSNEPDPESYPDLLGPDSPIDAYSCDHFRMYDFKVRRCARGRSHDWTECPYAHPGEKARRRDPRKYHYSGTACPDFRKGGCKKGDSCEFAHGVFECWLHPARYRTQPCKDGGNCLRKICFFAHSPDQLRFLHTRSPDRVDSFDVSSPIRARAFQLSISPVSGSPPMSPRADSESSPMTQSLSRSLGSCSINDVVPSFRNLQFNSVKSFPRNNPLFGFGSPRGSILGPGFQSLPTTPTRPGNLDIWEYGLEEEPVMERVVESGRELREKMREKLHKENCMDRVAQDPDQNLGEAPDVGWVSDLLM
VIMSS10103173 1 630 0.205446666666667 PF05222.15:AlaDh_PNT_N:18:154,PF04455.12:Saccharop_dh_N:481:575 lysine-ketoglutarate reductase/saccharopine dehydrogenase bifunctional enzyme 1064 232 19 630 0 Arabidopsis thaliana NP_849486.1 1 RefSeq MNSNGHEEEKKLGNGVVGILAETVNKWERRTPLTPSHCARLLHGGKDRTGISRIVVQPSAKRIHHDALYEDVGCEISDDLSDCGLILGIKQPELEMILPERAYAFFSHTHKAQKENMPLLDKILSERVTLCDYELIVGDHGKRLLAFGKYAGRAGLVDFLHGLGQRYLSLGYSTPFLSLGASYMYSSLAAAKAAVISVGEEIASQGLPLGICPLVFVFTGTGNVSLGAQEIFKLLPHTFVEPSKLPELFVKDKGISQNGISTKRVYQVYGCIITSQDMVEHKDPSKSFDKADYYAHPEHYNPVFHEKISPYTSVLVNCMYWEKRFPCLLSTKQLQDLTKKGLPLVGICDITCDIGGSIEFVNRATLIDSPFFRFNPSNNSYYDDMDGDGVLCMAVDILPTEFAKEASQHFGDILSGFVGSLASMTEISDLPAHLKRACISYRGELTSLYEYIPRMRKSNPEEAQDNIIANGVSSQRTFNILVSLSGHLFDKFLINEALDMIEAAGGSFHLAKCELGQSADAESYSELEVGADDKRVLDQIIDSLTRLANPNEDYISPHREANKISLKIGKVQQENEIKEKPEMTKKSGVLILGAGRVCRPAADFLASVRTISSQQWYKTYFGADSEEKTD
VIMSS10103550 1 302 0.504419205298014 PF00010.26:HLH:151:198 BEE2 (BR Enhanced Expression 2); DNA binding / transcription factor (RefSeq) 302 48 19 302 0 Arabidopsis thaliana VIMSS10103550 1 MicrobesOnline MDLSVLDRLKWLQQQQMVSPEFLQILGSDGREELKRVESYLGNNNDELQSFRHFPEFGPDYDTTDGCISRTSSFHMEPVKNNGHSRAITLQNKRKPEGKTEKREKKKIKAEDETEPSMKGKSNMSNTETSSEIQKPDYIHVRARRGEATDRHSLAERARREKISKKMKCLQDIVPGCNKVTGKAGMLDEIINYVQSLQQQVEFLSMKLSVINPELECHIDDLSAKQAYFTGPPEGDSKQSIMADFRSFPLHQQGSLDYSVINSDHTTSLGAKDHTSSSWETHSQCLYNSLRTDSVSNFFSLK
VIMSS10105342 1 375 0.120126133333333 PF00153.27:Mito_carr:79:162,PF00153.27:Mito_carr:177:261 Mitochondrial phosphate carrier protein 3, mitochondrial; Mitochondrial phosphate transporter 3; MPT3; Phosphate transporter 3;1 375 169 19 375 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMU6 1 SwissProt MESPKNSLIPSFLYSSSSSPRSFLLDQVLNSNSNAAFEKSPSPAPRSSPTSMISRKNFLIASPTEPGKGIEMYSPAFYAACTFGGILSCGLTHMTVTPLDLVKCNMQIDPAKYKSISSGFGILLKEQGVKGFFRGWVPTLLGYSAQGACKFGFYEYFKKTYSDLAGPEYTAKYKTLIYLAGSASAEIIADIALCPFEAVKVRVQTQPGFARGMSDGFPKFIKSEGYGGLYKGLAPLWGRQIPYTMMKFASFETIVEMIYKYAIPNPKSECSKGLQLGVSFAGGYVAGVFCAIVSHPADNLVSFLNNAKGATVGDAVKKIGMVGLFTRGLPLRIVMIGTLTGAQWGLYDAFKVFVGLPTTGGVAPAPAIAATEAKA
VIMSS10105553 1 66 0.499619696969697 KIN2 (RefSeq) 66 0 19 66 0 Arabidopsis thaliana VIMSS10105553 1 MicrobesOnline MSETNKNAFQAGQAAGKAEEKSNVLLDKAKDAAAAAGASAQQAGKSISDAAVGGVNFVKDKTGLNK
VIMSS10108695 1 405 0.166361481481482 PF08392.12:FAE1_CUT1_RppA:103:396 3-ketoacyl-CoA synthase 20; KCS-20; Very long-chain fatty acid condensing enzyme 20; VLCFA condensing enzyme 20; EC 2.3.1.199 529 294 19 359 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FG87 1 SwissProt MSHNQNQPHRPVPVHVTNAEPNPNPNNLPNFLLSVRLKYVKLGYHYLISNALYILLLPLLAATIANLSSFTINDLSLLYNTLRFHFLSATLATALLISLSTAYFTTRPRRVFLLDFSCYKPDPSLICTRETFMDRSQRVGIFTEDNLAFQQKILERSGLGQKTYFPEALLRVPPNPCMEEARKEAETVMFGAIDAVLEKTGVKPKDIGILVVNCSLFNPTPSLSAMIVNKYKLRGNILSYNLGGMGCSAGLISIDLAKQMLQVQPNSYALVVSTENITLNWYLGNDRSMLLSNCIFRMGGAAVLLSNRSSDRSRSKYQLIHTVRTHKGADDNAFGCVYQREDNNAEETGKIGVSLSKNLMAIAGEALKTNITTLGPLVLPMSEQLLFFATLVARKVFKVKKIKPY 2
VIMSS10111131 1 75 0.564522666666667 PF17232.2:Pep1_7:1:70 Elicitor peptide 1 92 70 19 75 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LV87 1 SwissProt MEKSDRRSEESHLWIPLQCLDQTLRAILKCLGLFHQDSPTTSSPGTSKQPKEEKEDVTMEKEEVVVTSRATKVKA
VIMSS108013 1 530 0.342755471698113 PF02470.20:MlaD:47:120,PF11887.8:Mce4_CUP1:173:291 virulence factor mce family protein (NCBI) 530 193 19 507 1 Mycobacterium tuberculosis CDC1551 VIMSS108013 1 MicrobesOnline MSTIFDIRNLRLPQLSRASVVIGSLVVVLALAAGIVGVRLYQKLTNNTVVAYFTQANALYVGDKVQIMGLPVGSIDKIEPAGDKMKVTFHYQNKYKVPANASAVILNPTLVASRNIQLEPPYRGGPVLADNAVIPVERTQVPTEWDELRDSVSHIIDELGPTPEQPKGPFGEVIEAFADGLAGKGKQINTTLNSLSQALNALNEGRGDFFAVVRSLALFVNALHQDDQQFVALNKNLAEFTDRLTHSDADLSNAIQQFDSLLAVARPFFAKNREVLTHDVNNLATVTTTLLQPDPLDGLETVLHIFPTLAANINQLYHPTHGGVVSLSAFTNFANPMEFICSSIQAGSRLGYQESAELCAQYLAPVLDAIKFNYFPFGLNVASTASTLPKEIAYSEPRLQPPNGYKDTTVPGIWVPDTPLSHRNTQPGWVVAPGMQGVQVGPITQGLLTPESLAELMGGPDIAPPSSGLQTPPGPPNAYDEYPVLPPIGLQAPQVPIPPPPPGPDVIPGPVPPTPAPVGAPLPAEAGGGQ
VIMSS11105 1 451 0.213066075388027 PF08447.12:PAS_3:222:305,PF08447.12:PAS_3:349:440,PF13426.7:PAS_9:210:312,PF13426.7:PAS_9:349:445,PF00989.25:PAS:200:310,PF08448.10:PAS_4:205:313,PF13188.7:PAS_8:200:258 ethylene response sensor protein (NCBI ptt file) 844 211 19 382 3 Synechocystis sp. PCC 6803 VIMSS11105 1 MicrobesOnline MAITAFTLGDFFQANSYIPHGHCYLWQTPLVWLHVSADFFTAIAYYSIPLTLLYFLRKRQDIPFPNIIFLFSTFILCCGTSHFFDIITLWYPIYWISGTVKASMAIVSIITVFELIQIVPNALNLKSPTELATLNLALNQEIKERQTAEIALQELNNNLEKRVEDRTTQLAKINQQLEQEIEDKTRAKEDLEKNKDQLAQLAAIVESSQDAIISKTLDGNITSWNESAERLFGYTAEEMIGSHITKLIPEELILEEDLIAECIRQGQRINTYETQRQRKDGTKIDVALTISPIRDEHKNVVGASKIVRDITARLDVENALRESQYFIEKLANYSPQILYILDPIAWKNIYVNYQSFEILGYTPEEFKNGGTELLLNIVHPDDIPTLYENKNFWQKSQEGQVLTTEYRMRHKNGSWRWLRSREVVFARDDYGQVTKVLGTAQDISDSKEQEQ
VIMSS112862 1 64 0.64620625 PF05532.12:CsbD:4:52 similar to sigmaB-controlled protein (NCBI) 64 49 19 64 0 Staphylococcus aureus subsp. aureus Mu50 VIMSS112862 1 MicrobesOnline MADESKFEQAKGNVKETVGNVTDNKNLENEGKEDKASGKAKEFVENAKEKATDFIDKVKGNKGE
VIMSS114089 1 333 0.157786186186186 PF07228.12:SpoIIE:142:331,PF08673.10:RsbU_N:7:79 PP2C family protein-serine/threonine phosphatase 333 263 19 333 0 Staphylococcus aureus subsp. aureus ST398 WP_000390829.1 1 RefSeq MEEFKQHYKGLIDESLTCQDKVELIKKCEKYTDEVIRKDVLPEDIVDIHKNYILTLNLTREDVFKTLDVLQEIVKGFGYSYRDYQRLVDKLQVHDKEIDLASSLQQTMLKTDIPQFDSIQIGVISVAAQKVSGDYFNLIDHNDGTMSFAVADVIGKGIPAALAMSMIKFGMDSYGHSQLPSDGLKRLNRVVEKNINQNMFVTMFYGLYEEMNHLLYCSSAGHEPGYIYRAEKEEFEEISVRGRVLGISSQTRYQQQEIPIYLDDLIIILTDGVTEARNSEGTFIDKQKLLEYIKKHKHMHPQDIVQIIYEAILKLQNPNKKDDMTILIIKRVN
VIMSS13369 1 178 0.321355056179775 unknown protein (NCBI ptt file) 178 0 19 178 0 Synechocystis sp. PCC 6803 VIMSS13369 1 MicrobesOnline MFTKFNQVLLASGLVLTSLVGFGSSAFAEGANVFANNPEMETLTWSPSKETEGKAIVLTNNGELTLTSIGNVNVKSNSYLGFTVSVSSANGVTASAAGGVLKHPDAGDTIIYTINYNGSDKTLAAGVGDMETPSADIPDCSDATGCDRDVKIAIAEEQVVSKPAGTYSDTLTFTLTNK
VIMSS139212 130 466 0.305893768545994 PF03349.16:Toluene_X:1:336 outer membrane protein P1 466 336 19 337 0 Neisseria meningitidis MC58 NP_273150.1 1 RefSeq PFGSATEYEKDSVLRHNINKLGLTSIAVEPVAAWKLNDRHSFGAGIIAQHTSAELRKYADWGIKSKAEILTAKPPKPNGVAEAAKIQADGHADVKGSDWGFGYQLAWMWDINDRARVGVNYRSKVSHTLKGDAEWAADGAAAKAMWSTMLAANGYTANEKARVKIVTPESLSVHGMYKVSDKADLFGDVTWTRHSRFDKAELVFEKEKTVVKGKSDRTTITPNWRNTYKVGFGGSYQISEPLQLRAGIAFDKSPVRNADYRMNSLPDGNRIWFSAGMKYHIGKNHVVDAAYTHIHINDTSYRTAKASGNDVDSKGASSARFKNHADIIGLQYTYKFK 1
VIMSS16344 1 216 0.152485648148148 PF06293.14:Kdo:11:213 putative lipopolysaccharide kinase InaA 216 203 19 216 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11422-MONOMER 1 ecocyc MAVSAKYDEFNHWWATEGDWVEEPNYRRNGMSGVQCVERNGKKLYVKRMTHHLFHSVRYPFGRPTIVREVAVIKELERAGVIVPKIVFGEAVKIEGEWRALLVTEDMAGFISIADWYAQHAVSPYSDEVRQAMLKAVALAFKKMHSINRQHGCCYVRHIYVKTEGNAEAGFLDLEKSRRRLRRDKAINHDFRQLEKYLEPIPKADWEQVKAYYYAM
VIMSS16753 1 466 0.02815 PF00324.21:AA_permease:18:456,PF13520.6:AA_permease_2:18:438 4-aminobutanoate:H+ symporter 466 439 19 196 12 Escherichia coli K-12 substr. MG1655 ecocyc::GABP-MONOMER 0 ecocyc MGQSSQPHELGGGLKSRHVTMLSIAGVIGASLFVGSSVAIAEAGPAVLLAYLFAGLLVVMIMRMLAEMAVATPDTGSFSTYADKAIGRWAGYTIGWLYWWFWVLVIPLEANIAAMILHSWVPGIPIWLFSLVITLALTGSNLLSVKNYGEFEFWLALCKVIAILAFIFLGAVAISGFYPYAEVSGISRLWDSGGFMPNGFGAVLSAMLITMFSFMGAEIVTIAAAESDTPEKHIVRATNSVIWRISIFYLCSIFVVVALIPWNMPGLKAVGSYRSVLELLNIPHAKLIMDCVILLSVTSCLNSALYTASRMLYSLSRRGDAPAVMGKINRSKTPYVAVLLSTGAAFLTVVVNYYAPAKVFKFLIDSSGAIALLVYLVIAVSQLRMRKILRAEGSEIRLRMWLYPWLTWLVIGFITFVLVVMLFRPAQQLEVISTGLLAIGIICTVPIMARWKKLVLWQKTPVHNTR
VIMSS17094 1 245 0.160257551020408 PF01553.21:Acyltransferase:53:180 1-acylglycerol-3-phosphate O-acyltransferase (EC 2.3.1.51) 245 128 19 222 1 Escherichia coli K-12 substr. MG1655 ecocyc::1-ACYLGLYCEROL-3-P-ACYLTRANSFER-MONOMER 1 ecocyc MLYIFRLIITVIYSILVCVFGSIYCLFSPRNPKHVATFGHMFGRLAPLFGLKVECRKPTDAESYGNAIYIANHQNNYDMVTASNIVQPPTVTVGKKSLLWIPFFGQLYWLTGNLLIDRNNRTKAHGTIAEVVNHFKKRRISIWMFPEGTRSRGRGLLPFKTGAFHAAIAAGVPIIPVCVSTTSNKINLNRLHNGLVIVEMLPPIDVSQYGKDQVRELAAHCRSIMEQKIAELDKEVAEREAAGKV
VIMSS17566 1 338 0.301044970414201 PF01609.21:DDE_Tnp_1:150:324,PF05598.11:DUF772:63:136 CP4-6 prophage; IS5 transposase and trans-activator 338 249 19 338 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7769-MONOMER 1 ecocyc MFVIWSHRTGFIMSHQLTFADSEFSSKRRQTRKEIFLSRMEQILPWQNMVEVIEPFYPKAGNGRRPYPLETMLRIHCMQHWYNLSDGAMEDALYEIASMRLFARLSLDSALPDRTTIMNFRHLLEQHQLARQLFKTINRWLAEAGVMMTQGTLVDATIIEAPSSTKNKEQQRDPEMHQTKKGNQWHFGMKAHIGVDAKSGLTHSLVTTAANEHDLNQLGNLLHGEEQFVSADAGYQGAPQREELAEVDVDWLIAERPGKVRTLKQHPRKNKTAINIEYMKASIRARVEHPFRIIKRQFGFVKARYKGLLKNDNQLAMLFTLANLFRADQMIRQWERSH
VIMSS17607 1 402 0.0306522388059701 PF07690.16:MFS_1:26:231,PF07690.16:MFS_1:221:384 putative transporter YhjX 402 359 19 140 12 Escherichia coli K-12 substr. MG1655 ecocyc::YHJX-MONOMER 0 ecocyc MTPSNYQRTRWLTLIGTIITQFALGSVYTWSLFNGALSAKLDAPVSQVAFSFGLLSLGLAISSSVAGKLQERFGVKRVTMASGILLGLGFFLTAHSDNLMMLWLSAGVLVGLADGAGYLLTLSNCVKWFPERKGLISAFAIGSYGLGSLGFKFIDTQLLETVGLEKTFVIWGAIALLMIVFGATLMKDAPKQEVKTSNGVVEKDYTLAESMRKPQYWMLAVMFLTACMSGLYVIGVAKDIAQSLAHLDVVSAANAVTVISIANLSGRLVLGILSDKIARIRVITIGQVISLVGMAALLFAPLNAVTFFAAIACVAFNFGGTITVFPSLVSEFFGLNNLAKNYGVIYLGFGIGSICGSIIASLFGGFYVTFYVIFALLILSLALSTTIRQPEQKMLREAHGSL
VIMSS1762379 1 101 0.596353465346535 hit locus orf4 (NCBI) 101 0 19 101 0 Bdellovibrio bacteriovorus HD100 VIMSS1762379 1 MicrobesOnline MKRLLVLSILLTLGFSFAGTASADENANRPVNPGEDPNEAFRSTPFEATTSALGDCRECIAYRTGATTGKGSRRHDDTVSREIKGSSATPGGSEKAGTGRQ
VIMSS18892 1 186 0.0548064516129032 PF01856.17:HP_OMP:57:186 outer membrane protein (omp11) (NCBI ptt file) 186 130 19 186 0 Helicobacter pylori 26695 VIMSS18892 1 MicrobesOnline MIKRIACILSLSTSLALAGEVNGFFMGAGYQQGRYGPYNSNYSDWRHGNDLYGLNFKLGFVGFANKWFGARVYGFLDWFNTSGTEHTKTNLLTYGGGGDLIVNLIPLDKFALGLIGGVQLAGNTWMFPYDVNQTRFQFLWNLGGRMRVGDRSAFEAGVKFPMVNQGSKDVGLIRYYSWYVDYVFTF 5
VIMSS1935856 1 539 0.306454730983302 PF00823.19:PPE:7:163 Uncharacterized PPE family protein PPE20 539 157 19 539 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WI23 1 SwissProt MTEPWIAFPPEVHSAMLNYGAGVGPMLISATQNGELSAQYAEAASEVEELLGVVASEGWQGQAAEAFVAAYMPFLAWLIQASADCVEMAAQQHVVIEAYTAAVELMPTQVELAANQIKLAVLVATNFFGINTIPIAINEAEYVEMWVRAATTMATYSTVSRSALSAMPHTSPPPLILKSDELLPDTGEDSDEDGHNHGGHSHGGHARMIDNFFAEILRGVSAGRIVWDPVNGTLNGLDYDDYVYPGHAIWWLARGLEFFQDGEQFGELLFTNPTGAFQFLLYVVVVDLPTHIAQIATWLGQYPQLLSAALTGVIAHLGAITGLAGLSGLSAIPSAAIPAVVPELTPVAAAPPMLAVAGVGPAVAAPGMLPASAPAPAAAAGATAAGPTPPATGFGGFPPYLVGGGGPGIGFGSGQSAHAKAAASDSAAAESAAQASARAQARAARRGRSAAKARGHRDEFVTMDMGFDAAAPAPEHQPGARASDCGAGPIGFAGTVRKEAVVKAAGLTTLAGDDFGGGPTMPMMPGTWTHDQGVFDEHR
VIMSS1935866 1 268 0.429746641791045 PF13412.6:HTH_24:43:84,PF12840.7:HTH_20:37:84,PF01022.20:HTH_5:43:84,PF08279.12:HTH_11:44:84 transcriptional regulator 268 48 19 268 0 Mycobacterium tuberculosis H37Rv NP_215976.2 1 RefSeq MTSTTLPHRASLVDRSTEFCHTDVVKIPAVSTTVPAAVSDGHTRRAIVRLLLESGSITAGEIGDRLGLSAAGVRRHLDALIEAGDAEASAAAPWQQVGRGRPAKRYRLTAAGRAKLDHSYDDLASAAMRQLREIGGEEAVRTFARRRIDAILADVAPADGPDDAALEAAAERIATALSKAGYVATTTRVGGPIHGVQICQHHCPVSHVAEEFPELCETEQQAMAEVLGTHVQRLATIVNGDCACTTHVPLSPAPSPRPPATSTEGASR
VIMSS1936083 190 380 0.39973612565445 PF12484.8:PE_PPE_C:111:187 PPE family protein 380 77 19 191 0 Mycobacterium tuberculosis WP_003416381.1 1 RefSeq TDPLSLLIETVTQALQALTIPSFIPEDFTFLDAIFAGYATVGVTQDVESFVAGTIGAESNLGLLNVGDENPAEVTPGDFGIGELVSATSPGGGVSASGAGGAASVGNTVLASVGRANSIGQLSVPPSWAAPSTRPVSALSPAGLTTLPGTDVAEHGMPGVPGVPVAAGRASGVLPRYGVRLTVMAHPPAAG
VIMSS1936182 1 304 0.175110197368421 PF13641.6:Glyco_tranf_2_3:6:213,PF00535.26:Glycos_transf_2:7:113 Galactofuranosyltransferase GlfT1; GalTr 1; Arabinogalactan galactosyltransferase 1; Rhamnopyranosyl-N-acetylglucosaminyl-diphospho-decaprenol beta-1,4/1,5-galactofuranosyltransferase; UDP-Galf:alpha-3-L-rhamnosyl-alpha-D-GlcNAc-pyrophosphate polyprenol, galactofuranosyl transferase; EC 2.4.1.287 304 208 19 304 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMX3 1 SwissProt MTESVFAVVVTHRRPDELAKSLDVLTAQTRLPDHLIVVDNDGCGDSPVRELVAGQPIATTYLGSRRNLGGAGGFALGMLHALAQGADWVWLADDDGHAQDARVLATLLACAEKYSLAEVSPMVCNIDDPTRLAFPLRRGLVWRRRASELRTEAGQELLPGIASLFNGALFRASTLAAIGVPDLRLFIRGDEVEMHRRLIRSGLPFGTCLDAAYLHPCGSDEFKPILCGRMHAQYPDDPGKRFFTYRNRGYVLSQPGLRKLLAQEWLRFGWFFLVTRRDPKGLWEWIRLRRLGRREKFGKPGGSA
VIMSS2199445 1 74 0.0967337837837838 hypothetical protein (NCBI) 74 0 19 74 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2199445 1 MicrobesOnline MTYLIDAWLDRPHPYLRILHRETGEICAVLEEDALDELRDQGGLDLHELNSSEPLILKELVRNLFLFCYARALR
VIMSS237 1 178 0.183148876404494 Inclusion Membrane Protein C (NCBI ptt file) 178 0 19 132 2 Chlamydia trachomatis D/UW-3/CX VIMSS237 1 MicrobesOnline MTYSISDIAHKSDISNPTSPAPSRKRGSFPPQSPSAVGSLEGANFSTWGPGPFFTVPVYPQQLAAMQNNLFTLQTEVSALKKKLVQSSQTRGSLGLGPQFLAACLVAATILAVAVIVLASLGLGGVLPFVLVCLAGSTNAIWAIVSASITTLICCVSIACIFLAKCDKGSDPQTLYVS
VIMSS26254 1 256 0.476492578125 T. pallidum predicted coding region TP0433 (NCBI ptt file) 256 0 19 213 2 Treponema pallidum subsp. pallidum str. Nichols VIMSS26254 1 MicrobesOnline MIKRHMFAKRGVKGRSYLVRVNTAFLVLCVASVTPLWAVWEGNAEIGPQGSFLQDGMFVRSDMFPKNTAVEISNLEKNAKAQAVVIGHAGIPGLLVSLAPAAAAQLGIGVYQAVRVRVRTLGTVRGGSQTSQDGLSLASLPSRVPARPAQRDPLSSPPAGHTVPEYRDTVIFDDPRLVSPLSREVEDAPKVVEPASEREGGEREVEDAPKVVEPASEREGGEREVEDXPKVVEPASEREGGEREVEDVPKVRGAGL
VIMSS3215173 1 161 0.960796894409938 Accessory factor US11; Vmw21 161 0 19 161 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P04487 1 SwissProt MSQTQPPAPVGPGDPDVYLKGVPSAGMHPRGVHAPRGHPRMISGPPQRGDNDQAAGQCGDSGLLRVGADTTISKPSEAVRPPTIPRTPRVPREPRVPRPPREPREPRVPRAPRDPRVPRDPRDPRQPRSPREPRSPREPRSPREPRTPRTPREPRTARGSV
VIMSS32660 1 490 0.33228693877551 PF17963.1:Big_9:103:186,PF03372.23:Exo_endo_phos:228:473 Sphingomyelinase; SMase; EC 3.1.4.12 490 330 19 467 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKQ1 1 SwissProt MDYAKRIGQVGALAVVLGVGAAVTTHAIGSAAPTDPSSSSTDSPVDACSPLGGSASSLAAIPGASVPQVGVRQVDPGSIPDDLLNALIDFLAAVRNGLVPIIENRTPVANPQQVSVPEGGTVGPVRFDACDPDGNRMTFAVRERGAPGGPQHGIVTVDQRTASFIYTADPGFVGTDTFSVNVSDDTSLHVHGLAGYLGPFHGHDDVATVTVFVGNTPTDTISGDFSMLTYNIAGLPFPLSSAILPRFFYTKEIGKRLNAYYVANVQEDFAYHQFLIKKSKMPSQTPPEPPTLLWPIGVPFSDGLNTLSEFKVQRLDRQTWYECTSDNCLTLKGFTYSQMRLPGGDTVDVYNLHTNTGGGPTTNANLAQVANYIQQNSAGRAVIVTGDFNARYSDDQSALLQFAQVNGLTDAWVQVEHGPTTPPFAPTCMVGNECELLDKIFYRSGQGVTLQAVSYGNEAPKFFNSKGEPLSDHSPAVVGFHYVADNVAVR
VIMSS32740 1 98 0.290233673469388 PF07371.12:DUF1490:1:90 Uncharacterized protein Rv0968 98 90 19 98 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKL9 1 SwissProt MVWHGFLAKAVPTVVTGAVGVAAYEALRKMVVKAPLRAATVSVAAWGIRLAREAERKAGESAEQARLMFADVLAEASERAGEEVPPLAVAGSDDGHDH
VIMSS32918 1 181 0.35012817679558 PF03176.15:MMPL:44:179 PROBABLE CONSERVED TRANSMEMBRANE TRANSPORT PROTEIN MMPL13A (NCBI) 303 136 19 161 1 Mycobacterium tuberculosis H37Rv VIMSS32918 1 MicrobesOnline MLQRIARLAIAAPRRIIGFAVFVFIAAAVFGVPVADSLSPGGFQDPRSESARAIEVLTDKFGQSGQKMLIVVTAAAGADSPPAREVGTDIVEVLRRSPLVYNVTSPWTVPPTAAADLLSTDGKSGLIVVNVKGGENDAQNHAQTLSDEVAHDRDGVTVRAGGSAMEYAQINRQNKDDLLVM
VIMSS33528 1 280 0.293020357142857 PF04185.14:Phosphoesterase:1:195 PROBABLE PHOSPHOLIPASE C 4 (FRAGMENT) PLCD (NCBI) 280 195 19 280 0 Mycobacterium tuberculosis H37Rv VIMSS33528 1 MicrobesOnline DAGVSWKVYRNKTLGPISSVLTYGSLVTSFKQSADPRSDLVRFGVAPSYPASFAADVLANRLPRVSWVIPNVLESEHPAVPAAAGAFAIVNILRILLANPAVWEKTALIVSYDENGGFFDHVVPATAPAGTPGEYVTVPDIDQVPGSGGIRGPIGLGFRVPCFVISPYSRGPQMVHDTFDHTSQLRLLETRFGVPVPNLTAWRRSVTGDMTSTFNFAVPPNSSWPNLDYPGLHALSTVPQCVPNAALGTINRGIPYRVPDPQIMPTQETTPTRGIPSGPC
VIMSS3375744 1 739 0.551374289580515 PF08341.11:TED:306:411 putative surface protein (RefSeq) 739 106 19 716 1 Clostridium difficile 630 VIMSS3375744 1 MicrobesOnline MNTIYVFSIRRILKTKIKKSSIISLVIAFSMVFTAFTPIVSYADEVTSNDTILNGEEQSNGQTPDVEKPSDGQVPGGEKPSDSQVPDGEKPSDGQVPDGEKPSDGQIPDGEKPSDGQIPDGEKPSDGQIPDGEKPSDGQMPDGEKTSDSQTPDVEKPSDGQMPDGEKPLDEQTPEEEKPLEEEIVIEEMSLKQDIDKILDMTLSQINKIVYNFWEDEEDVKADEQSEINQVFTSEDSFISLWYDKKAKVKNSCLLKEIDGSDRPYHDLRFDDITKTLTFDYVIKGLVGASSKDDKYVIEGEDGEQTAFCYNNHLRPPSSNGKSPYLPAEDFNGQENQNEEAVKSILYAGSEFDGFGYKQQFNLGGEENELMTYSATQSAIWIILGQMDEQERLKQYQGSINLCDKLIERAKTEEERAEYQKKKEVAINIKAYLEALLKAGREELKPNNTGKPSLSNGLTKINFEKNEDGTYETEAVALVGYSGVVKLRLPDGVTAYDVDGNIIGTGEVEISTQQKFKLKSVGKPDAKANISAVSYDYIFPKAIQYYKAVLDLGQKDHSSSLPSSKQNLLSYTIEKKNGQEVNFNIGLPTDDDDVVNPPVPPIDDDVVNPPVPPTDDTNGHKPKPSPPIDDTVINPPVPPMDDTIINPPVPPTEDAVLNPPVPPMEDAVLNPPVPPTDDTVLNPPVPPVSDTVEKTPELSRDDTIVKSPKTGDETQLMSYVFISVIAICGLAYQCKIKRN
VIMSS33932 1 344 0.401097674418605 PF02627.20:CMD:49:121 hypothetical protein (NCBI) 344 73 19 344 0 Mycobacterium tuberculosis H37Rv VIMSS33932 1 MicrobesOnline MKFVNHIEPVAPRRAGGAVAEVYAEARREFGRLPEPLAMLSPDEGLLTAGWATLRETLLVGQVPRGRKEAVAAAVAASLRCPWCVDAHTTMLYAAGQTDTAAAILAGTAPAAGDPNAPYVAWAAGTGTPAGPPAPFGPDVAAEYLGTAVQFHFIARLVLVLLDETFLPGGPRAQQLMRRAGGLVFARKVRAEHRPGRSTRRLEPRTLPDDLAWATPSEPIATAFAALSHHLDTAPHLPPPTRQVVRRVVGSWHGEPMPMSSRWTNEHTAELPADLHAPTRLALLTGLAPHQVTDDDVAAARSLLDTDAALVGALAWAAFTAARRIGTWIGAAAEGQVSRQNPTG
VIMSS3689874 1 112 0.218757142857143 PF05305.14:DUF732:9:103 Uncharacterized protein Rv0559c 112 95 19 112 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKL3 1 SwissProt MKGTKLAVVVGMTVAAVSLAAPAQADDYDAPFNNTIHRFGIYGPQDYNAWLAKISCERLSRGVDGDAYKSATFLQRNLPRGTTQGQAFQFLGAAIDHYCPEHVGVLQRAGTR
VIMSS3706675 1 317 0.298422082018928 hypothetical protein (RefSeq) 317 0 19 297 1 Staphylococcus aureus subsp. aureus str. Newman VIMSS3706675 1 MicrobesOnline MKKTLGCLLLIMLLVVAGCSFGGNHKLSSKKSEESKQETVKKESEEEKDPDLEKYEEIEKKMKGIKDAPSLDKLDPLMTEKSFTNSKGIQGWKDYKELMGKVELADYRFTKDSKGSSIKDVDAFFKGKKGIKRKVIETHDDVKQVDYWYVDPDGKKIGNSNTPVFYAEIMTKYKDGKLVYASVEPGSYVIHKDDAIKYDDYSKLKKLSQLTKLDHPKPVPYSVAQIKSFGVPLTSVSFMTHGSKDTKDEVLPALAYFTFSPKNYEDKSNPDPKVLNLVHMDFLNASSDFGNAHFVVLSKYIKEYESNYETASDDSLK
VIMSS45996 1 240 0.166045 PF01814.23:Hemerythrin:16:125 putative iron-binding protein (NCBI ptt file) 240 110 19 240 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS45996 1 MicrobesOnline MKVKWSRDFSIKNMQLDKQHELIFEITNLANDLALNIQDNNTQHKNDLKQILVKLFQYIKIHFKDEEKFMESIDFPLIEEHKKSHQILVEKTKELLEHSNDIVKMSQELSILTKDWILDHFANEDLWIANFTKKTLHLQEIHYTLEQYIKLKSIKQDLRAEKTYDYICNCSLRIHAVPQTIHQELVSKENTLKCEKCGQILVHLDYFDLNQNFEKFNAIFEDALQNHHFTTQENDMRGGG
VIMSS56864 1 1368 0.424760380116959 PF01627.23:Hpt:10:98,PF01627.23:Hpt:866:953,PF01627.23:Hpt:1043:1129 chemotactic signal transduction system protein 2472 264 19 1368 0 Pseudomonas aeruginosa PAO1 NP_249104.1 1 RefSeq MGDRHDYVALEWVKGEIAETLKQARQALEAFVENPQDPTRMRFCLTYVHQVQGTLQMVEFYGAALLAEEMEQLVQALLDGRVPNQGEALEVLMQAILQLPVYLDRIQTARRDLPMVVLPLLNDLRAARGEKLLSETSLFAPDLSQRQPQLDGEAIAQLRTDELGGLLRKLRQTQQMALVGLLRNQDVATSLGYLARVYARLEGLCREAPLGPLWSIASGLVEGLANGSVVNSASVRTLLRQLDRELKRLVEQGADGLNQAAPDELVKNLLFYVAKAPSQSPRIRALKEQYRLDEALPDHETVDAERARLAGPDRDAMRSVVGALCEELVRIKDSLDLFVRSDRGHPSELDALLAPLKQIADTLAVLGFGQPRKVILDQLDVIHALAQGRREPSDAILMDVAGALLYVEATLAGMAGPGDERNSEESRLPTTDVAQIHQLVIKEARNGLEQAKDAIIEFIASQWNHEHLARVPELLTQVRGGLAMIPLERAATLLETCNRYIQEQLLARKAVPDWQSLDTLADAITSVEYYLERLSEDHASQSDLILDVAEDSLANLGYTLKPNSSAPAEPGLSGPAAIESPAAEPERPEAVVEVAETAEQPPADTAPAEAAREDAPQLASDDNWTLGEVAPDAGEPSLDLALDLPLDDSAEVPPALPEVVEESGQPQSTSAPARSLDDFSLDEIDLSGLDLPADAAPASGPAALADWSLPEQWGLGDDLAQPAQAGETLDLSLEEPALSFDAPLESLEPLPALEPFDGSAEQELVLDALDPLPLDVALPEAEGEVSAWEGSSLEELDLSDLDLPEVQLPEAEAEAPPAAEALASEAPALSLAEVMAAPVQPINPPAQNVPVSLLPPPADEEPVDEELREVFIEEAGEVLETIGRYLPAWKADHDDREALTEVRRAFHTLKGSGRMVRALVIGELAWSIENLFNRVLDRSIAASEPVQRVVDQVVALLPELVEEFAANAQRQRDDVDLLAATAHALAKGEPLPEPPAPDDGGVPPEAGAEQPSSLDNGVQAPPLADAPQAAAEAQSDVELLDPQLLEIFTNEAETHLEALVGFLADCARELPQPVTDALQRALHTLKGSAHMAGILPIAEIATPLEKLVKEYKSNLLAFDLREAELLHDAEQLFRIGLEQVGAQRPLNPIPGSDALLERIEALHQERIASLEAERYSDAGERRDPLLIEAFLVEGMDILLDAEDLLERWHEHPQERQELSALREELSTLDRGARHAELPQVEELCQALLALYDAVEEGRLAVSPAFFEEARQAHEALIGMMDQVAAGLQVTPRPERVAALQELLEAPAAEAVPFIDPESLGADDFPPEDEEPALPEAVYEEAGAPAEETVPAAPAPAPGRELDEEMVSI
VIMSS56976 1 612 0.264201797385621 probable dinitrification protein NorD (NCBI) 612 0 19 612 0 Pseudomonas aeruginosa PAO1 VIMSS56976 1 MicrobesOnline MAFAVELEEWVGAHWHRFITRHASGEFEAARVTLESMRRPLGMLFRALGGAPGVALEATPARRLLLRRTWLQRVAGTCEQAPVSWFNGDSLRLPESLAVYPQAELNRELYRWLALLAASAGPLRHWAQDNQRWARQLLDAYPALRPRYARLVAAHLRQRPSLDDCPAADAELEIALRRALAEPGSVERFPRVERAPWPVPLWLYPGERWTPSASAEDGEEAAAGAGKRQVARSGARKRAERIEERNSERNLLLFRLESLLSWSEHLALDRCSDDEDDPDGARVAEDLDYLSLSRQRTQKGGGLRLDLDLPAADYDDLPLGPGLKLPEWDYRQQRLLSDHVLLQPMRPRGATSASLPAHLEKTALHLRRQFACLRDGRQRLRQQPQGDEIDLDAWLDFQVERRRGGSTQPGLFLEQRPRRRDLACLLLADLSMSTEAYLDDQRRVIDSIVDSLLLFGEALQALGDPFALYGFSSVRRQQVRWQVLKDFDEGYGGEVRGRVLALSPGYYTRMGAAIRRASQVLGGQPQKRRLLLLLSDGKPNDLDRYEGRYGIEDTRQAVIEARSQGLVPFCITIDKEAADYLPYLFGADGFALVERAGQLPERLLQLYRRLRR
VIMSS57061 1 104 0.329826923076923 PF11112.8:PyocinActivator:16:89 transcriptional regulator PrtN (NCBI) 104 74 19 104 0 Pseudomonas aeruginosa PAO1 VIMSS57061 1 MicrobesOnline MQPSIAPSTPIPRQETVELVYRIFGDVLVPLEQVRERWFRNLNKENFSKALACGRIALPVTTLDDSHKAMQFVALDHLAAYVDQRANQAGSARRAQPGADSIAS
VIMSS57079 1 329 0.368528571428572 PF05954.11:Phage_GPD:38:312 hypothetical protein (NCBI) 329 275 19 329 0 Pseudomonas aeruginosa PAO1 VIMSS57079 1 MicrobesOnline MQPSFRIVADGTDVTQRLNDRLLKLTLLDKPGMESDSLTLRIDDRDGQVALPRRGAVLEVHLGYAGEPLMRMGRFTVDTLQWAGPPDCLTVTAKAGDMRGSGKTIRSGGWEGTTLAQVCRDVGARNGWRVECPLQVAIARVDQVNESDYHFVTRLARQYDCTAKLAEGMLMVLPRQSGQSATGRRIEPLVLGRADVGSFDVTFDDRSLMRTVKTRYQLPGSGEVKSVELKNPKAPATAMGEHVDRHLYTSRGEAEQAAKARLASFSRSSASVRLELPGRGDLFAERSLLLQGFKAGIDGEFLIDSVEHTYSSSGWTTVVQCNGGRGGKG
VIMSS58596 1 55 0.943847272727273 PF10685.9:KGG:10:30,PF10685.9:KGG:32:52 hypothetical protein (NCBI) 55 42 19 55 0 Pseudomonas aeruginosa PAO1 VIMSS58596 1 MicrobesOnline MAQHQGGKGNFAEDPKRASEAGKKGGQASGGNFKNDPQRASEAGKKGGQRSHGGN
VIMSS592 1 487 0.386807597535934 type III secretion system translocator CopB 487 0 19 464 1 Chlamydia trachomatis D/UW-3/CX NP_220093.1 1 RefSeq MSLSSSSSSDSSNLKNVLSQVIASTPQGVPNADKLTDNQVKQVQQTRQNRDDLSMESDVAVAGTAGKDRAASASQIEGQELIEQQGLAAGKETASADATSLTQSASKGASSQQCIEDTSKSLELSSLSSLSSVDATHLQEIQSIVSSAMGATNELSLTNLETPGLPKPSTTPRQEVMEISLALAKAITALGESTQAALENFQSTQSQSANMNKMSLESQGLKIDKEREEFKKMQEIQQKSGTNSTMDTVNKVMIGVTVAITVISVVSALFTCGLGLIGTAAAGATAAAAGATAAATTATSVATTVATQVTMQAVVQVVKQAIIQAVKQAIVQAIKQGIKQGIKQAIKQAVKAAVKTLAKNVGKIFSAGKNAVSKSFPKLSKVINTLGSKWVTLGVGALTAVPQLVSGITSLQLSDMQKELAQIQKEVGALTAQSEMMKAFTLFWQQASKIAAKQTESPSETQQQAAKTGAQIAKALSAISGALAAAA
VIMSS59514 304 948 0.212941240310078 hypothetical protein (NCBI) 948 0 19 645 0 Pseudomonas aeruginosa PAO1 VIMSS59514 1 MicrobesOnline RRIALLYDPREGDLTLSPGHVYLGGLLEYLGYRVDYLPTDQPLPERPLSGLYAGVVTWMTSGPPLASDAFDNWIAARLDEKVPVAFLAGLPTENDGLLQRLGIRRLSQKLKVKPSTETHDQALLGSFEAPLVIRIRDLPALTVLDPARVTPALKLKGDGKEYVPVATADWGGFALAPYVLEEGSEHRRWILDPFAFLRKALRLVPLPSPDATTENGRRIATVHIDGDGFVSRAEVPGSPYAGQQVLEDFIKPYPFLTSVSVIEGEVGPKGMYPHLARELEPIARRIFADDKVEVASHTFSHPFFWQPQLAEQGENFEAQYGYKMAIPGYDKVDFVREVIGARDYIEQRLTTPRKPVKMIFWSGDALPDAATIKLAYDAGLMNVNGGNTALTRAFPSLTGLYPLIRPTRGGVQYYAPIINENVYTNLWQGPYYGFRGVIDTFALTDSPRRLRGLHLYYHFYSGTKQASIRTMHQIYAAMQAEHPLSLWMSDYIPRLEGLHRASLAKRADGSWQLRGFAALRTVRLDPALGWPDLGRSTGVAGVRDLPQGRYVHLSAANARLVLRDSRDPRPALEEANLPLKHWRYRDDGRVEFAFAGHLPLRLVVRAAGDCRLSAAGKAFPGKAGNGLWTFELPMEQVRDGQLVCR
VIMSS6576839 74 171 0.733997959183673 transcription factor Pcr1 171 0 19 98 0 Schizosaccharomyces pombe CharProtDB::CH_125589 1 CharProtDB QGCQCSVKIRSVLTDFQTAHNALHSQHMAYRPVQPPPGDNMLESVVSVSPTQMHPSLQGLPPNQHPQMPPSSQQPNSDDVQQHMFSAAGLPRSLGGPI
VIMSS6580655 1 622 0.392815916398713 PF12808.7:Mto2_bdg:574:614 Component of the cytoplasmic Tub4p (gamma-tubulin) complex, binds spindle pole bodies and links them to microtubules; has roles in astral microtubule formation and stabilization (RefSeq) 622 41 19 622 0 Saccharomyces cerevisiae VIMSS6580655 1 MicrobesOnline MVRRWIPSGRHLRNNDNTGDDDDSEFTNSMDSGMSIPSLRDSMTTRSSHNDPIKPALMNDSNKVKNLEKELTNAKIKIQVLYEYIRRIPNKDGNAPSLGNDTDFRNSIIEGLNLEINKLKQDLKAKEVEYQDTLQFVQENLENSESIVNTINHLLSFILTHFNEQDENAHLLDKEERETLEETLELSSDYVLEKMDTLSKFIIQFLQDFLHSKSRAESKQDKEEFLSLAQSSPAGSQLESRDSPSSKEENTDGGYQNDEIHDSNNHIDTENVMANSTSLPISAVESRFEKTLDTQLEIVIEILHKEYDQFINSIRLKFEKSQKLEKIIASKLNEQSHLLDSLELEENSSSVIEKQDHLISQLKEKIESQSVLINNLEKLKEDIIKMKQNEKVLTKELETQTKINKLKENNWDSYINDLEKQINDLQIDKSEEFHVIQNQLDKLDLENYQLKNQLNTLDNQKLILSQYESNFIKFNQNLLLHLDSIFNILQKILQESSIAQFDRKMKSIKSVPNALKNLNLIQPKLESLYTFIETALESIINSYISSLISMETPEQPHQQGNELTATPNKELTLRIEELQRRWISERERRKLDANASEARIKALEQENESLRSKLFNLSINNP
VIMSS6580694 1 625 0.55054496 SWR1-complex protein 3 625 0 19 625 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P31376 1 SwissProt MPAVLRTRSKESSIEQKPASRTRTRSRRGKRGRDDDDDDDDEESDDAYDEVGNDYDEYASRAKLATNRPFEIVAGLPASVELPNYNSSLTHPQSIKNSGVLYDSLVSSRRTWVQGEMFELYWRRPKKIVSESTPAATESPTSGTIPLIRDKMQKMCDCVMSGGPHTFKVRLFILKNDKIEQKWQDEQELKKKEKELKRKNDAEAKRLRMEERKRQQMQKKIAKEQKLQLQKENKAKQKLEQEALKLKRKEEMKKLKEQNKNKQGSPSSSMHDPRMIMNLNLMAQEDPKLNTLMETVAKGLANNSQLEEFKKFIEIAKKRSLEENPVNKRPSVTTTRPAPPSKAKDVAEDHRLNSITLVKSSKTAATEPEPKKADDENAEKQQSKEAKTTAESTQVDVKKEEEDVKEKGVKSEDTQKKEDNQVVPKRKRRKNAIKEDKDMQLTAFQQKYVQGAEIILEYLEFTHSRYYLPKKSVVEFLEDTDEIIISWIVIHNSKEIEKFKTKKIKAKLKADQKLNKEDAKPGSDVEKEVSFNPLFEADCPTPLYTPMTMKLSGIHKRFNQIIRNSVSPMEEVVKEMEKILQIGTRLSGYNLWYQLDGYDDEALSESLRFELNEWEHAMRSRRHKR
VIMSS6580906 1 344 0.142220348837209 PF01036.18:Bac_rhodopsin:37:257 Protein YRO2 344 221 19 191 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38079 1 SwissProt MSDYVELLKRGGNEAIKINPPTGADFHITSRGSDWLFTVFCVNLLFGVILVPLMFRKPVKDRFVYYTAIAPNLFMSIAYFTMASNLGWIPVRAKYNHVQTSTQKEHPGYRQIFYARYVGWFLAFPWPIIQMSLLGGTPLWQIAFNVGMTEIFTVCWLIAACVHSTYKWGYYTIGIGAAIVVCISLMTTTFNLVKARGKDVSNVFITFMSVIMFLWLIAYPTCFGITDGGNVLQPDSATIFYGIIDLLILSILPVLFMPLANYLGIERLGLIFDEEPAEHVGPVAEKKMPSPASFKSSDSDSSIKEKLKLKKKHKKDKKKAKKAKKAKKAKKAQEEEEDVATDSE
VIMSS6581354 119 352 0.658459401709402 ADP-ribosylation factor GTPase-activating protein GCS1; ARF GAP GCS1 352 0 19 234 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35197 1 SwissProt TCLCEDRVFEEREHLDFDASKLSATSQTAASATPGVAQSREGTPLENRRSATPANSSNGANFQKEKNEAYFAELGKKNQSRPDHLPPSQGGKYQGFGSTPAKPPQERSAGSSNTLSLENFQADPLGTLSRGWGLFSSAVTKSFEDVNETVIKPHVQQWQSGELSEETKRAAAQFGQKFQETSSYGFQAFSNFTKNFNGNAEDSSTAGNTTHTEYQKIDNNDKKNEQDEDKWDDF
VIMSS6581644 1 93 0.696850537634409 Protein FMP16, mitochondrial; Found in mitochondrial proteome protein 16 93 0 19 93 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12497 1 SwissProt MLRTTFLRTPRQLMRKSPRASFSIVTRAAFPHLKNNQDEAEKKEQGLFDSNKKRLDTLEHGKNPDYKQPGMEDLKKKGDDARIEQNRPDDGVY
VIMSS6581670 325 820 0.679785887096774 Transcriptional activator/repressor GIS1 894 0 19 496 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03833 1 SwissProt GNKKEERKSGPFSNLSYDSNESEQRGSITDNDNDLFQKVRSFDELLNHSSQELQNLEDNKNPLFSNINMNRPQSSSLRSTTPNGVNQFLNMNQTTISRISSPLLSRMMDLSNIVEPTLDDPGSKFKRKVLTPQLPQMNIPSNSSNFGTPSLTNTNSLLSNITATSTNPSTTTNGSQNHNNVNANGINTSAAASINNNISSTNNSANNSSSNNNVSTVPSSMMHSSTLNGTSGLGGDNDDNMLALSLATLANSATASPRLTLPPLSSPMNPNGHTSYNGNMMNNNSGNGSNGSNSYSNGVTTAAATTTSAPHNLSIVSPNPTYSPNPLSLYLTNSKNPLNSGLAPLSPSTSNIPFLKRNNVVTLNISREASKSPISSFVNDYRSPLGVSNPLMYSSTINDYSNGTGIRQNSNNINPLDAGPSFSPLHKKPKILNGNDNSNLDSNNFDYSFTGNKQESNPSILNNNTNNNDNYRTSSMNNNGNNYQAHSSKFGENEVI
VIMSS6582768 1 138 0.00651449275362319 PF03311.14:Cornichon:3:124 ER-derived vesicles protein ERV14 138 122 19 69 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53173 0 SwissProt MGAWLFILAVVVNCINLFGQVHFTILYADLEADYINPIELCSKVNKLITPEAALHGALSLLFLLNGYWFVFLLNLPVLAYNLNKIYNKVQLLDATEIFRTLGKHKRESFLKLGFHLLMFFFYLYRMIMALIAESGDDF
VIMSS6582905 1 183 0.350369398907104 PF02064.15:MAS20:16:147 Mitochondrial import receptor subunit TOM20; Mitochondrial 20 kDa outer membrane protein; Protein MAS20; Translocase of outer membrane 20 kDa subunit 183 132 19 160 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35180 1 SwissProt MSQSNPILRGLAITTAIAALSATGYAIYFDYQRRNSPQFRKVLRQRAKEQAKMEEQAKTHAKEVKLQKVTEFLSMELAKDPIPSDPSEREATFTTNVENGERLSMQQGKELEAASKFYKALTVYPQPADLLGIYQRSIPEAIYEYIILMIAILPPANVASFVKGVVGSKAESDAVAEANDIDD
VIMSS6582945 1 541 0.555322550831793 PF13874.6:Nup54:327:463,PF13634.6:Nucleoporin_FG:10:80,PF13634.6:Nucleoporin_FG:54:150,PF13634.6:Nucleoporin_FG:119:193,PF13634.6:Nucleoporin_FG:147:233,PF13634.6:Nucleoporin_FG:183:264 Nucleoporin NUP57; Nuclear pore protein NUP57 541 392 19 541 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48837 1 SwissProt MFGFSGSNNGFGNKPAGSTGFSFGQNNNNTNTQPSASGFGFGGSQPNSGTATTGGFGANQATNTFGSNQQSSTGGGLFGNKPALGSLGSSSTTASGTTATGTGLFGQQTAQPQQSTIGGGLFGNKPTTTTGGLFGNSAQNNSTTSGGLFGNKVGSTGSLMGGNSTQNTSNMNAGGLFGAKPQNTTATTGGLFGSKPQGSTTNGGLFGSGTQNNNTLGGGGLFGQSQQPQTNTAPGLGNTVSTQPSFAWSKPSTGSNLQQQQQQQIQVPLQQTQAIAQQQQLSNYPQQIQEQVLKCKESWDPNTTKTKLRAFVYNKVNETEAILYTKPGHVLQEEWDQAMEKKPSPQTIPIQIYGFEGLNQRNQVQTENVAQARIILNHILEKSTQLQQKHELDTASRILKAQSRNVEIEKRILKLGTQLATLKNRGLPLGIAEEKMWSQFQTLLQRSEDPAGLGKTNELWARLAILKERAKNISSQLDSKLMVFNDDTKNQDSMSKGTGEESNDRINKIVEILTNQQRGITYLNEVLEKDAAIVKKYKNKT
VIMSS6583362 1 507 0.360881262327416 PF07651.16:ANTH:4:269,PF01417.20:ENTH:4:77 Clathrin coat assembly protein AP180A 637 266 19 507 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38856 1 SwissProt MTTYFKLVKGATKIKSAPPKQKYLDPILLGTSNEEDFYEIVKGLDSRINDTAWTIVYKSLLVVHLMIREGSKDVALRYYSRNLEFFDIENIRGSNGSASGDMRALDRYDNYLKVRCREFGKIKKDYVRDGYRTLKLNSGNYGSSRNKQHSINIALDHVESLEVQIQALIKNKYTQYDLSNELIIFGFKLLIQDLLALYNALNEGIITLLESFFELSHHNAERTLDLYKTFVDLTEHVVRYLKSGKTAGLKIPVIKHITTKLVRSLEEHLIEDDKTHNTFVPVDSSQGSAGAVVAKSTAQERLEQIREQKRILEAQLKNEQVAISPALTTVTAAQSYNPFGTDSSMHTNIPMAVANQTQQIANNPFVSQTQPQVMNTPTAHTEPANLNVPEYAAVQHTVNFNPVQDAGVSAQQTGYYSINNHLTPTFTGAGFGGYSVSQDTTAASNQQVSHSQTGSNNPFALHNAATIATGNPAHENVLNNPFSRPNFDEQNTNMPLQQQIISNPFQN
VIMSS6583412 140 291 0.0929934210526316 Probable S-adenosylmethionine-dependent methyltransferase CRG1; Cantharidin resistance protein 1; EC 2.1.1.- 291 0 19 152 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38892 1 SwissProt FWFYIQPEFVDFPEALNVYYKYGWSKDYMGKYLNDNQREILLNYGGEKLRSLLSDRFGDIEVTIYSPSDPNASTVTAENSQFLWRAAITLNQFKEFVKSWSIYTSWARDNPSKPDIADIFINELKEICHCEDLNVPLKIEWSTFYYLCRKRE
VIMSS6583440 1 119 0.218511764705882 PF00795.22:CN_hydrolase:2:89 Putative protein of unknown function; in closely related species and other S. cerevisiae strain backgrounds YIL165C and adjacent ORF, YIL164C, likely constitute a single ORF encoding a nitrilase gene (RefSeq) 119 88 19 119 0 Saccharomyces cerevisiae VIMSS6583440 1 MicrobesOnline MKNIAYEGRLFLISAVQFMPDATAMGFGEIIDQATGKRKLPGWPSADDNCINGGSVIIDPYGEIIAGPLLGQEGLLTAEINTDLIAEARFDLDPVGHYARGDVFQLTVNERSHDVKFTK
VIMSS6583556 1 285 0.26385403508772 PF08613.11:Cyclin:108:264 PHO85 cyclin-7; PHO85-associated protein 1 285 157 19 285 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40186 1 SwissProt MELSSPSKKTTTSPINIPGGNRDNLIIGPHSHSFKTDPFSSNNSSLLSKISTNPSLESPFSSKSLLDCSPVQAVKKSLESEAKTHSLDEETNEQTDVKILNIADFPTDELILMISALLNRIITANDETTDVSQQVSDETEDELLTPILAFYGKNVPEIAVVQYLERIQKYCPTTNDIFLSLLVYFDRISKNYGHSSERNGCAKQLFVMDSGNIHRLLITGVTICTKFLSDFFYSNSRYAKVGGISLQELNHLELQFLILCDFKLLVSVEEMQKYANLLYKFWNDQ
VIMSS6583810 1 830 0.0807780722891568 PF01663.22:Phosphodiest:190:265 GPI ethanolamine phosphate transferase 2; Glycosylphosphatidylinositol-anchor biosynthesis protein 7; Local anestheticum-sensitive protein 21; EC 2.-.-.- 830 76 19 626 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40367 1 SwissProt MNLKQFTCLSCAQLLAILLFIFAFFPRKIVLTGISKQDPDQDRDLQRDRPFQKLVFVIIDALRSDFLFDSQISHFNNVHQWLNTGEAWGYTSFANPPTVTLPRLKSITTGSTPSFIDLLLNVAQDIDSNDLSEHDSWLQQFIQHNNTIRFMGDDTWLKLFPQQWFDFADPTHSFFVSDFTQVDNNVTRNLPGKLFQEWAQWDVAILHYLGLDHIGHKDGPHSKFMAAKHQEMDSILKSIYDEVLEHEDDDDTLICVLGDHGMNELGNHGGSSAGETSAGLLFLSPKLAQFARPESQVNYTLPINASPDWNFQYLETVQQIDIVPTIAALFGMPIPMNSVGIIIPDFLQLLPNKLASMKENFMHLWKLSDHHGEVALDDFTAEDIYTKMYTIQETLTKSATNYNYPLLTLAFVGFLIITIIAIYVLLRYSGPDFWQLRVSSLSVLLVSIILGVSTFASSFIEEEHQLWWWIVTAFSAVPLFVYRLNVLIIVRWFIMMACVRSIKFWNNSGQKFIYSNVMSNLLNQNPSWKWCLNMLTFLVLIMASAGFQVLHFIVTTILVGLCFTYKISWEIVNGNQAEIPLFMHDLLAKIDFAPTESNLIVLARVFFQAWAIVVISRLVLTKLKVLNKNYLIKDMKVYITILLMFQTSSQNIGQFLVFQILESQIFYFFQNIPTASLTSTSKIYFSNLVSLILQNFTFFQFGGTNSISTIDLGNAYHGVSSDYNIYVVGILMSVANFAPAIYWSMLPWSINYASIPAQVKLQTFIRSKLPAFTYHCIFGTCLMTACVVLRFHLFIWSVFSPKLCYFLGWNFVMGLLNGWLPELALLCALD
VIMSS6583898 1 314 0.555003503184713 PF09074.10:Mer2:26:214 Recombination protein 107; Meiotic recombination protein 2 314 189 19 314 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21651 1 SwissProt MVARGRTDEISTDVSEANSEHSLMITETSSPFRSIFSHSGKVANAGALEESDKQILEWAGKLELESMELRENSDKLIKVLNENSKTLCKSLNKFNQLLEQDAATNGNVKTLIKDLASQIENQLDKVSTAMLSKGDEKKTKSDSSYRQVLVEEISRYNSKITRHVTNKQHETEKSMRCTQEMLFNVGSQLEDVHKVLLSLSKDMHSLQTRQTALEMAFREKADHAYDRPDVSLNGTTLLHDMDEAHDKQRKKSVPPPRMMVTRSMKRRRSSSPTLSTSQNHNSEDNDDASHRLKRAARTIIPWEELRPDTLESEL
VIMSS6584473 123 695 0.349788656195462 PF01119.19:DNA_mis_repair:129:235 DNA mismatch repair protein MLH2; MutL protein homolog 2 695 107 19 573 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07980 1 SwissProt DVIGEKWLVDSKGGITNGKRYKVSCPVGTTVILRKLLGGLRARYLEISSRPRKTFDELIYLINHYSLIHRNIRFYFSLVSLQKNGAIERKQMQETLDPKISRARSLSLLARLKKPVPLNFIVEENFVIDEKINLDLILPRMVPESDVINIKRRFKFLSVNERALSLNLETGKTISKLLSSIYRDFSLLDPMVWFINLNCDTKLLDVNIEPEKNDVMIKSFEVIFKKIENKLKLLLEERIGIETNMLGDKHVQPSINEKTSPALVIPTPDAENEISKGCGAVSGKDKTDIPQKNSDLIVPTFYDEANLENTTIVAATPSPTKFSEDKALDEQTQLTISSYRSSSSGSMASEDSTNWRHNFQQELSENSEVAGAGSSTLPSSLTYNYIETIPENEDLELSKDASISNPFMITKIRNVNKKLSENLLEAKRTSCGDANKRGMPNERQLSNTEKKELIKLQQTYGKRNNTVDMTIPRNSKKKVTDNYIKKASCMHKTRPKLMHFSEYTNNYVYTLKNEKIVKHDSDNFAKETLWLRSRDDATSPSSSLLQALRAHVKKPGHIEATTNEWCLFTPDSP
VIMSS6584698 76 494 0.70063937947494 Sterol regulatory element-binding protein ECM22; Extracellular mutant protein 22 814 0 19 419 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05958 1 SwissProt IQPRRRKDSSSSKFASAVHDRVGKKNLSDNAIMLQQQQQQLHHQQEQQFRQQQQVQLQQQLLPHVGTDEQSNSPNSVPPSVSNNMENLLLPHLLASLVNNTSNSTNSSANGAEAHNNITQTAPSSMINNNHPNMALPGNSPLSIPITPSFQSTAMNLSSSLNGLLSPGRLNSVTNGLQQPQLQQQNQQIPQQQGTQSPFSNIPFDQLAQLNKMGLNFNMKSFNTLFPYGAANGMASEFQELFGLGKFATSNNRAIKVSTAEEALANMQQEQEDKNKQFTKNPLDNTKTDAVNSGNNPLNGNENKVTASDILSHNKNLIIDNTGLTISPPHTLSKPSIDQNIASPSTGVSNVTSTKSLLSIPDNRTALGNSPTLKTSPMGDLLSNSEALSPRSSNSHTQQQSSPHSNASSASRLVPELVG
VIMSS6584725 1 321 0.685241744548286 Uncharacterized protein YLR257W 321 0 19 321 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06146 1 SwissProt MVDARGSTPCLIGDSIRNVNDGNSLDFQYTNQFNEESEASRLLTPQTSSNHALSKMQKDDDIRDRSYTSVAELNREGALLTDEVDLENVDASKVRSNRDDLEAEEKRKKLLLLKKKQRNKSINSESFSSPSLRASKSNSLITSTDPVEDHISKYSSSGTPENITGEADDEDEDIIRNSYGQMIKNNSNRPHLAKGESYQSAEQEIDHTAPEKSEKRQERSGRSFDRQKSSAEFLRSLSRSISRGPTKNKTVSPSKGEDSRMYSTSNYSISLVDLENGPKIIPETLEEEQEDAEKEGVLMEDEGNEEYTKDLEEAANKAQPQ
VIMSS6584793 1 86 0.653287209302326 Translation machinery-associated protein 10 86 0 19 86 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06177 1 SwissProt MTRTSKWTVHEAKSNPKYFTHNGNFGESPNHVKRGGYGKGNWGKPGDEINDLIDSGEIKTVFNKTRRGSNSQNNERRLSDLQQYHI
VIMSS6584957 1 920 0.40418 PF04425.12:Bul1_N:87:524,PF04426.12:Bul1_C:646:918 Ubiquitin ligase-binding protein BUL2 920 711 19 920 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03758 1 SwissProt MTFTFSTSSRKNGRPPLKSVSTEDNIHLLRKRRQQQLSSNSTDNSLHPNSGQTPRASDSQDDDIRSASTTNLDRLRQEREENSLEMDCTQSRLSHRANMLVDVLPSFEMYNALHRHIPQGNVDPDRHDFPPSYQEVRTQRMTILPSNDNSVERSQLTAVPGSENACNNATAHSLTNLHPLQTQHLTINSTRSGGQSLHSSSDTNISQIPFEDDLNDSDNIFIDKLYTLPKLSTPIEIDIRITKTASIPHERPEEQSILKEYTSGDIIHGYCLIENRSSQPLKFEMFYVTLEAYISVIDRQKGKRTLKRFLRMVDLSASWSYTNITPSTGINIVPGERDFDDAIIGLSNSRELKPNTKYKKFFMFKLPTQLLDVTCKQEQFSHCLLPPSFGIDKYKNNCKYSGIKVNSVLGCGHLGTKGSPILTLDMADDNLSINYTIDAKIVGKDKRTSKLNIMKEKEYNLRVMPFPFAGVTNQQNEKTCLRQLKNLESLIEDRFEALNKIFKKLELNEAISNVDIHDTDISGTLDGNEDLDSDEILRRKLDQLHINNRIDDTASQSPSYDSKNMAPKENLVETELRYKFKNKNKSNSSLFSHFLSSSETGSSSTGPHVYNSGLIVLSVKKPQSTLPYWSPSLLRKTNKFEAKSEQEKENWQRLMGMLPEGVKTPLTKLDVHLTCIQSNNSAGHKPPEISSVTTEFVVITAKSDNSIPIKFCTELLMNENRLNKLKTKFLTYQKKVHEYRKKFEENHAKLNELYNRNRDHFTPKELLFTNFISDQINNDIDSLAGLKVNIIDLHDIFKKQIHTFEEENEDIISKKGSSNPPSASSSNNNFLQATFSNGASTATKFTQQIVHEWEKVKPLQYKRDVTVNLKLNPNIKETLVPNLETCLCCRFYCVRVNIKFDNHLGSMKVDIPVDVKKLQI
VIMSS6584959 1 942 0.560912951167727 PF08632.10:Zds_C:854:904 Protein ZDS2 942 51 19 942 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54786 1 SwissProt MVLMEDMQNKDGHNTVENSSGGTDSNNNIQMRRMRKTQLSKKELFEKRKSDVLIAAKSLDTEIQNVKNLKRLSIGSMDLVIDPELEFKVNSRNSYSSDSSKESLQESLHEENIIRSEQKEEQGSEDNDAYEEGDATNVDDSIDITQTEYLHDEETLEKEKIIRNASSSTSSSARVTSRNRRLSGVKTLAHDVVLDVENDHDSKMVDLTQNLLWVPADQHPNVKPENYLELIQDTLQNIQISTNQDIDENKLELGNNHVISNRKRTGSVVRRPSRLKTSYTKFDDEPPLADKPQEGEIQVDKRISSSDIKTIRSVSLKEITEELTKISNNAGLTDSDAVTLARSLSMSGSFTNESLHLNGNHTENDNEFASNMFNETGLTIPERSSLRRSKFNTYKIRLEGSSLPQAVKLNSLMNIQTNDNRRSASSPASYTQVPQEQASLNDFHEIFDHYRRTSTDWSTENEKYVDSTNYYSDEEDLTHASISQESSLLSTDSSNNSVLIKPHNTGSMISEKLDQHVSSSEKSNTNNSEANHGWSWLNSSNGSLNANEQTYQQLTDDEDDEECVDNEKADFVNLSVSRRAKSTKRASERINHSKNRHSPIFQIHSEEAKSVVITPSVVSSSESQPSKPTAPAVVEKKVELPTDTQASTHKKNSLEKRLAKLFKRKQHNGTCKSDVKVIKKSVKKELKKKASHSSLSKFRKSPKKKPQEAEVERPSSPTKTITTEDIDTASVIEPEVRSSNASTLLPDSHTSHSSEFVVETISELDGDDSFDISGGDVNYDVEVHSSISRDTTAGLEEDIGAEREDNTSPTAPQISTLPPRKLTFEDVVKPDYSNAPIKFTDSAFGFPLPMITNSTVIMFDHRLGINVERAIYRLSHLKLSDPGRELRQQVLLSNFMYSYLNLVNHTLYMEQVGTGDIAFNGDSALGMMDKNDSDGTILIPDI
VIMSS6585294 1 594 0.353314478114477 PF16796.5:Microtub_bd:358:485 Spindle pole body-associated protein CIK1; Chromosome instability and karyogamy protein 1 594 128 19 594 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01649 1 SwissProt MNNSKIPKLSFHSDPNNVTRDFPKTKRQKVQKREMDMILTPNNNKLNILHSSGSGIRRCYTDDTSATYTKKLTFGGDPKIIERVKNNERKVRKDIDSLLNAISEIEKESVRIHARELPAITLELDAKVKACRELQNEIDGLSTEMDLKDNQCDLQRKNVELSSKNIVSMHAVKVQEFENDLEEELSNAKREWTYKLMEVENLKPDERLTDEMRQLKTEFEEVNRKLFILQNENENECKNYKKELDKKFEIFKKVKNDARIELDGEQERLSKVLKDLQDTHGELKENIKTCRDEFNDFEKRIGEAEVNFHSMELAVVPLKKKLASTSQALTQVQEEKKQVEGEANNWKKKYVNELEKVQQELYTRQNLATSIEEIKGYTRCFAYANERQMPDEFHINYVDRCICENSGEKRVQVFDRVVLEEIHKDHKRLYNECIPFLEKYISKLINCSIIVVSQQPTAPMKKTLLKQLIEQYGENYKMTLNILHLDGSIKHSDVGLDNPTEIRDLSQDEECMNILTLDTKLGKDEESHSMNIYIGSMSTVQLNRELDDAPSVLSHILTKTKQCFVFKINAGENIEKALALAGKLKRTITLPQLD
VIMSS6585376 1 915 0.709038907103825 PF08632.10:Zds_C:843:893 Protein ZDS1; Protein NRC1; RT2GS1 915 51 19 915 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50111 1 SwissProt MSNRDNESMLRTTSSDKAIASQRDKRKSEVLIAAQSLDNEIRSVKNLKRLSIGSMDLLIDPELDIKFGGESSGRRSWSGTTSSSASMPSDTTTVNNTRYSDPTPLENLHGRGNSGIESSNKTKQGNYLGIKKGVHSPSRKLNANVLKKNLLWVPANQHPNVKPDNFLELVQDTLQNIQLSDNGEDNDGNSNENNDIEDNGEDKESQSYENKENNTINLNRGLSRHGNASLIRRPSTLRRSYTEFDDNEDDDNKGDSASETVNKVEERISKIKERPVSLRDITEELTKISNSAGLTDNDAITLARTLSMAGSYSDKKDQPQPEGHYDEGDIGFSTSQANTLDDGEFASNMPINNTMTWPERSSLRRSRFNTYRIRSQEQEKEVEQSVDEMKNDDEERLKLTKNTIKVEIDPHKSPFRQQDEDSENMSSPGSIGDFQDIYNHYRQSSGEWEQEMGIEKEAEEVPVKVRNDTVEQDLELREGTTDMVKPSATDDNKETKRHRRRNGWTWLNNKMSREDDNEENQGDDENEENVDSQRMELDNSKKHYISLFNGGEKTEVSNKEEMNNSSTSTATSQTRQKIEKTFANLFRRKPHHKHDASSSPSSSPSSSPSIPNNDAVHVRVRKSKKLGNKSGREPVEPIVLRNRPRPHRHHHSRHGSQKISVKTLKDSQPQQQIPLQPQLEGAIEIEKKEESDSESLPQLQPAVSVSSTKSNSRDREEEEAKKKNKKRSNTTEISNQQHSKHVQKENTDEQKAQLQAPAQEQVQTSVPVQASAPVQNSAPVQTSAPVEASAQTQAPAAPPLKHTSILPPRKLTFADVKKPDKPNSPVQFTDSAFGFPLPLLTVSTVIMFDHRLPINVERAIYRLSHLKLSNSKRGLREQVLLSNFMYAYLNLVNHTLYMEQVAHDKEQQQQQQQQP
VIMSS6586056 1 195 0.373985128205128 PF14523.6:Syntaxin_2:34:140 Syntaxin PEP12; Carboxypeptidase Y-deficient protein 12; Vacuolar protein sorting-associated protein 6; Vacuolar protein-targeting protein 13 288 107 19 195 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32854 1 SwissProt MSEDEFFGGDNEAVWNGSRFSDSPEFQTLKEEVAAELFEINGQISTLQQFTATLKSFIDRGDVSAKVVERINKRSVAKIEEIGGLIKKVNTSVKKMDAIEEASLDKTQIIAREKLVRDVSYSFQEFQGIQRQFTQVMKQVNERAKESLEASEMANDAALLDEEQRQNSSKSTRIPGSQIVIERDPINNEEFAYQQ
VIMSS6586093 1 590 0.679291694915255 PF07558.11:Shugoshin_N:43:86,PF07557.11:Shugoshin_C:367:390 Shugoshin 590 68 19 590 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08490 1 SwissProt MPKRKIAPNKESSRRTVSHDDLTPQIQEFQNLMDLESQKVENIRQSYSRQNSLLAKDNSILKIKVNSLEKKISQLVQENVTLRSKTSISEAIYRERLSNQLQVIENGIIQRFDEIFYMFENVRKNENLPSSSLRTMLKRTSSRSRSCSLSSPTYSKSYTRLSNHENNLSHESSFNKDDGPDLEPKAKKRKSSRRQSMFVSTSLEPEDETGENEPMMENSSVEVPAESHESAQVEETIDALNPEEENSDSVSNFTNSIIEYSIPEENPTEPEHSSSKLEIFNDSTNMLSTVPSNPLPLPLPGPSATLPTTTSDASTVYPSSSSSTNSHPKTKIKHSMKPPRIELKKKVIDEVMPVSNMSSNSEISFTRTRRTRGKAVDYTLPSLRAKMRRPSEKLVDATTVIDIHDLQVSKRNRETSHKRKSLSQDSIPDEPQLREVVVSKDYGTPKGKKTEDEIHEDTAHLMTTSNNNSNNKNEKKLTSNNSPKKSSPLLDITNKSENKKKSTRTKKLFKNAIVNNLSDENSTTRPSKSSKGTSNNNNNYNNFDNNNSNINNVNNKSVSFRLNEDDLAVFDLFGNGKAVKHQPKTYRTKK
VIMSS6586119 1 327 0.214643425076453 PF00153.27:Mito_carr:36:129,PF00153.27:Mito_carr:139:224,PF00153.27:Mito_carr:237:325 Mitochondrial carnitine carrier 327 269 19 258 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12289 1 SwissProt MSSDTSLSESSLLKEESGSLTKSRPPIKSNPVRENIKSFVAGGVGGVCAVFTGHPFDLIKVRCQNGQANSTVHAITNIIKEAKTQVKGTLFTNSVKGFYKGVIPPLLGVTPIFAVSFWGYDVGKKLVTFNNKQGGSNELTMGQMAAAGFISAIPTTLVTAPTERVKVVLQTSSKGSFIQAAKTIVKEGGIASLFKGSLATLARDGPGSALYFASYEISKNYLNSRQPRQDAGKDEPVNILNVCLAGGIAGMSMWLAVFPIDTIKTKLQASSTRQNMLSATKEIYLQRGGIKGFFPGLGPALLRSFPANAATFLGVEMTHSLFKKYGI
VIMSS6586169 1 256 0.1450234375 Autophagy-related protein 40 256 0 19 210 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99325 1 SwissProt MFNLILWPLFLLTSVAIPLQLTLEVVYLTSSVDFSKASAAKTATSLGQSPVVITIYKSLLKYWSLYEFIHFIYLYTPIDAFLNFLPFTSLLMSFGSICLTRELVYDFIAFMESQNKLTGFLNKITEPNFNSYLLFSSIYNIWFADDTNDKFLFGKLTQILISVTKRYEFPRTFYLAKVSDFLQNLILTRLRPFVTEQPQGDKNRYQNGDRESTKNGAAYQKSSQQSSSFEQNFTSTEFPNDYDFMEDILDETTELD
VIMSS6586305 1 251 0.167046215139442 PF01871.17:AMMECR1:61:232 Uncharacterized protein YOR289W 251 172 19 251 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12012 1 SwissProt MALRLFRKSSFFAKISMEGPKGSSPFAFYAFYQLYSHLNPGKSSSLSLEDIRRRLYPDFKIDYNEKTSLFITWKKKSNKHHTIDTNEENYILRGCIGTFAKMPIAHGIEKYSLIAALEDRRFSPIQKRELVDLKCSCNILGNFKTIFRGGGNPNGDIFDWELGKHGIELYFKHPKTGTTCSATFLPDVMPEQHWNKEDTFANLIEKAGYWGNISEVMDNFETYFIEVIRYEGKKSSITYEEFNKQLKDIEA
VIMSS6586339 1 818 0.533481418092909 PF13002.7:LDB19:144:346,PF00339.29:Arrestin_N:179:230 Protein LDB19; Low dye-binding protein 19 818 203 19 818 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12502 1 SwissProt MAFSRLTSTHQSNHNGYSNSNKKGQSLPLTLSIDVESPPCVLYGSAMESSGAVLSGLFTVTVVDPYSSAEDKSLKNTESNVSTTSKSLKRKSTFGSALSSRLSSLSASTSNISPSTSSTSISHSPTPANLRIMAGYTKITITSVTLSLVQKIHFHKPFVPNISSMQTCMNCKTKITNMKSWEIQSNTQDLSVGSHSYPFSYLIPGSVPCSSSLGATAETQVKYELIAVVTYIDPHRNSFSSGHSTPRKEGSSSKKRLLQLAMPIAVTRSIPRGPDKNSLRVFPPTELTAAAVLPNVVYPKSTFPLEMKLDGVSSGDRRWRMRKLSWRIEETTRVKAHACPVHKHELRQLEEQVKIKESEKSKKPRSHIKRYGELGPQIRVAVNSLENMPSQRLPGEPGREQAPNSSGPASTGNVGLDDENPVNEDEEDQPGSEFIHPSDDALRQELLMQQQRARQQQLQQELKNNSSLFTEEVRIISKGEMKSGWKTDFDNNGKIELVTEIDCMGLNSGVSNPVMHASTLQTPSTGNKKPSINVACDIQDPNLGLYVSHILAVEIVVAEETLQYANGQPIRKPNSKNKKETNNNTMNVHNPDQRLAELSPIFANRNTPKVRRMGPEDITPVNSNKSNHSTNKEKASNGASNSNIVSVPTGAARVLRMQFRLTVTERSGLGISWDEEVPPIYQDVELLSPPCYELSINNGIKNKLYSTMSTPVRSEDDFVGGSDEDIGNYESQGLEPGPNVQEVTITQNKLTIPPTAHHYQPASSSQRSLTTVQSPPLESVVSVQGSVPFRGHVLTPHSTRDIRIQNFSDFLDSNRITQ
VIMSS6586453 214 1495 0.199147425897036 PF03836.15:RasGAP_C:1040:1182,PF00616.19:RasGAP:668:855,PF00612.27:IQ:506:524 Ras GTPase-activating-like protein IQG1; Cytokinesis protein 1; IQGAP-related protein 1 1495 350 19 1282 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12280 1 SwissProt ISMINKKWPGKTPALTNVSGQISFTKEEIAACKKAWPRIRDFKSLGTNINTAPASPEEPKEKRSGLIKDFNKFERPNIPVEEILITPRKNITDANCSDFSNTPSPYNEAPKMSNLDVVVEKRKFTPIEPSLLGPTPSLEYSPIKNKSLSYYSPTISKYLTYDTEFYTRRSRAREEDLNYYQTFKYSPSHYSPMRRERMTEEQFLEKVVQLQNICRGVNTRFNLYIQKRLLNLFEQDILRFQACLRGNKFRVLSSMYLPIRRAKIDVPHVEAIQSRIKGSRIRYKYDKLKFTLSRFSCTVELLQAYCRSKLLKTTVNTKLNDIEISHYPLTKLQSYMRASYVRKKVMSLNTKLNDERESIMKFSAIIRGNVVRCSEDAILSAVHDVHKENISKLQSLIRGIFTRSCLASIIYSLGKENCNIIQLSACIRGNAVRHKVQSLFAPENNLSETVHDLQGLVRGILVRYTLDLVDDIVEYNNLALFQAFSRGALVRESLDQKSSFYKRNVRSVIMIQSWIRKSLQRSAYLELLDCPNPSLWAVKKFVHLLNGTATIEEVQNQLESCQASLDSENMKKERLLKSIRQQLNINGVLDKFGLLKDKDHELGISDSTIPKSKYQKYEKLFYMLQVDPSYWKLLYLKEPEFVAKNVYMTFGTVNQRMNDRERSYFTRFVCEMLQNAINEAPSIESFLDNRSQFWQTILQDFLRRESPEFFSIIVPVLDYLSDPVVDFESDPYKIYQEIHGFSSPQHCSPVDDASTKNKFIDNLRCLWHAIEMVAEIYTRKVHTIPVEIRYLCTKIFCYAADKNIEEIDSLRAISSILVNVFVSEYLVNREYYGYKDSNVQKNNQKIDILMKSLATVFEIKNFDGFLDPLNQYANEIKPHIKDVLYNVLVDPEYEQEGDRLIYLDMVSPSPKLELLTEKVLEISGKFEEYLNEFPEADILHDILEKNLDNSSFPRSGRVTLELDASAYRFLVSDDKMRKIYDQVKRAFVYMMQIEDVDTNLYDLSISTILPQDEPNFANFLEQNPKIRDDPMIQKLKPLKYFTLKNVTLKKIHELESTGTFCSSDNKLQNFLNDIANTIKNPNYAIDYVTQEIYITKETLTKISEMNHSLDIELSRLKKHVDHTIKDFQKAKDFSPVHKSKFGNFKNAVKKVQGRERSELQGMKFKWNTKQLYERGVLKTIRGEKLAELTVKVFGSSGPKFPDIIFKISTSDGSRFGIQMIDKRKGPDKRYSDDVDSFSFKDLIKTQVEPKIETWKLFHSNVVVNNSQLLHLIVSFFYKRNAL
VIMSS6586464 173 391 0.649871232876713 Nutrient and stress factor 1; Up in starvation protein 1 391 0 19 219 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12132 1 SwissProt IPPISPRSIYNTQRQQQHQQQQHQQAPYYFPSHPITDSYYQYPLPSNNNTINYLPSVDVQYPLNVSPSSTSHPASEVIISSFPPRSMPSTSFKYKDSADFQARTTMNKYNIRPSNINVNTSNINNHLDSFSPPFSPSTTVAEAKPIILPQYQQAFSQPPNGNKNNNMSSSKNGGKGGENFKNTDDRNDNNNKKRSETLSESDISVNTNKKRLSVDYILT
VIMSS6586718 1 1211 0.221122625928984 PF03632.15:Glyco_hydro_65m:476:695,PF03636.15:Glyco_hydro_65N:140:413 Vacuolar acid trehalase; Alpha,alpha-trehalase; Alpha,alpha-trehalose glucohydrolase; EC 3.2.1.28 1211 494 19 1188 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48016 1 SwissProt MKRIRSLWFNAEASYSNLNNSPSLRNKNSTGNNSRSKNYRSFSRFDLINSILLLMMLFLLAIFVTALYLTKSSRLTYSHASRAALFNPLGVISPSLGNHTLNYDPEARESSKKLYELLSDFNTAYYDDENMILGSNLFSKNTYSRQPYVANGYIGSRIPNIGFGYALDTLNFYTDAPGALNNGWPLRNHRFAGAFVSDFYCLQPKLNSTNFPELDDVGYSTVISSIPQWTNLQFSLVNDSKWFNPQNVTLDDVTNYSQNLSMKDGIVTTELDWLNSQIHVKSEIWAHRHIHPLGVVSLEISLNTDHLPSDFDSLDVNIWDILDFNTSHRTVLHSTGTDEKNNAVFMIVQPDNVPSSNCAIYSTCTVKYENSTNPINSSESFEEKDVSSNIYNVILTEDQPKIIVHKYVGIMSTEFNKNKEQQDNTNIGLAKMIALNSKGNYEKLLSSHKRAWYDLYNDAFIEIPSDSLLEMTARSSLFHLLANTRDYNVSSDRGLPVGVSGLSSDSYGGMVFWDADIWMEPALLPFFPNVAQNMNNYRNATHSQAKLNAEKYGYPGAIYPWTSGKYANCTSTGPCVDYEYHINVDVAMASFSIYLNGHEGIDDEYLRYTTWPIIKNAAQFFTAYVKYNSSLGLYETYNLTDPDEFANHINNGAFTNAGIKTLLKWATDIGNHLGEVVDPKWSEISKDIYIPRSSSNITLEYSGMNSSVEIKQADVTLMVYPLGYINDESILNNAIKDLYYYSERQSASGPAMTYPVFVAAAAGLLNHGSSSQSYLYKSVLPYLRAPFAQFSEQSDDNFLTNGLTQPAFPFLTANGGFLQSILFGLTGIRYSYEVDPDTKKINRLLRFNPIELPLLPGGIAIRNFKYMNQVLDIIIDDHNGTIVHKSGDVPIHIKIPNRSLIHDQDINFYNGSENERKPNLERRDVDRVGDPMRMDRYGTYYLLKPKQELTVQLFKPGLNARNNIAENKQITNLTAGVPGDVAFSALDGNNYTHWQPLDKIHRAKLLIDLGEYNEKEITKGMILWGQRPAKNISISILPHSEKVENLFANVTEIMQNSGNDQLLNETIGQLLDNAGIPVENVIDFDGIEQEDDESLDDVQALLHWKKEDLAKLIEQIPRLNFLKRKFVKILDNVPVSPSEPYYEASRNQSLIEILPSNRTTFTIDYDKLQVGDKGNTDWRKTRYIVVAVQGVYDDYDDDNKGATIKEIVLND
VIMSS6586814 1 180 0.197746666666667 PF00248.21:Aldo_ket_red:16:178 Putative pyridoxal reductase; PL reductase; PL-red; EC 1.1.1.65 345 163 19 180 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06494 1 SwissProt MSVADLKNNIHKLDTGYGLMSLTWRAEPIPQSQAFEAMHRVVELSRERGHKAFFNVGEFYGPDFINLSYVHDFFAKYPDLRKDVVISCKGGADNATLTPRGSHDDVVQSVKNSVSAIGGYIDIFEVARIDTSLCTKGEVYPYESFEALAEMISEGVIGGISLSEVNEEQIRAIHKDWGKF
VIMSS6586891 60 470 0.0664992700729927 Putative maltose activator (RefSeq) 470 0 19 411 0 Saccharomyces cerevisiae VIMSS6586891 1 MicrobesOnline QMVTVNNNIMAAPVMYKKVPKKVIDQCLRLYHDQLYVIWPMLSYDDLYKLLEENYEDCSTYWFLVSLSAATLSDLHTKIEYKKGFFFAGEQLCNLCMSSRRFFDDLSNSDIFRIMTYYCLHRCYAQFADTRTSYRLSCEAIGLIKIAGFHREETYEFLPFGEQQLIRKVYYLLLMTERYYAVYIKCVTSLDTTISPPQPEIVTDSRLSLDSFLEVIKVFTVPGKYFYDALATNSVNGSYTEDSLKRIWNELHISSLDIEPYSYGYIDYLFSRHWVRTLAWKLVLNKKDMRMNFFSNTNATHIPVEIAKDMLQDTLLTPIDLYDVHGPVIPMKALEIANALVDVVSKYDHNMKLEAWNILCDVSKFVFSLKHCNHKMFQRFSTKCQSALIDLPISRPLRLNDDSKDEVDIIP
VIMSS692 1 700 0.303256857142857 PF03958.17:Secretin_N:365:424,PF03958.17:Secretin_N:522:596 probable Yop proteins translocation protein C/general secretion pathway protein (NCBI ptt file) 921 135 19 700 0 Chlamydia trachomatis D/UW-3/CX VIMSS692 1 MicrobesOnline MNIVTSKIGSKILRIIQNNKKLGLLSALVVLDAALLSVNSRSSEGLIGQSASLPNYHETEQQIAACPKNIAKNLAKKSSPGSKPTVGASFPSQPVSVKAAPAKPQTPVAQTRHFKKSHQIFSPNFTQSPQQVNKPEERRRPLESRYLQGAVKQAAAAKEKKALEQEVSKQEEEASKLWEEKQSYARRAVNAINFSVRKQIEEQQKTISNPGNDQTLPRKKDPQTSGEPVIQTVQDCSQDQEEEKKVLERLNKRSLTCQDLKEVEYTVNFEDISILELLQFVSKISGTNFVFDSNDLQFNVTIVSHDPTSVDDLATILLQVLKMHDLKVVEQGNNVLIYRNPKLSKLSTVVTDGSAKDTCEAVVVTRVFRLYSVSPSAAVGIIQPLLSHDAIISASESTRHIIVSDIAGNIEKVRELLQALDSPGTAIDMSEYDVQFANPAALVSYCQDVLGAMAEEEAFQIFIQPGTNKIFVISSPRLTAKTIQLLESLDIPEMAHTLDDVTSPAAALGSSGAANPKSLRFFMYKLKYQNGAAIAQAIQDIGYNLYVTTAMDEDFINTLNSIQWLPVNNSIVVIGNQANVDKVVSLLNGLDLPPKQVYIEVLILETSLEKSWDFGVQWAALGDEQGKVAYASGLLSNTGLTDPLRNQSLPVAPNPGNISLPTPGQLAGISDMMYGSSAFGLGIIGNVLSHNGKSYLTLGG
VIMSS74165 1 182 0.00873021978021978 PF01066.21:CDP-OH_P_transf:4:71 CDP-diacylglycerol—glycerol-3-phosphate 3-phosphatidyltransferase (EC 2.7.8.5) 182 68 19 93 4 Escherichia coli K-12 substr. MG1655 ecocyc::PHOSPHAGLYPSYN-MONOMER 1 ecocyc MQFNIPTLLTLFRVILIPFFVLVFYLPVTWSPFAAALIFCVAAVTDWFDGFLARRWNQSTRFGAFLDPVADKVLVAIAMVLVTEHYHSWWVTLPAATMIAREIIISALREWMAELGKRSSVAVSWIGKVKTTAQMVALAWLLWRPNIWVEYAGIALFFVAAVLTLWSMLQYLSAARADLLDQ
VIMSS911721 1 147 0.0543496598639456 PF03788.14:LrgA:27:118 Antiholin-like protein LrgA 147 92 19 58 4 Staphylococcus aureus (strain NCTC 8325) SwissProt::P72358 0 SwissProt MVVKQQKDASKPAHFFHQVIVIALVLFVSKIIESFMPIPMPASVIGLVLLFVLLCTGAVKLGEVEKVGTTLTNNIGLLFVPAGISVVNSLGVISQAPFLIIGLIIVSTILLLICTGYVTQIIMKVTSRSKGDKVTKKIKIEEAQAHD
VIMSS917044 1 119 0.292989075630252 hypothetical protein (NCBI) 119 0 19 119 0 Staphylococcus haemolyticus JCSC1435 VIMSS917044 1 MicrobesOnline MLERSEGNIVIYHSSGLNEVVTDIQLLGGASCVLMNHEHESVGGTPSIDIPFWIHRDDVAAINRTVPIDGQFEQRETIADDLEVIPTPGHTSGTTMFLWDNDEHRFLFTEAFLCVDDGE
VIMSS95835 1 111 0.0153900900900901 PF10625.9:UspB:1:107 putative universal stress (ethanol tolerance) protein B 111 107 19 71 2 Escherichia coli K-12 substr. MG1655 ecocyc::EG12231-MONOMER 1 ecocyc MISTVALFWALCVVCIVNMARYFSSLRALLVVLRNCDPLLYQYVDGGGFFTSHGQPNKQVRLVWYIYAQRYRDHHDDEFIRRCERVRRQFILTSALCGLVVVSLIALMIWH
WP_003977022.1 1 82 0.2562 PF03777.13:ChpA-C:26:81 Chaplin-E 82 56 19 62 1 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q9X9Z2 1 SwissProt MKNLKKAAAVTMVAGGLIAAGAGMASATDGGAHAHGKAVGSPGVASGNLVQAPIHIPVNAVGNSVNVIGVLNPAFGNLGVNH
XP_001347565.1 200 498 0.691306354515049 merozoite TRAP-like protein 498 0 19 276 1 Plasmodium falciparum 3D7 XP_001347565.1 1 RefSeq DKIMIKHKTTNIHPVNFIQEKYTRNNKYRSDNFSKILNNMNHINNNNYNSRSSSTSSKNARGYRGGSSNMYPHVPNYTSSSVHNSTNNERKSDEDLDNIEGDNITKEERIVPINNKNYDNHDEHSNIHEHDTSRNVDNEKYNSNDDLPNLSTYDYDMNNDSYKKNHMKKPMDSIKEEQTKQENNQNNEKVSSSEKQNDDISALYEHMNTKDQEHTQHEQPNDSAHGHFEDYSKLYIASGVATLVLLGGSITFYFLRKEKTEKVVQEETKEENFEVMFNDDALKGKDNKAMDEEEFWALE
XP_001348717.2 1 412 0.0558618932038835 PF04258.13:Peptidase_A22B:73:372 signal peptide peptidase 412 300 19 236 8 Plasmodium falciparum 3D7 XP_001348717.2 1 RefSeq MNLLKLIGKNKKMKNENMGNSIFYYSCYVIIVLTIILSKFVVIPLMAQMFLYTFITIYIGSHDSLKQLEIDDKTKKSDNITAYDAMMFPVIGSAALLTLYFAYKFLDPFYVNLLLTLYLTLAGVFSLQGVFTTILEPVFPNFFKKDEYVKTFKLPNFIYKEPIVFNTNKGEIVCLILSFAIGLRWIFYKDFITHNVLAVSFCFQAISLVILSNFLIGFLLLSGLFVYDIFWVFGNDVMVTVAKSFEAPVKLLFPVSSDPVHYSMLGLGDIIIPGILMSLCLRFDYYLFKNNIHKGNLKKMFNDISIHESFKKYYFYTIIIFYELGLVVTYCMLFYFEHPQPALLYLVPACILAILACSICKREFKLMIKYQEITDKSNTVDDASKNKKKDKEEIPKIQETPVSNAKKRITNK
XP_001350186.1 1 422 0.0505938388625593 nucleoside transporter 1 422 0 19 219 9 Plasmodium falciparum 3D7 XP_001350186.1 1 RefSeq MSTGKESSKAYADIESRGDYKDDGKKGSTLSSKQHFMLSLTFILIGLSSLNVWNTALGLNINFKYNTFQITGLVCSSIVALFVEIPKIMLPFLLGGLSILCAGFQISHSFFTDTQFDTYCLVAFIVIGVVAGLAQTIAFNIGSTMEDNMGGYMSAGIGISGVFIFVINLLLDQFVSPEKHYGVNKAKLLYLYIICELCLILAIVFCVCNLDLTNKNNKKDEENKENNATLSYMELFKDSYKAILTMFLVNWLTLQLFPGVGHKKWQESHNISDYNVTIIVGMFQVFDFLSRYPPNLTHIKIFKNFTFSLNKLLVANSLRLLFIPWFILNACVDHPFFKNIVQQCVCMAMLAFTNGWFNTVPFLVFVKELKKAKKKKEIEIISTFLVIAMFVGLFCGIWTTYIYNLFNIVLPKPDLPPIDVTQ
XP_001351572.1 1 337 0.450575964391691 skeleton-binding protein 1 337 0 19 314 1 Plasmodium falciparum 3D7 XP_001351572.1 1 RefSeq MCSAARAFDFFTDLADEPTQLQDAVPETTEKLAEVVSDAATNVTDAVSDTASGIGSLVGEAASSLGNLVGEAASGIGNIVGGAASGIGNIVGGAASGIGSLVGDAASGLGNLVGDAAEALATTELKDVIPENTESTTDLVPSEVSPPVDDYLDDDGFSSFREFLESTPCWQRRMAQEALLNEYEVESPAESMSPILRVQFFADFAKQAVHVAKQNYLYVVIFLFFVINILLFINFYNLGKRKGYYLAKKQKKEQMLEQNPEQNPEQNAQQNAQQNAQQNAQQNAQQNAQQNAQQNTQQNTQQKTQQNPQQNAQQNTQQNTQQQSTTKSTTKTVARET
XP_005158270.1 1 215 0.54689023255814 ets variant 2 isoform X1 355 0 19 215 0 Danio rerio XP_005158270.1 1 RefSeq MEMYQSGFYTEDFRTQEVPAGFDFSSYDSKGPVQQQYAENYSEPQKELLHKGHVLTVDSGLFNLDSFPEFSNWAAYTNIPEGMVADRQQVGFQESTQTYQNLVPLCTPAQSSTFSPTMDTSSHYQPGKGPSHRGASGTASLDHLGESDRTYGLYEAEQQSRSSYWSDYPSPGYCSSMPLSQPASSSSPPVSQSAEHFCPRVVKRRSAPPQRSDRE
XP_005172568.1 51 414 0.691557417582417 nuclear factor erythroid 2-related factor 2 isoform X1 585 0 19 364 0 Danio rerio XP_005172568.1 1 RefSeq REQEEQELQERLQEQEKTLLAQLQLDEETGEFLPRSTPLTHTPEADGGGAGEITQNGAFAEQEADPMSFDECMQLLAETFPLTEPAESAPPCLNTSAPPSTDLMMPADVPAFTQNPLLPGSLDQAWMELLSLPELQCLNMQMQETLDMNAFMKPSTEAPTQNYGQYLPGMDHLGSAQTEVCPPEFTNTYNGSFNTMVSPNMNQLSLNVPDVGAEFGPEEFNELFYPEMEVKVNNPPITSDGGNMVGDPPVNPIDLQSFSPGDFSSGKPDPIVEFQDSDSGLSLDASPHMSSPGKSITQDGSFGFSDSDSEEMEGSPGSMESDYNEIFPLVYLNDGSQTPLSEKSSTEKQEMKLKNPKMEPAEAS
XP_005247675.1 1 271 0.490568265682657 PF09816.9:EAF:45:124 ELL-associated factor 2 isoform X1 271 80 19 248 1 Homo sapiens XP_005247675.1 1 RefSeq MRWCCAGSAVSLSSVPFSGSVICVFIWFFHAFFSNSIYSLLFSSFSDDFKPASIDTSSEGYLEVGEGEQVTITLPNIEGSTPPVTVFKGSKKPYLKECILIINHDTGECRLEKLSSNITVKKTRVEGSSKIQYRKEQQQQQMWNSARTPNLVKHSPSEDKMSPASPIDDIERELKAEASLMDQMSSCDSSSDSKSSSSSSSEDSSSDSEDEDCKSSTSDTGNCVSGHPTMTQYRIPDIDASHNRFRDNSGLLMNTLRNDLQLSESGSDSDD
XP_006232570.1 376 763 0.0341628865979382 PF00001.21:7tm_1:52:311 relaxin receptor 1 isoform X1 763 260 19 230 7 Rattus norvegicus XP_006232570.1 1 RefSeq HIYFKKFQYCGYAPHVRSCKPNTDGISSLENLLASIIQRVFVWVVSAITCFGNIFVICMRPYIRSENKLHAMSIMSLCCADCLMGVYLFVIGAFDLKFRGEYRKHAQPWMESVHCQFMGSLAVLSTEVSVLLLTFLTLEKYICIVYPFRCLRPRKCRTVAVLIFIWITGFIVAFAPLGNKEFFKNYYGTNGVCFPLHSEDTGSTGAQIYSVVIFLGINLVAFIIIVFSYGSMFYSVHQSTITATEIQKQVKKEMILAKRFFFIVFTDALCWIPIFILKFLSLIRVEIPDTITSWVVIFILPINSALNPIIYTLTTRPFKEMIHQLWYNYRQRRSVDRKGTQKAYTPSFIWVEMWPLQEMSTEFMKPDAFTDPCDLSLVSRSSRLNSYS
XP_006501692.1 370 757 0.0358190721649484 PF00001.21:7tm_1:52:311 relaxin receptor 1 isoform X1 757 260 19 230 7 Mus musculus XP_006501692.1 1 RefSeq HIYFKKFQYCGYAPHVRSCKPNTDGISSLENLLASIIQRVFVWVVSAITCFGNIFVICMRPYIRSENKLHAMSIISLCCADCLMGVYLFVIGAFDLKFRGEYNKHAQPWMESVHCQFMGSLAILSTEVSVLLLTFLTLEKYICIVYPFRCLRPRKCRTITVLIFIWIIGFIVAFAPLGNKEFFKNYYGTNGVCFPLHSEDTGSTGAQIYSVVIFLGINLVAFIIIVFSYGSMFYSVHQSSVTVTEIQKQVKKEVVLAKRFFFIVFTDALCWIPIFILKFLSLLQVEIPDSITSWVVIFILPINSALNPIIYTLTTRPFKEMIHQLWHNYRQRRSVDRKETQKAYAPSFIWVEMWPLQEMSSGFMKPGAFTDPCDLSLVSQSSRLNSYS
XP_006501997.1 1 462 0.0918653679653679 PF02535.22:Zip:127:452 zinc transporter ZIP8 isoform X1 462 326 19 335 6 Mus musculus XP_006501997.1 1 RefSeq MAPGRAVAGLLLLAATSLGHPSEGPELAFSEDVLSVFGANRSLSAAQLGRLLERLGAASQQGALDLGQLHFNQCLSAEDIFSLHGFSNVTQITSSNFSAICPAILQQLNFHPCEDLRKHNAKPSLSEVWGYGFLSVTIINLASLLGLILTPLIKKSYFPKILTYFVGLAIGTLFSNAIFQLIPEAFGFNPKIDNYVEKAVAVFGGFYMLFFVERTLKMLLKTYGQNDHTHFRNDDFGSKEKTHQPKTLPLPAVNGVTCYANPAVTEPNGHIHFDTVSVVSLQDGKTEPSSCTCLKGPKLSEIGTIAWMITLCDALHNFIDGLAIGASCTLSLLQGLSTSIAILCEEFPHELGDFVILLNAGMSTRQALLFNFLSACSCYVGLAFGILVGNNFAPNIIFALAGGMFLYISLADMFPEMNDMLREKVTGRQTDFTFFMIQNAGMLTGFTAILLITLYAGDIELQ
XP_006520947.1 1 267 0.515325468164794 sentrin-specific protease 1 isoform X5 498 0 19 267 0 Mus musculus XP_006520947.1 1 RefSeq MSAYEKSFPIKPAPSPSWSGSCRRSLLSPKKTQRRHFSTAEETVQEEEKEIYRQLLQMVTGKQFCVAKPTTHFPLRLSRCLSSNKNSLKDSLLRNGNSCASHVIGSDTSSSGSASILTAQEQLSHSAHSLSSGTPDVAFGSKDSDPHHHLAAPHQPNSLPASNTQSEGSDSVILLKVKESQTPASSPTFFQAELWIKELTSVYDSRARERLRRIEEQKALALQLQNQRLQEQEHAVLDSVELHLRVPLEKEIPVTAAQETRKKSHQL
XP_006529294.1 208 589 0.79393115183246 PF04698.12:Rab_eff_C:237:289 melanophilin isoform X1 589 53 19 382 0 Mus musculus XP_006529294.1 1 RefSeq QTLGLSSVPESAHSLQSLSGEPYSEDTTSLEPEGLEETGARALGCHPSPEVQPCSPLPSGEDAHAELDSPAASCKSAFGTTAMPGTDDVRGKHLPSQYLADVDTSDEDSIQGPRAASQHSKRRARTVPETQILELNKRMSAVEHLLVHLENTVLPPSAQEPTVETHPSADTEEETLRRRLEELTSNISGSSTSSEDETKPDGTFLGGSPKVCTDTGHMETQERNPRSPGNPARPTKSTDEELSEMEDRVAMTASEVQQAESEISDIQSRIAALRAAGLTVKPSGKPRRKSGIPIFLPRVTEKLDRIPKTPPADPDDQAKMPKATTAVPSLLRRKYSPSSQGVDSGSFDRKSVYRGSLTQRNPNGRRGTARHIFAKPVMAQQP
XP_006716795.1 137 374 0.517965546218488 PF12374.8:Dmrt1:1:66 doublesex- and mab-3-related transcription factor 1 isoform X3 374 66 19 238 0 Homo sapiens XP_006716795.1 1 RefSeq IPLPSAAELLVKRENNGSNPCLMTECSGTSQPPPASVPTTAASEGRMVIQDIPAVTSRGHVENTPDLVSDSTYYSSFYQPSLFPYYNNLYNCPQYSMALAADSASGEVGNPLGGSPVKNSLRGLPGPYVPGQTGNQWQQMKNMENRHAMSSQYRMHSYYPPPSYLGQSVPQFFTFEDAPSYPEARASVFSPPSSQDSGLVSLSSSSPISNKSTKAVLECEPASEPSSFTVTPVIEEDE
XP_008770909.1 153 331 0.175693296089385 myelin-oligodendrocyte glycoprotein isoform X1 331 0 19 133 2 Rattus norvegicus XP_008770909.1 1 RefSeq VLALIALVPMLLLQVSVGLVFLFLQHRLRGKLRAEVENLHRTFDPHFLRVPCWKITLFVIVPVLGPLVALIICYNWLHRRLAGAMSGQQTGPLWGGGGGRGGSSGRPEREKSGTKVLDPRAENLFALSTLTIRILRKTEDHLLRCTGPLMEKKGCLRRWGGGSHWSPIDSFLFVYRTVS
XP_011245823.1 55 158 0.389916346153846 emerin isoform X1 158 0 19 104 0 Mus musculus XP_011245823.1 1 RefSeq SSSFSYQFSDLDSAAVDSDMYDLPKKEDALLYQSKDYNDDYYEESYLTTKTYGEPESVGMSKSFRQPGTSLVDADTFHHQVRDDIFSSLEEEGKDSIHVLQLQY
XP_011522740.1 1 280 0.378553214285715 N-acetylglutamate synthase, mitochondrial isoform X1 473 0 19 280 0 Homo sapiens XP_011522740.1 1 RefSeq MATALMAVVLRAAAVAPRLRGRGGTGGARRLSCGARRRAARGTSPGRRLSTAWSQPQPPPEEYAGADDVSQSPVAEEPSWVPSPRPPVPHESPEPPSGRSLVQRDIQAFLNQCGASPGEARHWLTQFQTCHHSADKPFAVIEVDEEVLKCQQGVSSLAFALAFLQRMDMKPLVVLGLPAPTAPSGCLSFWEAKAQLAKSCKVLVDALRHNAAAAVPFFGGGSVLRAAEPAPHASYGGIVSVETDLLQWCLESGSIPILCPIGETAARRSVLLDSLEVTAS
XP_011525473.1 105 1461 0.517770965364774 Periaxin 1461 0 19 1357 0 Homo sapiens (Human) SwissProt::Q9BXM0 1 SwissProt LALRPGTVSGYEIKGPRAKVAKLNIQSLSPVKKKKMVPGALGVPADLAPVDVEFSFPKFSRLRRGLKAEAVKGPVPAAPARRRLQLPRLRVREVAEEAQAARLAAAAPPPRKAKVEAEVAAGARFTAPQVELVGPRLPGAEVGVPQVSAPKAAPSAEAAGGFALHLPTLGLGAPAPPAVEAPAVGIQVPQVELPALPSLPTLPTLPCLETREGAVSVVVPTLDVAAPTVGVDLALPGAEVEARGEAPEVALKMPRLSFPRFGARAKEVAEAKVAKVSPEARVKGPRLRMPTFGLSLLEPRPAAPEVVESKLKLPTIKMPSLGIGVSGPEVKVPKGPEVKLPKAPEVKLPKVPEAALPEVRLPEVELPKVSEMKLPKVPEMAVPEVRLPEVELPKVSEMKLPKVPEMAVPEVRLPEVQLLKVSEMKLPKVPEMAVPEVRLPEVQLPKVSEMKLPEVSEVAVPEVRLPEVQLPKVPEMKVPEMKLPKVPEMKLPEMKLPEVQLPKVPEMAVPDVHLPEVQLPKVPEMKLPEMKLPEVKLPKVPEMAVPDVHLPEVQLPKVPEMKLPKMPEMAVPEVRLPEVQLPKVSEMKLPKVPEMAVPDVHLPEVQLPKVCEMKVPDMKLPEIKLPKVPEMAVPDVHLPEVQLPKVSEIRLPEMQVPKVPDVHLPKAPEVKLPRAPEVQLKATKAEQAEGMEFGFKMPKMTMPKLGRAESPSRGKPGEAGAEVSGKLVTLPCLQPEVDGEAHVGVPSLTLPSVELDLPGALGLQGQVPAAKMGKGERVEGPEVAAGVREVGFRVPSVEIVTPQLPAVEIEEGRLEMIETKVKPSSKFSLPKFGLSGPKVAKAEAEGAGRATKLKVSKFAISLPKARVGAEAEAKGAGEAGLLPALDLSIPQLSLDAHLPSGKVEVAGADLKFKGPRFALPKFGVRGRDTEAAELVPGVAELEGKGWGWDGRVKMPKLKMPSFGLARGKEAEVQGDRASPGEKAESTAVQLKIPEVELVTLGAQEEGRAEGAVAVSGMQLSGLKVSTAGQVVTEGHDAGLRMPPLGISLPQVELTGFGEAGTPGQQAQSTVPSAEGTAGYRVQVPQVTLSLPGAQVAGGELLVGEGVFKMPTVTVPQLELDVGLSREAQAGEAATGEGGLRLKLPTLGARARVGGEGAEEQPPGAERTFCLSLPDVELSPSGGNHAEYQVAEGEGEAGHKLKVRLPRFGLVRAKEGAEEGEKAKSPKLRLPRVGFSQSEMVTGEGSPSPEEEEEEEEEGSGEGASGRRGRVRVRLPRVGLAAPSKASRGQEGDAAPKSPVREKSPKFRFPRVSLSPKARSGSGDQEEGGLRVRLPSVGFSETGAPGPARMEGAQAAAV
XP_011532509.1 1 474 0.676227215189873 LIM domain-containing protein 1 isoform X1 474 0 19 474 0 Homo sapiens XP_011532509.1 1 RefSeq MDKYDDLGLEASKFIEDLNMYEASKDGLFRVDKGAGNNPEFEETRRVFATKMAKIHLQQQQQQLLQEETLPRGSRGPVNGGGRLGPQARWEVVGSKLTVDGAAKPPLAASTGAPGAVTTLAAGQPPYPPQEQRSRPYLHGTRHGSQDCGSRESLATSEMSAFHQPGPCEDPSCLTHGDYYDNLSLASPKWGDKPGVSPSIGLSVGSGWPSSPGSDPPLPKPCGDHPLNHRQLSLSSSRSSEGSLGGQNSGIGGRSSEKPTGLWSTASSQRVSPGLPSPNLENGAPAVGPVQPRTPSVSAPLALSCPRQGGLPRSNSGLGGEVSGVMSKPNVDPQPWFQDGPKSYLSSSAPSSSPAGLDGSQQGAVPGLGPKPGCTDLGTGPKLSPTSLVHPVMSTLPELSCKEGPLGWSSDGSLGSVLLDSPSSPRVRLPCQPLVPGPELRPSAAELKLEALTQRLEREMDAHPKADYFDITEC
XP_011535417.1 1 330 0.546657878787879 tumor necrosis factor alpha-induced protein 2 isoform X3 330 0 19 330 0 Homo sapiens XP_011535417.1 1 RefSeq MERSLPVCSCLLPSPPGRAPCSLPLACALGSWGMGQPLATGHKHRHPACDAGFLLGRSRRLAFGSSGSAWPLGNCFLHLREEGLAEPGPGQWRPGRDTVSDLRFHTSIPRRSARLLARPYPEARPDSPRKEPVAAAGTGGGWTLPGKLRPWRAQRPRVRAQRGQGWLRARACQRQGGRGWGRRRGLPSITAERPQVRRDSPAGRHRPSHFLARARVSSSGDGCAFHILPRTEGAGPSELPGGVWTSALTGKASQLPRRAETWGEAQGAAAGPSGALGFVSQRGEGGDAVGVLRELCLEEPAGLNQGDAEDDDLLPRPLEPSACARHPRLA
XP_011535893.1 1 476 0.0668758403361345 PF01490.18:Aa_trans:46:454 Proton-coupled amino acid transporter 1; Proton/amino acid transporter 1; hPAT1; Solute carrier family 36 member 1 476 409 19 236 11 Homo sapiens (Human) SwissProt::Q7Z2H8 1 SwissProt MSTQRLRNEDYHDYSSTDVSPEESPSEGLNNLSSPGSYQRFGQSNSTTWFQTLIHLLKGNIGTGLLGLPLAVKNAGIVMGPISLLIIGIVAVHCMGILVKCAHHFCRRLNKSFVDYGDTVMYGLESSPCSWLRNHAHWGRRVVDFFLIVTQLGFCCVYFVFLADNFKQVIEAANGTTNNCHNNETVILTPTMDSRLYMLSFLPFLVLLVFIRNLRALSIFSLLANITMLVSLVMIYQFIVQRIPDPSHLPLVAPWKTYPLFFGTAIFSFEGIGMVLPLENKMKDPRKFPLILYLGMVIVTILYISLGCLGYLQFGANIQGSITLNLPNCWLYQSVKLLYSIGIFFTYALQFYVPAEIIIPFFVSRAPEHCELVVDLFVRTVLVCLTCILAILIPRLDLVISLVGSVSSSALALIIPPLLEVTTFYSEGMSPLTIFKDALISILGFVGFVVGTYEALYELIQPSNAPIFINSTCAFI
XP_011540088.1 1 411 0.514018491484184 PF15298.6:AJAP1_PANP_C:181:388 Adherens junction-associated protein 1; Membrane protein shrew-1 411 208 19 388 1 Homo sapiens (Human) SwissProt::Q9UKB5 1 SwissProt MWIQQLLGLSSMSIRWPGRPLGSHAWILIAMFQLAVDLPACEALGPGPEFWLLPRSPPRPPRLWSFRSGQPARVPAPVWSPRPPRVERIHGQMQMPRARRAHRPRDQAAALVPKAGLAKPPAAAKSSPSLASSSSSSSSAVAGGAPEQQALLRRGKRHLQGDGLSSFDSRGSRPTTETEFIAWGPTGDEEALESNTFPGVYGPTTVSILQTRKTTVAATTTTTTTATPMTLQTKGFTESLDPRRRIPGGVSTTEPSTSPSNNGEVTQPPRILGEASGLAVHQIITITVSLIMVIAALITTLVLKNCCAQSGNTRRNSHQRKTNQQEESCQNLTDFPSARVPSSLDIFTAYNETLQCSHECVRASVPVYTDETLHSTTGEYKSTFNGNRPSSSDRHLIPVAFVSEKWFEISC
XP_011540765.1 1 372 0.75298064516129 syndecan-3 isoform X1 431 0 19 372 0 Homo sapiens XP_011540765.1 1 RefSeq MSCRALCWCWGHSSEQDRHKTLPPLELTRHWRLGEAQRWRSENFERPVDLEGSGDDDSFPDDELDDLYSGSGSGYFEQESGIETAMRFSPDVALAVSTTPAVLPTTNIQPVGTPFEELPSERPTLEPATSPLVVTEVPEEPSQRATTVSTTMATTAATSTGDPTVATVPATVATATPSTPAAPPFTATTAVIRTTGVRRLLPLPLTTVATARATTPEAPSPPTTAAVLDTEAPTPRLVSTATSRPRALPRPATTQEPDIPERSTLPLGTTAPGPTEVAQTPTPETFLTTIRDEPEVPVSGGPSGDFELPEEETTQPDTANEVVAVGGAAAKASSPPGTLPKGARPGPGLLDNAIDSGSSAAQLPQKSILERK
XP_014956676.2 1 75 0.135409333333333 PF06373.11:CART:48:75 cocaine- and amphetamine-regulated transcript protein 116 28 19 75 0 Ovis aries XP_014956676.2 1 RefSeq MESPRLRLLPLLGAALLLLLPLLGALAQEDAELQPRALDIYSAVEDASHEKELIEALQEVLKKLKSKRIPIYEKK
XP_016856001.1 1 74 0.289222972972973 endothelin-2 isoform X1 132 0 19 74 0 Homo sapiens XP_016856001.1 1 RefSeq MSYLLSLIAGRWQQALPAPVQPARSTAAMVSVPTTWCSVALALLVALHEGKGQAAATLEQPASSSHAQGTHLRL
XP_016861015.1 1 370 0.350792972972973 PF13639.6:zf-RING_2:7:50,PF13923.6:zf-C3HC4_2:7:49,PF13445.6:zf-RING_UBOX:7:47,PF00097.25:zf-C3HC4:7:49 E3 ubiquitin-protein ligase TRAIP isoform X2 370 44 19 370 0 Homo sapiens XP_016861015.1 1 RefSeq MPIRALCTICSDFFDHSRDVAAIHCGHTFHLQCLIQWFETAPSRTCPQCRIQVGKRTIINKLFFDLAQEEENVLDAEFLKNELDNVRAQLSQKDKEKRDSQVIIDTLRDTLEERNATVVSLQQALGKAEMLCSTLKLQTVYSELDQAKLELKSAQKDLQSADKEIMSLKKKLTMLQETLNLPPVASETVDRLVLESPAPVEVNLKLRRPSFRDDIDLNATFDVDTPPARPSSSQHGYYEKLCLEKSHSPIQDVPKKICKGPRKESQLSLGGQSCAGEPDEELVGAFPIFVRNAILGQKQPKRPRSESSCSKDVVRTGFDGLGGRTKFIQPTDTVMIRPLPVKPKTKVKQRVRVKTVPSLFQAKLDTFLWS
XP_016866690.1 1 517 0.0684549323017407 PF07690.16:MFS_1:78:436 sodium-dependent phosphate transport protein 1 isoform X1 517 359 19 313 9 Homo sapiens XP_016866690.1 1 RefSeq MGLNLVILESQYCVKLREKSSQRKKKSLFCPESVGGGKTLQQEEKQLQPCMQMDNRLPPKKVPGFCSFRYGLSFLVHCCNVIITAQRACLNLTMVVMVNSTDPHGLPNTSTKKLLDNIKNPMYNWSPDIQGIILSSTSYGVIIIQVPVGYFSGIYSTKKMIGFALCLSSVLSLLIPPAAGIGVAWVVVCRAVQGAAQGIVATAQFEIYVKWAPPLERGRLTSMSTSGFLLGPFIVLLVTGVICESLGWPMVFYIFGACGCAVCLLWFVLFYDDPKDHPCISISEKEYITSSLVQQVSSSRQSLPIKAILKSLPVWAISTGSFTFFWSHNIMTLYTPMFINSMLHVNIKENGFLSSLPYLFAWICGNLAGQLSDFFLTRNILSVIAVRKLFTAAGFLLPAIFGVCLPYLSSTFYSIVIFLILAGATGSFCLGGVFINGLDIAPRYFGFIKACSTLTGMIGGLIASTLTGLILKQDPESAWFKTFILMAAINVTGLIFYLIVATAEIQDWAKEKQHTRL
XP_016869215.1 1 435 0.0279714942528736 PF03062.19:MBOAT:128:374 Ghrelin O-acyltransferase; Membrane-bound O-acyltransferase domain-containing protein 4; O-acyltransferase domain-containing protein 4; EC 2.3.1.- 435 247 19 323 5 Homo sapiens (Human) SwissProt::Q96T53 1 SwissProt MEWLWLFFLHPISFYQGAAFPFALLFNYLCIMDSFSTRARYLFLLTGGGALAVAAMGSYAVLVFTPAVCAVALLCSLAPQQVHRWTFCFQMSWQTLCHLGLHYTEYYLHEPPSVRFCITLSSLMLLTQRVTSLSLDICEGKVKAASGGFRSRSSLSEHVCKALPYFSYLLFFPALLGGSLCSFQRFQARVQGSSALHPRHSFWALSWRGLQILGLECLNVAVSRVVDAGAGLTDCQQFECIYVVWTTAGLFKLTYYSHWILDDSLLHAAGFGPELGQSPGEEGYVPDADIWTLERTHRISVFSRKWNQSTARWLRRLVFQHSRAWPLLQTFAFSAWWHGLHPGQVFGFVCWAVMVEADYLIHSFANEFIRSWPMRLFYRTLTWAHTQLIIAYIMLAVEVRSLSSLWLLCNSYNSVFPMVYCILLLLLAKRKHKCN
XP_016872797.1 1 137 0.0476277372262774 PF02198.16:SAM_PNT:37:118 ETS-related transcription factor Elf-5 isoform X1 238 82 19 137 0 Homo sapiens XP_016872797.1 1 RefSeq MLDSVTHSTFLPNASFCDPLMSWTDLFSNEEYYPAFEHQTACDSYWTSVHPEYWTKRHVWEWLQFCCDQYKLDTNCISFCNFNISGLQLCSMTQEEFVEAAGLCGEYLYFILQNIRTQDADSNCLKTSGIKSQDCHS
XP_016873598.1 1 119 0.627444537815126 PF00123.20:Hormone_2:26:53 secretin isoform X1 119 28 19 119 0 Homo sapiens XP_016873598.1 1 RefSeq MATPAPQQSRHAEAGDELAPARARRHSDGTFTSELSRLREGARLQRLLQGLVGKRSEQDAENSMAWTRLSAGLLCPSGSNMPILQAWMPLDGTWSPWLPPGPMVSEPAGAAAEGTLRPR
XP_016874578.1 241 1137 0.601664325529542 PF10409.9:PTEN_C2:51:177 tensin-2 isoform X4 1412 127 19 897 0 Homo sapiens XP_016874578.1 1 RefSeq HYSKISAGADQALATLTMRKFCEDKVATELQPSQRRYISYFSGLLSGSIRMNSSPLFLHYVLIPMLPAFEPGTGFQPFLKIYQSMQLVYTSGVYHIAGPGPQQLCISLEPALLLKGDVMVTCYHKGGRGTDRTLVFRVQFHTCTIHGPQLTFPKDQLDEAWTDERFPFQASVEFVFSSSPEKIKGSTPRNDPSVSVDYNTTEPAVRWDSYENFNQHHEDSVDGAQAACRVGGSLTHTRGPLDGSPYAQVQRPPRQTPPAPSPEPPPPPMLSVSSDSGHSSTLTTEPAAESPGRPPPTAAERQELDRLLGGCGVASGGRGAGRETAILDDEEQPTVGGGPHLGVYPGHRPGLSRHCSCRQGYREPCGVPNGGYYRPEGTLERRRLAYGGYEGSPQGYAEASMEKRRLCRSLSEGLYPYPPEMGKPATGDFGYRAPGYREVVILEDPGLPALYPCPACEEKLALPTAALYGLRLEREAGEGWASEAGKPLLHPVRPGHPLPLLLPACGHHHAPMPDYSCLKPPKAGEEGHEGCSYTMCPEGRYGHPGYPALVTYSYGGAVPSYCPAYGRVPHSCGSPGEGRGYPSPGAHSPRAGSISPGSPPYPQSRKLSYEIPTEEGGDRYPLPGHLASAGPLASAESLEPVSWREGPSGHSTLPRSPRDAPCSASSELSGPSTPLHTSSPVQGKESTRRQDTRSPTSAPTQRLSPGEALPPVSQAGTGKAPELPSGSGPEPLAPSPVSPTFPPSSPSDWPQERSPGGHSDGASPRSPVPTTLPGLRHAPWQGPRGPPDSPDGSPLTPVPSQMPWLVASPEPPQSSPTPAFPLAASYDTNGLSQPPLPEKRHLPGPGQQPGPWGPEQASSPARGISHHVTFAPLLSDNVPQTPEPPTQESQSNVKFVQ
XP_016877493.1 128 383 0.087971875 PF03798.16:TRAM_LAG1_CLN8:4:197 Ceramide synthase 3; CerS3; Dihydroceramide synthase 3; LAG1 longevity assurance homolog 3; Sphingosine N-acyltransferase CERS3; EC 2.3.1.-; EC 2.3.1.24 383 194 19 170 4 Homo sapiens (Human) SwissProt::Q8IU89 1 SwissProt RLKKFQEACWRFAFYLMITVAGIAFLYDKPWLYDLWEVWNGYPKQPLLPSQYWYYILEMSFYWSLLFRLGFDVKRKDFLAHIIHHLAAISLMSFSWCANYIRSGTLVMIVHDVADIWLESAKMFSYAGWTQTCNTLFFIFSTIFFISRLIVFPFWILYCTLILPMYHLEPFFSYIFLNLQLMILQVLHLYWGYYILKMLNRCIFMKSIQDVRSDDEDYEEEEEEEEEEATKGKEMDCLKNGLRAERHLIPNGQHGH
XP_016880727.1 307 1331 0.174985268292683 PF02210.24:Laminin_G_2:83:209,PF02210.24:Laminin_G_2:507:632,PF02210.24:Laminin_G_2:782:911,PF00054.23:Laminin_G_1:83:211,PF00054.23:Laminin_G_1:507:635,PF00054.23:Laminin_G_1:782:912 Contactin-associated protein 1; Caspr; Caspr1; Neurexin IV; Neurexin-4; p190 1384 389 19 1002 1 Homo sapiens (Human) SwissProt::P78357 1 SwissProt VGAARKNLAYRHNFRGCIENVIFNRVNIADLAVRRHSRITFEGKVAFRCLDPVPHPINFGGPHNFVQVPGFPRRGRLAVSFRFRTWDLTGLLLFSRLGDGLGHVELTLSEGQVNVSIAQSGRKKLQFAAGYRLNDGFWHEVNFVAQENHAVISIDDVEGAEVRVSYPLLIRTGTSYFFGGCPKPASRWDCHSNQTAFHGCMELLKVDGQLVNLTLVEGRRLGFYAEVLFDTCGITDRCSPNMCEHDGRCYQSWDDFICYCELTGYKGETCHTPLYKESCEAYRLSGKTSGNFTIDPDGSGPLKPFVVYCDIRENRAWTVVRHDRLWTTRVTGSSMERPFLGAIQYWNASWEEVSALANASQHCEQWIEFSCYNSRLLNTAGGYPYSFWIGRNEEQHFYWGGSQPGIQRCACGLDRSCVDPALYCNCDADQPQWRTDKGLLTFVDHLPVTQVVIGDTNRSTSEAQFFLRPLRCYGDRNSWNTISFHTGAALRFPPIRANHSLDVSFYFRTSAPSGVFLENMGGPYCQWRRPYVRVELNTSRDVVFAFDVGNGDENLTVHSDDFEFNDDEWHLVRAEINVKQARLRVDHRPWVLRPMPLQTYIWMEYDQPLYVGSAELKRRPFVGCLRAMRLNGVTLNLEGRANASEGTSPNCTGHCAHPRLPCFHGGRCVERYSYYTCDCDLTAFDGPYCNHDIGGFFEPGTWMRYNLQSALRSAAREFSHMLSRPVPGYEPGYIPGYDTPGYVPGYHGPGYRLPDYPRPGRPVPGYRGPVYNVTGEEVSFSFSTSSAPAVLLYVSSFVRDYMAVLIKDDGTLQLRYQLGTSPYVYQLTTRPVTDGQPHSINITRVYRNLFIQVDYFPLTEQKFSLLVDSQLDSPKALYLGRVMETGVIDPEIQRYNTPGFSGCLSGVRFNNVAPLKTHFRTPRPMTAELAEALRVQGELSESNCGAMPRLVSEVPPELDPWYLPPDFPYYHDEGWVAILLGFLVAFLLLGLVGMLVLFYLQNHRYKGSYHTNEPKAAHEYHPGSK
XP_017446617.1 96 514 0.747418854415274 PF12347.8:HJURP_C:2:58 myocyte-specific enhancer factor 2D isoform X1 514 57 19 419 0 Rattus norvegicus XP_017446617.1 1 RefSeq CDSPEPDGEDSLEQSPLLEDKYRRASEELDGLFRRYGSSVPAPNFAMPVTVPVSNQSSMQFSNPSSSLVTPSLVTSSLTDPRLLSPQQPALQRNSVSPGLPQRPASAGAMLGGDLNSANGACPSPVGNGYVSARASPGLLPVANGNGLNKVIPAKSPPPPTHNTQLGAPSRKPDLRVITSQGGKGLMHHLTEDHLDLNNAQRLGVSQSTHSLTTPVVSVATPSLLSQGLPFSSMPTAYNTDYQLPSAELSSLPAFSSPAGLALGNVTAWQQPQQPQQPQPPQPPQSQPQPPQPQPQQPPQQQPHLVPVSLSNLIPGSPLPHVGAALTVTTHPHISIKSEPVSPSRERSPAPPPPAVFPAARPEPGEGLSSPAGGSYETGDRDDGRGDFGPTLGLLRPAPEPEAEGSAVKRMRLDTWTLK
XP_709966.2 361 721 0.739809972299168 PF13928.6:Flocculin_t3:55:94,PF13928.6:Flocculin_t3:154:192 Cell wall protein RTB1; Repressed by TUP1 protein 1 721 79 19 361 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59TP1 1 SwissProt PESSAPESSVPESSAPETTPESSAPESSVPESSAPETETETTPTAHLTTTTAQTTTVITVTSCSNNACSKTEVTTGVVVVTSEDTIYTTFCPLTETTPVPSSVDSTSVTSAPETTPESTAPESSAPESSAPESSAPVTETPTGPVSTVTEQSKTIVTITSCSNNACSESKVTTGVVVVTSEDTVYTTFCPLTETTPATESASESSAPATESVPATESAPVAPESSAPGTETAPATESAPATESSPVAPGTETTPATPGAESTPVTPVAPESSAPAVESSPVAPGVETTPVAPVAPSTTAKTSALVSTTEGTIPTTLESVPAIQPSANSSYTIASVSSFEGAGNNMRLTYGAAIIGLAAFLI
XP_750857.2 1 244 0.253913114754098 PF16864.5:Dimerisation2:92:165 O-methyltransferase gliM; Gliotoxin biosynthesis protein M; EC 2.1.1.- 431 74 19 244 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WMJ5 1 SwissProt MAPGLLENNTLSGTAAPRTEFKAIVNDLRELQAHVQRVQSAIEAPEVQSWLNEQLHHPDQLPDKELEQLALDLVDSMDKLQLQLVPSVSLLTDGFFGYLNSKTLWTVVEAQVADRLAENGPQPVSTLGLRCGIQPERLAQLLDTLVSNGIFAYNPADDTYSNNRASLLLCHDHWTQWHLWADLYPNEFFDVSRAMPQAVRLGESRTAAQIAYGTDLDLFEYLAKEQKLAKFQKTLGAGAVAQAR
XP_756090.1 1 406 0.242506403940887 PF06500.11:DUF1100:88:398,PF00326.21:Peptidase_S9:236:365 Heptaketide hydrolyase ayg1; Conidial pigment biosynthesis protein ayg1; EC 3.7.1.- 406 311 19 406 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WZB3 1 SwissProt MPRWILGDKFDTVFPHKGSLKVLWESRWKSACSKSVYPFHDGSIEDFEPIFNHLISKNINDAASDEYTQAFLPTASALEEKAAQALQAGKHEEASNLLCRAAVVYRISRFPYVDITKPSSIKRVAFERQKQAYLKATSLWTQPIREVTVPHTYRTGNDGAHIPIYIRTPAGADQSNPVPIVLIMTGLDGYRSDNSQRTHEILARGWAAVVAEIPGTADCPADPADPASPDRLWDSVLSYLDQRPELNTAKMVVWGLSAGGYYAIRAAHTHRDRLLGAIAHGPGCHYYLDPEWLAKVNDHEYPFEITAAWATKHGYKTVEEFVAGAQKKFSLVETGIVDQPSCRLLLLNGVDDGVVPIEDCLVLFEHGSPKEGRFYKGLPHMGYPNSLPVSYEWLEQVLASPSKTKN
YP_001033919.1 1 339 0.596141592920354 PF00170.21:bZIP_1:55:116,PF03131.17:bZIP_Maf:50:115 Oncoprotein MEQ; MEQ 339 67 19 339 0 Gallid herpesvirus 2 (strain Chicken/Md5/ATCC VR-987) (GaHV-2) (Marek's disease herpesvirus type 1) SwissProt::Q9DGW5 1 SwissProt MSQEPEPGAMPYSPADDPSPLDLSLGSTSRRKKRKSHDIPNSPSKHPFPDGLSEEEKQKLERRRKRNRDAARRRRRKQTDYVDKLHEACEELQRANEHLRKEIRDLRTECTSLRVQLACHEPVCPMAVPLTVTLGLLTTPHDPVPEPPICTPPPPSPDEPNAPHCSGSQPPICTPPPPDTEELCAQLCSTPPPPISTPHIIYAPGPSPLQPPICTPAPPDAEELCAQLCSTPPPPICTPHSLFCPPQPPSPEGIFPALCPVTEPCTPPSPGTVYAQLCPVGQVPLFTPSPPHPAPEPERLYARLTEDPEQDSLYSGQIYTQFPSDTQSTVWWFPGDGRP
YP_001129365.1 67 256 0.390044736842105 E3 ubiquitin-protein ligase MIR2; IE1A protein; Modulator of immune recognition 2; ORF K5; RING-type E3 ubiquitin transferase MIR2; EC 2.3.2.27 256 0 19 144 2 Human herpesvirus 8 type P (isolate GK18) (HHV-8) (Kaposi's sarcoma-associated herpesvirus) SwissProt::P90489 1 SwissProt TQWRSRLNLWPEMERQEIFELFLLMSVVVAGLVGVALCTWTLLVILTAPAGTFSPGAVLGFLCFFGFYQIFIVFAFGGICRVSGTVRALYAANNTRVTVLPYRRPRRPTANEDNIELTVLVGPAGGTDEEPTDESSEGDVASGDKERDGSSGDEPDGGPNDRAGLRGTARTDLCAPTKKPVRKNHPKNNG
NP_001023560.1 1 402 0.0931194029850746 PF00487.24:FA_desaturase:104:351 Omega-3 fatty acid desaturase fat-1; Fatty acid desaturase 1; EC 1.14.19.- 402 248 18 336 3 Caenorhabditis elegans SwissProt::Q9NEQ0 1 SwissProt MVAHSSEGLSATAPVTGGDVLVDARASLEEKEAPRDVNANTKQATTEEPRIQLPTVDAFRRAIPAHCFERDLVKSIRYLVQDFAALTILYFALPAFEYFGLFGYLVWNIFMGVFGFALFVVGHDCLHGSFSDNQNLNDFIGHIAFSPLFSPYFPWQKSHKLHHAFTNHIDKDHGHVWIQDKDWEAMPSWKRWFNPIPFSGWLKWFPVYTLFGFCDGSHFWPYSSLFVRNSERVQCVISGICCCVCAYIALTIAGSYSNWFWYYWVPLSFFGLMLVIVTYLQHVDDVAEVYEADEWSFVRGQTQTIDRYYGLGLDTTMHHITDGHVAHHFFNKIPHYHLIEATEGVKKVLEPLSDTQYGYKSQVNYDFFARFLWFNYKLDYLVHKTAGIMQFRTTLEEKAKAK
NP_001034230.1 1 2365 0.759045369978858 PF00169.29:PH:1781:1886 TRIO and F-actin-binding protein; Protein Tara; Trio-associated repeat on actin 2365 106 18 2365 0 Homo sapiens (Human) SwissProt::Q9H2D6 1 SwissProt MEEVPGDALCEHFEANILTQNRCQNCFHPEEAHGARYQELRSPSGAEVPYCDLPRCPPAPEDPLSASTSGCQSVVDPGLRPGPKRGPSPSAGLPEEGPTAAPRSRSRELEAVPYLEGLTTSLCGSCNEDPGSDPTSSPDSATPDDTSNSSSVDWDTVERQEEEAPSWDELAVMIPRRPREGPRADSSQRAPSLLTRSPVGGDAAGQKKEDTGGGGRSAGQHWARLRGESGLSLERHRSTLTQASSMTPHSGPRSTTSQASPAQRDTAQAASTREIPRASSPHRITQRDTSRASSTQQEISRASSTQQETSRASSTQEDTPRASSTQEDTPRASSTQWNTPRASSPSRSTQLDNPRTSSTQQDNPQTSFPTCTPQRENPRTPCVQQDDPRASSPNRTTQRENSRTSCAQRDNPKASRTSSPNRATRDNPRTSCAQRDNPRASSPSRATRDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPSRATRDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPNRAARDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPNRATRDNPTTSCAQRDNPRASRTSSPNRATRDNPRTSCAQRDNPRASSPNRTTQQDSPRTSCARRDDPRASSPNRTIQQENPRTSCALRDNPRASSPSRTIQQENPRTSCAQRDDPRASSPNRTTQQENPRTSCARRDNPRASSRNRTIQRDNPRTSCAQRDNPRASSPNRTIQQENLRTSCTRQDNPRTSSPNRATRDNPRTSCAQRDNLRASSPIRATQQDNPRTCIQQNIPRSSSTQQDNPKTSCTKRDNLRPTCTQRDRTQSFSFQRDNPGTSSSQCCTQKENLRPSSPHRSTQWNNPRNSSPHRTNKDIPWASFPLRPTQSDGPRTSSPSRSKQSEVPWASIALRPTQGDRPQTSSPSRPAQHDPPQSSFGPTQYNLPSRATSSSHNPGHQSTSRTSSPVYPAAYGAPLTSPEPSQPPCAVCIGHRDAPRASSPPRYLQHDPFPFFPEPRAPESEPPHHEPPYIPPAVCIGHRDAPRASSPPRHTQFDPFPFLPDTSDAEHQCQSPQHEPLQLPAPVCIGYRDAPRASSPPRQAPEPSLLFQDLPRASTESLVPSMDSLHECPHIPTPVCIGHRDAPSFSSPPRQAPEPSLFFQDPPGTSMESLAPSTDSLHGSPVLIPQVCIGHRDAPRASSPPRHPPSDLAFLAPSPSPGSSGGSRGSAPPGETRHNLEREEYTVLADLPPPRRLAQRQPGPQAQCSSGGRTHSPGRAEVERLFGQERRKSEAAGAFQAQDEGRSQQPSQGQSQLLRRQSSPAPSRQVTMLPAKQAELTRRSQAEPPHPWSPEKRPEGDRQLQGSPLPPRTSARTPERELRTQRPLESGQAGPRQPLGVWQSQEEPPGSQGPHRHLERSWSSQEGGLGPGGWWGCGEPSLGAAKAPEGAWGGTSREYKESWGQPEAWEEKPTHELPRELGKRSPLTSPPENWGGPAESSQSWHSGTPTAVGWGAEGACPYPRGSERRPELDWRDLLGLLRAPGEGVWARVPSLDWEGLLELLQARLPRKDPAGHRDDLARALGPELGPPGTNDVPEQESHSQPEGWAEATPVNGHSPALQSQSPVQLPSPACTSTQWPKIKVTRGPATATLAGLEQTGPLGSRSTAKGPSLPELQFQPEEPEESEPSRGQDPLTDQKQADSADKRPAEGKAGSPLKGRLVTSWRMPGDRPTLFNPFLLSLGVLRWRRPDLLNFKKGWMSILDEPGEPPSPSLTTTSTSQWKKHWFVLTDSSLKYYRDSTAEEADELDGEIDLRSCTDVTEYAVQRNYGFQIHTKDAVYTLSAMTSGIRRNWIEALRKTVRPTSAPDVTKLSDSNKENALHSYSTQKGPLKAGEQRAGSEVISRGGPRKADGQRQALDYVELSPLTQASPQRARTPARTPDRLAKQEELERDLAQRSEERRKWFEATDSRTPEVPAGEGPRRGLGAPLTEDQQNRLSEEIEKKWQELEKLPLRENKRVPLTALLNQSRGERRGPPSDGHEALEKEVQALRAQLEAWRLQGEAPQSALRSQEDGHIPPGYISQEACERSLAEMESSHQQVMEELQRHHERELQRLQQEKEWLLAEETAATASAIEAMKKAYQEELSRELSKTRSLQQGPDGLRKQHQSDVEALKRELQVLSEQYSQKCLEIGALMRQAEEREHTLRRCQQEGQELLRHNQELHGRLSEEIDQLRGFIASQGMGNGCGRSNERSSCELEVLLRVKENELQYLKKEVQCLRDELQMMQKDKRFTSGKYQDVYVELSHIKTRSEREIEQLKEHLRLAMAALQEKESMRNSLAE
NP_001073990.2 1 507 0.558252071005917 Ras association domain-containing protein 10 507 0 18 507 0 Homo sapiens (Human) SwissProt::A6NK89 1 SwissProt MDPSEKKISVWICQEEKLVSGLSRRTTCSDVVRVLLEDGCRRRRRQRRSRRLGSAGDPHGPGELPEPPNEDDEDDDEALPQGMLCGPPQCYCIVEKWRGFERILPNKTRILRLWAAWGEEQENVRFVLVRSEASLPNAGPRSAEARVVLSRERPCPARGAPARPSLAMTQEKQRRVVRKAFRKLAKLNRRRQQQTPSSCSSTSSSTASSCSSSPRTHESASVERMETLVHLVLSQDHTIRQQVQRLHELDREIDHYEAKVHLDRMRRHGVNYVQDTYLVGAGIELDGSRPGEEPEEVAAEAEEAAAAPPLAGEAQAAALEELARRCDDLLRLQEQRVQQEELLERLSAEIQEELNQRWMRRRQEELAAREEPLEPDGGPDGELLLEQERVRTQLSTSLYIGLRLNTDLEAVKSDLDYSQQQWDSKKRELQGLLQTLHTLELTVAPDGAPGSGSPSREPGPQACADMWVDQARGLAKSGPGNDEDSDTGLSSMHSQDSDSLPMCESLV
NP_001093901.1 140 305 0.257492771084337 V-set and immunoglobulin domain-containing protein 4 isoform 2 precursor 305 0 18 143 1 Homo sapiens NP_001093901.1 1 RefSeq SKLLKTKTEAPTTMTYPLKATSTVKQSWDWTTDMDGYLGETSAGPGKSLPVFAIILIISLCCMVVFTMAYIMLCRKTSQQEHVYEAARAHAREANDSGETMRVAIFASGCSSDEPTSQNLGNNYSDEPCIGQEYQIIAQINGNYARLLDTVPLDYEFLATEGKSVC
NP_001105421.2 1 441 0.598038321995464 PF12498.8:bZIP_C:299:413,PF00170.21:bZIP_1:232:284,PF07716.15:bZIP_2:230:281 regulatory protein opaque-2 441 170 18 441 0 Zea mays NP_001105421.2 1 RefSeq MEHVISMEEILGPFWELLPPPAPEPEPEREQPPVTGIVVGSVIDVAAAGHGHGGGDMMDQQHATEWTFERLLEEEALTTSTPPPVVVVPNSCCSGALNVDRPPVMEEAVMMAPAAVSSAVVGDPMEYNAILRRKLEEDLEAFKMWRAASSVVTSDQRSQGSNNHTGGSSIRNNPVQNKLMNGEDPINNNHAQTAGLGVRLATSSSSRDPSPSDEDMDGEVEILGFKMPTEERVRKRKESNRESARRSRYRKAAHLKELEDQVAQLKAENSCLLRRIAALNQKYNDANVDNRVLRADMETLRAKVKMGEDSLKRVIEMSSSVPSSMPISAPTPSSDAPVPPPPIRDSIVGYFSATAADDDASVGNGFLRLQAHQEPASMVVGGTLSATEMNRVAAATHCAGAMELIQTAMGSMPPTSASGSTPPPQDYELLGPNGAIHMDMY
NP_001119212.1 1 734 0.22070272479564 PF00924.18:MS_channel:514:719 Mechanosensitive ion channel protein 10; Mechanosensitive channel of small conductance-like 10; MscS-Like protein 10; AtMSL10 734 206 18 600 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LYG9 1 SwissProt MAEQKSSNGGGGGGDVVINVPVEEASRRSKEMASPESEKGVPFSKSPSPEISKLVGSPNKPPRAPNQNNVGLTQRKSFARSVYSKPKSRFVDPSCPVDTSILEEEVREQLGAGFSFSRASPNNKSNRSVGSPAPVTPSKVVVEKDEDEEIYKKVKLNREMRSKISTLALIESAFFVVILSALVASLTINVLKHHTFWGLEVWKWCVLVMVIFSGMLVTNWFMRLIVFLIETNFLLRRKVLYFVHGLKKSVQVFIWLCLILVAWILLFNHDVKRSPAATKVLKCITRTLISILTGAFFWLVKTLLLKILAANFNVNNFFDRIQDSVFHQYVLQTLSGLPLMEEAERVGREPSTGHLSFATVVKKGTVKEKKVIDMGKVHKMKREKVSAWTMRVLMEAVRTSGLSTISDTLDETAYGEGKEQADREITSEMEALAAAYHVFRNVAQPFFNYIEEEDLLRFMIKEEVDLVFPLFDGAAETGRITRKAFTEWVVKVYTSRRALAHSLNDTKTAVKQLNKLVTAILMVVTVVIWLLLLEVATTKVLLFFSTQLVALAFIIGSTCKNLFESIVFVFVMHPYDVGDRCVVDGVAMLVEEMNLLTTVFLKLNNEKVYYPNAVLATKPISNYFRSPNMGETVEFSISFSTPVSKIAHLKERIAEYLEQNPQHWAPVHSVVVKEIENMNKLKMALYSDHTITFQENRERNLRRTELSLAIKRMLEDLHIDYTLLPQDINLTKKN
NP_001123633.1 1 989 0.489669666329625 PF10264.9:Stork_head:111:189 Storkhead-box protein 1; Winged-helix domain-containing protein 989 79 18 989 0 Homo sapiens (Human) SwissProt::Q6ZVD7 1 SwissProt MARPVQLAPGSLALVLCRLEAQKAAGAAEEPGGRAVFRAFRRANARCFWNARLARAASRLAFQGWLRRGVLLVRAPPACLQVLRDAWRRRALRPPRGFRIRAVGDVFPVQMNPITQSQFVPLGEVLCCAISDMNTAQIVVTQESLLERLMKHYPGIAIPSEDILYTTLGTLIKERKIYHTGEGYFIVTPQTYFITNTTTQENKRMLPSDESRLMPASMTYLVSMESCAESAQENAAPISHCQSCQCFRDMHTQDVQEAPVAAEVTRKSHRGLGESVSWVQNGAVSVSAEHHICESTKPLPYTRDKEKGKKFGFSLLWRSLSRKEKPKTEHSSFSAQFPPEEWPVRDEDDLDNIPRDVEHEIIKRINPILTVDNLIKHTVLMQKYEEQKKYNSQGTSTDMLTIGHKYPSKEGVKKRQGLSAKPQGQGHSRRDRHKARNQGSEFQPGSIRLEKHPKLPATQPIPRIKSPNEMVGQKPLGEITTVLGSHLIYKKRISNPFQGLSHRGSTISKGHKIQKTSDLKPSQTGPKEKPFQKPRSLDSSRIFDGKAKEPYAEQPNDKMEAESIYINDPTVKPINDDFRGHLFSHPQQSMLQNDGKCCPFMESMLRYEVYGGENEVIPEVLRKSHSHFDKLGETKQTPHSLPSRGASFSDRTPSACRLVDNTIHQFQNLGLLDYPVGVNPLRQAARQDKDSEELLRKGFVQDAETTSLENEQLSNDDQALYQNEVEDDDGACSSLYLEEDDISENDDLRQMLPGHSQYSFTGGSQGNHLGKQKVIERSLTEYNSTMERVESQVLKRNECYKPTGLHATPGESQEPNLSAESCGLNSGAQFGFNYEEEPSVAKCVQASAPADERIFDYYSARKASFEAEVIQDTIGDTGKKPASWSQSPQNQEMRKHFPQKFQLFNTSHMPVLAQDVQYEHSHLEGTENHSMAGDSGIDSPRTQSLGSNNSVILDGLKRRQNFLQNVEGTKSSQPLTSNSLLPLTPVINV
NP_001153466.1 1 286 0.285288811188811 PF00688.18:TGFb_propeptide:66:245 Growth/differentiation factor 6-A; GDF-6-A; Growth differentiation factor 6A; Protein radar 404 180 18 263 1 Danio rerio (Zebrafish) (Brachydanio rerio) SwissProt::P85857 1 SwissProt MDALRAVAFYALFVFLWSLPCCQSAALISQKRSKGARSAFDGQRSHKFLKEILASSPGASRRDDFKDPVVPHDYMISIYRTYSAAEKLGLNASFFRSSKSANTITSFVDKGKDDLTLSPLRRQTYLFDVSTLSDKEELVGAELRIFRKSPGDVQPSPSGVYNLHLLSCRSERPLASRSIDLQDSRKAEWEVLDVWGIFKHRHQENQLCLQLKVTYGKSDTEIDLKQLGFHRHSRTQQERAILVVYTRSKKRENLFNEMKEKIKSRGDDDEEESALQFKARRRRRTA
NP_001170788.1 1 599 0.122159933222037 PF02690.15:Na_Pi_cotrans:85:207,PF02690.15:Na_Pi_cotrans:376:462 sodium-dependent phosphate transport protein 2C 599 210 18 360 11 Homo sapiens CharProtDB::CH_091419 1 CharProtDB MPSSLPGSQVPHPTLDAVDLVEKTLRNEGTSSSAPVLEEGDTDPWTLPQLKDTSQPWKELRVAGRLRRVAGSVLKACGLLGSLYFFICSLDVLSSAFQLLGSKVAGDIFKDNVVLSNPVAGLVIGVLVTALVQSSSTSSSIVVSMVAAKLLTVRVSVPIIMGVNVGTSITSTLVSMAQSGDRDEFQRAFSGSAVHGIFNWLTVLVLLPLESATALLERLSELALGAASLTPRAQAPDILKVLTKPLTHLIVQLDSDMIMSSATGNATNSSLIKHWCGTTGQPTQENSSCGAFGPCTEKNSTAPADRLPCRHLFAGTELTDLAVGCILLAGSLLVLCGCLVLIVKLLNSVLRGRVAQVVRTVINADFPFPLGWLGGYLAVLAGAGLTFALQSSSVFTAAVVPLMGVGVISLDRAYPLLLGSNIGTTTTALLAALASPADRMLSALQVALIHFFFNLAGILLWYLVPALRLPIPLARHFGVVTARYRWVAGVYLLLGFLLLPLAAFGLSLAGGMVLAAVGGPLVGLVLLVILVTVLQRRRPAWLPVRLRSWAWLPVWLHSLEPWDRLVTRCCPCNVCSPPKATTKEAYCYENPEILASQQL
NP_001177189.1 1 163 0.6467 PF15666.5:HGAL:51:138 germinal center-associated signaling and motility protein isoform 4 163 88 18 163 0 Homo sapiens NP_001177189.1 1 RefSeq MGNSLLRENRRQQNTQEMPWNVRMQSPKQRTSRKKILIFEKRQDSQNENERMSSTPIQDNVDQTYSEELCYTLINHRVLCTRPSGNSAEEYYENVPCKAERPRESLGGTETEYSLLHMPSTDPRHARSPEDEYELLMPHRISSHFLQQPRPLMAPSETQFSHL
NP_001188527.1 1 522 0.641917816091954 PF00651.31:BTB:22:121 broad, isoform N 724 100 18 522 0 Drosophila melanogaster NP_001188527.1 1 RefSeq MDDTQHFCLRWNNYQSSITSAFENLRDDEAFVDVTLACEGRSIKAHRVVLSACSPYFRELLKSTPCKHPVILLQDVNFMDLHALVEFIYHGEVNVHQKSLQSFLKTAEVLRVSGLTQQQAEDTHSHLAQIQNLANSGGRTPLNTHTQSLPHPHHGSLHDDGGSSTLFSRQGAGSPPPTAVPSLPSHINNQLLKRMAMMHRSSAAAAAEETSHAFKRLRGSDNSLPLSGAVGSGSNNNSPDLPPLHARSASPQQTPADFSTIKHHNNNNTPPLKEEKRNGPTGNGNSGNGNGNGNGASNGNGISISDKLGSLTPSPLARAGADDVKSEPMDMVCSNNNANANDEHSNDSTGEHDANRSSSGDGGKGSLSSGNDEEIGDGLASHHAAPQFIMSPAENKMFHAAAFNFPNIDPSALLGLNTQLQQSGDLAVSPQGKLTTNATTTTTTINNSITNNNNNNNYDYSLPTKNSNSQKTPSPTTTTLTTPTTTTPTRPTAITSASGICGLNLSTFAANGSSSGGSNGGL
NP_001240841.1 1 839 0.458452681764004 PF11830.8:DUF3350:784:839,PF00640.23:PID:286:378 TBC1 domain family member 1 isoform 2 1159 149 18 839 0 Homo sapiens NP_001240841.1 1 RefSeq MEPITFTARKHLLSNEVSVDFGLQLVGSLPVHSLTTMPMLPWVVAEVRRLSRQSTRKEPVTKQVRLCVSPSGLRCEPEPGRSQQWDPLIYSSIFECKPQRVHKLIHNSHDPSYFACLIKEDAVHRQSICYVFKADDQTKVPEIISSIRQAGKIARQEELHCPSEFDDTFSKKFEVLFCGRVTVAHKKAPPALIDECIEKFNHVSGSRGSESPRPNPPHAAPTGSQEPVRRPMRKSFSQPGLRSLAFRKELQDGGLRSSGFFSSFEESDIENHLISGHNIVQPTDIEENRTMLFTIGQSEVYLISPDTKKIALEKNFKEISFCSQGIRHVDHFGFICRESSGGGGFHFVCYVFQCTNEALVDEIMMTLKQAFTVAAVQQTAKAPAQLCEGCPLQSLHKLCERIEGMNSSKTKLELQKHLTTLTNQEQATIFEEVQKLRPRNEQRENELIISFLRCLYEEKQKEHIHIGEMKQTSQMAAENIGSELPPSATRFRLDMLKNKAKRSLTESLESILSRGNKARGLQEHSISVDLDSSLSSTLSNTSKEPSVCEKEALPISESSFKLLGSSEDLSSDSESHLPEEPAPLSPQQAFRRRANTLSHFPIECQEPPQPARGSPGVSQRKLMRYHSVSTETPHERNVDPSPVGESKHRPGQSSAPAPPPRLNPSASSPNFFKYLKHNSSGEQSGNAVPKSISYRNALRKKLHSSSSVPNFLKFLAPVDENNTSDFMNTKRDFESKANHLGDSGGTPVKTRRHSWRQQIFLRVATPQKACDSSSRYEDYSELGELPPRSPLEPVCEDGPFGPPPEEKKRTSRELRELWQKAILQQILLLRMEKENQKLQ
NP_001272742.1 293 685 0.653232569974555 dystrobrevin alpha isoform c 685 0 18 393 0 Mus musculus NP_001272742.1 1 RefSeq KSPAKKLTNALSKSLSCASSREPLHPMFPDQPEKPLNLAHIVDTWPPRPVTSMNDTLFSHSVPSSGSPFITRSMLESSNRLDEEHRLIARYAARLAAESSSSQPTQQRSAPDISFTIDANKQQRQLIAELENKNREILQEIQRLRVEHEQASQPTPEKAQQNPTLLAELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKTQGASSPRSSPSHTISRPIPMPIRSASACPTPTHTPQDSLTGVGGDVQEAFAQSSRRNLRSDLLVAADSITNTMSSLVKELNSEVASETESTVDSEFSRPQFEDLAPSPTSEKAFLAQIHSRKPGYIHGGAASTTHGDMVPEDGDPYTQPEDGNYENESVRQLENELQLEEYLKQKLQDEAYQVSLQG
NP_001273751.1 1 142 0.354965492957747 pituitary tumor-transforming gene 1 protein-interacting protein isoform 2 precursor 142 0 18 142 0 Homo sapiens NP_001273751.1 1 RefSeq MAPGVARGPTPYWRLRLGGAALLLLLIPVAAAQEPPGAACSQNTNKTCEECLKNVSACLKKKTRMLDLKTTKALQHISPDASCEVHAPQPSPAGRPRGHCGLLTLASEPASLPGQAAGELPLKDSPLVLQTGDLLFPVHLCF
NP_001289390.1 1 153 0.774512418300654 Krueppel-like factor 13 isoform 2 280 0 18 153 0 Homo sapiens NP_001289390.1 1 RefSeq MAAAAYVDHFAAECLVSMSSRAVVHGPREGPESRPEGAAVAATPTLPRVEERRDGKDSASLFVVARILADLNQQAPAPAPAERREGAAARKARTPCRLPPPAPEPTSPGAEGAAAAPPSPAWSEPEPEAGLEPEREPGPAGSGEPGLRQRVRR
NP_001295308.1 1 378 0.197548148148148 zinc finger MYND domain-containing protein 10 isoform 2 435 0 18 378 0 Homo sapiens NP_001295308.1 1 RefSeq MGDLELLLPGEAEVLVRGLRSFPLREMGSEGWNQQHENLEKLNMQAILDATVSQGEPIQELLVTHGKVPTLVEELIAVEMWKQKVFPVFCRVEDFKPQNTFPIYMVVHHEASIINLLETVFFHKEVCESAEDTVLDLVDYCHRKLTLLVAQSGCGGPPEGEGSQDSNPMQELQKQAELMEFEIALKALSVLRYITDCVDRQWSVSQPPQLAHLKRIQRLHPVCWFLSPGKLQQFEGSRWHTVAPSEQQKLSKLDGQVWIALYNLLLSPEAQARYCLTSFAKGRLLKLRAFLTDTLLDQLPNLAHLQSFLAHLTLTETQPPKKDLVLEQIPEIWERLERENRGKWQAIAKHQLQHVFSPSEQDLRLQARRWAETYRLDV
NP_001296368.1 1 77 0.539824675324676 PF00123.20:Hormone_2:32:59 secretin isoform 3 precursor 77 28 18 77 0 Mus musculus NP_001296368.1 1 RefSeq MEPPLPTPMLLLLLLLLSSSAALPAPPRTPRHSDGMFTSELSRLQDSARLQRLLQGLVGKRRTQKISQRTAWPGPSP
NP_001297017.1 1 880 0.528730909090909 PF00046.29:Homeodomain:540:581 activity-dependent neuroprotector homeobox protein isoform 2 880 42 18 880 0 Mus musculus NP_001297017.1 1 RefSeq MPKSYEALVQHVIEDHERIGYQVTAMIGHTNVVVPRAKPLMLIAPKPQDKKGMGLPPRISSLASGNVRSLPSQQMVNRLSIPKPNLNSTGVNMMSNVHLQQNNYGVKSVGQSYGVGQSVRLGLGGNAPVSIPQQSQSVKQLLPSGNGRSFGLGAEQRPPAAARYSLQTANTSLPPGQVKSPSVSQSQASRVLGQSSSKPPPAATGPPPSNHCATQKWKICTICNELFPENVYSVHFEKEHKAEKVPAVANYIMKIHNFTSKCLYCNRYLPTDTLLNHMLIHGLSCPYCRSTFNDVEKMAAHMRMVHIDEEMGPKTDSTLSFDLTLQQGSHTNIHLLVTTYNLRDAPAESVAYHAQNNAPVPPKPQPKVQEKADVPVKSSPQAAVPYKKDVGKTLCPLCFSILKGPISDALAHHLRERHQVIQTVHPVEKKLTYKCIHCLGVYTSNMTASTITLHLVHCRGVGKTQNGQDKTNAPSRLNQSPGLAPVKRTYEQMEFPLLKKRKLEEDADSPSCFEEKPEEPVVLALDPKGHEDDSYEARKSFLTKYFNKQPYPTRREIEKLAASLWLWKSDIASHFSNKRKKCVRDCEKYKPGVLLGFNMKELNKVKHEMDFDAEWLFENHDEKDSRVNASKTVDKKHNLGKEDDSFSDSFEHLEEESNGSGSPFDPVFEVEPKIPSDNLEEPVPKVIPEGALESEKLDQKEEEEEEEEEDGSKYETIHLTEEPAKLMHDASDSEVDQDDVVEWKDGASPSESGPGSQQISDFEDNTCEMKPGTWSDESSQSEDARSSKPAAKKKATVQDDTEQLKWKNSSYGKVEGFWSKDQSQWENASENAERLPNPQIEWQNSTIDSEDGEQFDSMTDGVADPMHGSLTGVKLSSQQA
NP_001311415.1 175 573 0.360713533834586 interleukin-10 receptor subunit alpha isoform 2 precursor 573 0 18 376 1 Mus musculus NP_001311415.1 1 RefSeq FSELKNATKRVKQETFTLTVPIGVRKFCVKVLPRLESRINKAEWSEEQCLLITTEQYFTVTNLSILVISMLLFCGILVCLVLQWYIRHPGKLPTVLVFKKPHDFFPANPLCPETPDAIHIVDLEVFPKVSLELRDSVLHGSTDSGFGSGKPSLQTEESQFLLPGSHPQIQGTLGKEESPGLQATCGDNTDSGICLQEPGLHSSMGPAWKQQLGYTHQDQDDSDVNLVQNSPGQPKYTQDASALGHVCLLEPKAPEEKDQVMVTFQGYQKQTRWKAEAAGPAECLDEEIPLTDAFDPELGVHLQDDLAWPPPALAAGYLKQESQGMASAPPGTPSRQWNQLTEEWSLLGVVSCEDLSIESWRFAHKLDPLDCGAAPGGLLDSLGSNLVTLPLISSLQVEE
NP_001324624.1 218 437 0.182888636363636 PF00450.22:Peptidase_S10:2:217 Serine carboxypeptidase-like 10; Sinapoylglucose--anthocyanin acyltransferase; Sinapoylglucose--anthocyanin sinapoyltransferase; SAT; EC 2.3.1.- 437 216 18 220 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64810 1 SwissProt KNYRIPFSHGMSLISDELYESLKRNCKGNYENVDPRNTKCVRLVEEYHKCTDKINTQHILIPDCDKKGHGITSPDCYYYLYFLIECWANNERVREALHVTKGTKGQWQRCNWTIPYDNNIISSVPYHMDNSINGYRSLIYSGDHDITMPFQATQAWIKSLNYSIVDDWRPWMINDQIAGYTRTYSNKMTFATVKGGGHTAEYLPNESSIMFQRWISGQPL
NP_001366.1 1 360 0.229488888888889 PF03265.15:DNase_II:18:347 Deoxyribonuclease-2-alpha; Acid DNase; Deoxyribonuclease II alpha; DNase II alpha; Lysosomal DNase II; R31240_2; EC 3.1.22.1 360 330 18 360 0 Homo sapiens (Human) SwissProt::O00115 1 SwissProt MIPLLLAALLCVPAGALTCYGDSGQPVDWFVVYKLPALRGSGEAAQRGLQYKYLDESSGGWRDGRALINSPEGAVGRSLQPLYRSNTSQLAFLLYNDQPPQPSKAQDSSMRGHTKGVLLLDHDGGFWLVHSVPNFPPPASSAAYSWPHSACTYGQTLLCVSFPFAQFSKMGKQLTYTYPWVYNYQLEGIFAQEFPDLENVVKGHHVSQEPWNSSITLTSQAGAVFQSFAKFSKFGDDLYSGWLAAALGTNLQVQFWHKTVGILPSNCSDIWQVLNVNQIAFPGPAGPSFNSTEDHSKWCVSPKGPWTCVGDMNRNQGEEQRGGGTLCAQLPALWKAFQPLVKNYQPCNGMARKPSRAYKI
NP_004706.3 277 878 0.699779401993355 PF09309.10:FCP1_C:440:602 RNA polymerase II subunit A C-terminal domain phosphatase; TFIIF-associating CTD phosphatase; EC 3.1.3.16 961 163 18 602 0 Homo sapiens (Human) SwissProt::Q9Y5B0 1 SwissProt CIDPFSKTGNLRNLFPCGDSMVCIIDDREDVWKFAPNLITVKKYVYFQGTGDMNAPPGSRESQTRKKVNHSRGTEVSEPSPPVRDPEGVTQAPGVEPSNGLEKPARELNGSEAATPRDSPRPGKPDERDIWPPAQAPTSSQELAGAPEPQGSCAQGGRVAPGQRPAQGATGTDLDFDLSSDSESSSESEGTKSSSSASDGESEGKRGRQKPKAAPEGAGALAQGSSLEPGRPAAPSLPGEAEPGAHAPDKEPELGGQEEGERDGLCGLGNGCADRKEAETESQNSELSGVTAGESLDQSMEEEEEEDTDEDDHLIYLEEILVRVHTDYYAKYDRYLNKEIEEAPDIRKIVPELKSKVLADVAIIFSGLHPTNFPIEKTREHYHATALGAKILTRLVLSPDAPDRATHLIAARAGTEKVLQAQECGHLHVVNPDWLWSCLERWDKVEEQLFPLRDDHTKAQRENSPAAFPDREGVPPTALFHPMPVLPKAQPGPEVRIYDSNTGKLIRTGARGPPAPSSSLPIRQEPSSFRAVPPPQPQMFGEELPDAQDGEQPGPSRRKRQPSMSETMPLYTLCKEDLESMDKEVDDILGEGSDDSDSEKRR
NP_004834.1 1 636 0.303145911949686 PF00041.21:fn3:321:402 Interleukin-27 receptor subunit alpha; IL-27 receptor subunit alpha; IL-27R subunit alpha; IL-27R-alpha; IL-27RA; Cytokine receptor WSX-1; Cytokine receptor-like 1; Type I T-cell cytokine receptor; TCCR; ZcytoR1 636 82 18 613 1 Homo sapiens (Human) SwissProt::Q6UWB1 1 SwissProt MRGGRGAPFWLWPLPKLALLPLLWVLFQRTRPQGSAGPLQCYGVGPLGDLNCSWEPLGDLGAPSELHLQSQKYRSNKTQTVAVAAGRSWVAIPREQLTMSDKLLVWGTKAGQPLWPPVFVNLETQMKPNAPRLGPDVDFSEDDPLEATVHWAPPTWPSHKVLICQFHYRRCQEAAWTLLEPELKTIPLTPVEIQDLELATGYKVYGRCRMEKEEDLWGEWSPILSFQTPPSAPKDVWVSGNLCGTPGGEEPLLLWKAPGPCVQVSYKVWFWVGGRELSPEGITCCCSLIPSGAEWARVSAVNATSWEPLTNLSLVCLDSASAPRSVAVSSIAGSTELLVTWQPGPGEPLEHVVDWARDGDPLEKLNWVRLPPGNLSALLPGNFTVGVPYRITVTAVSASGLASASSVWGFREELAPLVGPTLWRLQDAPPGTPAIAWGEVPRHQLRGHLTHYTLCAQSGTSPSVCMNVSGNTQSVTLPDLPWGPCELWVTASTIAGQGPPGPILRLHLPDNTLRWKVLPGILFLWGLFLLGCGLSLATSGRCYHLRHKVLPRWVWEKVPDPANSSSGQPHMEQVPEAQPLGDLPILEVEEMEPPPVMESSQPAQATAPLDSGYEKHFLPTPEELGLLGPPRPQVLA
NP_005121.1 1 234 0.322907692307692 PF06473.12:FGF-BP1:9:231 Fibroblast growth factor-binding protein 1; FGF-BP; FGF-BP1; FGF-binding protein 1; FGFBP-1; 17 kDa heparin-binding growth factor-binding protein; 17 kDa HBGF-binding protein; HBp17 234 223 18 234 0 Homo sapiens (Human) SwissProt::Q14512 1 SwissProt MKICSLTLLSFLLLAAQVLLVEGKKKVKNGLHSKVVSEQKDTLGNTQIKQKSRPGNKGKFVTKDQANCRWAATEQEEGISLKVECTQLDHEFSCVFAGNPTSCLKLKDERVYWKQVARNLRSQKDICRYSKTAVKTRVCRKDFPESSLKLVSSTLFGNTKPRKEKTEMSPREHIKGKETTPSSLAVTQTMATKAPECVEDPDMANQRKTALEFCGETWSSLCTFFLSIVQDTSC
NP_005221.2 153 407 0.477865490196078 ETS domain-containing protein Elk-3 407 0 18 255 0 Homo sapiens P41970 1 SwissProt/TReMBL NPPDAFKAIKTEKLEEPPEDSPPVEEVRTVIRFVTNKTDKHVTRPVVSLPSTSEAAAASAFLASSVSAKISSLMLPNAASISSASPFSSRSPSLSPNSPLPSEHRSLFLEAACHDSDSLEPLNLSSGSKTKSPSLPPKAKKPKGLEISAPPLVLSGTDIGSIALNSPALPSGSLTPAFFTAQTPNGLLLTPSPLLSSIHFWSSLSPVAPLSPARLQGPSTLFQFPTLLNGHMPVPIPSLDRAASPVLLSSNSQKS
NP_005530.3 1 412 0.152107038834952 PF03372.23:Exo_endo_phos:16:385 Inositol polyphosphate-5-phosphatase A; 43 kDa inositol polyphosphate 5-phosphatase; Type I inositol 1,4,5-trisphosphate 5-phosphatase; 5PTase; EC 3.1.3.56 412 370 18 412 0 Homo sapiens (Human) SwissProt::Q14642 1 SwissProt MAGKAAAPGTAVLLVTANVGSLFDDPENLQKNWLREFYQVVHTHKPHFMALHCQEFGGKNYEASMSHVDKFVKELLSSDAMKEYNRARVYLDENYKSQEHFTALGSFYFLHESLKNIYQFDFKAKKYRKVAGKEIYSDTLESTPMLEKEKFPQDYFPECKWSRKGFIRTRWCIADCAFDLVNIHLFHDASNLVAWETSPSVYSGIRHKALGYVLDRIIDQRFEKVSYFVFGDFNFRLDSKSVVETLCTKATMQTVRAADTNEVVKLIFRESDNDRKVMLQLEKKLFDYFNQEVFRDNNGTALLEFDKELSVFKDRLYELDISFPPSYPYSEDARQGEQYMNTRCPAWCDRILMSPSAKELVLRSESEEKVVTYDHIGPNVCMGDHKPVFLAFRIMPGAGKPHAHVHKCCVVQ
NP_006155.2 52 434 0.526325848563969 Nuclear factor erythroid 2-related factor 2; NF-E2-related factor 2; NFE2-related factor 2; Nrf-2; HEBP1; Nuclear factor, erythroid derived 2, like 2 605 0 18 383 0 Homo sapiens (Human) SwissProt::Q16236 1 SwissProt KKLEKERQEQLQKEQEKAFFAQLQLDEETGEFLPIQPAQHIQSETSGSANYSQVAHIPKSDALYFDDCMQLLAQTFPFVDDNEVSSATFQSLVPDIPGHIESPVFIATNQAQSPETSVAQVAPVDLDGMQQDIEQVWEELLSIPELQCLNIENDKLVETTMVPSPEAKLTEVDNYHFYSSIPSMEKEVGNCSPHFLNAFEDSFSSILSTEDPNQLTVNSLNSDATVNTDFGDEFYSAFIAEPSISNSMPSPATLSHSLSELLNGPIDVSDLSLCKAFNQNHPESTAEFNDSDSGISLNTSPSVASPEHSVESSSYGDTLLGLSDSEVEELDSAPGSVKQNGPKTPVHSSGDMVQPLSPSQGQSTHVHDAQCENTPEKELPVSP
NP_006319.1 157 669 0.473056530214425 RNA-binding protein 14; Paraspeckle protein 2; PSP2; RNA-binding motif protein 14; RRM-containing coactivator activator/modulator; Synaptotagmin-interacting protein; SYT-interacting protein 669 0 18 513 0 Homo sapiens (Human) SwissProt::Q96PK6 1 SwissProt LAVQSGDKTKKPGAGDTAFPGTGGFSATFDYQQAFGNSTGGFDGQARQPTPPFFGRDRSPLRRSPPRASYVAPLTAQPATYRAQPSVSLGAAYRAQPSASLGVGYRTQPMTAQAASYRAQPSVSLGAPYRGQLASPSSQSAAASSLGPYGGAQPSASALSSYGGQAAAASSLNSYGAQGSSLASYGNQPSSYGAQAASSYGVRAAASSYNTQGAASSLGSYGAQAASYGAQSAASSLAYGAQAASYNAQPSASYNAQSAPYAAQQAASYSSQPAAYVAQPATAAAYASQPAAYAAQATTPMAGSYGAQPVVQTQLNSYGAQASMGLSGSYGAQSAAAATGSYGAAAAYGAQPSATLAAPYRTQSSASLAASYAAQQHPQAAASYRGQPGNAYDGAGQPSAAYLSMSQGAVANANSTPPPYERTRLSPPRASYDDPYKKAVAMSKRYGSDRRLAELSDYRRLSESQLSFRRSPTKSSLDYRRLPDAHSDYARYSGSYNDYLRAAQMHSGYQRRM 1
NP_008818.3 221 494 0.529595620437956 mRNA decay activator protein ZFP36L2; Butyrate response factor 2; EGF-response factor 2; ERF-2; TPA-induced sequence 11d; Zinc finger protein 36, C3H1 type-like 2; ZFP36-like 2 494 0 18 274 0 Homo sapiens (Human) SwissProt::P47974 1 SwissProt RRPAPSGGASGDLRAFGTRDALHLGFPREPRPKLHHSLSFSGFPSGHHQPPGGLESPLLLDSPTSRTPPPPSCSSASSCSSSASSCSSASAASTPSGAPTCCASAAAAAAAALLYGTGGAEDLLAPGAPCAACSSASCANNAFAFGPELSSLITPLAIQTHNFAAVAAAAYYRSQQQQQQQGLAPPAQPPAPPSATLPAGAAAPPSPPFSFQLPRRLSDSPVFDAPPSPPDSLSDRDSYLSGSLSSGSLSGSESPSLDPGRRLPIFSRLSISDD
NP_009198.4 1 805 0.156766459627329 PF07810.13:TMC:540:646 Transmembrane channel-like protein 6; Epidermodysplasia verruciformis protein 1; Protein LAK-4 805 107 18 580 10 Homo sapiens (Human) SwissProt::Q7Z403 1 SwissProt MAQPLAFILDVPETPGDQGQGPSPYDESEVHDSFQQLIQEQSQCTAQEGLELQQREREVTGSSQQTLWRPEGTQSTATLRILASMPSRTIGRSRGAIISQYYNRTVQLRCRSSRPLLGNFVRSAWPSLRLYDLELDPTALEEEEKQSLLVKELQSLAVAQRDHMLRGMPLSLAEKRSLREKSRTPRGKWRGQPGSGGVCSCCGRLRYACVLALHSLGLALLSALQALMPWRYALKRIGGQFGSSVLSYFLFLKTLLAFNALLLLLLVAFIMGPQVAFPPALPGPAPVCTGLELLTGAGCFTHTVMYYGHYSNATLNQPCGSPLDGSQCTPRVGGLPYNMPLAYLSTVGVSFFITCITLVYSMAHSFGESYRVGSTSGIHAITVFCSWDYKVTQKRASRLQQDNIRTRLKELLAEWQLRHSPRSVCGRLRQAAVLGLVWLLCLGTALGCAVAVHVFSEFMIQSPEAAGQEAVLLVLPLVVGLLNLGAPYLCRVLAALEPHDSPVLEVYVAICRNLILKLAILGTLCYHWLGRRVGVLQGQCWEDFVGQELYRFLVMDFVLMLLDTLFGELVWRIISEKKLKRRRKPEFDIARNVLELIYGQTLTWLGVLFSPLLPAVQIIKLLLVFYVKKTSLLANCQAPRRPWLASHMSTVFLTLLCFPAFLGAAVFLCYAVWQVKPSSTCGPFRTLDTMYEAGRVWVRHLEAAGPRVSWLPWVHRYLMENTFFVFLVSALLLAVIYLNIQVVRGQRKVICLLKEQISNEGEDKIFLINKLHSIYERKEREERSRVGTTEEAAAPPALLTDEQDA
NP_009399.2 1 1274 0.115897095761382 PF12816.7:Vps8:538:735 Vacuolar protein sorting-associated protein 8; Vacuolar protein-targeting protein 8 1274 198 18 1274 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39702 1 SwissProt MEQNGLDHDSRSSIDTTINDTQKTFLEFRSYTQLSEKLASSSSYTAPPLNEDGPKGVASAVSQGSESVVSWTTLTHVYSILGAYGGPTCLYPTATYFLMGTSKGCVLIFNYNEHLQTILVPTLSEDPSIHSIRSPVKSIVICSDGTHVAASYETGNICIWNLNVGYRVKPTSEPTNGMTPTPALPAVLHIDDHVNKEITGLDFFGARHTALIVSDRTGKVSLYNGYRRGFWQLVYNSKKILDVNSSKEKLIRSKLSPLISREKISTNLLSVLTTTHFALILLSPHVSLMFQETVEPSVQNSLVVNSSISWTQNCSRVAYSVNNKISVISISSSDFNVQSASHSPEFAESILSIQWIDQLLLGVLTISHQFLVLHPQHDFKILLRLDFLIHDLMIPPNKYFVISRRSFYLLTNYSFKIGKFVSWSDITLRHILKGDYLGALEFIESLLQPYCPLANLLKLDNNTEERTKQLMEPFYNLSLAALRFLIKKDNADYNRVYQLLMVVVRVLQQSSKKLDSIPSLDVFLEQGLEFFELKDNAVYFEVVANIVAQGSVTSISPVLFRSIIDYYAKEENLKVIEDLIIMLNPTTLDVDLAVKLCQKYNLFDLLIYIWNKIFDDYQTPVVDLIYRISNQSEKCVIFNGPQVPPETTIFDYVTYILTGRQYPQNLSISPSDKCSKIQRELSAFIFSGFSIKWPSNSNHKLYICENPEEEPAFPYFHLLLKSNPSRFLAMLNEVFEASLFNDDNDMVASVGEAELVSRQYVIDLLLDAMKDTGNSDNIRVLVAIFIATSISKYPQFIKVSNQALDCVVNTICSSRVQGIYEISQIALESLLPYYHSRTTENFILELKEKNFNKVLFHIYKSENKYASALSLILETKDIEKEYNTDIVSITDYILKKCPPGSLECGKVTEVIETNFDLLLSRIGIEKCVTIFSDFDYNLHQEILEVKNEETQQKYLDKLFSTPNINNKVDKRLRNLHIELNCKYKSKREMILWLNGTVLSNAESLQILDLLNQDSNFEAAAIIHERLESFNLAVRDLLSFIEQCLNEGKTNISTLLESLRRAFDDCNSAGTEKKSCWILLITFLITLYGKYPSHDERKDLCNKLLQEAFLGLVRSKSSSQKDSGGEFWEIMSSVLEHQDVILMKVQDLKQLLLNVFNTYKLERSLSELIQKIIEDSSQDLVQQYRKFLSEGWSIHTDDCEICGKKIWGAGLDPLLFLAWENVQRHQDMISVDLKTPLVIFKCHHGFHQTCLENLAQKPDEYSCLICQTESNPKIV
NP_009447.1 1 486 0.596300411522633 PF00010.26:HLH:286:344 Retrograde regulation protein 3 486 59 18 486 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38165 1 SwissProt MMNNNESEAENQRLLDELMNQTKVLQETLDFSLVTPTPHHNDDYKIHGSAYPGGETPAQQHEKLSYINTHNSNDNNNLMGSQARSNSQTPTASTIYEEAESQSSYLDDMFRTSQGGRPVTQNSISSIGQGPLRSSYSMAYDSPVDRAMNTPLQQQEGLKAELPHDFLFQHGTDDTMYNLTDDLSSSLSSSINSDMMTPNTYSSSFSYNPQSLGPASVSSTYSPKVRSPSSSFRAGSFLSSSFRHGSINTPRTRHTSISSNMTENIGPGSVPKILGGLTSDEKLRRKREFHNAVERRRRELIKQKIKELGQLVPPSLLNYDDLGKQIKPNKGIILDRTVEYLQYLAEILEIQARKKKALLAKIKELEEKKSSVAALSPFTNNHHASSGQNNSENSEERIIDIRSVPNALMNEQNSKAELHNWEPPLYDSVGNHNHAGTMESHPHTNIHEELKEFLSGDLIEAEDNAKLMFGDDNSNPADYLLEFGSG
NP_009499.1 1 525 0.621416380952381 PF00249.31:Myb_DNA-binding:76:120,PF13921.6:Myb_DNA-bind_6:78:120 Transcriptional regulatory protein TOD6; PAC-binding factor 1; Twin of DOT6 525 45 18 525 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34219 1 SwissProt MTLPKLSSVSVSSGHVSANSHGFSILSKHPHPNNLVHSHSLSHTNAKSHLPISSTSTKENSTNKEEAESLKKNNPSSWDPSDDIKLRHLKEIKNLGWKEIAHHFPNRTPNACQFRWRRLKSGNLKSNKTAVIDINKLFGVYATGDATPSAGTPSAEEAVKEEAVEDEDITAGSSAIEDSPPDFKPLVKPKYMDRKLITQRSTSTFSDHEPQHTKPRKLFVKPRSFSHSITTNTPNVKTAQQTNLSLYNTTSAKTNKAVNSNDYENIGLVPKIIIRSRRNSFIPSTQIPHSTTKTRKNSHSVISSRRSSFNMMHSRRSSFNSHAPTEPISRRASLVVSPYMSPRRLSTSQSVHYHPQHQYYLNPIASPNCKTDHANDKITHTRTFLDMQKFANKHPWSREDDEVLLNNTKDKQNHLSPLEISIVLPNNRSELEIQQRMDYLKRKGRVSGFHTNEGCKDEEEEDDIDPLHKENGINTPSQQSQNYGMLEAKHDNPKSSELSSMTSANDIRNEQDELPGINSIFKNIF
NP_009592.1 1 410 0.109646585365854 PF16965.5:CSG2:1:403 Mannosyl phosphorylinositol ceramide synthase regulatory protein CSG2 410 403 18 239 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35206 1 SwissProt MSTTLLWFSSVIGYVIQTKCLSNIQSKKEISVGPNGTIATPETNGDNGNSSSLTFYLTFMYFASWLLLVPASRLWEKMRPMFVSDSDSNRNSQFDNNNSGSVTNEDVDTFSHVLDDPQPRIPAQQQKQKIISVATFKYVAKLTVLALIMIVADLTYNMALSLSPAFDVALMQNTAIFEIVTLLYGVCGISRKNYVFRNFLIMMNAVIGILIISYTKATCDMLAGKLSVNPNTGELSDPFLFDRLKGALICGLGALIMGPFAVLWNRWFCSNISKNENSAVVLVKQSTHMALIGIIGMVILLPFIPKFPSRESVESISLFYNDKSFWFSLLGSIIFGSLPSLISILELNRKAPAEYLTTCNLGAIIFMGLAEWVCEPTQTTIVRWEVIGYIMLTVSLLVLSVTLGEGKYHH
NP_009922.2 1 256 0.257803125 Protein LDB16; Low dye-binding protein 16 256 0 18 210 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25587 1 SwissProt MFVVDWSVQLCMGVISPLFRALVQLPLSIFVWNGFQLVALPINIPLRLFLGTSLSRLVAQTSTLDFYVVLTLFQYFAVLCAFGSIIGLIFGFILGVFHSICGVPSVYISLEWKRWFAPIRTVLERASTSIVNIMRGQTIAPIPMPKPNPTHISKPNMKKFHDEPGADDMTITHDVNCYITPCQTPTNEKIQHYNNDSFNTTTTDDEPTDIWDRSDTYQNSFVTNETLMSLSNRAKLRRNASDADIVNIKILRRNSR
NP_009991.2 199 564 0.548320218579235 Forkhead transcription factor HCM1; High-copy suppressor of calmodulin protein 1 564 0 18 366 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25364 1 SwissProt YEFVKDSLQDIGKYFEIDSTLDELEQVESGEGNDDLPDEEEREEAGKFPSIEIQLNSSPILRVSQLHHIPQLKTDNSVLNPHENLESMRNMIENDVNNIDSLEPPYVMKKYHTSLGLPSLVNAKDHFQAGVKNNNITQANRFNTLPITSAKSPQNFRKYFTSFNSNFEDLSPLRSNVGAGSLLDPLPYSPLKLYDQKNLALMSKPQSQQSYSNSQLPPPPSSHGSDLLKTPKMRHSDGLEKTPSRLISTPKDGNSILRKWQTPSHLFEDLYCSPLFRAIETPIRYITTPGGTLETQISPRKSSAPDVLTSATNSKFASSGLFGVDVYSVWKRATEKISDGNNTTDSNQKHHPYHNHPSNDSGNEKN
NP_010256.2 1 426 0.623267136150234 Serine/threonine-protein kinase MPS1; Monopolar spindle protein 1; Regulatory cell proliferation kinase 1; EC 2.7.12.2 764 0 18 426 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54199 1 SwissProt MSTNSFHDYVDLKSRTNTRQFSDDEEFTTPPKLSNFGSALLSHTEKTSASEILSSHNNDKIANRLEEMDRSSSRSHPPPSMGNLTSGHTSTSSHSTLFGRYLRNNHQTSMTTMNTSDIEINVGNSLDKSFERIRNLRQNMKEDITAKYAERRSKRFLISNRTTKLGPAKRAMTLTNIFDEDVPNSPNQPINARETVELPLEDSHQTNFKETKRNTDYDSIDFGDLNPIQYIKKHNLPTSDLPLISQIYFDKQREENRQAALRKHSSRELLYKSRSSSSSLSSNNLLANKDNSITSNNGSQPRRKVSTGSSSSKSSIEIRRALKENIDTSNNSNFNSPIHKIYKGISRNKDSDSEKREVLRNISINANHADNLLQQENKRLKRSLDDAITNENINSKNLEVFYHRPAPKPPVTKKVEIVEPAKSASL
NP_010287.1 173 460 0.253170486111111 PF08423.11:Rad51:27:110 DNA repair protein RAD57 460 84 18 288 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25301 1 SwissProt LSSRPAYEKLGITQSNIFTVSCNDLINQEHIINVQLPILLERSKGSIKLVIIDSISHHLRVELQNKSFRESQENKNYLDRMAEKLQILAHDYSLSVVVANQVGDKPLANSPVAHRTYVTDYDYQLGWLVGWKNSTILYRQMNSLLGASSNNDEILSDDEDYMLIERVMSTVNDRNYDFFSKKKPPIIENKTVERNSSSPISRQSKKRKFDYRVPNLGLTWSNHVSTRILLQKSFKASTIIQRGEAHLYKGGDSASFWQVKRTMKVVYSTFAKPGQIAYQITKRGIETA
NP_010316.1 1 320 0.11919125 PF01036.18:Bac_rhodopsin:35:263 Protein MRH1; Membrane protein related to HSP30 320 229 18 162 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12117 1 SwissProt MSTFETLIKRGGNEAIKINPPTGADFHITSRGSDWFWTCFCCYLLFGLILTFLMFRKPVNDRFFYLTGIAPNFFMCIAYFTMASNLGWIPVKAKYNHVQTSTQKEHPGYRQIFYSRFVGWFLALPWPIIQICMLAGTPFWQMAFNVCITEFFTVCWLIAACVHSTYKWGYYTIGLGAAIVVSISVMTTSYNLVKQRDNDIRLTFLVFFSIIMFLWIIAYPTCFGITDGGNVLQPDSAGIFYGIIDLILMCFIPTLLVPIANHFGADKLGYHFGPSDAEAVMAPKAPVASPRPAATPNLSKDKKKKSKKSKKSKKSKKSEE
NP_010550.1 288 764 0.104284905660377 PF01529.20:DHHC:179:319 Palmitoyltransferase AKR1; Ankyrin repeat-containing protein AKR1; EC 2.3.1.225 764 141 18 350 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39010 1 SwissProt QEMNTVYSLREALTHSGFDYHGYPIKKWFKKSQHAKLVTFITPFLFLGIAFALFSHINPLFVIIVLFLLAIATNKGLNKFVLPSYGRMGVHNVTLLRSPLLSGVFFGTLLWVTIVWFFKVMPRTFSDEQYTNILMLVILVSVFYLFGQLVIMDPGCLPEETDHENVRQTISNLLEIGKFDTKNFCIETWIRKPLRSKFSPLNNAVVARFDHYCPWIFNDVGLKNHKAFIFFITLMESGIFTFLALCLEYFDELEDAHEDTSQKNGKCFILGASDLCSGLIYDRFVFLILLWALLQSIWVASLIFVQAFQICKGMTNTEFNVLMKESKSIGPDGLSFNENFNTTPEGFAPSIDPGEESNDTVLAPVPGSTIRKPRTCFGVCYAVTGMDQWLAVIKETIGIKDSTGHNVYSITSRIPTNYGWKRNVKDFWLTSDINAPLWRRILYPPSGSKALLNGIEVDYFKLYKLPNKDVEQGNDMV
NP_010745.3 1 2841 0.219238437170011 PF06012.12:DUF908:93:386,PF06025.12:DUF913:448:691,PF14377.6:UBM:2328:2359,PF14377.6:UBM:2372:2399 E3 ubiquitin-protein ligase TOM1; HECT-type E3 ubiquitin transferase TOM1; Suppressor of snRNA protein 2; Temperature-dependent organization in mitotic nucleus protein 1; EC 2.3.2.26 3268 598 18 2841 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03280 1 SwissProt MVLFTRCEKARKEKLAAGYKPLVDYLIDCDTPTFLERIEAIQEWDRSRDDLYVWIPILDRMDGLLLKVAEKYKYKQDPKKECEVKLVEMEAHDVDYCLKMLKFTRRLLLNTENRFVYSSGDVLMYLLNCPNFTIKLAVMRILAILGERFVIAREKIVAHNIFGDHNLRKKTLKLALSLSSSVMDEDGEHFSLVDLYFDKKKVPQKWRKLRFTHYTSNDFKKSSQQKNNINETQTSIKKVTMTTQELCEHSLQQIFDKGMALLPAESWFDFSIKASVAKAFSDDSGENIDLRNIIIETKLNAIAFVNTIFSPPQVSSKLFELDPYAFNSLTDLISLSETKIPKELRTDALFTLECISLKHVWCSDIIRNLGGNISHGLLFQILRYIAKTLREATDEIDEEYNVRFFYLISNLADVKPLHESLFAAGLIPTLLEIVSIRNCPYKRTLASATHLLETFIDNSETTTEFIENDGFTMLITSVANEIDFTLAHPETWQPPKYSVVYYSISFRELAYIRSLLKLVLKLLSTDSGDRIRNLIDSPILVSLKKILENKLVFGLTLITYTLDVVQKVINSEPTIYPVLVEAGLIPYVIDNFPKLIGPSAELLSLLPDVVSAICLNPEGLKQVKEKGLINNLFDFLLDADHARILTGGDRSTEYGTDIDELARHYPDLKANIVEALCNVIRKMPSTFRNEREFLFTSPKDQKYFFHRKNEEILTDKEEHEPAYWELLDKGTMLDTFTSVLFGMSLGNGSFSQVPQHLEARDFLAIIFMENPPYEYFTSVAISNVTEVLQYLDEKYEDYAFMDVMKVLNDQLENLNDFLNSPNDRSFFLERDGENSVRSCHSKLCRLAAILNIVTNVYIDLTTLSCKRIMQIYSYFDKRGFSLIKNLKLLFQKCALEEMYIRQHMPDSVITETMPLPIVDVSGDGPPLQIYIDDPKKGDQKGKITSVKTRNTLQMRTILYTLQSNTAILFRCFLRLSHSRNMDLEHKDLTTEVHIFENVVENVIEMLKATELEGHLPYILVLLNFNTFVFTIPKASPNSTEILQTIPAYIFYQKGGYLLYLHIIRDLFTRMTKIKDLSSLDNINYIDESNGILTLSCLINALTFYNKSMQTETMENVQSIGKYYVSIDDDYNIMKALTVPIKVMALAMILDLDKSDSLFKTQSRNVPYSVFKQLLSMLKNIFTNVNIYTKELYELHWDLIFPPIKKISLFEQVGIPGDVAANYLTDTGDDLPADNSIGLFSPEQWEKYKKLIGEDKSIYYPQPMQAQYYKGCSSKELDELRDTFFNDGLPSRIFTVLPFYPKLVNAFAKTLLQIFTKYDEPTEVFAGRILDRILETDLDDPATLSSLIHLFGIFLNEKYIYQKASHLMQRFIEYLEKSLKPEHVNTPWFSKALYVYEIILAKSELPHLEELSKDVLLRYPLLSMAKVFRIPDPMKQKLFDILIRVSDISNFYSALATSRILIFYSRDELYANNIARSGILSRLLKVIGSFQKLDKINFLESSFLLLTRRCFETTENVDALIRAEINRSFTARPLGGGDDAVRELTTILEEKAHVVMRSPSQFIDVLCETARFHEFDDQGALVDYSLKRFLGEKDKNTQASSTEKSDIYERTGIMHLLLSQLMAASEKDWLSEPANSSDLPENKKAQLDPSRNPVCAYMIFLLKLLVELVSSYNQCKFEFLTFSRRNTYAERPRPRTTAINFFLYRLLDKPVGTDHDKHEAKRREVIGMLARSVIIGFLATVQDDRTTKTDVKLADPHMNFIRKFAIEAIIKAIRNATSSSKLLESNHLKLDMWFRIITSMVYVQAPYLRQLLDSNKVEADQYQLCKLVIDLGLPSVITEAMASIDLNYPFSKKIFNVAVEALNTISSTRNNFSEHFKIEDHDEVEDEVDESDKEEIPDMFKNSALGMYDVEDIEEDDDDDTSLIGDDDAMAFVDSDNGFEVVFSDEDDDMGEEDADDARSDSEENELSSEMQSSTADGTDVDYEVDDADGLIINIDQPSGDDEEMADYDANISHSSHSENEDDASMDVIEVYDDELSSGYDVDLSDYDVDESDWDSGLSSLSISDEDSESSEDEPINSTRMGDSRRRWLIAEGVELTDDSQGESEEDDRGVFRGIEHIFSNENEPLFRVHDEMRHRNHHRSINRTHFHSAMSAPSLSLLNRGRRNQSNLINPLGPTGLEQVENDISDQVTVAGSGSRPRSHHLHFSEVLVSGSFFDEPVLDGIILKSTVSRWKDIFDMFYDSKTYANCIIPTVINRLYKVSLALQKDLENKREQEKLKNKNLLFNEAKVESHNSSDAISVEQDDIQESNVTHDDHEPVYVTIQGSEVDIGGTDIDPEFMNALPDDIRADVFAQHVRERRAEARLNSDHNVHSREIDSDFLEAIPEDIREGILDTEAEEQRMFGRIGSSADVIRADDDVSNNDEEVENGLDHGNSNDRNNADPEKKKPARIYFAPLIDRAGIASLMKSVFISKPYIQREIYHELFYRLCSSKQNRNDLMNTFLFILSEGIIDQHSLEKVYNIISSRAMGHAKTTTVRQLPSDCTPLTVANQTIEILQSLIDADSRLKYFLIAEHDNLIVNKANNKSRKEALPDKKLRWPLWHLFSLLDRKLITDESVLMDLLTRILQVCTKTLAVLSTSSNGKENLSKKFHLPSFDEDDLMKILSIIMLDSCTTRVFQQTLNIIYNLSKLQGCMSIFTKHLVSLAISIMSKLKSALDGLSREVGTITTGMEINSELLQKFTLPSSDQAKLLKILTTVDFLYTHKRKEEERNVKDLQSLYDKMNGGPVWSSLSECLSQFEKSQAINTSATILLPLIESLMVVCRRSDLSQNRNTAVKYEDAKLL
NP_011088.1 1 333 0.688657057057057 PF08243.11:SPT2:219:330 Protein SPT2; Negative regulator of Ty transcription 333 112 18 333 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06843 1 SwissProt MSFLSKLSQIRKSTTASKAQVQDPLPKKNDEEYSLLPKNYIRDEDPAVKRLKELRRQELLKNGALAKKSGVKRKRGTSSGSEKKKIERNDDDEGGLGIRFKRSIGASHAPLKPVVRKKPEPIKKMSFEELMKQAENNEKQPPKVKSSEPVTKERPHFNKPGFKSSKRPQKKASPGATLRGVSSGGNSIKSSDSPKPVKLNLPTNGFAQPNRRLKEKLESRKQKSRYQDDYDEEDNDMDDFIEDDEDEGYHSKSKHSNGPGYDRDEIWAMFNRGKKRSEYDYDELEDDDMEANEMEILEEEEMARKMARLEDKREEAWLKKHEEEKRRRKKGIR
NP_011546.3 1 1895 0.186231029023746 PF02364.15:Glucan_synthase:827:1649,PF14288.6:FKS1_dom1:320:427 1,3-beta-glucan synthase component GSC2; 1,3-beta-D-glucan-UDP glucosyltransferase; FK506 sensitivity protein 2; Glucan synthase of cerevisiae protein 2; EC 2.4.1.34 1895 931 18 1573 14 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40989 1 SwissProt MSYNDPNLNGQYYSNGDGTGDGNYPTYQVTQDQSAYDEYGQPIYTQNQLDDGYYDPNEQYVDGTQFPQGQDPSQDQGPYNNDASYYNQPPNMMNPSSQDGENFSDFSSYGPPSGTYPNDQYTPSQMSYPDQDGSSGASTPYGNGVVNGNGQYYDPNAIEMALPNDPYPAWTADPQSPLPIEQIEDIFIDLTNKFGFQRDSMRNMFDHFMTLLDSRSSRMSPEQALLSLHADYIGGDTANYKKWYFAAQLDMDDEIGFRNMKLGKLSRKARKAKKKNKKAMQEASPEDTEETLNQIEGDNSLEAADFRWKSKMNQLSPFEMVRQIALFLLCWGEANQVRFTPECLCFIYKCASDYLDSAQCQQRPDPLPEGDFLNRVITPLYRFIRSQVYEIVDGRYVKSEKDHNKVIGYDDVNQLFWYPEGIAKIVMEDGTRLIDLPAEERYLKLGEIPWDDVFFKTYKETRSWLHLVTNFNRIWIMHISVYWMYCAYNAPTFYTHNYQQLVDNQPLAAYKWATAALGGTVASLIQVAATLCEWSFVPRKWAGAQHLSRRFWFLCVIMGINLGPVIFVFAYDKDTVYSTAAHVVGAVMFFVAVATLVFFSVMPLGGLFTSYMKKSTRSYVASQTFTASFAPLHGLDRWMSYLVWVTVFAAKYAESYFFLILSLRDPIRILSTTSMRCTGEYWWGNKICKVQPKIVLGLMIATDFILFFLDTYLWYIVVNTVFSVGKSFYLGISILTPWRNIFTRLPKRIYSKILATTDMEIKYKPKVLISQIWNAIIISMYREHLLAIDHVQKLLYHQVPSEIEGKRTLRAPTFFVSQDDNNFETEFFPRDSEAERRISFFAQSLSTPIPEPLPVDNMPTFTVLTPHYAERILLSLREIIREDDQFSRVTLLEYLKQLHPVEWDCFVKDTKILAEETAAYENNEDEPEKEDALKSQIDDLPFYCIGFKSAAPEYTLRTRIWASLRSQTLYRTISGFMNYSRAIKLLYRVENPEIVQMFGGNADGLERELEKMARRKFKFLVSMQRLAKFKPHELENAEFLLRAYPDLQIAYLDEEPPLNEGEEPRIYSALIDGHCEILENGRRRPKFRVQLSGNPILGDGKSDNQNHALIFYRGEYIQLIDANQDNYLEECLKIRSVLAEFEELGIEQIHPYTPGLKYEDQSTNHPVAIVGAREYIFSENSGVLGDVAAGKEQTFGTLFARTLAQIGGKLHYGHPDFINATFMTTRGGVSKAQKGLHLNEDIYAGMNAVLRGGRIKHCEYYQCGKGRDLGFGTILNFTTKIGAGMGEQMLSREYYYLGTQLPIDRFLTFYYAHPGFHLNNLFIQLSLQMFMLTLVNLHALAHESILCVYDRDKPITDVLYPIGCYNFHPAIDWVRRYTLSIFIVFWIAFVPIVVQELIERGLWKATQRFFRHILSLSPMFEVFAGQIYSSALLSDIAVGGARYISTGRGFATSRIPFSILYSRFAGSAIYMGSRSMLMLLFGTVAHWQAPLLWFWASLSALIFAPFIFNPHQFAWEDFFLDYRDYIRWLSRGNNKYHRNSWIGYVRMSRSRVTGFKRKLVGDESEKSAGDASRAHRTNLIMAEIIPCAIYAAGCFIAFTFINAQTGVKTTDEDRVNSTLRIIICTLAPIVIDIGVLFFCMGLSCCSGPLLGMCCKKTGSVMAGIAHGIAVVVHIVFFIVMWVLEGFSFVRMLIGVVTCIQCQRLIFHCMTVLLLTREFKNDHANTAFWTGKWYSTGLGYMAWTQPTRELTAKVIELSEFAADFVLGHVILIFQLPVICIPKIDKFHSIMLFWLKPSRQIRPPIYSLKQARLRKRMVRRYCSLYFLVLIIFAGCIVGPAVASAHVPKDLGSGLTGTFHNLVQPRNVSNNDTGSQMSTYKSHYYTHTPSLKTWSTIK
NP_011569.1 1 574 0.0575108013937282 PF13520.6:AA_permease_2:61:497,PF00324.21:AA_permease:74:509 high-affinity methionine permease 574 449 18 304 12 Saccharomyces cerevisiae CharProtDB::CH_091473 1 CharProtDB MSEGRTFLSQLNVFNKENYQFSSSTTKKEVSNSTVDADNGASDFEAGQQFATELDQGEKQLGILSCIGLICNRMLGTGVFAVSSTIYTLCGSVGLALIMWAVGAIIAISGLYVYMEFGTAIPKNGGEKNYLEAIFRKPKFFITCMYAAYIFFLGWAAGNSINTAIMFLTAADTEVTKWNQRGIGVAVVFFAFLINSLNVKIGLYLQNILGIFKIGIVLFISITGWVALGGGLKDGYQSHNFRNAFEGTETATAYGIVNALYSVIWSFVGYSNVNYALGEVKNPVRTLKIAGPTSMVFLAIIYIFVNIAYFAVVPKDKLISSKLILAADFFDIVFGGQAKRAAAALVGLSALGNVLSVIFSQGRIIQQLGREGVLPFSNFFASSKPFNSPMVGLFQHFIVCTVTILAPPPGDAYLLVQNLISYPMNIINFAISAGLLWIYWQRRQGKIEWNPPIKAGVFVTGFFTLSNLYLIIAPYVPPSNGESVYSSMPYWIHCVIAWGIFFFGGVYYVVWAQLLPRWGHYKLVSKDVLGEDGFWRVKIAKVYDDTIGDVDTQEDGVIETNIIEHYKSEQEKSL
NP_011658.3 1 410 0.440289512195122 Protein BTN2; Batten disease protein 2 410 0 18 410 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53286 1 SwissProt MFSIFNSPCVFEQLPSFSQPLHSRYFDCSSPVSYYPECKRRKAIKANLRAPKKSDANCSEPLRYALAETPNGYTLSLSKRIPYELFSKYVNEKLGELKENHYRPTYHVVQDFFGNQYYVEDEADEDALLRSALKDLDFRAIGKKIAKDLFQDYEIELNHRGDELSILSKKDKIFKEFSLDQVFEDVFVIGCGVENIDDGSREKYALLKIGLVKHEEEISEGGINEPKMPIIESKIDESHDDVNMSESLKEEEAEKAKEPLTKEDQIKKWIEEERLMQEESRKSEQEKAAKEDEERQKKEKEARLKARKESLINKQKTKRSQQKKLQNSKSLPISEIEASNKNNNSNSGSAESDNESINSDSDTTLDFSVSGNTLKKHASPLLEDVEDEEVDRYNESLSRSPKGNSIIEEI
NP_011800.3 1 310 0.056425806451613 PF02077.15:SURF4:49:310 ER-derived vesicles protein ERV29 310 262 18 165 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53337 1 SwissProt MSYRGPIGNFGGMPMSSSQGPYSGGAQFRSNQNQSTSGILKQWKHSFEKFASRIEGLTDNAVVYKLKPYIPSLSRFFIVATFYEDSFRILSQWSDQIFYLNKWKHYPYFFVVVFLVVVTVSMLIGASLLVLRKQTNYATGVLCACVISQALVYGLFTGSSFVLRNFSVIGGLLIAFSDSIVQNKTTFGMLPELNSKNDKAKGYLLFAGRILIVLMFIAFTFSKSWFTVVLTIIGTICFAIGYKTKFASIMLGLILTFYNITLNNYWFYNNTKRDFLKYEFYQNLSIIGGLLLVTNTGAGELSVDEKKKIY
NP_012718.1 151 632 0.818191493775934 Protein EAP1; eIF4E-associated protein 1 632 0 18 482 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36041 1 SwissProt PPDQKHANNHHFNGNNGGGSWKAGYKNGKNDERRMSRTKNMQGGKRRSQQDDEEKKIDQEMLEMDKNLQLGGDVGHSIADFEDWKAKMKELELKKLSKSKGISNSTAIAPRESASHETPTDLRPVIPRGPSSITDFLNLKRQDKKEESSQQTPGIPVGQPSLSKTSIEQVNELETNSDLGKSSSSRFSSFFNKSATSLPSLDNNNQVPSSNVSVVNNDGNSTPHQSGSRLMSFFKESRSSTPNAESQLLSASDKDNGKMQTLPQFQQQPQQMQPMAFTQHPPNNNAFFNGLLNKGKSETSTPPPPPPGLIAHQGPQFPVMGVPPNFPQRMMPPPPGLVQFQKDSKDVNKKEDRQLRQNKNPNGTRNSKGKQEETATPDLPQQQYMPPPPPPGFFPMHPNFPNGPMPPLPQGFPIPPNGMLPVTGQQPQPPYPNMMLQGNFPPNFQQGFGSNSPMPIPSIINANGKNVTNQLPPGLNSKKNIK
NP_012997.3 134 348 0.456139534883721 PF05093.13:CIAPIN1:114:208 Fe-S cluster assembly protein DRE2; Anamorsin homolog 348 95 18 215 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36152 1 SwissProt KKKKTNNTKLQSGSKLPTFKKASSSTSNLPSFKKADHSRQPIVKETDSFKPPSFKMTTEPKVYRVVDDLIEDSDDDDFSSDSSKAQYFDQVDTSDDSIEEEELIDEDGSGKSMITMITCGKSKTKKKKACKDCTCGMKEQEENEINDIRSQQDKVVKFTEDELTEIDFTIDGKKVGGCGSCSLGDAFRCSGCPYLGLPAFKPGQPINLDSISDDL
NP_013106.1 1 494 0.527868421052631 Osmolarity two-component system protein SSK1 712 0 18 494 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07084 1 SwissProt MLNSALLWKVWLRIDNSTDEVNQPIAVQFDEIDTVDDLKSRFFQKLSSTRWREINDNASIAIGLYAPKFDNQADNTSSNNTNDNSCRSKSNGAGSGANLSVNSNTKSSVSPTAGSFGLSKDLAKDRNVLQHPKPTQKRGALYDAFAAVPTVAATTNVDFPPNEAPMLSPQRPYSTSPKQFPATTKSPLLRFASVSPYPKFHSDNQIMASAGLTYVSPHNKNKYTRPLIRKGLNFTTESVNDCTYKIIFEPDELAINIYKELFGTMGSQPASQPLLIFSNVNLRQDVPPLDILNVVDYVPTNEEISQQKTQPTDHGAVGVFHLDDHISPGEQGLKQTIGDKADLKGKDGNSSPQEFKLITDEEQLRRASQELKDEEKDAESPWQAILLLPKGYKGGVDFRNKPVAHTDSSFNNEDTITHSELEVNTGSPSQESGSLNEAGIGITQPMSEVQRRKEDVTPASPILTSSQTPHYSNSLYNAPFAVSSPPDPLPNLFT
NP_013291.1 1 397 0.537427707808564 PF08505.10:MMR1:60:281 Mitochondrial MYO2 receptor-related protein 1 491 222 18 397 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06324 1 SwissProt MNSPTMKSEQLTPKLSPMSFCLDDQRNAGSFQNLLNSPTKLKLDTGPIGNSLLYPTSLSKLSELSRGGRSKQRRGSDTMRSVSPIRFQFLNNTPKMLKPEYLSQTTSNLPLLSALLKNSKKTTSEGQNSNPDPLNIEKNIIKQSIKDKLEQLRSSESVAQVQKKERNPPSFEAKVCAEEPILRKNAEGLLPSYVPVPATPLEDPENHGVRKVEDKGLRVVSGGSTQCLSTEVNELPKDLNLDNLPTDNNGFVQYGLKGNNNNNRYSFISSTSTDYEPEWCDGQQHISMQMASMANAEEANSREKSNLDIKIKQLELEITELKLQNEKLVHSMTTNRYIEERFMLEVMKDPSIQAQRSQRDIERKVKQLEKKFFNCKKVLKKLTESSAVVATSTSKTE
NP_013423.1 1 548 0.478334489051094 PF03915.13:AIP3:365:547 Bud site selection protein 6; Actin-interacting protein 3 788 183 18 548 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41697 1 SwissProt MKMAVDDPTYGTPKIKRTASSSSSIETTVTKLLMSTKHLLQVLTQWSKGTTSGRLVSDAYVQLGNDFKVVSKFFMHAKVDMSDVGDVPMALRRVLEVTLREPPSDETLNKHLPKIREIIVTLLDKLKVKQAILKNMQQEHRISVKSHHQQNPSFTSNLSLGSEGTREGTPLSSRKSSIVRDQRQSDSVENSYGEKVNSTSTGTPSAQSAEATLTKPRTNIKQNLKSNNAPNASDDDDALSQLKKGTNLQRRASKRYSAYHMAKLTNQSTTEAAAAAGLMTTPSPSMLHLEETVRKSKLYGNNNNDDDRNINSAENKGKSIDDVSKASPLAKTPLPIENVRASPRRLSSVVTTSPDKAMNGTCPVFLRIGDKTKKCHVQLPTTKNALRLLFIERFAYSPGANSFPDIYIMDPQYGVFYELEELNLLDIKEGFVIELKLEENPNNTIKEFIDTVKMEISNSQNDIIRHLKEMSFGSAISGKQTEVLPQPGLEANKHDLVGQNKKDDDKTIKDIQYELGKIKQVHNINRSNINETIFNILRKVDNFKSLSF
NP_013508.3 1 285 0.125888070175439 Seipin; Few lipid droplets protein 1 285 0 18 239 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06058 1 SwissProt MKINVSRPLQFLQWSSYIVVAFLIQLLIILPLSILIYHDFYLRLLPADSSNVVPLNTFNILNGVQFGTKFFQSIKSIPVGTDLPQTIDNGLSQLIPMRDNMEYKLDLNLQLYCQSKTDHLNLDNLLIDVYRGPGPLLGAPGGSNSKDEKIFHTSRPIVCLALTDSMSPQEIEQLGPSRLDVYDEEWLNTIRIEDKISLESSYETISVFLKTEIAQRNLIIHPESGIKFRMNFEQGLRNLMLRKRFLSYIIGISIFHCIICVLFFITGCTAFIFVRKGQEKSKKHS
NP_014077.1 138 313 0.399094886363636 PF17056.5:KRE1:84:149 Protein KRE1; Killer toxin-resistance protein 1 313 66 18 130 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17260 1 SwissProt LTQTFTHSSTSATSSASSSVSSSVSSSGSSSSVKTTTSTGSAVAETGTRPDPSTDFTEPPVSAVTSLSIDSYITITEGTTSTYTTTRAPTSMWVTVVRQGNTITVQTTFVQRFSSQYVTVASPSVGSIGMGTLTGTVGVIKSAIKKTVSHNEAQHLGMSSFTSILGGLLTVLIWFL
NP_014202.1 1 661 0.582347049924357 PF00076.22:RRM_1:540:612 Protein WHI3 661 73 18 661 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34761 1 SwissProt MQSSVYFDQTGSFASSSDNVVSSTTNTHNISPSHRSSLNLNTTSHPHEASGRGSASGELYLNDTNSPLAISSMLNTLALGSMPQDIASSNISNHDNNIKGSYSLKLSNVAKDITLRECYAIFALAEGVKSIELQKKNSSSSITSASLEDENDIFIIARFELLNLAINYAVILNSKNELFGPSFPNKTTVEIIDDTTKNLVSFPSSAIFNDTSRLNKSNSGMKRPSLLSQRSRFSFSDPFSNDSPLSQQQSQQQQQQPQQPQQHSTQKHSPQQCNQQQVNSSIPLSSQGQVIGLHSNHSHQDLSVESTIQTSDIGKSFLLRDNTEINEKIWGTSGIPSSINGYMSTPQPSTPTLEWGNTSASQHGSSFFLPSAASTAIAPTNSNTSANANASSNNGASNNGANQALSASSQQPMMQIGNTINTSLTSSNSLPPYGLMSSQSQHISNMVNTSDMNITPQKQNRFMQQPQPEHMYPVNQSNTPQKVPPARLSSSRNSHKNNSTTSLSSNITGSASISQADLSLLARIPPPANPADQNPPCNTLYVGNLPSDATEQELRQLFSGQEGFRRLSFRNKNTTSNGHSHGPMCFVEFDDVSFATRALAELYGRQLPRSTVSSKGGIRLSFSKNPLGVRGPNSRRGGSGNPNPNVNMLSSYNSNVGHIKN
NP_015023.1 1 515 0.059063495145631 PF07690.16:MFS_1:50:452 Low affinity ammonium transporter; Ammonium facilitator 1 515 403 18 250 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08902 1 SwissProt MSTSSSVTQKNLDTNAEALKKEDKVLSEFDIQDERPKSLLWESAFVGVLCSAQLMTQAGLGQSLAPLHIIGNSFGTTNAGQLSWFASAYSLTVGTFILIAGRLGDIFGHKKFFVLGFFWYALWSLLAGFSVYSNQIFFDCCRAFQGMGPAFLLPNAIAILGRTYKPGRRKNMVFSLFGASAPGGFFLGAVFSSMLGQLAWWPWAYWIMGIACFVLAVAGYFVIPHTPMPSRDASSFKLLERIDFAGSVTGVVGLILFNFAWNQGPVVGWQTPYTYALLIVGTFFLVIFAYIESRAAFPLLPFAALSSDTAFVLSCIAAGWASFGIWIFYTWQFMEDSRGQTPLLSSAQFSPVAISGFCAAVTTGFLLSHTPPSTVMLFAMTAFTVGTILIATAPVHQTYWAQTFVSIIVMPWGMDMSFPAATIMLSDSMPHEHQGLAASLVNTVVNYSISIGLGIAGTIESRVNDGGAKPLKGYRCSWYMGIGLSGLGIFVAATYAWSTFMKSKKRISEKQHFIE
NP_015440.1 531 1083 0.557866003616636 Regulator of the glycerol channel 1 1083 0 18 553 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06108 1 SwissProt FFLDSKSPRSKNKPVVEQSDISRVNKDGTNAGSHPSSKGTQDPKLTKRRKGLSSSNLYPISSLSLNDCSLKDSTDSTFVLQGYASYHSPEDTCTKESSTTSDLACPTKTLASNKGKHQRTPSALSMVSVPKFLKSSSVPKEQKKAKEEANINKKSICEKRVEWTFKIFSASLEPTPEESKNFKKWVQDIKALTSFNSTQERSNFIEEKILKSRNHNNGKSSQRSKNSTYITPVDSFVNLSEKVTPSSSVTTLNTRKRANRPRYIDIPKSANMNAGAMNSVYRSKVNTPAIDENGNLAIVGETKNSAPQNGMSYTIRTPCKSPYSPYTGEGMLYNRSADNLMASSSRKASAPGEVPQIAVSNHGDEAIIPASAYSDSSHKSSRASSVASIHNQRVDFYPSPLMNLPGVSPSCLALDGNANGYFGIPLNCNSEARRGSDLSPFEMESPLFEENRTQNCSGSRKSSACHIPHQCGPRKEGNDSRLIYGNEKGASQSRLTLKEPLTSKGVEAPYSSLKKTYSAENVPLTSTVSNDKSLHSRKEGSTNTVPATSASSK
NP_032106.1 1 533 0.194163414634147 PF00535.26:Glycos_transf_2:281:416 Beta-1,4 N-acetylgalactosaminyltransferase 1; (N-acetylneuraminyl)-galactosylglucosylceramide; GM2/GD2 synthase; GalNAc-T; EC 2.4.1.92 533 136 18 515 1 Mus musculus (Mouse) SwissProt::Q09200 1 SwissProt MRLDRRALYALVLLLACASLGLLYSSTRNAPSLPNPLALWSPPQGPPRLDLLDLAPEPRYAHIPVRIKEQVVGLLAQNNCSCESKGGSLPLPFLRQVRAVDLTKAFDAEELRAVSVAREQEYQAFLARSRSLADQLLIAPANSPLQYPLQGVEVQPLRSILVPGLSLQEASVQEIYQVNLSASLGTWDVAGEVTGVTLTGEGQPDLTLASPVLDKLNRQLQLVTYSSRSYQANTADTVRFSTKGHEVAFTILVRHPPNPRLYPPSSLPQGAEYNISALVTIATKTFLRYDRLRTLIASIRRFYPTVTIVIADDSDKPERISDPHVEHYFMPFGKGWFAGRNLAVSQVTTKYVLWVDDDFVFTARTRLEKLVDVLEKTPLDLVGGAVREISGYATTYRQLLSVEPGAPGLGNCFRQKQGFHHELVGFPSCVVTDGVVNFFLARTDKVRQVGFDPRLNRVAHLEFFLDGLGFLRVGSCSDVVVDHASKVKLPWTAKDPGAETYARYRYPGSLDQSQVAKHRLLFFKHRLQCMTAE
NP_032129.2 175 436 0.456330916030535 Chorion-specific transcription factor GCMa; GCM motif protein 1; mGCM1; mGCMa; Glial cells missing homolog 1 436 0 18 262 0 Mus musculus (Mouse) SwissProt::P70348 1 SwissProt ASASNSLRMKGRPAAKALPAEIPSQGSLPLTWSFQEGVQLPGTYSTPLIANAPQQNSLNDCLSFPKSYDLGGSTELEDPTSTLDSMKFYERCKFSSSRIYGSEEQFQPPVPGTYGDYEDLQTWNKNVALGRNPSDDIYYPAYPLPVASWPYDYFPSQNSLEHLPQQVPSEPPAAQPGCHPLWSNPGGEPYEEKVSVDLSSYVPSLTYHPPQQDPFLLTYGSPTQQQHALPGKSNRWDFDEEMACMGLDHFNNEMLLNFCSLR
NP_032615.2 1 370 0.602511891891892 PF00010.26:HLH:80:133 mesoderm posterior protein 2 370 54 18 370 0 Mus musculus NP_032615.2 1 RefSeq MAQSPPPQSLQGLDHWVFSQGWGWAQQSDSTSPASSSDSSGSCPCYATRRPSQPAGPARSTRTTQATAPRRTRPAPAGGQRQSASEREKLRMRTLARALQELRRFLPPSVAPAGQSLTKIETLRLAIRYIGHLSALLGLSEDSLRRRRRRSADAAFSHRCPQCPDGGSPSQAQMLGPSLGSAMSSGVSWGCPPACPGPLISPENLGNRISNVDPWVTPPYCPQIQSPLHQSLERAADSSPWAPPQACPGMQMSPEPRNKTGHWTQSTEPAELTKVYQSLSVSPEPCLSLGSPLLLPRPSCQRLQPQPQPQPQWGCWGHDAEVLSTSEDQGSSPALQLPVASPTPSSGLQLSGCPELWQEDLEGPPLNIFY
NP_033047.2 1 1889 0.673494600317627 PF13771.6:zf-HC5HC2H:1807:1885,PF13832.6:zf-HC5HC2H_2:1801:1877 Retinoic acid-induced protein 1 1889 85 18 1889 0 Mus musculus (Mouse) SwissProt::Q61818 1 SwissProt MQSFRERCGFHGKQQNYPQTSQETSRLENYRQPGQAGLSCDRQRLLAKDYYSPQPYTGYEGGTGTPSGTVATAAADKYHRGSKSLQGRPAFPSYVQDSSPYPGRYSGEEGLQTWGGPQPPPPQPQPLPGAVSKYEENLMKKTVVPPPNRQYPEQGPQLPFRTHSLHVPPPQPQQPLAYPKLQRQKPQNDLASPLPFPQGSHFPQHSQSFPTSSTYAPTVQGGGQGAHSYKSCTAPSAQPHDRPMSANANLAPGQRVQNLHAYQPGRLGYEQQQQALQGRHHTQETLHYQNLAKYQHYGQQGQGYCPPDTAVRTPEQYYQTFSPSSSHSPARSVGRSPSYSSTPSPLMPNLENFPYSQQPLSTGAFPTGITDHSHFMPLLNPSPTDAASSVDPQAGNCKPLQKEKLPDNLLSDLSLQSLTALTSQVENISNTVQQLLLSKATMPQKKGVKNLVSRTPEQHKSQHCSPEGSGYSAEPAGTPLSEPPSSTPQSTHAEPQDTDYLSGSEDPLERSFLYCSQARGSPARVNSNSKAKPESVSTCSVTSPDDMSTKSDDSFQSLHSTLPLDSFSKFVAGERDCPRLLLSALAQEDLASEILGLQEAIVEKADKAWAEASSLPKDNGKPPFSLENHGACLDTVAKTSWSQPGEPETLPEPLQLDKGGSTKDFSPGLFEDPSVAFATTDPKKTSSPLSFGTKPLLGTATPDPTTAAFDCFPDTPTASSVDGANPFAWPEENLGDACPRWGLHPGELTKGLEQGAKASDGVGKADAHEASACMGFQEDHAIGKPAAALSGDFKQQEAEGVKEEVGGLLQCPEVAKANQWLEESRHCCSSTDFGDLPLLPPPGRKEDLEAEEEYSSLCELLGSPEQRPSLQDPLSPKAPLMCTKEEAEEALDTKAGWVSPCHLSGEPAVLLGPSVGAQSKVQSWFESSLSHMKPGEEGPEMERAPGSSGTSQGSLAPKPNKPAVPEGPIAKKEPVPRGKSLRSRRVHRGLPEAEDSPCRVPALPKDLLLPESCTGPPQGQAEGAGAPGRGLSEGLPRMCTRSLTALSEPQTPGPPGLTTTPTPPDKLGGKQRAAFKSGKRVGKPSPKAASSPSNPAALPVASDSSPMGSKTKEPDSPSMPGKDQRSMVLRSRTKPQQVFHAKRRRPSESRIPDCRATKKLPANNHLPTAYKVSSGPQKEGRMNQRVKVPKPGTGNKLSDRPLHTLKRKSAFMAPVPAKKRSLILRSNNGSGGDGREERAESSPGLLRRMASPQRARPRGSGEPPPPPPLEPPAACMGLSTQSSLPSAVRTKVLPPRKGRGLKLEAIVQKITSPGLKKLACRVAGAPPGTPRSPALPERRPGGSPAGAEEGLGGMGQMLPAASGADPLCRNPASRSLKGKLLNSKKLSSAADCPKAEAFMSPETLPSLGTARAPKKRSRKGRTGTLGPSKGPLEKRPCPGQPLLLAPHDRASSTQGGGEDNSSGGGKKPKTEELGPASQPPEGRPCQPQTRAQKQPGQASYSSYSKRKRLSRGRGKTAHASPCKGRATRRRQQQVLPLDPAEPEIRLKYISSCKRLRADSRTPAFSPFVRVEKRDAYTTICTVVNSPGDEPKPHWKPSSSAASSSTSSSSLEPAGASLTTFPGGSVLQQRPSLPLSSTMHLGPVVSKALSTSCLVCCLCQNPANFKDLGDLCGPYYPEHCLPKKKPKLKEKARLEGTLEEASLPLERTLKGLECSASTTAAAPTTATITTPTALGRLSRPDGPADPAKQGPLRTSARGLSRRLQSCYCCDGQGDGGEEVAQADKSRKHECSKEAPTEPGGDTQEHWVHEACAVWTSGVYLVAGKLFGLQEAMKVAVDMPCTSCHEPGATISCSYKGCIHTYHYPCANDTGCTFIEENFTLKCPKHKRLPL
NP_033315.2 329 1233 0.684421215469613 PF12474.8:PKK:522:660,PF12474.8:PKK:690:830 STE20-like serine/threonine-protein kinase; STE20-like kinase; mSLK; Etk4; STE20-related kinase SMAK; STE20-related serine/threonine-protein kinase; STE20-related kinase; Serine/threonine-protein kinase 2; EC 2.7.11.1 1233 280 18 905 0 Mus musculus (Mouse) SwissProt::O54988 1 SwissProt NALPIPANKRASSDLSIASSEEDKLSQNACILESVSERTEQSTSEDKFSNKILNEKPTTDGPEKAVDEHASDVNLETGAELNDQTVGIHENGREKKRPKLENLPDTQDQQTVDVNSVSEENENNRVTLETNTDCLKPEEDRNKENQETLESKLIQSEEINDTHIQTMDLVSQETGEKEADFQAVDNEVGLTKEETQEKLGKDGTAQKVITSDRSSEVGTDEALDDTQKAAELSKAAQSGEGDEALAPTQTLAEKPTEGPEAGGAEEEPPGGERVEDKQPEQQPAVCEAEGQLTSTSETTRATLEQPETDEVEQVSESNSIEELERLVVTGAEARALGSEGEAAATEVDLERKENAQKVPVKAESQAPAASQPSEPHPVLIPSININSETTENKEEMGALPKPETILPPEPEHEKGNDTDSGTGSTVENSSGDLNLSISSFLSKAKDSGSVSLQETRRQKKTLKKTRKFIVDGVEVSVTTSKIVTDSDSKTEELRFLRRQELRELRLLQKEEQRAQQQLNGKLQQQREQIFRRFEQEMLSKKRQYDQEIENLEKQQKQTIERLEQEHTNRLRDEAKRIKGEQEKELSKFQNVLKNRKKEVMNEVEKAPRELRRELTKRRKEELAQSQHAQEQEFVQKQQQELDGSLKKIIQQQKAELANIERECLNNKQQLMRAREAAIWELEERHLQEKHQLLKQQLKDQYFMQRHQLLKRHEKETEQMQRYNQRLIEELKNRQTQERARLPKIQRSEAKTRMAMFKKSLRINSTATPDQDREKIKQFAAQEEKRQKNERMAQHQKHESQMRDLQLQCEANVRELHQLQNEKCHLLVEHETQKLKELDEEHSQELKEWREKLRPRKKTLEEEFARKLQEQEVFFKMTGESECLNPSAQSRISKFYPIPTLHSTGS
NP_034160.1 1 71 0.0216619718309859 PF00711.19:Defensin_beta:35:68 Beta-defensin 2; BD-2; mBD-2; Defensin, beta 2 71 34 18 71 0 Mus musculus (Mouse) SwissProt::P82020 1 SwissProt MRTLCSLLLICCLLFSYTTPAVGSLKSIGYEAELDHCHTNGGYCVRAICPPSARRPGSCFPEKNPCCKYMK
NP_034580.1 1 233 0.535556223175966 PF12045.8:DUF3528:26:162 homeobox protein Hox-A11 313 137 18 233 0 Mus musculus NP_034580.1 1 RefSeq MMDFDERGPCSSNMYLPSCTYYVSGPDFSSLPSFLPQTPSSRPMTYSYSSNLPQVQPVREVTFREYAIEPATKWHPRGNLAHCYSAEELVHRDCLQAPSAAGVPGDVLAKSSANVYHHPTPAVSSNFYSTVGRNGVLPQAFDQFFETAYGTPENLASSDYPGDKNAEKGPQAAAATSAAAVAAAATGAPATSSSDGGGGGGCQEAAAEEKERRRRPESSSSPESSSGHTEDKA
NP_034596.1 1 138 0.390609420289855 homeobox protein Hox-C8 242 0 18 138 0 Mus musculus NP_034596.1 1 RefSeq MSSYFVNPLFSKYKGGESLEPAYYDCRFPQSVGRSHALVYGPGGSAPGFQHASHHVQDFFHHGTSGISNSGYQQNPCSLSCHGDASKFYGYEALPRQSLYGAQQEASVVQYPDCKSSANTNSSEGQGHLNQNSSPSLM
NP_035515.2 314 727 0.602130676328503 ski oncogene isoform 1 727 0 18 414 0 Mus musculus NP_035515.2 1 RefSeq ANKYKRRVPRVSEPPASIRPKTDDTSSQSPASSEKDKQSTWLRTLAGSSNKSLGCTHPRQRLSAFRPWSPAVSASEKETSPHLPALIRDSFYSYKSFETAVAPNVALAPPTQQKVVNSPPCTTVVSRAPEPLTTCIQPRKRKLTLDTAGAPDMLTPVAAAEEDKDSEAEVEVESREEFTSSLSSLSSPSFTSSSSAKDLSSPGMHAPPVVAPDAAAHVDAPSGLEAELEHLRQALEGGLDTKEAKEKFLHEVVKMRVKQEEKLTAALQAKRSLHQELEFLRVAKKEKLREATEAKRSLRKEIERLRAENEKKMKEANESRVRLKRELEQARQVRVCDKGCEAGRLRAKYSAQIEDLQAKLQHAEADREQLRADLLREREAREHLEKVVRELQEQLRPRPRPEHPGGESNAELGP
NP_037505.1 173 765 0.638475379426644 Probable palmitoyltransferase ZDHHC8; Zinc finger DHHC domain-containing protein 8; DHHC-8; Zinc finger protein 378; EC 2.3.1.225 765 0 18 570 1 Homo sapiens (Human) SwissProt::Q9ULC8 1 SwissProt NHAEGLGAAHTTITMAVMCVAGLFFIPVIGLTGFHVVLVTRGRTTNEQVTGKFRGGVNPFTRGCCGNVEHVLCSPLAPRYVVEPPRLPLAVSLKPPFLRPELLDRAAPLKVKLSDNGLKAGLGRSKSKGSLDRLDEKPLDLGPPLPPKIEAGTFSSDLQTPRPGSAESALSVQRTSPPTPAMYKFRPAFPTGPKVPFCGPGEQVPGPDSLTLGDDSIRSLDFVSEPSLDLPDYGPGGLHAAYPPSPPLSASDAFSGALRSLSLKASSRRGGDHVALQPLRSEGGPPTPHRSIFAPHALPNRNGSLSYDSLLNPGSPGGHACPAHPAVGVAGYHSPYLHPGATGDPPRPLPRSFSPVLGPRPREPSPVRYDNLSRTIMASIQERKDREERERLLRSQADSLFGDSGVYDAPSSYSLQQASVLSEGPRGPALRYGSRDDLVAGPGFGGARNPALQTSLSSLSSSVSRAPRTSSSSLQADQASSNAPGPRPSSGSHRSPARQGLPSPPGTPHSPSYAGPKAVAFIHTDLPEPPPSLTVQRDHPQLKTPPSKLNGQSPGLARLGPATGPPGPSASPTRHTLVKKVSGVGGTTYEISV
NP_044595.1 1 152 0.314980263157895 PF00802.19:Glycoprotein_G:11:152 Attachment glycoprotein (G) 298 142 18 129 1 Respiratory syncytial virus NP_044595.1 1 RefSeq MSKNKDQRTTKTLEKTWDTLNHLLFISSCLYKLNLKSIAQITLSILAMIISTSLIIAAIIFIASANHKVTLTTAIIQDATSQIKNTTPTYLTQNPQLGISFSNLSETTSQTTTILASTTPSVKSTLQSTTVKTKNTTTTKIQPSKPTTKQRQ
NP_055529.2 1 1462 0.232695485636115 Intraflagellar transport protein 140 homolog; WD and tetratricopeptide repeats protein 2 1462 0 18 1462 0 Homo sapiens (Human) SwissProt::Q96RY7 1 SwissProt MALYYDHQIEAPDAAGSPSFISWHPVHPFLAVAYISTTSTGSVDIYLEQGECVPDTHVERPFRVASLCWHPTRLVLAVGWETGEVTVFNKQDKEQHTMPLTHTADITVLRWSPSGNCLLSGDRLGVLLLWRLDQRGRVQGTPLLKHEYGKHLTHCIFRLPPPGEDLVQLAKAAVSGDEKALDMFNWKKSSSGSLLKMGSHEGLLFFVSLMDGTVHYVDEKGKTTQVVSADSTIQMLFYMEKREALVVVTENLRLSLYTVPPEGKAEEVMKVKLSGKTGRRADIALIEGSLLVMAVGEAALRFWDIERGENYILSPDEKFGFEKGENMNCVCYCKVKGLLAAGTDRGRVAMWRKVPDFLGSPGAEGKDRWALQTPTELQGNITQIQWGSRKNLLAVNSVISVAILSERAMSSHFHQQVAAMQVSPSLLNVCFLSTGVAHSLRTDMHISGVFATKDAVAVWNGRQVAIFELSGAAIRSAGTFLCETPVLAMHEENVYTVESNRVQVRTWQGTVKQLLLFSETEGNPCFLDICGNFLVVGTDLAHFKSFDLSRREAKAHCSCRSLAELVPGVGGIASLRCSSSGSTISILPSKADNSPDSKICFYDVEMDTVTVFDFKTGQIDRRETLSFNEQETNKSHLFVDEGLKNYVPVNHFWDQSEPRLFVCEAVQETPRSQPQSANGQPQDGRAGPAADVLILSFFISEEHGFLLHESFPRPATSHSLLGMEVPYYYFTRKPEEADREDEVEPGCHHIPQMVSRRPLRDFVGLEDCDKATRDAMLHFSFFVTIGDMDEAFKSIKLIKSEAVWENMARMCVKTQRLDVAKVCLGNMGHARGARALREAEQEPELEARVAVLATQLGMLEDAEQLYRKCKRHDLLNKFYQAAGRWQEALQVAEHHDRVHLRSTYHRYAGHLEASADCSRALSYYEKSDTHRFEVPRMLSEDLPSLELYVNKMKDKTLWRWWAQYLESQGEMDAALHYYELARDHFSLVRIHCFQGNVQKAAQIANETGNLAASYHLARQYESQEEVGQAVHFYTRAQAFKNAIRLCKENGLDDQLMNLALLSSPEDMIEAARYYEEKGVQMDRAVMLYHKAGHFSKALELAFATQQFVALQLIAEDLDETSDPALLARCSDFFIEHSQYERAVELLLAARKYQEALQLCLGQNMSITEEMAEKMTVAKDSSDLPEESRRELLEQIADCCMRQGSYHLATKKYTQAGNKLKAMRALLKSGDTEKITFFASVSRQKEIYIMAANYLQSLDWRKEPEIMKNIIGFYTKGRALDLLAGFYDACAQVEIDEYQNYDKAHGALTEAYKCLAKAKAKSPLDQETRLAQLQSRMALVKRFIQARRTYTEDPKESIKQCELLLEEPDLDSTIRIGDVYGFLVEHYVRKEEYQTAYRFLEEMRRRLPLANMSYYVSPQAVDAVHRGLGLPLPRTVPEQVRHNSMEDARELDEEVVEEADDDP
NP_055661.3 1 1159 0.117342968075928 PF10266.9:Strumpellin:23:1103 WASH complex subunit 5; Strumpellin; WASH complex subunit strumpellin 1159 1081 18 1159 0 Homo sapiens (Human) SwissProt::Q12768 1 SwissProt MLDFLAENNLCGQAILRIVSCGNAIIAELLRLSEFIPAVFRLKDRADQQKYGDIIFDFSYFKGPELWESKLDAKPELQDLDEEFRENNIEIVTRFYLAFQSVHKYIVDLNRYLDDLNEGVYIQQTLETVLLNEDGKQLLCEALYLYGVMLLVIDQKIEGEVRERMLVSYYRYSAARSSADSNMDDICKLLRSTGYSSQPGAKRPSNYPESYFQRVPINESFISMVIGRLRSDDIYNQVSAYPLPEHRSTALANQAAMLYVILYFEPSILHTHQAKMREIVDKYFPDNWVISIYMGITVNLVDAWEPYKAAKTALNNTLDLSNVREQASRYATVSERVHAQVQQFLKEGYLREEMVLDNIPKLLNCLRDCNVAIRWLMLHTADSACDPNNKRLRQIKDQILTDSRYNPRILFQLLLDTAQFEFILKEMFKQMLSEKQTKWEHYKKEGSERMTELADVFSGVKPLTRVEKNENLQAWFREISKQILSLNYDDSTAAGRKTVQLIQALEEVQEFHQLESNLQVCQFLADTRKFLHQMIRTINIKEEVLITMQIVGDLSFAWQLIDSFTSIMQESIRVNPSMVTKLRATFLKLASALDLPLLRINQANSPDLLSVSQYYSGELVSYVRKVLQIIPESMFTSLLKIIKLQTHDIIEVPTRLDKDKLRDYAQLGPRYEVAKLTHAISIFTEGILMMKTTLVGIIKVDPKQLLEDGIRKELVKRVAFALHRGLIFNPRAKPSELMPKLKELGATMDGFHRSFEYIQDYVNIYGLKIWQEEVSRIINYNVEQECNNFLRTKIQDWQSMYQSTHIPIPKFTPVDESVTFIGRLCREILRITDPKMTCHIDQLNTWYDMKTHQEVTSSRLFSEIQTTLGTFGLNGLDRLLCFMIVKELQNFLSMFQKIILRDRTVQDTLKTLMNAVSPLKSIVANSNKIYFSAIAKTQKIWTAYLEAIMKVGQMQILRQQIANELNYSCRFDSKHLAAALENLNKALLADIEAHYQDPSLPYPKEDNTLLYEITAYLEAAGIHNPLNKIYITTKRLPYFPIVNFLFLIAQLPKLQYNKNLGMVCRKPTDPVDWPPLVLGLLTLLKQFHSRYTEQFLALIGQFICSTVEQCTSQKIPEIPADVVGALLFLEDYVRYTKLPRRVAEAHVPNFIFDEFRTVL
NP_055877.3 1 852 0.646240610328638 Peroxisome proliferator-activated receptor gamma coactivator-related protein 1; PGC-1-related coactivator; PRC 1664 0 18 852 0 Homo sapiens (Human) SwissProt::Q5VV67 1 SwissProt MAARRGRRDGVAPPPSGGPGPDPGGGARGSGWGSRSQAPYGTLGAVSGGEQVLLHEEAGDSGFVSLSRLGPSLRDKDLEMEELMLQDETLLGTMQSYMDASLISLIEDFGSLGESRLSLEDQNEVSLLTALTEILDNADSENLSPFDSIPDSELLVSPREGSSLHKLLTLSRTPPERDLITPVDPLGPSTGSSRGSGVEMSLPDPSWDFSPPSFLETSSPKLPSWRPPRSRPRWGQSPPPQQRSDGEEEEEVASFSGQILAGELDNCVSSIPDFPMHLACPEEEDKATAAEMAVPAAGDESISSLSELVRAMHPYCLPNLTHLASLEDELQEQPDDLTLPEGCVVLEIVGQAATAGDDLEIPVVVRQVSPGPRPVLLDDSLETSSALQLLMPTLESETEAAVPKVTLCSEKEGLSLNSEEKLDSACLLKPREVVEPVVPKEPQNPPANAAPGSQRARKGRKKKSKEQPAACVEGYARRLRSSSRGQSTVGTEVTSQVDNLQKQPQEELQKESGPLQGKGKPRAWARAWAAALENSSPKNLERSAGQSSPAKEGPLDLYPKLADTIQTNPIPTHLSLVDSAQASPMPVDSVEADPTAVGPVLAGPVPVDPGLVDLASTSSELVEPLPAEPVLINPVLADSAAVDPAVVPISDNLPPVDAVPSGPAPVDLALVDPVPNDLTPVDPVLVKSRPTDPRRGAVSSALGGSAPQLLVESESLDPPKTIIPEVKEVVDSLKIESGTSATTHEARPRPLSLSEYRRRRQQRQAETEERSPQPPTGKWPSLPETPTGLADIPCLVIPPAPAKKTALQRSPETPLEICLVPVGPSPASPSPEPPVSKPVASSPTEQVPSQEM
NP_056008.1 1 199 0.40058040201005 Activity-regulated cytoskeleton-associated protein; hArc; Activity-regulated gene 3.1 protein homolog; ARC/ARG3.1; Arg3.1 396 0 18 199 0 Homo sapiens (Human) SwissProt::Q7LC44 1 SwissProt MELDHRTSGGLHAYPGPRGGQVAKPNVILQIGKCRAEMLEHVRRTHRHLLAEVSKQVERELKGLHRSVGKLESNLDGYVPTSDSQRWKKSIKACLCRCQETIANLERWVKREMHVWREVFYRLERWADRLESTGGKYPVGSESARHTVSVGVGGPESYCHEADGYDYTVSPYAITPPPAAGELPGQEPAEAQQYQPWVP
NP_057182.1 1 109 0.0908660550458715 PF03650.13:MPC:21:107 Mitochondrial pyruvate carrier 1; Brain protein 44-like protein 109 87 18 109 0 Homo sapiens (Human) SwissProt::Q9Y5U8 1 SwissProt MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLLFACHATNEVAQLIQGGRLIKHEMTKTASA
NP_057274.1 89 495 0.874750859950859 Cornulin; 53 kDa putative calcium-binding protein; 53 kDa squamous epithelial-induced stress protein; 58 kDa heat shock protein; Squamous epithelial heat shock protein 53; Tumor-related protein 495 0 18 407 0 Homo sapiens (Human) SwissProt::Q9UBG3 1 SwissProt LSESAEGACGSQESGSLHSGASQELGEGQRSGTEVGRAGKGQHYEGSSHRQSQQGSRGQNRPGVQTQGQATGSAWVSSYDRQAESQSQERISPQIQLSGQTEQTQKAGEGKRNQTTEMRPERQPQTREQDRAHQTGETVTGSGTQTQAGATQTVEQDSSHQTGRTSKQTQEATNDQNRGTETHGQGRSQTSQAVTGGHAQIQAGTHTQTPTQTVEQDSSHQTGSTSTQTQESTNGQNRGTEIHGQGRSQTSQAVTGGHTQIQAGSHTETVEQDRSQTVSHGGAREQGQTQTQPGSGQRWMQVSNPEAGETVPGGQAQTGASTESGRQEWSSTHPRRCVTEGQGDRQPTVVGEEWVDDHSRETVILRLDQGNLHTSVSSAQGQDAAQSEEKRGITARELYSYLRSTKP
NP_057441.1 1 380 0.71549447368421 LIM domain and actin-binding protein 1; Epithelial protein lost in neoplasm 759 0 18 380 0 Homo sapiens (Human) SwissProt::Q9UHB6 1 SwissProt MESSPFNRRQWTSLSLRVTAKELSLVNKNKSSAIVEIFSKYQKAAEETNMEKKRSNTENLSQHFRKGTLTVLKKKWENPGLGAESHTDSLRNSSTEIRHRADHPPAEVTSHAASGAKADQEEQIHPRSRLRSPPEALVQGRYPHIKDGEDLKDHSTESKKMENCLGESRHEVEKSEISENTDASGKIEKYNVPLNRLKMMFEKGEPTQTKILRAQSRSASGRKISENSYSLDDLEIGPGQLSSSTFDSEKNESRRNLELPRLSETSIKDRMAKYQAAVSKQSSSTNYTNELKASGGEIKIHKMEQKENVPPGPEVCITHQEGEKISANENSLAVRSTPAEDDSRDSQVKSEVQQPVHPKPLSPDSRASSLSESSPPKAMK
NP_062318.2 1 794 0.670637153652392 Formin-2 1578 0 18 794 0 Mus musculus (Mouse) SwissProt::Q9JL04 1 SwissProt MGNQDGKLKRSAGDASHEGGGAEDAAGPRDAEITKKASGSKKALGKHGKGGGGSGETSKKKSKSDSRASVFSNLRIRKNLTKGKGACDSREDVLDSQALPIGELDSAHSIVTKTPDLSLSAEETGLSDTECADPFEVIHPGASRPAEAGVGIQATAEDLETAAGAQDGQRTSSGSDTDIYSFHSATEQEDLLSDIQQAIRLQQQQQQKLLLQDSEEPAAPPTAISPQPGAFLGLDQFLLGPRSEAEKDTVQALPVRPDLPETTKSLVPEHPPSSGSHLTSETPGYATAPSAVTDSLSSPAFTFPEAGPGEGAAGVPVAGTGDTDEECEEDAFEDAPRGSPGEEWVPEVEEASQRLEKEPEEGMRESITSAVVSLPGSPAPSPRCFKPYPLITPCYIKTTTRQLSSPNHSPSQSPNQSPRIKKRPDPSVSRSSRTALASAAAPAKKHRLEGGLTGGLSRSADWTEELGVRTPGAGGSVHLLGRGATADDSGGGSPVLAAKAPGAPATADGFQNVFTGRTLLEKLFSQQENGPPEEAEKFCSRIIAMGLLLPFSDCFREPCNQNAGSSSAPFDQDQLYTWAAVSQPTHSMDYSEGQFPRREPSMWPSSKLPEEEPSPKDVDTEPKSSILESPKKCSNGVQQEVFDVKSEGQATVIQQLEQTIEDLRTKIAELEKQYPALDLEGPRGLSGLENGLTASADVSLDALVLHGKVAQPPRTLEAKSIQTSPTEEGRILTLPPPKAPPEGLLGSPAAASGESALLTSPSGPQTKFCSEISLIVSPRRISVQLDAQQIQSAS
NP_062673.1 74 444 0.0409291105121294 PF00487.24:FA_desaturase:84:344 Acyl-CoA 6-desaturase; Delta(6) fatty acid desaturase; D6D; Delta(6) desaturase; Delta-6 desaturase; Fatty acid desaturase 2; EC 1.14.19.3 444 261 18 305 3 Mus musculus (Mouse) SwissProt::Q9Z0R9 1 SwissProt AFHLDLDFVGKFLKPLLIGELAPEEPSLDRGKSSQITEDFRALKKTAEDMNLFKTNHLFFFLLLSHIIVMESLAWFILSYFGTGWIPTLVTAFVLATSQAQAGWLQHDYGHLSVYKKSIWNHVVHKFVIGHLKGASANWWNHRHFQHHAKPNIFHKDPDIKSLHVFVLGEWQPLEYGKKKLKYLPYNHQHEYFFLIGPPLLIPMYFQYQIIMTMISRRDWVDLAWAISYYMRFFYTYIPFYGILGALVFLNFIRFLESHWFVWVTQMNHLVMEIDLDHYRDWFSSQLAATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNLHKIAPLVKSLCAKHGIEYQEKPLLRALIDIVSSLKKSGELWLDAYLHK
NP_065166.2 144 696 0.662136708860759 PF02493.20:MORN:148:169,PF02493.20:MORN:171:193 Junctophilin-2; JP-2; Junctophilin type 2 696 45 18 530 1 Homo sapiens (Human) SwissProt::Q9BR39 1 SwissProt RQSVPYGMAVVVRSPLRTSLSSLRSEHSNGTVAPDSPASPASDGPALPSPAIPRGGFALSLLANAEAAARAPKGGGLFQRGALLGKLRRAESRTSVGSQRSRVSFLKSDLSSGASDAASTASLGEAAEGADEAAPFEADIDATTTETYMGEWKNDKRSGFGVSERSSGLRYEGEWLDNLRHGYGCTTLPDGHREEGKYRHNVLVKDTKRRMLQLKSNKVRQKVEHSVEGAQRAAAIARQKAEIAASRTSHAKAKAEAAEQAALAANQESNIARTLARELAPDFYQPGPEYQKRRLLQEILENSESLLEPPDRGAGAAGLPQPPRESPQLHERETPRPEGGSPSPAGTPPQPKRPRPGVSKDGLLSPGAWNGEPSGEGSRSVTPSEGAGRRSPARPATERMAIEALQAPPAPSREPEVALYQGYHSYAVRTTPPEPPPFEDQPEPEVSGSESAPSSPATAPLQAPTLRGPEPARETPAKLEPKPIIPKAEPRAKARKTEARGLTKAGAKKKARKEAALAAEAEVEVEEVPNTILICMVILLNIGLAILFVHLLT
NP_068749.3 1 792 0.142890656565657 PF04437.13:RINT1_TIP1:304:784 RAD50-interacting protein 1; RAD50 interactor 1; HsRINT-1; RINT-1 792 481 18 792 0 Homo sapiens (Human) SwissProt::Q6NUQ1 1 SwissProt MLPAGEIGASPAAPCCSESGDERKNLEEKSDINVTVLIGSKQVSEGTDNGDLPSYVSAFIEKEVGNDLKSLKKLDKLIEQRTVSKMQLEEQVLTISSEIPKRIRSALKNAEESKQFLNQFLEQETHLFSAINSHLLTAQPWMDDLGTMISQIEEIERHLAYLKWISQIEELSDNIQQYLMTNNVPEAASTLVSMAELDIKLQESSCTHLLGFMRATVKFWHKILKDKLTSDFEEILAQLHWPFIAPPQSQTVGLSRPASAPEIYSYLETLFCQLLKLQTSDELLTEPKQLPEKYSLPASPSVILPIQVMLTPLQKRFRYHFRGNRQTNVLSKPEWYLAQVLMWIGNHTEFLDEKIQPILDKVGSLVNARLEFSRGLMMLVLEKLATDIPCLLYDDNLFCHLVDEVLLFERELHSVHGYPGTFASCMHILSEETCFQRWLTVERKFALQKMDSMLSSEAAWVSQYKDITDVDEMKVPDCAETFMTLLLVITDRYKNLPTASRKLQFLELQKDLVDDFRIRLTQVMKEETRASLGFRYCAILNAVNYISTVLADWADNVFFLQLQQAALEVFAENNTLSKLQLGQLASMESSVFDDMINLLERLKHDMLTRQVDHVFREVKDAAKLYKKERWLSLPSQSEQAVMSLSSSACPLLLTLRDHLLQLEQQLCFSLFKIFWQMLVEKLDVYIYQEIILANHFNEGGAAQLQFDMTRNLFPLFSHYCKRPENYFKHIKEACIVLNLNVGSALLLKDVLQSASGQLPATAALNEVGIYKLAQQDVEILLNLRTNWPNTGK
NP_073202.1 1 254 0.0171062992125984 PF02544.16:Steroid_dh:106:254,PF06966.12:DUF1295:146:211 3-oxo-5-alpha-steroid 4-dehydrogenase 2; 5 alpha-SR2; SR type 2; Steroid 5-alpha-reductase 2; S5AR 2; EC 1.3.1.22 254 149 18 150 5 Rattus norvegicus (Rat) SwissProt::P31214 1 SwissProt MQIVCHQVPVLAGSATLATMGTLILCLGKPASYGKHTESVSSGVPFLPARIAWFLQELPSFVVSVGMLAWQPRSLFGPPGNVLLALFSAHYFHRTFIYSLLTRGRPFPAVLFLRATAFCIGNGLLQAYYLVYCAEYPEEWYTDVRFSFGVFLFILGMGINIHSDYTLRQLRKPGEVIYRIPRGGLFTYVSGANFLGEIIEWIGYALATWSVPAFAFAFFTLCFLGMQAFYHHRFYLKMFKDYPKSRKALIPFIF
NP_077073.1 192 667 0.687148529411764 Disabled homolog 2; Adaptor molecule disabled-2; C9; Differentially expressed in ovarian carcinoma 2; DOC-2; Mitogen-responsive phosphoprotein 768 0 18 476 0 Rattus norvegicus (Rat) SwissProt::O88797 1 SwissProt GSEALMTLDDQANKLKLGVDQMDLFGDMSTPPDLNNPTESRDILLVDLNSEIDTNQNSLRENPFLTNGVTSCSLPRPKPQASFLPESAFSANLNFFPTPNPDPFRDDPFAQPDQSAPSSFHSLTSADQKKANPGSLSTPQSKGPLNGDTDYFGQQFDQISNRTGKQEAQGGPWPYPSSQTQQAVRTQNGVSEKEQNGFHIKSSPNPFVGSPPKGLSVPNGVKQDLESSVQSSAHDSIAIIPPPQSTKPGRGRRTAKSSANDLLASDIFASEPPGQMSPTGQPAVPQANFMDLFKTSAPAPMGSGPLVGLGTVPVTPPQAGPWTPVVFTPSTTVVPGAIISGQPSGFGQPLVFGTTPAVQVWNQPSSFATAASPPPPAVWCPTTSVAPNTWSSTSPLGNPFQSSNIFPPSTISTQSFPQPMMSSVLVTPPQPPPRNGPLKDTLSDAFTGLDPLGDKEVKEVKEMFKDFQLRQPPLVP
NP_077310.1 1 400 0.20170675 PF07787.12:TMEM43:121:373 Transmembrane protein 43; Protein LUMA 400 253 18 316 4 Homo sapiens (Human) SwissProt::Q9BTV4 1 SwissProt MAANYSSTSTRREHVKVKTSSQPGFLERLSETSGGMFVGLMAFLLSFYLIFTNEGRALKTATSLAEGLSLVVSPDSIHSVAPENEGRLVHIIGALRTSKLLSDPNYGVHLPAVKLRRHVEMYQWVETEESREYTEDGQVKKETRYSYNTEWRSEIINSKNFDREIGHKNPSAMAVESFMATAPFVQIGRFFLSSGLIDKVDNFKSLSLSKLEDPHVDIIRRGDFFYHSENPKYPEVGDLRVSFSYAGLSGDDPDLGPAHVVTVIARQRGDQLVPFSTKSGDTLLLLHHGDFSAEEVFHRELRSNSMKTWGLRAAGWMAMFMGLNLMTRILYTLVDWFPVFRDLVNIGLKAFAFCVATSLTLLTVAAGWLFYRPLWALLIAGLALVPILVARTRVPAKKLE
NP_112174.2 61 551 0.374250305498982 E3 ubiquitin-protein ligase TRIM8; Glioblastoma-expressed RING finger protein; RING finger protein 27; RING-type E3 ubiquitin transferase TRIM8; Tripartite motif-containing protein 8; EC 2.3.2.27 551 0 18 491 0 Homo sapiens (Human) SwissProt::Q9BZR9 1 SwissProt QKPGLEKNLKLTNIVEKFNALHVEKPPAALHCVFCRRGPPLPAQKVCLRCEAPCCQSHVQTHLQQPSTARGHLLVEADDVRAWSCPQHNAYRLYHCEAEQVAVCQYCCYYSGAHQGHSVCDVEIRRNEIRKMLMKQQDRLEEREQDIEDQLYKLESDKRLVEEKVNQLKEEVRLQYEKLHQLLDEDLRQTVEVLDKAQAKFCSENAAQALHLGERMQEAKKLLGSLQLLFDKTEDVSFMKNTKSVKILMDRTQTCTSSSLSPTKIGHLNSKLFLNEVAKKEKQLRKMLEGPFSTPVPFLQSVPLYPCGVSSSGAEKRKHSTAFPEASFLETSSGPVGGQYGAAGTASGEGQSGQPLGPCSSTQHLVALPGGAQPVHSSPVFPPSQYPNGSAAQQPMLPQYGGRKILVCSVDNCYCSSVANHGGHQPYPRSGHFPWTVPSQEYSHPLPPTPSVPQSLPSLAVRDWLDASQQPGHQDFYRVYGQPSTKHYVTS
NP_112224.1 279 1218 0.271981489361702 PF12335.8:SBF2:252:476,PF06602.14:Myotub-related:835:920,PF02893.20:GRAM:592:728 Myotubularin-related protein 13; Inactive phosphatidylinositol 3-phosphatase 13; SET-binding factor 2 1849 448 18 940 0 Homo sapiens (Human) SwissProt::Q86WG5 1 SwissProt VFKTDVHELLDVIIADLDGGTIKIPECIHLSSLPEPLLHQTQSALSLILHPDLEVADHAFPPPRTALSHSKMLDKEVRAVFLRLFAQLFQGYRSCLQLIRIHAEPVIHFHKTAFLGQRGLVENDFLTKVLSGMAFAGFVSERGPPYRSCDLFDELVAFEVERIKVEENNPVKMIKHVRELAEQLFKNENPNPHMAFQKVPRPTEGSHLRVHILPFPEINEARVQELIQENVAKNQNAPPATRIEKKCVVPAGPPVVSIMDKVTTVFNSAQRLEVVRNCISFIFENKILETEKTLPAALRALKGKAARQCLTDELGLHVQQNRAILDHQQFDYIIRMMNCTLQDCSSLEEYNIAAALLPLTSAFYRKLAPGVSQFAYTCVQDHPIWTNQQFWETTFYNAVQEQVRSLYLSAKEDNHAPHLKQKDKLPDDHYQEKTAMDLAAEQLRLWPTLSKSTQQELVQHEESTVFSQAIHFANLMVNLLVPLDTSKNKLLRTSAPGDWESGSNSIVTNSIAGSVAESYDTESGFEDSENTDIANSVVRFITRFIDKVCTESGVTQDHIKSLHCMIPGIVAMHIETLEAVHRESRRLPPIQKPKILRPALLPGEEIVCEGLRVLLDPDGREEATGGLLGGPQLLPAEGALFLTTYRILFRGTPHDQLVGEQTVVRSFPIASITKEKKITMQNQLQQNMQEGLQITSASFQLIKVAFDEEVSPEVVEIFKKQLMKFRYPQSIFSTFAFAAGQTTPQIILPKQKEKNTSFRTFSKTIVKGAKRAGKMTIGRQYLLKKKTGTIVEERVNRPGWNEDDDVSVSDESELPTSTTLKASEKSTMEQLVEKACFRDYQRLGLGTISGSSSRSRPEYFRITASNRMYSLCRSYPGLLVVPQAVQDSSLPRVARCYRHNRLPVVCWKNSRSGTLLLRSGGFHGKGVVGLFKSQNSPQAA
NP_116656.1 1 204 0.566902450980392 60S ribosomal subunit assembly/export protein LOC1; Localization of ASH1 mRNA protein 1 204 0 18 204 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43586 1 SwissProt MAPKKPSKRQNLRREVAPEVFQDSQARNQLANVPHLTEKSAQRKPSKTKVKKEQSLARLYGAKKDKKGKYSEKDLNIPTLNRAIVPGVKIRRGKKGKKFIADNDTLTLNRLITTIGDKYDDIAESKLEKARRLEEIRELKRKEIERKEALKQDKLEEKKDEIKKKSSVARTIRRKNKRDMLKSEAKASESKTEGRKVKKVSFAQ
NP_173321.4 1 308 0.255044155844156 Isochorismate synthase 2, chloroplastic; AtIcs2; Isochorismate mutase 2; menF-like protein 2; EC 5.4.4.2 562 0 18 308 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M9V6 1 SwissProt MASLQCSFHFLGTNPKKYNPSSIFQSYSRTSFTKLSSRVSRQRFLRCTLSMNGCEADHKAPLGTVETRTLSTVPSPAAATERLITAVSDLKSQPPPFSSGIVRLQVPIEQKIGAIDWLHAQNEILPRSFFSRRSDSGRPDLLQDFSSDNGSSDHNPVSVAGIGSAVFFRDLDPFSHDDWRSIRRFLSSKSPLIRAYGGLRFDPTGKIAVEWEHFGSFYFTVPQVEFDEFGGSSMLAATVAWDNELSWTLENAIEALQETMLQVSSVIMRLRRESLGVIVVSKNHVPSEGAYYPAVNNALEIIKDKHSP
NP_174064.1 1 412 0.157516747572816 PF03016.15:Exostosin:45:340 Probable beta-1,4-xylosyltransferase IRX10; Glucuronoxylan glucuronosyltransferase 1; AtGUT1; Glucuronoxylan glucuronosyltransferase 2; Protein IRREGULAR XYLEM 10; Xylan xylosyltransferase IRX10; EC 2.4.2.- 412 296 18 412 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FZJ1 1 SwissProt MKIHSCLSAILLFLFFSASSAKQNVRTERISGSAGDVLEDDPVGKLKVYVYELPSKYNKKLLQKDPRCLTHMFAAEIFMHRFLLSSPVRTRNPDEADWFYTPIYPTCDLTPTGLPLPFKSPRMMRSSIQLISSNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIERGILPLLQRATLVQTFGQRNHVCLDEGSITIPPFAPPQKMQAHFIPPDIPRSIFVYFRGLFYDVNNDPEGGYYARGARAAVWENFKNNPLFDISTDHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVVFGCIPVIIADDIVLPFADAIPWEEIGVFVAEKDVPELDTILTSIPTEVILRKQRLLANPSMKRAMLFPQPAQPGDAFHQILNGLARKLPHDKSIYLKTGEKALNWTAGPVADLKPW
NP_176043.1 184 1007 0.11618604368932 PF00931.22:NB-ARC:10:228,PF07725.12:LRR_3:419:438 Disease resistance protein ADR2; Protein ACTIVATED DISEASE RESISTANCE 2; Protein WHITE RUST RESISTANCE 4 1007 239 18 824 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C7X0 1 SwissProt DDMVGLERHLKEMVSLLDLDKEGVKMVGISGPAGIGKSTIAKALHSRHSSTFQHNCFVDNLWENYKICTGEHGVKLRLHEQFVSKILKQNGLELTHLSVIKDRLQDKKVLIILDDVESLAQLETLADMTWFGPGSRVIVTTENKEILQQHGIGDIYQVGYPSESEALTIFCLSAFKQASPPDGFMDLADEVVRICDKLPLALCVLGSSLLRKSQTDWEDELPRLRNCLDGIESVLKVGFESLNEKDQALFLYITVFFNYECADHVTLMLAKSNLNVRLGLKNLANRYLIHIDHDQKKRVVVHRLLRVMAIQVCTKQKPWKSQILVDAEKIAYVLEEATGNRSIKGVSFDTAEIDELMISPKAFEKMCNLLFLKVYDAGWHTGKRKLDIPEDIKFPRTIRLFHWDAYSGKRLPSSFFAENLVEVNMQDSELQKLWEGTQCLANLKKIDLSRSSCLTELPDLSNATNLEDLYVGSCTALVELPSSIGNLHKLAHIMMYSCESLEVIPSLINLTSLTFLNMNKCSRLRRFPDIPTSIEDVQVTGTTLEELPASLTHCSGLQTIKISGSVNLKIFYTELPVSVSHINISNSGIEWITEDCIKGLHNLHDLCLSGCKRLVSLPELPRSLKILQADDCDSLESLNGHLNTPNAELYFANCFKLDAEARRAIIQQSFVSGWALLPGLEVPPEFGHRARGNSLIIPYSASNRFKVCVVMSLNHHQPFELVPRNLLYRWTVIGDSVSSDEKTFHLSHMFNADSVNSKLQKPHLFIFHSCLPFIFHSCLPFIFDISNIMLEFSSEYKDFDILECGVQILTDETDERNIWGSLVF
NP_177590.1 1 93 0.411986021505376 PF00010.26:HLH:20:62 Transcription factor PRE3; Basic helix-loop-helix protein 135; AtbHLH135; bHLH 135; Protein ACTIVATION-TAGGED BRI1 SUPPRESSOR 1; ATBS1; Protein PACLOBUTRAZOL RESISTANCE 3; Protein TARGET OF MOOPTEROS 7; Transcription factor EN 67; bHLH transcription factor bHLH135 93 43 18 93 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CA64 1 SwissProt MSGRRSRSRQSSGTSRISEDQINDLIIKLQQLLPELRDSRRSDKVSAARVLQDTCNYIRNLHREVDDLSERLSELLANSDTAQAALIRSLLTQ
NP_177862.1 1 476 0.0593134453781512 PF01490.18:Aa_trans:30:463 Amino acid permease 3; Amino acid transporter AAP3 476 434 18 276 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39134 1 SwissProt MVQNHQTVLAVDMPQTGGSKYLDDDGKNKRTGSVWTASAHIITAVIGSGVLSLAWATAQLGWLAGPVVMLLFSAVTYFTSSLLAACYRSGDPISGKRNYTYMDAVRSNLGGVKVTLCGIVQYLNIFGVAIGYTIASAISMMAIKRSNCFHKSGGKDPCHMNSNPYMIAFGLVQILFSQIPDFDQLWWLSILAAVMSFTYSSAGLALGIAQVVVNGKVKGSLTGISIGAVTETQKIWRTFQALGDIAFAYSYSIILIEIQDTVKSPPSEEKTMKKATLVSVSVTTMFYMLCGCMGYAAFGDLSPGNLLTGFGFYNPYWLLDIANAAIVIHLIGAYQVYCQPLFAFIEKQASIQFPDSEFIAKDIKIPIPGFKPLRLNVFRLIWRTVFVIITTVISMLLPFFNDVVGLLGALGFWPLTVYFPVEMYIAQKKIPRWSTRWVCLQVFSLGCLVVSIAAAAGSIAGVLLDLKSYKPFRSEY
NP_179852.1 1 196 0.562208163265306 PF02309.16:AUX_IAA:52:190 Auxin-responsive protein IAA8; Indoleacetic acid-induced protein 8 321 139 18 196 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38826 1 SwissProt MSYRLLSVDKDELVTSPCLKERNYLGLSDCSSVDSSTIPNVVGKSNLNFKATELRLGLPESQSPERETDFGLLSPRTPDEKLLFPLLPSKDNGSATTGHKNVVSGNKRGFADTWDEFSGVKGSVRPGGGINMMLSPKVKDVSKSIQEERSHAKGGLNNAPAAKAQVVGWPPIRSYRKNTMASSTSKNTDEVDGKPG
NP_187108.1 1 430 0.158589534883721 PF01590.26:GAF:183:331 Protein EIN4; AtEIN4; Protein ETHYLENE INSENSITIVE 4; EC 2.7.11.- 766 149 18 361 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZTP3 1 SwissProt MLRSLGLGLLLFALLALVSGDNDYVSCNCDDEGFLSVHTILECQRVSDLLIAIAYFSIPLELLYFISFSNVPFKWVLVQFIAFIVLCGMTHLLNAWTYYGPHSFQLMLWLTIFKFLTALVSCATAITLLTLIPLLLKWKVRELYLKQNVLELNEEVGLMKRQKEMSVQVRMLTREIRKSLDKHMILRTTLVELSKILDLQNSAVWMPNENRTEMHLTHELRANPMRSFRVIPINDPDVVQVRETKVVTILRKNSVLAVESSGCGGSEEFGPVAAIRMPMLHGLNFKGGTPEFVDTPYAIMVLVLPSANSRVWTDKEIEIAEVVADQVAVAISHASVLEESQLMREKLGIQNRALLRAKQNAMMASQARNTCQKVMSHGMRRPMHTILGLLSMFQSESMSLDQKIIVDALMKTSTVLSALINDVIDISPKD
NP_187685.1 301 678 0.248191534391534 PF06964.12:Alpha-L-AF_C:165:349 Alpha-L-arabinofuranosidase 1; AtASD1; Beta-D-xylosidase; EC 3.2.1.55; EC 3.2.1.- 678 185 18 378 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SG80 1 SwissProt KYWTDDGLGHFEFFQMAEDIGAAPIWVFNNGISHNDEVETASIMPFVQEALDGIEFARGDANSTWGSVRAKMGRQEPFELKYVAIGNEDCGKTYYRGNYIVFYDAIKKAYPDIKIISNCDGSSHPLDHPADYYDYHIYTSASNLFSMYHQFDRTSRKGPKAFVSEYAVTGKDAGTGSLLASLAEAAFLIGLEKNSDIVEMASYAPLFVNTNDRRWNPDAIVFNSSHLYGTPSYWVQRFFAESSGATLLTSTLKGNSTSLVASAISWKNNGKDYIRIKAVNFGANSENMQVLVTGLDPNVMRVSGSKKTVLTSTNVMDENSFSQPEKVVPHESLLELAEEDMTVVLPPHSFSSFDLLKESAKIRMPISDSSSHQKTTTV
NP_190092.1 1 542 0.131047601476015 PF07690.16:MFS_1:79:435 High affinity nitrate transporter 2.6; AtNRT2:6 542 357 18 296 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXH0 1 SwissProt MAHNHSNEDGSIGTSLHGVTAREQVFSFSVQEDVPSSQAVRTNDPTAKFALPVDSEHRAKVFKPLSFAKPHMRAFHLGWISFFTCFISTFAAAPLVPVIRDNLDLTKTDIGNAGVASVSGAIFSRLAMGAVCDLLGARYGTAFSLMLTAPAVFSMSFVADAGSYLAVRFMIGFCLATFVSCQYWTSVMFTGKIIGLVNGCAGGWGDMGGGVTQLLMPMVFHVIKLTGATPFTAWRFAFFIPGILQIVMGILVLTLGQDLPDGNLSTLQKSGQVSKDKFSKVFWFAVKNYRTWILFMLYGFSMGVELTINNVISGYFYDRFNLTLHTAGIIAASFGMANFFARPFGGYASDVAARLFGMRGRLWILWILQTVGALFCIWLGRASSLPIAILAMMLFSMGTQAACGALFGVAPFVSRRSLGLISGLTGAGGNFGSGVTQLLFFSSSRFSTAEGLSLMGVMAVVCSLPVAFIHFPQWGSMFLRPSQDGEKSKEEHYYGAEWTEEEKSLGLHEGSIKFAENSRSERGRKAMLADIPTPETGSPAHV
NP_191093.1 1 230 0.220258695652174 PF01789.16:PsbP:80:228 PsbP-like protein 1, chloroplastic; OEC23-like protein 4; PsbP-related thylakoid lumenal protein 2 230 149 18 230 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P82538 1 SwissProt MASLKLSPSSPISISKVGVIPSSKKGLSFLVKAEHHSSSSSSHLQDKCQRRLIVTFGVVAPWISLLSRAPLSFAAESKKGFLAVSDNKDAYAFLYPFGWQEVVIEGQDKVYKDVIEPLESVSVNLVPTSKQTIKEFGPPKQIAETLIKKVLAPPNQKTTLIDASEHDVDGKTYYQFEFTVQARNYTRHALGTITVFNGNFYTLTTGANERRWEKMKDRLHTVVDSFKITV
NP_192925.1 65 221 0.544184713375796 PF01486.17:K-box:20:108 Agamous-like MADS-box protein AGL14; Protein XAANTAL 2 221 89 18 157 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38838 1 SwissProt KTVERYQKRIQDLGSNHKRNDNSQQSKDETYGLARKIEHLEISTRKMMGEGLDASSIEELQQLENQLDRSLMKIRAKKYQLLREETEKLKEKERNLIAENKMLMEKCEMQGRGIIGRISSSSSTSELDIDDNEMEVVTDLFIGPPETRHFKKFPPSN
NP_193701.2 1 574 0.280752961672474 PF12313.8:NPR1_like_C:357:563,PF11900.8:DUF3420:215:258,PF00651.31:BTB:52:128,PF12796.7:Ank_2:263:342 Regulatory protein NPR4; BTB/POZ domain-containing protein NPR4 574 408 18 574 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5ICL9 1 SwissProt MAATAIEPSSSISFTSSHLSNPSPVVTTYHSAANLEELSSNLEQLLTNPDCDYTDAEIIIEEEANPVSVHRCVLAARSKFFLDLFKKDKDSSEKKPKYQMKDLLPYGNVGREAFLHFLSYIYTGRLKPFPIEVSTCVDSVCAHDSCKPAIDFAVELMYASFVFQIPDLVSSFQRKLRNYVEKSLVENVLPILLVAFHCDLTQLLDQCIERVARSDLDRFCIEKELPLEVLEKIKQLRVKSVNIPEVEDKSIERTGKVLKALDSDDVELVKLLLTESDITLDQANGLHYAVAYSDPKVVTQVLDLDMADVNFRNSRGYTVLHIAAMRREPTIIIPLIQKGANASDFTFDGRSAVNICRRLTRPKDYHTKTSRKEPSKYRLCIDILEREIRRNPLVSGDTPTCSHSMPEDLQMRLLYLEKRVGLAQLFFPAEANVAMDVANVEGTSECTGLLTPPPSNDTTENLGKVDLNETPYVQTKRMLTRMKALMKTVETGRRYFPSCYEVLDKYMDQYMDEEIPDMSYPEKGTVKERRQKRMRYNELKNDVKKAYSKDKVARSCLSSSSPASSLREALENPT
NP_194101.1 76 820 0.153042684563758 PF00999.21:Na_H_Exchanger:16:348 Cation/H(+) antiporter 17; Protein CATION/H+ EXCHANGER 17; AtCHX17 820 333 18 520 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SUQ7 1 SwissProt KSTKFINTVFPPKSLTVLDTLANLGLIFFLFLVGLELDPKSLKRTGKRALSIALAGITLPFVLGIGTSFALRSSIADGASKAPFLVFMGVALSITAFPVLARILAEIKLLTTDIGKIALSAAAVNDVAAWILLALAVALSGEGSSPLTSLWVFLSGCGFVLFCIFVVQPGIKLIAKRCPEGEPVNELYVCCTLGIVLAASFVTDFIGIHALFGAFVIGVIFPKEGNFANALVEKVEDLVSGLFLPLYFVSSGLKTNVATIQGAQSWGLLVLVIFNACFGKIIGTVLVSLYCKVPLDQSLALGFLMNTKGLVELIVLNIGKDRGVLNDQIFAIMVLMAIFTTFMTTPLVLAVYKPGKSLTKADYKNRTVEETNRSNKPLCLMFCFQSIMNIPTIVNLIEASRGINRKENLSVYAMHLMELSERSSAILMAHKVRRNGLPFWNKDKSENNSSSSDMVVVAFEAFRRLSRVSVRPMTAISPMATIHEDICQSAERKKTAMVILPFHKHVRLDRTWETTRNDYRWINKKVMEESPCSVAILVDRGLGGTTRVASSDFSLTITVLFFGGNDDREALAFAVRMAEHPGISLTVVRFIPSDEFKPENVRIEITEDQLCSGATRLIDIEAITELKAKIKEKESSRSNSDSESHIIYEEKIVKCYEEVIEVIKEYSKSNLFLVGKSPEGSVASGINVRSDTPELGPIGNLLTESESVSTVASVLVVQQYIASRPVGISKNVTTEESLVEDSESP
NP_195858.1 1 77 0.0956441558441559 PF01439.18:Metallothio_2:1:76 Metallothionein-like protein 2B; MT-2B 77 76 18 77 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38805 1 SwissProt MSCCGGSCGCGSACKCGNGCGGCKRYPDLENTATETLVLGVAPAMNSQYEASGETFVAENDACKCGSDCKCNPCTCK
NP_195935.2 225 503 0.689477419354839 Zinc finger protein JACKDAW; ID1-like zinc finger protein 3; Protein indeterminate-domain 10 503 0 18 279 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q700D2 1 SwissProt PVISTTNLNFGNESNVMNNPNLPHGFVHRGVHHPDINAAISQFGLGFGHDLSAMHAQGLSEMVQMASTGNHHLFPSSSSSLPDFSGHHQFQIPMTSTNPSLTLSSSSTSQQTSASLQHQTLKDSSFSPLFSSSSENKQNKPLSPMSATALLQKAAQMGSTRSNSSTAPSFFAGPTMTSSSATASPPPRSSSPMMIQQQLNNFNTNVLRENHNRAPPPLSGVSTSSVDNNPFQSNRSGLNPAQQMGLTRDFLGVSNEHHPHQTGRRPFLPQELARFAPLG
NP_196610.2 1 221 0.0270280542986425 PF04387.14:PTPLA:55:216 Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase PASTICCINO 2; 3-hydroxyacyl-CoA dehydratase PASTICCINO 2; AtPAS2; HACD; HCD; Protein PEPINO; PEP; Protein tyrosine phosphatase-like protein; EC 4.2.1.134 221 162 18 132 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VZB2 1 SwissProt MAGFLSVVRRVYLTLYNWIVFAGWAQVLYLAITTLKETGYENVYDAIEKPLQLAQTAAVLEILHGLVGLVRSPVSATLPQIGSRLFLTWGILYSFPEVRSHFLVTSLVISWSITEIIRYSFFGFKEALGFAPSWHLWLRYSSFLLLYPTGITSEVGLIYLALPHIKTSEMYSVRMPNILNFSFDFFYATILVLAIYVPGSPHMYRYMLGQRKRALSKSKRE
NP_197069.1 1 360 0.122568333333333 PF03214.13:RGP:18:352 UDP-arabinopyranose mutase 2; Reversibly glycosylated polypeptide 2; AtRGP2; UDP-L-arabinose mutase 2; EC 5.4.99.30 360 335 18 360 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LFW1 1 SwissProt MVEPANTVGLPVNPTPLLKDELDIVIPTIRNLDFLEMWRPFLQPYHLIIVQDGDPSKKIHVPEGYDYELYNRNDINRILGPKASCISFKDSACRCFGYMVSKKKYIFTIDDDCFVAKDPSGKAVNALEQHIKNLLCPSSPFFFNTLYDPYREGADFVRGYPFSLREGVSTAVSHGLWLNIPDYDAPTQLVKPKERNTRYVDAVMTIPKGTLFPMCGMNLAFDRDLIGPAMYFGLMGDGQPIGRYDDMWAGWCIKVICDHLSLGVKTGLPYIYHSKASNPFVNLKKEYKGIFWQEEIIPFFQNAKLSKEAVTVQQCYIELSKMVKEKLSSLDPYFDKLADAMVTWIEAWDELNPPAASGKA
NP_197642.1 1 491 0.124618940936864 PF07993.12:NAD_binding_4:16:316,PF03015.19:Sterile:391:490 Fatty acyl-CoA reductase 1; EC 1.2.1.84 491 401 18 491 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39152 1 SwissProt MESNCVQFLGNKTILITGAPGFLAKVLVEKILRLQPNVKKIYLLLRAPDEKSAMQRLRSEVMEIDLFKVLRNNLGEDNLNALMREKIVPVPGDISIDNLGLKDTDLIQRMWSEIDIIINIAATTNFDERYDIGLGINTFGALNVLNFAKKCVKGQLLLHVSTAYISGEQPGLLLEKPFKMGETLSGDRELDINIEHDLMKQKLKELQDCSDEEISQTMKDFGMARAKLHGWPNTYVFTKAMGEMLMGKYRENLPLVIIRPTMITSTIAEPFPGWIEGLKTLDSVIVAYGKGRLKCFLADSNSVFDLIPADMVVNAMVAAATAHSGDTGIQAIYHVGSSCKNPVTFGQLHDFTARYFAKRPLIGRNGSPIIVVKGTILSTMAQFSLYMTLRYKLPLQILRLINIVYPWSHGDNYSDLSRKIKLAMRLVELYQPYLLFKGIFDDLNTERLRMKRKENIKELDGSFEFDPKSIDWDNYITNTHIPGLITHVLKQ
NP_197697.1 1 387 0.28097338501292 Calcium sensing receptor, chloroplastic; Sulfurtransferase 3; AtStr3 387 0 18 364 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FN48 1 SwissProt MAMAEMATKSSLSAKLTLPSSSTKKTLSLRQVSVSLPTSTSISLLSLFASPPHEAKAAVSIPKDQIVSSLTEVEKTINQVQETGSSVFDATQRVFQVVGDALKPALDTALPIAKQAGEEAMKLASPAFSEASKKAQEAMQSSGFDSEPVFNAAKTVTDVAQQTSKAIEDAKPIASSTMDTISSADPSVIVVAAGAAFLAYLLLPPVFSAISFNFRGYKGDLTPAQTLDLLCTKNYLMVDIRSEKDKEKAGIPRLPSNAKNRVISIPLEELPNKVKGIVRNSKRVEAEIAALKISYLKKINKGSNIIILDSYTDSAKIVAKTLKVLGYKNCYIVTDGFSGGRGWLQSRLGTDSYNFSFAQVLSPSRIIPAASRSFGTRSGTKFLPSSD
NP_197917.1 1 623 0.112103691813804 PF13516.6:LRR_6:192:215,PF13516.6:LRR_6:244:264,PF13516.6:LRR_6:326:346,PF13516.6:LRR_6:430:450,PF13516.6:LRR_6:512:534,PF00646.33:F-box:54:94 EIN3-binding F-box protein 2 623 151 18 623 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q708Y0 1 SwissProt MSGIFRFSGDEDCLLGGSMYLSPGSCPGVYYPARKRLRVAATSFYSGFEEKQTSIDVLPEECLFEILRRLPSGQERSACACVSKHWLNLLSSISRSEVNESSVQDVEEGEGFLSRSLEGKKATDLRLAAIAVGTSSRGGLGKLQIRGSGFESKVTDVGLGAVAHGCPSLRIVSLWNLPAVSDLGLSEIARSCPMIEKLDLSRCPGITDSGLVAIAENCVNLSDLTIDSCSGVGNEGLRAIARRCVNLRSISIRSCPRIGDQGVAFLLAQAGSYLTKVKLQMLNVSGLSLAVIGHYGAAVTDLVLHGLQGVNEKGFWVMGNAKGLKKLKSLSVMSCRGMTDVGLEAVGNGCPDLKHVSLNKCLLVSGKGLVALAKSALSLESLKLEECHRINQFGLMGFLMNCGSKLKAFSLANCLGISDFNSESSLPSPSCSSLRSLSIRCCPGFGDASLAFLGKFCHQLQDVELCGLNGVTDAGVRELLQSNNVGLVKVNLSECINVSDNTVSAISVCHGRTLESLNLDGCKNITNASLVAVAKNCYSVNDLDISNTLVSDHGIKALASSPNHLNLQVLSIGGCSSITDKSKACIQKLGRTLLGLNIQRCGRISSSTVDTLLENLWRCDILY
NP_200513.1 1 524 0.155865648854962 PF05834.12:Lycopene_cycl:110:501 Lycopene epsilon cyclase, chloroplastic; Protein LUTEIN DEFICIENT 2; EC 5.5.1.18 524 392 18 501 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38932 1 SwissProt MECVGARNFAAMAVSTFPSWSCRRKFPVVKRYSYRNIRFGLCSVRASGGGSSGSESCVAVREDFADEEDFVKAGGSEILFVQMQQNKDMDEQSKLVDKLPPISIGDGALDLVVIGCGPAGLALAAESAKLGLKVGLIGPDLPFTNNYGVWEDEFNDLGLQKCIEHVWRETIVYLDDDKPITIGRAYGRVSRRLLHEELLRRCVESGVSYLSSKVDSITEASDGLRLVACDDNNVIPCRLATVASGAASGKLLQYEVGGPRVCVQTAYGVEVEVENSPYDPDQMVFMDYRDYTNEKVRSLEAEYPTFLYAMPMTKSRLFFEETCLASKDVMPFDLLKTKLMLRLDTLGIRILKTYEEEWSYIPVGGSLPNTEQKNLAFGAAASMVHPATGYSVVRSLSEAPKYASVIAEILREETTKQINSNISRQAWDTLWPPERKRQRAFFLFGLALIVQFDTEGIRSFFRTFFRLPKWMWQGFLGSTLTSGDLVLFALYMFVISPNNLRKGLINHLISDPTGATMIKTYLKV
NP_200742.2 1 260 0.446681153846154 PF00046.29:Homeodomain:13:72 WUSCHEL-related homeobox 2 260 60 18 260 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6X7K1 1 SwissProt MENEVNAGTASSSRWNPTKDQITLLENLYKEGIRTPSADQIQQITGRLRAYGHIEGKNVFYWFQNHKARQRQKQKQERMAYFNRLLHKTSRFFYPPPCSNVGCVSPYYLQQASDHHMNQHGSVYTNDLLHRNNVMIPSGGYEKRTVTQHQKQLSDIRTTAATRMPISPSSLRFDRFALRDNCYAGEDINVNSSGRKTLPLFPLQPLNASNADGMGSSSFALGSDSPVDCSSDGAGREQPFIDFFSGGSTSTRFDSNGNGL
NP_218172.1 1 125 0.1461456 Apoptosis inhibitor Rv3655c 125 0 18 102 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O69623 1 SwissProt MEAALAIATLVLVLVLCLAGVTAVSMQVRCIDAAREAARLAARGDVRSATDVARSIAPRAALVQVHRDGEFVVATVTAHSNLLPTLDIAARAISVAEPGSTAARPPCLPSRWSRCCCASPVRVHI
NP_219754.1 1 116 0.0920413793103448 hypothetical protein 116 0 18 70 2 Chlamydia trachomatis D/UW-3/CX NP_219754.1 1 RefSeq MGIKPHDHGCWGSRGNIFTLQDLDTQQANQSAAASSSSVLKSECTAKVARYALGFLFGLGFILSIVTFIAAAATLPLGTVTILIMVTQAAFAAALAFKLYDLFKHDVPTCSITSKA
NP_418392.1 182 383 0.276295049504951 PF07687.14:M20_dimer:3:107,PF01546.28:Peptidase_M20:112:196 acetylornithine deacetylase (EC 3.5.1.16) 383 190 18 202 0 Escherichia coli K-12 substr. MG1655 ecocyc::ACETYLORNDEACET-MONOMER 1 ecocyc HISNAIRIQGQSGHSSDPARGVNAIELMHDAIGHILQLRDNLKERYHYEAFTVPYPTLNLGHIHGGDASNRICACCELHMDIRPLPGMTLNELNGLLNDALAPVSERWPGRLTVDELHPPIPGYECPPNHQLVEVVEKLLGAKTEVVNYCTEAPFIQTLCPTLVLGPGSINQAHQPDEYLETRFIKPTRELITQVIHHFCWH
NP_444402.2 1 441 0.748756916099773 PF07175.11:Osteoregulin:29:192 Matrix extracellular phosphoglycoprotein; Osteoblast/osteocyte factor 45; OF45; Osteoregulin 441 164 18 441 0 Mus musculus (Mouse) SwissProt::Q8K4L6 1 SwissProt MTPEGLMKMQAVSVGLLLFSMTWAAPMPNEDRSSCGNQDSIHKDLAASVYPDPTVDEGTEDGQGALLHPPGQDRYGAALLRNITQPVKSLVTGAELRREGNQEKRPQSVLSVIPADVNDAKVSLKDIKNQESYLLTQSSPVKSKHTKHTRQTRRSTHYLTHLPQIKKTPSDLEGSGSPDLLVRGDNDVPPFSGDGQHFMHIPGKGGAGSGPESSTSRPLSGSSKAEVIDPHMSGLGSNEIPGREGHGGSAYATRDKAAQGAGSAGGSLVGGSNEITGSTNFRELPGKEGNRINAGSQNAHQGKVEFHYPQVASREKVKGGVEHAGRAGYNEIPKSSKGSSSKDAEESKGNQLTLTASQRFPGKGKSQGPALPSHSLSNEVKSEENHYVFHGQNNLTPNKGMSQRRGSWPSRRPNSHRRASTRQRDSSESSSSGSSSESHGD
NP_445926.1 1 416 0.821250480769231 Neurabin-2; Neurabin-II; Neural tissue-specific F-actin-binding protein II; PP1bp134; Protein phosphatase 1 regulatory subunit 9B; Spinophilin; p130 817 0 18 416 0 Rattus norvegicus (Rat) SwissProt::O35274 1 SwissProt MMKTEPRGPGGPLRSASPHRSAYEAGIQALKPPDAPGPDEAPKAAHHKKYGSNVHRIKSMFLQMGTTTGPPGEAGGASGMAEAPRASDRGVRLSLPRASSLNENVDHSALLKLGTSVSERVSRFDSKPAPSAQPAPPPHPPSRLQETRKLFERSVPAASGGDKEAVARRLLRQERASLQDRKLDVVVRFNGSTEALDKLDADAVSPTVSQLSAVFEKADSRTGLHRAPGPPRAAGAPQVNSKLVTKRSRVFQPPPPPPAPSGDAATEKDRGPGGQQPPQHRVAPARPPPKPREVRKIKPVEVEESGESEAESAPGEVIQAEVTVHAALENGSTTATTASPAPEEPKAEAVPEEEASSSVATLERGVDNGRAPDMAPEEVDESKKEDFSEADLVDVSAYSGLGEDSGGSALEEDDEE
NP_498236.1 1 796 0.274524623115578 Armadillo repeat-containing protein wrm-1; Worm armadillo protein 1 796 0 18 796 0 Caenorhabditis elegans SwissProt::Q10953 1 SwissProt MDVDCAETFSQPCTPLNFNPMTPSTSRVSTPVRPSSTMSARQYSGSPFKAQPQNMEPSNSRVQELREAAVGKRSYTNAWMQGTYAPPQMAGQQQRFSRPPSVIGSTMSHMTNMSEMTAYSYGGLSMLSVNTEMGEFNNFVNQAPYQRALTRVSQVSENQDPTNRQYPMTAPEIIENLESTELINQAAAIRALEPIVKAGGMLQTWGPKGAEPIIRALFQVLIPRPVENENVIRKAFEILHHSILLSNKEIRRIDRMFFRLNAALMDPNGPPVFNVPKPYSIYEIVMTRAIQLDTKFESSAMVLLVHLCCKPHFMKIFFGEDETQQSPAHRRLHKIVIEFAIGNLRRPETKSKNKGLCVSIIKNLSNKNATIKDMSERLGVVSLFHQIMQNEVIHEDLLWSTMQALTVFCGDVKNGTHFVQMGGAQVLCGLLSHGSTRLLHELLKCLRRVSDLPAIQEQDMKESIHCIVQLIGCSDVTIVELATGTLRNIGLHNKMNKAFMVQDGVTSHAIAVLRTSEQFTYQPHANIDLYRKQILSIYENCLSVLNNVTSMAPQDIKESAVSACRMISENADSAYVLLHYFNVGNRKCRKLAVTVMKRVIETVPAFADPFVDLLGTTNEPLPILLLQRAFQSLDEWRKTSVEMMNCDGRSAEQRRELDDRRKDHEDIVKRSVGLLTNLCSQANPRFFHSLKLVLTNGTLNPFQWLTHEMSDGILQEWLAFILSICSRDESLQTFMMYRFLEQAKMTEAFFAELKARRQNSNIQTMLSKIIDLGRHQQRIVSQQHQQHQMQHHRQLM
NP_504372.2 1 776 0.139896520618557 PF13965.6:SID-1_RNA_chan:198:776 Systemic RNA interference defective protein 1; Systemic RNAi enabling protein 776 579 18 538 11 Caenorhabditis elegans SwissProt::Q9GZC8 1 SwissProt MIRVYLIILMHLVIGLTQNNSTTPSPIITSSNSSVLVFEISSKMKMIEKKLEANTVHVLRLELDQSFILDLTKVAAEIVDSSKYSKEDGVILEVTVSNGRDSFLLKLPTVYPNLKLYTDGKLLNPLVEQDFGAHRKRHRIGDPHFHQNLIVTVQSRLNADIDYRLHVTHLDRAQYDFLKFKTGQTTKTLSNQKLTFVKPIGFFLNCSEQNISQFHVTLYSEDDICANLITVPANESIYDRSVISDKTHNRRVLSFTKRADIFFTETEISMFKSFRIFVFIAPDDSGCSTNTSRKSFNEKKKISFEFKKLENQSYAVPTALMMIFLTTPCLLFLPIVINIIKNSRKLAPSQSNLISFSPVPSEQRDMDLSHDEQQNTSSELENNGEIPAAENQIVEEITAENQETSVEEGNREIQVKIPLKQDSLSLHGQMLQYPVAIILPVLMHTAIEFHKWTTSTMANRDEMCFHNHACARPLGELRAWNNIITNIGYTLYGAIFIVLSICRRGRHEYSHVFGTYECTLLDVTIGVFMVLQSIASATYHICPSDVAFQFDTPCIQVICGLLMVRQWFVRHESPSPAYTNILLVGVVSLNFLISAFSKTSYVRFIIAVIHVIVVGSICLAKERSLGSEKLKTRFFIMAFSMGNFAAIVMYLTLSAFHLNQIATYCFIINCIMYLMYYGCMKVLHSERITSKAKLCGALSLLAWAVAGFFFFQDDTDWTRSAAASRALNKPCLLLGFFGSHDLWHIFGALAGLFTFIFVSFVDDDLINTRKTSINIF
NP_509206.1 1 811 0.304359679408138 Beta-catenin/armadillo-related protein 1; Protruding vulva protein 1; Suppressor of polyray 1 811 0 18 811 0 Caenorhabditis elegans SwissProt::Q18825 1 SwissProt MDLDPNLVINHDDTNLSEASFTMEQHTSSYSDIHMGSTPCTGHRKVDMWRNHNFDSGFQTMNHSEAPSIISSLHPSSHLSGMSSMADYEPIPTLSDQQKQKFDGITQNQADGQYNTVRAIPELTMLMKDQDNEVVHKAVILMQNIAKMECDPMRRQNEARIVDPRVIFTLRDLLRDKVEFPNIIRCTLGTFFHICNRQEGIDLVTRAIAEQPDIIPNLIRHIGTYPSSIYKYAILTMHSILSDKQRGGQSVIIARQQDAITHVTPWLEAEKSEKLLPVIVDLIRVLCEKNTEQKIKFVKMGGPQKLLMLLQHRVYENLLWRTTQLLKTFSNFDAPNLVAFGGRQILANLLSHGSPRLVQSTLETLRNISDVPSKIKEDLLLKSLLELVNSRNTTIRLYSAQIMSNLVANNRHNKEFMCGNNGVVILVRALTIATKEMGDLRDKEAQQMEDYIESLICTLRHLCVGHPMSDKVQAFVFRDPALFLHKLLTMRPVLLKHTLSLLLKVVSQHALLAPFRSCRIGDKGFVEQLIHILRVACTQLNVQESIEGVRVKDIIHLCIQILRWITRDQDILNEVVFFLQTPENSRMGDGHTLPIFVLQKANVEENTKSSALALIYNLMHHEQMANVLDRDDVLVKMLQNVQMQSQTHPELASLANNILKMMYEKREKTRNTLPRYNSYLESQFGHMSMTTPRSEALNSSGEVCEGAGEQWSTPLTDDTMMDSYCNSSGRDSSKPYNSPMYHSPPAMYPEYSIGPPETYLDPHATASCYPRPTPPQYNSYDRSPPVYNDLPSNPGPSSHSSDYYPSRNSRF
NP_523453.1 1 397 0.0279020151133502 PF02949.20:7tm_6:81:381,PF08395.12:7tm_7:43:393 Odorant receptor 22a 397 351 18 308 4 Drosophila melanogaster (Fruit fly) SwissProt::P81909 1 SwissProt MLSKFFPHIKEKPLSERVKSRDAFIYLDRVMWSFGWTEPENKRWILPYKLWLAFVNIVMLILLPISISIEYLHRFKTFSAGEFLSSLEIGVNMYGSSFKCAFTLIGFKKRQEAKVLLDQLDKRCLSDKERSTVHRYVAMGNFFDILYHIFYSTFVVMNFPYFLLERRHAWRMYFPYIDSDEQFYISSIAECFLMTEAIYMDLCTDVCPLISMLMARCHISLLKQRLRNLRSKPGRTEDEYLEELTECIRDHRLLLDYVDALRPVFSGTIFVQFLLIGTVLGLSMINLMFFSTFWTGVATCLFMFDVSMETFPFCYLCNMIIDDCQEMSNCLFQSDWTSADRRYKSTLVYFLHNLQQPITLTAGGVFPISMQTNLAMVKLAFSVVTVIKQFNLAERFQ
NP_524818.1 1 352 0.6163875 Zinc finger protein sens; Protein senseless 541 0 18 352 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9N658 1 SwissProt MNHLSPPPSPHSQQPSPAGLGCHGAALDKQWMQRASAFNTVIASAAAQKLNGRDLPFLYNPLLYSSALLWPQFLLSSATALGTPLTPMTPKSPASVVLGQRDRDFALTPEKEHELQMNNNNENSKQDYQEQDEDMPLNLSTKERITSDDSNRDQYHSSSNNSSRSSSSSEVEQLHPMTSLNVTPPPLSAVNLKSSSTPQQQRQRSQGNIIWSPASMCERSARREQYGLKMEEQGDEEEHQVDPIVRKFKYERRTASISSLQSPISSLSAPASNAVQDLEFEVAQQQLYAHRSAFMAGLTGNNLELLTQHLKLKSEQPQQQQQQHRIKDEQQQDNRSAAALMNLVAAAEFGYM
NP_564368.1 1 442 0.13011334841629 PF00646.33:F-box:46:81 Protein UNUSUAL FLORAL ORGANS; F-box only protein 1; AtFBX1 442 36 18 442 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39090 1 SwissProt MDSTVFINNPSLTLPFSYTFTSSSNSSTTTSTTTDSSSGQWMDGRIWSKLPPPLLDRVIAFLPPPAFFRTRCVCKRFYSLLFSNTFLETYLQLLPLRHNCFLFFKHKTLKSYIYKRGGTNDDDSNKAEGFLFDPNEIRWYRLSFAYIPSGFYPSGSSGGLVSWVSEEAGLKTILLCNPLVGSVSQLPPISRPRLFPSIGLSVTPTSIDVTVAGDDLISPYAVKNLSSESFHVDAGGFFSLWAMTSSLPRLCSLESGKMVYVQGKFYCMNYSPFSVLSYEVTGNRWIKIQAPMRRFLRSPSLLESKGRLILVAAVEKSKLNVPKSLRLWSLQQDNATWVEIERMPQPLYTQFAAEEGGKGFECVGNQEFVMIVLRGTSLQLLFDIVRKSWLWVPPCPYSGSGGGSSGGGSDGEVLQGFAYDPVLTTPVVSLLDQLTLPFPGVC
NP_565507.1 1 172 0.367640697674419 PF00643.24:zf-B_box:52:92 B-box zinc finger protein 18; Protein DOUBLE B-BOX 1A; Protein SALT TOLERANCE HOMOLOG 4 172 41 18 172 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJU5 1 SwissProt MRILCDACESAAAIVFCAADEAALCCSCDEKVHKCNKLASRHLRVGLADPSNAPSCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHRRFLLLRQRIEFPGDKPNHADQLGLRCQKASSGRGQESNGNGDHDHNMIDLNSNPQRVHEPGSHNQEEGIDVNNANNHEHE
NP_594486.1 1 1019 0.609112365063787 PF09444.10:MRC1:701:837 Mediator of replication checkpoint protein 1; DNA replication checkpoint mediator mrc1 1019 137 18 1019 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9P7T4 1 SwissProt MASLDENADELHRMDSSDEASINDDQEDILDTPRTRVRKMLASVDMQLSSNAVSEASLDKESTVGNLENQKNRSYSSEIYLHSDTNFLSNFDSAYERVRRLLNQQGGKSSLQKKEVEQIETQEGGDNAKGSPSSENKDSDRNSRLQQLIEKKRNALKKEQEDLIQNSATSHSKSDNLDSESADDSDLADESELSKKYTSDRKIRNASKKALLELHRNTARLTRETALKPEVVVKKKVTLREFFQKIGFKNDNQLENKAISEEEANSTEPPNVEKEEPKPSVDRSTGIVNSEDIKELSVEDDSLELKEITPEALDIGQTSLFTTLNQTQVKKEDNKKFLLKEINAKLNEDDIDSELEIEVKPKTTALDNIEKSKLSEENEHGIKGKLKQLAEIKLSKDGKPFENEFNIKSFNRNLVKRAAVMAKLQRNQLEEELKAKGIYKPTIQGEKEEEEDPLERARNDAEKIRQLEKASGNASDEGELNDEEEVISSSNTPSTKAKTTNKVIISDVIIEATQAEPKRRQKNSRVVFDEEDLTGDSHGSSNMKISESDDESNGDMIRDSFDRLSSESIKDSQKTEELHDSFGINDEVDQSTSLYVQNSQPSASQLTIVDATYSQPPPRWESSSRDDKTNTSSTQPSQVDSLVPTQLDSTIPTQIDSVQRNKDQDDEEILEERRESRRDSKTFLSRTMLYNKDTGKADSAWASDLIEEQAIESDDEYAGIGGLSDDGLSDSDAELEVQNMIDDETTIQKGEVASMAQFAKDQEMDRDEKLVKQLMKDVTTGALRKRNRNGFAALDDSDDEDYSNLRREKLKELRRQKLLEDGNLNVLEGDKRKAFLATVEDSLVSSKDNLTWLDATVEDSGVGSSDLGDEYLYSEQSLNHEEEEQMEEELSEIFSSGGPNVVDRVYLKKSSTRHTSDNNSLEEVLPIFPGVRKLVSNSQSEKIGDLSNDNSMGAKSYKTPIISSTQRPQGRKFRGLMNQSSKADISRTVDAGSIKVVPNSQSANPPRLLASLNNYSDFD
NP_659477.2 125 261 0.894612408759124 PF15237.6:PTRF_SDPR:1:70 Caveolae-associated protein 3; Cavin-3; Protein kinase C delta-binding protein; Serum deprivation response factor-related gene product that binds to C-kinase; hSRBC 261 70 18 137 0 Homo sapiens (Human) SwissProt::Q969G5 1 SwissProt LLFKEEGEVPASAFQKAPEPLGPADQSELGPEQLEAEVGESSDEEPVESRAQRLRRTGLQKVQSLRRALSGRKGPAAPPPTPVKPPRLGPGRSAEAQPEAQPALEPTLEPEPPQDTEEDPGRPGAAEEALLQMESVA
NP_663398.2 200 611 0.0834021844660194 PF00474.17:SSF:36:250 Sodium-coupled monocarboxylate transporter 1; Electrogenic sodium monocarboxylate cotransporter; Solute carrier family 5 member 8 611 215 18 254 7 Mus musculus (Mouse) SwissProt::Q8BYF6 1 SwissProt FASVIIQASITQHGINKILSDAFNGGRLNFWNFDPNPLQRHTFWTIVIGGTFTWTTIYGVNQSQVQRYISCKSRLHAKLSLYVNLVGLWVILTCSIFCGLALYSRYRECDPWTSKKVSAIDQLMPYLVLDILKNYPGVPGLFVACAYSGTLSTVSSSINALAAVTVEDLIKPRFKSLSEKSLSWISQGMSVLYGALCIGMAALASLMGALLQAALSIFGMVGGPLLGLFSLGILVPFANSIGALTGLLAGFAISLWVGIGAQLYPPLPERTLPLPLETYGCNITHNGSDWMSTTEMPFSTSAFQIHNAERTPLMDNWYSLSYLYFSTIGTLTTLFVGILISLSTGGRKQNLDPRFLLTKQDFLSNFDVFKKRNHVLNYKLHPVEVGGTDNPAFNHVELNFTDHSGKINGTRL
NP_689956.2 1 821 0.202952375152253 PF02985.22:HEAT:501:530,PF02985.22:HEAT:547:574 BRCA1-associated ATM activator 1; BRCA1-associated protein required for ATM activation protein 1 821 58 18 821 0 Homo sapiens (Human) SwissProt::Q6PJG6 1 SwissProt MDPECAQLLPALCAVLVDPRQPVADDTCLEKLLDWFKTVTEGESSVVLLQEHPCLVELLSHVLKVQDLSSGVLSFSLRLAGTFAAQENCFQYLQQGELLPGLFGEPGPLGRATWAVPTVRSGWIQGLRSLAQHPSALRFLADHGAVDTIFSLQGDSSLFVASAASQLLVHVLALSMRGGAEGQPCLPGGDWPACAQKIMDHVEESLCSAATPKVTQALNVLTTTFGRCQSPWTEALWVRLSPRVACLLERDPIPAAHSFVDLLLCVARSPVFSSSDGSLWETVARALSCLGPTHMGPLALGILKLEHCPQALRTQAFQVLLQPLACVLKATVQAPGPPGLLDGTADDATTVDTLLASKSSCAGLLCRTLAHLEELQPLPQRPSPWPQASLLGATVTVLRLCDGSAAPASSVGGHLCGTLAGCVRVQRAALDFLGTLSQGTGPQELVTQALAVLLECLESPGSSPTVLKKAFQATLRWLLSSPKTPGCSDLGPLIPQFLRELFPVLQKRLCHPCWEVRDSALEFLTQLSRHWGGQADFRCALLASEVPQLALQLLQDPESYVRASAVTAMGQLSSQGLHAPTSPEHAEARQSLFLELLHILSVDSEGFPRRAVMQVFTEWLRDGHADAAQDTEQFVATVLQAASRDLDWEVRAQGLELALVFLGQTLGPPRTHCPYAVALPEVAPAQPLTEALRALCHVGLFDFAFCALFDCDRPVAQKSCDLLLFLRDKIASYSSLREARGSPNTASAEATLPRWRAGEQAQPPGDQEPEAVLAMLRSLDLEGLRSTLAESSDHVEKSPQSLLQDMLATGGFLQGDEADCY
NP_695206.1 1 185 0.306871351351351 PF15180.6:NPBW:25:144 Neuropeptide W; Preproprotein L8; PPL8 185 120 18 185 0 Rattus norvegicus (Rat) SwissProt::Q8K1M5 1 SwissProt MDLSALASSREVRGPGPGAPVNRPLLPLLLLLLLLPLPASAWYKHVASPRYHTVGRASGLLMGLRRSPYLWRRALGGAAGPLVGLPGQMARSALLLPSPGQELWEVRSRSSPAGLPVHATRSLRDLEGAGQPEQSLSFQSWTSAEPAARAFGETLRAQPWFLQQIIFADPVRLDDRLKNRWRPRA
NP_719427.1 1 369 0.224656910569106 PF13609.6:Porin_4:11:345 outer membrane porin Omp35 369 335 18 369 0 Shewanella oneidensis MR-1 NP_719427.1 1 RefSeq MKKTFISASVASVLALASFGALAEGPSFYGRLELALTNTETGATLQSGTNGVDTKNYADENNGGTYLENNFSLLGVKGSEKIANGYDVVYQMEFQVENTSGSGDVFKARNTFLGLKTNAGTVLVGRNDTVFKQAEGNVDVFGNTNADIDRLVGAQTRSADGVWYYSPKIADLVTLNATYLFDDNDTTAKTNESLYALSATLGDSKFKDQNYYLAVAYNKGIAGIDAYRGVAQVKFGDFKVGGLFQNSESVTNSSVDGNTYFVNVVYTLNGVNLKAEYGVDEAGFGNYYNNVNKYTEVQIIDGKPTVVAKSTTGTDISVQNFNVGADYRFSKSTMVYGQYSMYRGDHVVAGNKVDLQDDNVFSVGVRYDF 5
NP_766042.2 1 392 0.0629244897959184 PF00876.18:Innexin:35:229 Pannexin-3 392 195 18 305 4 Mus musculus (Mouse) SwissProt::Q8CEG0 1 SwissProt MSLAHTAAEYMLSDALLPDRRGSRLKGLRLELPLDKMVKFITVGFPLLLMSLAFAQEFSSGSPISCFSPSNFSVRQAAYVDSSCWDSLAHHTQDKAGQYKVKSLWPHKALPYSLLALAVAMYLPVLLWQYVAVPSLSSDLLFIISELDKSYNRSIRLVQHMLQIRQSSSDPHVFWDELEKARKERYFEFPLLERYLECKQRSHWLVATYLLRNALLLLFTSATYLYLGQFHLDVFFQDEFNCFIKTGLLHDETHVPELITCRLTSLSVFQIVSVSSAAIYTILVPVIIYNLTRLCRWDKGLLSIYEMLPAFDLLSRKMLGCPINDLNVILLFLRANISELISFSWLSVLSVLKDTTTQKHNIDTVVDFMTFVAGLEPSKPKHLTQHTYDEHA
NP_808457.1 140 280 0.295745390070922 V-set and immunoglobulin domain-containing protein 4 precursor 280 0 18 118 1 Mus musculus NP_808457.1 1 RefSeq PPRINTEAPTTLHSSLEATTIMSSTSDLTTNGTGKLEETIAGSGRNLPIFAIIFIISLCCIVAVTIPYILFRCRTFQQEYVYGVSRVFARKTSNSEETTRVTTIATDEPDSQALISDYSDDPCLSQEYQITIRSTMSIPAC
NP_835455.1 1 164 0.457304878048781 Pancreas transcription factor 1 subunit alpha; Class A basic helix-loop-helix protein 29; bHLHa29; Pancreas-specific transcription factor 1a; bHLH transcription factor p48; p48 DNA-binding subunit of transcription factor PTF1; PTF1-p48 328 0 18 164 0 Homo sapiens (Human) SwissProt::Q7RTS3 1 SwissProt MDAVLLEHFPGGLDAFPSSYFDEDDFFTDQSSRDPLEDGDELLADEQAEVEFLSHQLHEYCYRDGACLLLQPAPPAAPLALAPPSSGGLGEPDDGGGGGYCCETGAPPGGFPYSPGSPPSCLAYPCAGAAVLSPGARLRGLSGAAAAAARRRRRVRSEAELQQL
NP_850194.1 1 509 0.6732326129666 PF08513.11:LisH:10:36 Transcriptional corepressor LEUNIG_HOMOLOG; Protein MUCILAGE-MODIFIED 1 787 27 18 509 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48847 1 SwissProt MAQSNWEADKMLDVYIYDYLVKKKLHNTAKSFMTEGKVSPDPVAIDAPGGFLFEWWSVFWDIFIARTNEKHSEAAAAYIEAQQGKAKEQQMQIQQLQMMRQAQMQRRDPNHPSLGGPMNAIGSEGMIGQSNASALAAKMYEERMKQPNPMNSETSQPHLDARMALLKSATNHHGQIVQGNHQGGVSAALQQIQSRTQQPTEIKTEVNLGTSPRQLPVDPSTVYGQGILQSKPGMGSAGLNPGVSGLPLKGWPLTGIEQMRPGLGGPQVQKSFLQNQSQFQLSPQQQQHQMLAQVQAQGNMTNSPMYGGDMDPRRFTGLPRGNLNPKDGQQNANDGSIGSPMQSSSSKHISMPPVQQSSSQQQDHLLSQQSQQNNRKRKGPSSSGPANSTGTGNTVGPSNSQPSTPSTHTPVDGVAIAGNMHHVNSMPKGPMMYGSDGIGGLASSANQLLQDDMDQFGDVGALEDNVESFLSQDDGDGGSLFGTLKRNSSVHTETSKPFSFNEVSCIRKS
NP_955352.1 351 842 0.487970325203252 Protein Jade-1; Jade family PHD finger protein 1; PHD finger protein 17 842 0 18 492 0 Homo sapiens (Human) SwissProt::Q6IE81 1 SwissProt TILAENDEVKFKSYCPKHSSHRKPEESLGKGAAQENGAPECSPRNPLEPFASLEQNREEAHRVSVRKQKLQQLEDEFYTFVNLLDVARALRLPEEVVDFLYQYWKLKRKVNFNKPLITPKKDEEDNLAKREQDVLFRRLQLFTHLRQDLERVRNLTYMVTRREKIKRSVCKVQEQIFNLYTKLLEQERVSGVPSSCSSSSLENMLLFNSPSVGPDAPKIEDLKWHSAFFRKQMGTSLVHSLKKPHKRDPLQNSPGSEGKTLLKQPDLCGRREGMVVPESFLGLEKTFAEARLISAQQKNGVVMPDHGKRRDNRFHCDLIKGDLKDKSFKQSHKPLRSTDVSQRHLDNTRAATSPGVGQSAPGTRKEIVPKCNGSLIKVNYNQTAVKVPTTPASPVKNWGGFRIPKKGERQQQGEAHDGACHQHSDYPYLGLGRVPAKERAKSKLKSDNENDGYVPDVEMSDSESEASEKKCIHTSSTISRRTDIIRRSILAS
NP_997465.1 1 363 0.219818181818182 PF00429.19:TLV_coat:292:363 Syncytin-2; Endogenous retrovirus group FRD member 1; Envelope polyprotein; HERV-FRD; HERV-FRD_6p24.1 provirus ancestral Env polyprotein 538 72 18 363 0 Homo sapiens (Human) SwissProt::P60508 1 SwissProt MGLLLLVLILTPSLAAYRHPDFPLLEKAQQLLQSTGSPYSTNCWLCTSSSTETPGTAYPASPREWTSIEAELHISYRWDPNLKGLMRPANSLLSTVKQDFPDIRQKPPIFGPIFTNINLMGIAPICVMAKRKNGTNVGTLPSTVCNVTFTVDSNQQTYQTYTHNQFRHQPRFPKPPNITFPQGTLLDKSSRFCQGRPSSCSTRNFWFRPADYNQCLQISNLSSTAEWVLLDQTRNSLFWENKTKGANQSQTPCVQVLAGMTIATSYLGISAVSEFFGTSLTPLFHFHISTCLKTQGAFYICGQSIHQCLPSNWTGTCTIGYVTPDIFIAPGNLSLPIPIYGNSPLPRVRRAIHFIPLLAGLGI
O43683 151 722 0.539992132867133 Mitotic checkpoint serine/threonine-protein kinase BUB1; hBUB1; BUB1A; EC 2.7.11.1 1085 0 18 572 0 Homo sapiens (Human) SwissProt::O43683 1 SwissProt HLPAQARTSEPLHNVQVLNQMITSKSNPGNNMACISKNQGSELSGVISSACDKESNMERRVITISKSEYSVHSSLASKVDVEQVVMYCKEKLIRGESEFSFEELRAQKYNQRRKHEQWVNEDRHYMKRKEANAFEEQLLKQKMDELHKKLHQVVETSHEDLPASQERSEVNPARMGPSVGSQQELRAPCLPVTYQQTPVNMEKNPREAPPVVPPLANAISAALVSPATSQSIAPPVPLKAQTVTDSMFAVASKDAGCVNKSTHEFKPQSGAEIKEGCETHKVANTSSFHTTPNTSLGMVQATPSKVQPSPTVHTKEALGFIMNMFQAPTLPDISDDKDEWQSLDQNEDAFEAQFQKNVRSSGAWGVNKIISSLSSAFHVFEDGNKENYGLPQPKNKPTGARTFGERSVSRLPSKPKEEVPHAEEFLDDSTVWGIRCNKTLAPSPKSPGDFTSAAQLASTPFHKLPVESVHILEDKENVVAKQCTQATLDSCEENMVVPSRDGKFSPIQEKSPKQALSSHMYSASLLRLSQPAAGGVLTCEAELGVEACRLTDTDAAIAEDPPDAIAGLQAEW 2
O60383 1 349 0.265224641833811 Growth/differentiation factor 9; GDF-9 454 0 18 326 1 Homo sapiens (Human) SwissProt::O60383 1 SwissProt MARPNKFLLWFCCFAWLCFPISLGSQASGGEAQIAASAELESGAMPWSLLQHIDERDRAGLLPALFKVLSVGRGGSPRLQPDSRALHYMKKLYKTYATKEGIPKSNRSHLYNTVRLFTPCTRHKQAPGDQVTGILPSVELLFNLDRITTVEHLLKSVLLYNINNSVSFSSAVKCVCNLMIKEPKSSSRTLGRAPYSFTFNSQFEFGKKHKWIQIDVTSLLQPLVASNKRSIHMSINFTCMKDQLEHPSAQNGLFNMTLVSPSLILYLNDTSAQAYHSWYSLHYKRRPSQGPDQERSLSAYPVGEEAAEDGRSSHHRHRRGQETVSSELKKPLGPASFNLSEYFRQFLLP
O60477 1 761 0.179085545335086 PF01823.19:MACPF:71:159 BMP/retinoic acid-inducible neural-specific protein 1; Deleted in bladder cancer protein 1 761 89 18 761 0 Homo sapiens (Human) SwissProt::O60477 1 SwissProt MNWRFVELLYFLFIWGRISVQPSHQEPAGTDQHVSKEFDWLISDRGPFHHSRSYLSFVERHRQGFTTRYKIYREFARWKVRNTAIERRDLVRHPVPLMPEFQRSIRLLGRRPTTQQFIDTIIKKYGTHLLISATLGGEEALTMYMDKSRLDRKSGNATQSVEALHQLASSYFVDRDGTMRRLHEIQISTGAIKVTETRTGPLGCNSYDNLDSVSSVLLQSTESKLHLQGLQIIFPQYLQEKFVQSALSYIMCNGEGEYLCQNSQCRCQCAEEFPQCNCPITDIQIMEYTLANMAKSWAEAYKDLENSDEFKSFMKRLPSNHFLTIGSIHQHWGNDWDLQNRYKLLQSATEAQRQKIQRTARKLFGLSVRCRHNPNHQLPRERTIQQWLARVQSLLYCNENGFWGTFLESQRSCVCHGSTTLCQRPIPCVIGGNNSCAMCSLANISLCGSCNKGYKLYRGRCEPQNVDSERSEQFISFETDLDFQDLELKYLLQKMDSRLYVHTTFISNEIRLDTFFDPRWRKRMSLTLKSNKNRMDFIHMVIGMSMRICQMRNSSLDPMFFVYVNPFSGSHSEGWNMPFGEFGYPRWEKIRLQNSQCYNWTLLLGNRWKTFFETVHIYLRSRTRLPTLLRNETGQGPVDLSDPSKRQFYIKISDVQVFGYSLRFNADLLRSAVQQVNQSYTQGGQFYSSSSVMLLLLDIRDRINRLAPPVAPGKPQLDLFSCMLKHRLKLTNSEIIRVNHALDLYNTEILKQSDQMTAKLC
O75896 1 110 0.330640909090909 PF15000.6:TUSC2:1:109 Tumor suppressor candidate 2; Fusion 1 protein; Fus-1 protein; PDGFA-associated protein 2 110 109 18 110 0 Homo sapiens (Human) SwissProt::O75896 1 SwissProt MGASGSKARGLWPFASAAGGGGSEAAGAEQALVRPRGRAVPPFVFTRRGSMFYDEDGDLAHEFYEETIVTKNGQKRAKLRRVHKNLIPQGIVKLDHPRIHVDFPVILYEV
P02724 1 79 0.48156329113924 PF01102.18:Glycophorin_A:34:77 Glycophorin-A; MN sialoglycoprotein; PAS-2; Sialoglycoprotein alpha; CD235a antigen 150 44 18 79 0 Homo sapiens (Human) SwissProt::P02724 1 SwissProt MYGKIIFVLLLSEIVSISASSTTGVAMHTSTSSSVTKSYISSQTNDTHKRDTYAATPRAHEVSEISVRTVYPPEEETGE
P02808 1 62 0.11933064516129 PF03875.13:Statherin:20:60 Statherin 62 41 18 62 0 Homo sapiens (Human) SwissProt::P02808 1 SwissProt MKFLVFAFILALMVSMIGADSSEEKFLRRIGRFGYGYGPYQPVPEQPLYPQPYQPQYQQYTF
P03247 1 175 0.190289142857143 PF01691.16:Adeno_E1B_19K:1:133 E1B protein, small T-antigen 175 133 18 175 0 Human adenovirus C serotype 2 P03247 1 SwissProt/TReMBL MEAWECLEDFSAVRNLLEQSSNSTSWFWRFLWGSSQAKLVCRIKEDYKWEFEELLKSCGELFDSLNLGHQALFQEKVIKTLDFSTPGRAAAAVAFLSFIKDKWSEETHLSGGYLLDFLAMHLWRAVVRHKNRLLLLSSVRPAIIPTEEQQQEEARRRRRQEQSPWNPRAGLDPRE
P11420 1 547 0.650505850091408 Protein daughterless 710 0 18 547 0 Drosophila melanogaster (Fruit fly) SwissProt::P11420 1 SwissProt MATSDDEPMHLYEVFQNCFNKIANKQPTGTVGADRGGGGGYHSPYGSLGVENGMYPSDFNSMHDTVNGGNNRYANASTVDQYFDSAAAGSGGAWCQPQMSSANSYMGQSAYQNSGPLSGHSIDQQQQQVHQADGLGMGGGGGGGVGADGMHCPVTTGLPPISSFRPTSGGIGGPGAGQQAPVNVNVNPPAVFNSPQAHNHNHTVQAQHSALSTAGPLGHHSLNHTPHAHSHTLPLPHALPHGHTLPHPHHSQQNSPAVQSSDAFSGAGASVKVAGAGNSSAAALRQQMYMPADQSISSFGSNPSTPVNSPPPLTQSVVGGGGEPSVSGGSGWGHSVLNGGPSSSYASEMVPVSSLHTMASVFQGVRMEERLDDALNVLRNHCEPEMLAGVNQSLASIDNIDALTSFVPNSPSHLGSGGNSGSVSNTSNAALVHEVLALGAAAAAGTSGQSVGGAGSLASLKLDRSASTSLPKQTKKRKEHTAISNSVPAGVSTTSSLTSLDISDTKPTSSIESSNSGLQQHSQGKGTKRPRRYCSSADEDDDAEPAV
P18275 39 482 0.0204781531531531 PF13520.6:AA_permease_2:2:417,PF00324.21:AA_permease:3:318 arginine/ornithine antiporter 482 416 18 174 12 Pseudomonas aeruginosa CharProtDB::CH_001631 0 CharProtDB DVGAVLIGWAITAVGMLTLAFVFQTLANRKPELDGGVYAYAKAGFGDYMGFSSAWGYWISAWLGNVGYFVLLFSTLGYFFPIFGKGDTVAAIVCASVLLWALHFLVLRGIKEAAFINTVTTVAKVVPLFLFILICLFAFKLDIFTADIWGKSNPDLGSVMNQVRNMMLVTVWVFIGIEGASIFSSRAEKRSDVGKATVIGFITVLLLLVLVNVLSMGVMTQPELAKLQNPSMALVLEHVVGHWGAVLISVGLLISLLGALLSWVLLCAEIMFAAAKDHTMPEFLRRENANQVPANALWLTNICVQVFLVVVFFTSGDPDGMDPYTKMLLLATSMILIPYFWSAAYGLLLTLKGETYENDARERSKDLVIAGIAVAYAVWLLYAGGLKYLLLSALLYAPGAILFAKAKHEVGQPIFTGIEKLIFAAVVIGALVAAYGLYDGFLTL
P22035 185 811 0.697388835725678 Myb-like DNA-binding protein BAS1 811 0 18 627 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P22035 1 SwissProt KAYGTKWRKISSEMEFRPSLTCRNRWRKIITMVVRGQASEVITKAIKENKNIDMTDGKLRQHPIADSDIRSDSTPNKEEQLQLSQQNNPSLIKQDILNVKENESSKLPRLKDNDGPILNDSKPQALPPLKEISAPPPIRMTQVGQTHTSGSIRSKVSLPIEGLSQMNKQSPGGISDSPQTSLPPAFNPASLDEHMMNSNSISDSPKHAYSTVKTREPNSSSTQWKFTLKDGQGLSISNGTIDSTKLVKELVDQAKKYSLKISIHQHIHNHYVTSTDHPVSSNTGLSNIGNINGNPLLMDSFPHMGRQLGNGLPGLNSNSDTFNPEYRTSLDNMDSDFLSRTPNYNAFSLEATSHNPADNANELGSQSNRETNSPSVFYPQANTLIPTNSTATNNEIIQGNVSANSMSPNFNGTNGKAPSSTASYTTSGSEMPPDVGPNRIAHFNYLPPTIRPHLGSSDATRGADLNKLLNPSPNSVRSNGSKTKKKEKRKSESSQHHSSSSVTTNKFNHIDQSEISRTTSRSDTPLRDEDGLDFWETLRSLATTNPNPPVEKSAENDGAKPQVVHQGIGSHTEDSSLGSHSGGYDFFNELLDKKADTLHNEAKKTSEHDMTSGGSTDNGSVLPLNPS
P23497 1 529 0.58975236294896 PF03172.13:HSR:50:147 Nuclear autoantigen Sp-100; Nuclear dot-associated Sp100 protein; Speckled 100 kDa 879 98 18 529 0 Homo sapiens (Human) SwissProt::P23497 1 SwissProt MAGGGGDLSTRRLNECISPVANEMNHLPAHSHDLQRMFTEDQGVDDRLLYDIVFKHFKRNKVEISNAIKKTFPFLEGLRDRDLITNKMFEDSQDSCRNLVPVQRVVYNVLSELEKTFNLPVLEALFSDVNMQEYPDLIHIYKGFENVIHDKLPLQESEEEEREERSGLQLSLEQGTGENSFRSLTWPPSGSPSHAGTTPPENGLSEHPCETEQINAKRKDTTSDKDDSLGSQQTNEQCAQKAEPTESCEQIAVQVNNGDAGREMPCPLPCDEESPEAELHNHGIQINSCSVRLVDIKKEKPFSNSKVECQAQARTHHNQASDIIVISSEDSEGSTDVDEPLEVFISAPRSEPVINNDNPLESNDEKEGQEATCSRPQIVPEPMDFRKLSTFRESFKKRVIGQDHDFSESSEEEAPAEASSGALRSKHGEKAPMTSRSTSTWRIPSRKRRFSSSDFSDLSNGEELQETCSSSLRRGSGSQPQEPENKKCSCVMCFPKGVPRSQEARTESSQASDMMDTMDVENNSTLEKH
P39105 157 664 0.214770866141732 PF01735.18:PLA2_B:1:430 Lysophospholipase 1; Phospholipase B 1; EC 3.1.1.5 664 430 18 508 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39105 1 SwissProt AWNNWTSVQAIVDNTTESNSIWDISHSILTPDGINIFKTGSRWDDISDDVQDKKDAGFNISLADVWGRALAYNFWPSLHRGGVGYTWSTLREADVFKNGEMPFPITVADGRYPGTTVINLNATLFEFNPFEMGSWDPTLNAFTDVKYLGTNVTNGKPVNKGQCIAGFDNTGFITATSSTLFNQFLLRLNSTDLPSFIANLATDFLEDLSDNSDDIAIYAPNPFKEANFLQKNATSSIIESEYLFLVDGGEDNQNIPLVPLLQKERELDVIFALDNSADTDDYWPDGASLVNTYQRQFGSQGLNLSFPYVPDVNTFVNLGLNKKPTFFGCDARNLTDLEYIPPLIVYIPNSRHSFNGNQSTFKMSYSDSERLGMIKNGFEAATMGNFTDDSDFLGCVGCAIIRRKQQNLNATLPSECSQCFTNYCWNGTIDSRSVSGVGNDDYSSSASLSASAAAASASASASASASASASGSSTHKKNAGNALVNYSNLNTNTFIGVLSVISAVFGLI
P39744 1 710 0.314586478873239 PF03715.13:Noc2:353:663 Nucleolar complex protein 2 710 311 18 710 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39744 1 SwissProt MGKVSKSTKKFQSKHLKHTLDQRRKEKIQKKRIQGRRGNKTDQEKADAAGTREQQQLKKSAKEEVFKDMSVETFFEKGIEIPKENKKLKKKTTKEQSDEDSSSSEEEEDMGQSMAKLAEKDPEFYKYLEENDKDLLDFAGTNPLDGIDSQDEGEDAERNSNIEEKSEQMELEKEKIELSLKLVRKWKKQLHDSPSLKLLRNIISAFKVAVNLNKEENIEDYKYAITDEKAFHELMFMVLKDVPQAIQKMAPYKIVKGARTLPNGGNVSRVSSIVKSHAGSLLILLNDITNTETAALVLHSVNELMPYLLSYRRILKELIKSIVGVWSTTRELETQIASFAFLINTTKEFKKSMLETTLKTTYSTFIKSCRKTNMRSMPLINFQKNSAAELFGIDEVLGYQVGFEYIRQLAIHLRNTMNATTKKSSKINSAEAYKIVYNWQFCHSLDFWSRVLSFACQPEKENGSESPLRQLIYPLVQVTLGVIRLIPTPQFFPLRFYLIKSLIRLSQNSGVFIPIYPLLSEILTSTAFTKAPKKSPNLAAFDFEHNIKCTQAYLNTKIYQEGLSEQFVDLLGDYFALYCKNIAFPELVTPVIISLRRYIKTSTNVKLNKRLSTVVEKLNQNSTFIQEKRSDVEFGPTNKSEVSRFLNDVAWNKTPLGSYVAVQREVKEEKARLMRESMEEQDKERETEEAKLLNSLESDDDNEDVEMSDA
P40308 1 642 0.138467757009346 PF11815.8:DUF3336:70:195,PF01734.22:Patatin:204:269 Lipase 3; Triacylglycerol lipase 3; EC 3.1.1.3 642 192 18 619 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40308 1 SwissProt MKETAQEYKVSAVIPTLLKNWILRVVYATLDHIPPFVWEILHVITDIYFFWVQKLINYVRPHSRVIYYNAIKKLDECDTYQMWCQQASVVDEITGANLWRRNFFSRRYDFNSVIEQYSILENMLREEKYDVVKEKFSTTGPCMLRNFAGIGDKKLFTKSLMGTKLLIEQYLTRILEGLDILNNQTLTPTSFFQRCKLSLGTTALILQGGSLFGLFHLGVIRGLLLQDLMPNIISGSSMGACVASLFGCLSNEQLKQLLTDDNLLNIIKNDVDLLKSCGYGNLEQHLNLGTLIQNLIHHGYSQDVYLFIRFVMKYIVKEKTFEEVYQITGKVFNIVIHPTDKSCPNLLNYVTTPNVLIKSAIECSLGSGVISEDTSLLCKNLENEIEPFLNINKNKQVKFLTPENANNPSITESPYTRLTELFNVNNFIVSLARPYLAPLVVNDLKHEIKTSKYYYYKHYPNMPPINANTVRKTQRSSSQSPIKAGTVEDLEPEPLMSPVPPSSAVNDSAEYIIPELGIPQLNFTEMEPLAFKFKYHLERKLKNIATMEFRHRMEVLDNLGLLCSLIKRLIIDEKTPRSATEIAVVPRMKSLSLTRIIEGQLNNIPYWIKSGERSTWPALALIKTRCAVEFKLDDIIRARRSR
P53200 1 248 0.231006451612903 PF10237.9:N6-adenineMlase:61:241 Protein-lysine N-methyltransferase EFM5; Elongation factor methyltransferase 5; N(6)-adenine-specific DNA methyltransferase-like 1; EC 2.1.1.- 248 181 18 248 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53200 1 SwissProt MSDSDSDSDYELTLSANALAALEEFKREEQQHQEAFQKLYDETDEDFQKKKKEEGMKLFKEDWQLSQFWYSDDTAAILADAILEGADENTVIAIVSAPSVYAAIQKKPTNEIPTEHIYLFEFDKRFELLAGRDHFFFYDYNKPLDFSDEIKGKVDRLLIDPPFLNEDCQTKSSITAKCLLAPNDNSKTKKGVFKHRLISCTGERMSEVISKVYSDTRITTFLPEHSNGLSNEFRCYANFECSSWKFAS
P59596 1 221 0.0680638009049774 PF01635.18:Corona_M:3:220 Membrane protein; M protein; E1 glycoprotein; Matrix glycoprotein; Membrane glycoprotein 221 218 18 152 3 Human SARS coronavirus (SARS-CoV) (Severe acute respiratory syndrome coronavirus) SwissProt::P59596 1 SwissProt MADNGTITVEELKQLLEQWNLVIGFLFLAWIMLLQFAYSNRNRFLYIIKLVFLWLLWPVTLACFVLAAVYRINWVTGGIAIAMACIVGLMWLSYFVASFRLFARTRSMWSFNPETNILLNVPLRGTIVTRPLMESELVIGAVIIRGHLRMAGHSLGRCDIKDLPKEITVATSRTLSYYKLGASQRVGTDSGFAAYNRYRIGNYKLNTDHAGSNDNIALLVQ
Q10059 1 1012 0.405121936758893 PF06367.16:Drf_FH3:442:637,PF06371.13:Drf_GBD:238:410 Cell division control protein 12 1841 369 18 1012 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10059 1 SwissProt MRNSSKGQDPNFSYDSILSTPTPSARRTIGPRAPKSKTTYHKPPSSIESVSTLIQPNKSQSVTSPYVKQFTFSSKEYNSHNKHALQNSQLPLPKTPEKSTVHRPKANKVEVTDLPSSSSVEHLHTSKHLKGPRLPKNIIKSSEDVQIAPVTPPVHSRSFDPLPKPPVPSVPVSKTKRRTKHKLAPVVEVPEITNEVSPKFTSTNDEQVYRLRSIRAGSPNSVCSFQFEIPSTRPPSLDQLIHLFNDFLRHPVFDFDENAIEMLQSCTPDEKWCFIRSNFAGFDDPSFQIPELAAVHRPVSWFVIQLWNKTISNLQLITLSSLLSTQSDRWISLFLELQGLRALHNLLTYFNSSAVVQPQQAEVPRCMLTLLKKKPTLVTSNSYIFQAITVTLISPNLLPRKVAADLLTWVLSLKEPLVVSILETGFKEINAEYEKEVPLFFGWIKSFKDIILEKELARTPPSSPARNSASSSPSNIAFLEYCTSTMEFINQLIVACEELEQGFDLDILDSLRESGIHEVIQLLRNFPDQQLEKQLNIYESEEERRTISQTTHEDVDSFMSNESSILSSFNEFASNEVGRLLESTIQNILLAKGTEKQKVKLIKVFNSLLQRILLNSKVSNESFEDSLQASLNMLTERFYSDDTARNALKEAKASRAMAEKMVIERDAMAAQVNLGAEDLIAKLNKEVEDQKDVILSQKRTNETLKTEIDALQKSHVTQIQRSEVELRELYLLINSDSFQGSTNSKERIIEYLLDKLDLRKKEIAAESTLWSNDGIDDKLRDLREQMSRQSSQPSTVSTILQIPDKKFHRPFPRHLHRYVGRSASESLTSEKDESIKSMKGIDDFANLEIPGKGIESNVVIKDISNQTHEINSVENKAETVSNNSKITNFDIPNDATSLPTIITHPTPPPPPPLPVKTSLNTFSHPDSVNIVANDTSVAGVMPAFPPPPPPPPPLVSAAGGKFVSPAVSNNISKDDLHKTTGLTRRPTRRLKQMHWEKLNSGLEFTFWTGPSD
Q1H537 170 417 0.142545161290323 PF13460.6:NAD_binding_10:10:124 Divinyl chlorophyllide a 8-vinyl-reductase, chloroplastic; Protein PALE-GREEN AND CHLOROPHYLL B REDUCED 2; EC 1.3.1.75 417 115 18 248 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q1H537 1 SwissProt RNGGIKDSWKIDYEATKNSLVAGKKFGAKHFVLLSAICVQKPLLEFQRAKLKFEAELMDLAEQQDSSFTYSIVRPTAFFKSLGGQVEIVKDGKPYVMFGDGKLCACKPISEQDLAAFIADCVLEENKINQVLPIGGPGKALTPLEQGEILFKILGREPKFLKVPIEIMDFVIGVLDSIAKIFPSVGEAAEFGKIGRYYAAESMLILDPETGEYSEEKTPSYGKDTLEDFFAKVIREGMAGQELGEQFF
Q3E770 1 120 0.136851666666667 PF00660.17:SRP1_TIP1:22:114 Seripauperin-9 120 93 18 120 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) Q3E770 1 SwissProt/TReMBL MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIRAHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRLKPAISSALSKDGIYTIAN
Q62720 1 507 0.282691321499014 PF01545.21:Cation_efflux:12:271 Zinc transporter 1; ZnT-1; Solute carrier family 30 member 1 507 260 18 379 6 Rattus norvegicus (Rat) SwissProt::Q62720 1 SwissProt MGCWGRNRGRLLCMLLLTFMFMVLEVVVSRVTASLAMLSDSFHMLSDVLALVVALVAERFARRTHATQKNTFGWIRAEVMGALVNAIFLTGLCFAILLEAVERFIEPHEMQQPLVVLSVGVAGLLVNVLGLCLFHHHSGEGQGAGHGHSHGHGHGHLAKGARKAGRAGGEAGAPPGRAPDQEPDQEETNTLVANTSNSNGLKADQAEPEKLRSDDPVDVQVNGNLIQESDSLESEDNRAGQLNMRGVFLHVLGDALGSVIVVVNALVFYFSWKGCTEDDFCVNPCFPDPCKSSVELMNSTQAPMHEAGPCWVLYLDPTLCIIMVCILLYTTYPLLKESALILLQTVPKQIDIKHLVKELRDVEGVEEVHELHVWQLAGSRIIATAHIKCEDPASYMQVAKTIKDVFHNHGIHATTIQPEFASVGSKSSVVPCELACRTQCALKQCCGTRPQVHSGKEAEKAPTVSISCLELSENLEKKPRRTKAEGSVPAVVIEIKNVPNKQPESSL
Q99271 1 985 0.413293502538071 PF00999.21:Na_H_Exchanger:21:438,PF08619.10:Nha1_C:466:864,PF08619.10:Nha1_C:899:944 Na(+)/H(+) antiporter 985 863 18 787 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99271 1 SwissProt MAIWEQLEVSKAHVAYACVGVFSSIFSLVSLYVKEKLYIGESTVAGIFGLIVGPVCLNWFNPLKWGNSDSITLEITRIVLCLQIFAVAVELPRKYMLKHWVSVTMLLLPVMTAGWLIIGLFVWILIPGLNFSASLLISACITATDPILAQSVVSGKFAQRVPGHLRNLLSAESGCNDGMAFPFLFLSMNLILHPGNGREIVKDWICVTILYECLFGCLLGCFIGYVGRITIRFAEKKNIIDRESFLAFYVVLAFMCAGFGSILGVDDLLVSFAAGATFAWDGWFSQKTQESNVSTVIDLLLNYAYFIYFGAIIPWSQFNNGEIGTNVWRLIILSIVVIFLRRIPAVMILRPLIPDIKSWREALFVGHFGPIGVGAIFAAILARGELESTFSDEPTPLNVVPSKEESKHWQLIACIWPITCFFIVTSIIVHGSSVAIITLGRHLNTITLTKTFTTHTTNGDNGKSSWMQRLPSLDKAGRSFSLHRMDTQMTLSGDEGEAEEGGGRKGLAGGEDEEGLNNDQIGSVATSGIPARPAGGMPRRRKLSRKEKRLNRRQKLRNKGREIFSSRSKNEMYDDDELNDLGRERLQKEKEARAATFALSTAVNTQRNEEIGMGGDEEEDEYTPEKEYSDNYNNTPSFESSERSSSLRGRTYVPRNRYDGEETESEIESEDEMENESERSMASSEERRIRKMKEEEMKPGTAYLDGNRMIIENKQGEILNQVDIEDRNEARDDEVSVDSTAHSSLTTTMTNLSSSSGGRLKRILTPTSLGKIHSLVDKGKDKNKNSKYHAFKIDNLLIIENEDGDVIKRYKINPHKSDDDKSKNRPRNDSVVSRALTAVGLKSKANSGVPPPVDEEKAIEGPSRKGPGMLKKRTLTPAPPRGVQDSLDLEDEPSSEEDLGDSYNMDDSEDYDDNAYESETEFERQRRLNALGEMTAPADQDDEELPPLPVEAQTGNDGPGTAEGKKKQKSAAVKSALSKTLGLNK
SwissProt::G5EGD2 198 719 0.470949425287357 PF14598.6:PAS_11:39:141,PF08447.12:PAS_3:50:132 Hypoxia-inducible factor 1; Hypoxia-induced factor 1 719 103 18 522 0 Caenorhabditis elegans SwissProt::G5EGD2 1 SwissProt STGGHVSFMQGITIPAGQGTTNANASAMTKYTESPMGAFTTRHTCDMRITFVSDKFNYILKSELKTLMGTSFYELVHPADMMIVSKSMKELFAKGHIRTPYYRLIAANDTLAWIQTEATTITHTTKGQKGQYVICVHYVLGIQGAEESLVVCTDSMPAGMQVDIKKEVDDTRDYIGRQPEIVECVDFTPLIEPEDPFDTVIEPVVGGEEPVKQADMGARKNSYDDVLQWLFRDQPSSPPPARYRSADRFRTTEPSNFGSALASPDFMDSSSRTSRPKTSYGRRAQSQGSRTTGSSSTSASATLPHSANYSPLAEGISQCGLNSPPSIKSGQVVYGDARSMGRSCDPSDSSRRFSALSPSDTLNVSSTRGINPVIGSNDVFSTMPFADSIAIAERIDSSPTLTSGEPILCDDLQWEEPDLSCLAPFVDTYDMMQMDEGLPPELQALYDLPDFTPAVPQAPAARPVHIDRSPPAKRMHQSGPSDLDFMYTQHYQPFQQDETYWQGQQQQNEQQPSSYSPFPMLS
SwissProt::O75161 1 1426 0.331049929873772 Nephrocystin-4; Nephroretinin 1426 0 18 1426 0 Homo sapiens (Human) SwissProt::O75161 1 SwissProt MNDWHRIFTQNVLVPPHPQRARQPWKESTAFQCVLKWLDGPVIRQGVLEVLSEVECHLRVSFFDVTYRHFFGRTWKTTVKPTKRPPSRIVFNEPLYFHTSLNHPHIVAVVEVVAEGKKRDGSLQTLSCGFGILRIFSNQPDSPISASQDKRLRLYHGTPRALLHPLLQDPAEQNRHMTLIENCSLQYTLKPHPALEPAFHLLPENLLVSGLQQIPGLLPAHGESGDALRKPRLQKPITGHLDDLFFTLYPSLEKFEEELLELHVQDHFQEGCGPLDGGALEILERRLRVGVHNGLGFVQRPQVVVLVPEMDVALTRSASFSRKVVSSSKTSSGSQALVLRSRLRLPEMVGHPAFAVIFQLEYVFSSPAGVDGNAASVTSLSNLACMHMVRWAVWNPLLEADSGRVTLPLQGGIQPNPSHCLVYKVPSASMSSEEVKQVESGTLRFQFSLGSEEHLDAPTEPVSGPKVERRPSRKPPTSPSSPPAPVPRVLAAPQNSPVGPGLSISQLAASPRSPTQHCLARPTSQLPHGSQASPAQAQEFPLEAGISHLEADLSQTSLVLETSIAEQLQELPFTPLHAPIVVGTQTRSSAGQPSRASMVLLQSSGFPEILDANKQPAEAVSATEPVTFNPQKEESDCLQSNEMVLQFLAFSRVAQDCRGTSWPKTVYFTFQFYRFPPATTPRLQLVQLDEAGQPSSGALTHILVPVSRDGTFDAGSPGFQLRYMVGPGFLKPGERRCFARYLAVQTLQIDVWDGDSLLLIGSAAVQMKHLLRQGRPAVQASHELEVVATEYEQDNMVVSGDMLGFGRVKPIGVHSVVKGRLHLTLANVGHPCEQKVRGCSTLPPSRSRVISNDGASRFSGGSLLTTGSSRRKHVVQAQKLADVDSELAAMLLTHARQGKGPQDVSRESDATRRRKLERMRSVRLQEAGGDLGRRGTSVLAQQSVRTQHLRDLQVIAAYRERTKAESIASLLSLAITTEHTLHATLGVAEFFEFVLKNPHNTQHTVTVEIDNPELSVIVDSQEWRDFKGAAGLHTPVEEDMFHLRGSLAPQLYLRPHETAHVPFKFQSFSAGQLAMVQASPGLSNEKGMDAVSPWKSSAVPTKHAKVLFRASGGKPIAVLCLTVELQPHVVDQVFRFYHPELSFLKKAIRLPPWHTFPGAPVGMLGEDPPVHVRCSDPNVICETQNVGPGEPRDIFLKVASGPSPEIKDFFVIIYSDRWLATPTQTWQVYLHSLQRVDVSCVAGQLTRLSLVLRGTQTVRKVRAFTSHPQELKTDPKGVFVLPPRGVQDLHVGVRPLRAGSRFVHLNLVDVDCHQLVASWLVCLCCRQPLISKAFEIMLAAGEGKGVNKRITYTNPYPSRRTFHLHSDHPELLRFREDSFQVGGGETYTIGLQFAPSQRVGEEEILIYINDHEDKNEEAFCVKVIYQ
SwissProt::P34766 1 189 0.515988888888889 Homeobox protein pal-1; Caudal homolog 1; Homeobox protein ceh-3; Posterior alae in males protein 1 270 0 18 189 0 Caenorhabditis elegans SwissProt::P34766 1 SwissProt MSVDVKSDFSENESSSTPSPTTVPADVTWPHYPMMPFMQPHPLREKMLQPTFDPQIYGRWSQMGDTGFYGHPDLYPFGLPQLAANGQIPAVEAVDVKPPLSNGSSSSDSGMYPSPSDMMTPFPSTSSGAASSSELSAAAAAAANYQMRAATCYQQSVWPFMDYQQFQGFSWKMPLGNNHGKDRRSSSDG
SwissProt::Q86YL7 55 162 0.402331481481482 PF05808.11:Podoplanin:1:107 Podoplanin; Aggrus; Glycoprotein 36; Gp36; PA2.26 antigen; T1-alpha; T1A 162 107 18 85 1 Homo sapiens (Human) SwissProt::Q86YL7 1 SwissProt TSEDRYKSGLTTLVATSVNSVTGIRIEDLPTSESTVHAQEQSPSATASNVATSHSTEKVDGDTQTTVEKDGLSTVTLVGIIVGVLLAIGFIGAIIVVVMRKMSGRYSP
SwissProt::Q8BGD7 268 802 0.521025607476635 Neuronal PAS domain-containing protein 4; Neuronal PAS4; HLH-PAS transcription factor NXF; Limbic-enhanced PAS protein; LE-PAS 802 0 18 535 0 Mus musculus (Mouse) SwissProt::Q8BGD7 1 SwissProt HYRLLAESGDIQAEMVVRLQAKHGGWTWIYCMLYSEGPEGPFTANNYPISDTEAWSLRQQLNSEDTQAAYVLGTPAVLPSFSENVFSQEQCSNPLFTPSLGTPRSASFPRAPELGVISTPEELPQPSKELDFSYLPFPARPEPSLQADLSKDLVCTPPYTPHQPGGCAFLFSLHEPFQTHLPPPSSSLQEQLTPSTVTFSEQLTPSSATFPDPLTSSLQGQLTESSARSFEDQLTPCTSSFPDQLLPSTATFPEPLGSPAHEQLTPPSTAFQAHLNSPSQTFPEQLSPNPTKTYFAQEGCSFLYEKLPPSPSSPGNGDCTLLALAQLRGPLSVDVPLVPEGLLTPEASPVKQSFFHYTEKEQNEIDRLIQQISQLAQGVDRPFSAEAGTGGLEPLGGLEPLNPNLSLSGAGPPVLSLDLKPWKCQELDFLVDPDNLFLEETPVEDIFMDLSTPDPNGEWGSGDPEAEVPGGTLSPCNNLSPEDHSFLEDLATYETAFETGVSTFPYEGFADELHQLQSQVQDSFHEDGSGGEPTF
SwissProt::Q8NBS3 1 572 0.206620804195805 PF00955.21:HCO3_cotransp:342:524 Sodium bicarbonate transporter-like protein 11; Bicarbonate transporter-related protein 1; Sodium borate cotransporter 1; NaBC1; Solute carrier family 4 member 11 891 183 18 480 4 Homo sapiens (Human) SwissProt::Q8NBS3 1 SwissProt MSQVGGRGDRCTQEVQGLVHGAGDLSASLAENSPTMSQNGYFEDSSYYKCDTDDTFEAREEILGDEAFDTANSSIVSGESIRFFVNVNLEMQATNTENEATSGGCVLLHTSRKYLKLKNFKEEIRAHRDLDGFLAQASIVLNETATSLDNVLRTMLRRFARDPDNNEPNCNLDLLMAMLFTDAGAPMRGKVHLLSDTIQGVTATVTGVRYQQSWLCIICTMKALQKRHVCISRLVRPQNWGENSCEVRFVILVLAPPKMKSTKTAMEVARTFATMFSDIAFRQKLLETRTEEEFKEALVHQRQLLTMVSHGPVAPRTKERSTVSLPAHRHPEPPKCKDFVPFGKGIREDIARRFPLYPLDFTDGIIGKNKAVGKYITTTLFLYFACLLPTIAFGSLNDENTDGAIDVQKTIAGQSIGGLLYALFSGQPLVILLTTAPLALYIQVIRVICDDYDLDFNSFYAWTGLWNSFFLALYAFFNLSLVMSLFKRSTEEIIALFISITFVLDAVKGTVKIFWKYYYGHYLDDYHTKRTSSLVSLSGLGASLNASLHTALNASFLASPTELPSATHSGQA
SwissProt::Q9BZW8 1 370 0.251421081081081 PF11465.8:Receptor_2B4:22:127,PF13895.6:Ig_2:151:214 Natural killer cell receptor 2B4; NK cell activation-inducing ligand; NAIL; NK cell type I receptor protein 2B4; NKR2B4; h2B4; SLAM family member 4; SLAMF4; Signaling lymphocytic activation molecule 4; CD244 antigen 370 170 18 347 1 Homo sapiens (Human) SwissProt::Q9BZW8 1 SwissProt MLGQVVTLILLLLLKVYQGKGCQGSADHVVSISGVPLQLQPNSIQTKVDSIAWKKLLPSQNGFHHILKWENGSLPSNTSNDRFSFIVKNLSLLIKAAQQQDSGLYCLEVTSISGKVQTATFQVFVFESLLPDKVEKPRLQGQGKILDRGRCQVALSCLVSRDGNVSYAWYRGSKLIQTAGNLTYLDEEVDINGTHTYTCNVSNPVSWESHTLNLTQDCQNAHQEFRFWPFLVIIVILSALFLGTLACFCVWRRKRKEKQSETSPKEFLTIYEDVKDLKTRRNHEQEQTFPGGGSTIYSMIQSQSSAPTSQEPAYTLYSLIQPSRKSGSRKRNHSPSFNSTIYEVIGKSQPKAQNPARLSRKELENFDVYS
SwissProt::Q9P2Y5 277 699 0.4005 PF10186.9:Atg14:43:183 UV radiation resistance-associated gene protein; p63 699 141 18 423 0 Homo sapiens (Human) SwissProt::Q9P2Y5 1 SwissProt SAFSAEHLKLQLQKESLNELRKECTAKRELFLKTNAQLTIRCRQLLSELSYIYPIDLNEHKDYFVCGVKLPNSEDFQAKDDGSIAVALGYTAHLVSMISFFLQVPLRYPIIHKGSRSTIKDNINDKLTEKEREFPLYPKGGEKLQFDYGVYLLNKNIAQLRYQHGLGTPDLRQTLPNLKNFMEHGLMVRCDRHHTSSAIPVPKRQSSIFGGADVGFSGGIPSPDKGHRKRASSENERLQYKTPPPSYNSALAQPVTTVPSMGETERKITSLSSSLDTSLDFSKENKKKGEDLVGSLNGGHANVHPSQEQGEALSGHRATVNGTLLPSEQAGSASVQLPGEFHPVSEAELCCTVEQAEEIIGLEATGFASGDQLEAFNCIPVDSAVAVECDEQVLGEFEEFSRRIYALNENVSSFRRPRRSSDK
VIMSS100767 1 873 0.474837342497136 putative surface exclusion protein (NCBI ptt file) 873 0 18 873 0 Streptococcus pyogenes M1 GAS VIMSS100767 1 MicrobesOnline MDLEQTKPNQVKQKIALTSTIALLSASVGVSHQVKADDRASGETKASNTHDDSLPKPETIQEAKATIDAVEKTLSQQKAELTELATALTKTTAEINHLKEQQDNEQKALTSAQEIYTNTLASSEETLLAQGAEHQRELTATETELHNAQADQHSKETALSEQKASISAETTRAQDLVEQVKTSEQNIAKLNAMISNPDAITKAAQTANDNTKALSSELEKAKADLENQKAKVKKQLTEELAAQKAALAEKEAELSRLKSSAPSTQDSIVGNNTMKAPQGYPLEELKKLEASGYIGSASYNNYYKEHADQIIAKASPGNQLNQYQDIPADRNRFVDPDNLTPEVQNELAQFAAHMINSVRRQLGLPPVTVTAGSQEFARLLSTSYKKTHGNTRPSFVYGQPGVSGHYGVGPHDKTIIEDSAGASGLIRNDDNMYENIGAFNDVHTVNGIKRGIYDSIKYMLFTDHLHGNTYGHAINFLRVDKHNPNAPVYLGFSTSNVGSLNEHFVMFPESNIANHQRFNKTPIKAVGSTKDYAQRVGTVSDTIAAIKGKVSSLENRLSAIHQEADIMAAQAKVSQLQGKLASTLKQSDSLNLQVRQLNDTKGSLRTELLAAKAKQAQLEATRDQSLAKLASLKAALHQTEALAEQAAARVTALVAKKAHLQYLRDFKLNPNRLQVIRERIDNTKQDLAKTTSSLLNAQEALAALQAKQSSLEATIATTEHQLTLLKTLANEKEYRHLDEDIATVPDLQVAPPLTGVKPLSYSKIDTTPLVQEMVKETKQLLEASARLAAENTSLVAEALVGQTSEMVASNAIVSKITSSITQPSSKTSYGSGSSTTSNLISDVDESTQRALKAGVVMLAAVGLTGFRFRKESK
VIMSS10078409 1 120 0.201291666666667 PF05498.11:RALF:58:119 Protein RALF-like 1; Rapid alkalinization factor 1; AtRALF1 120 62 18 97 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRY3 1 SwissProt MDKSFTLFLTLTILVVFIISSPPVQAGFANDLGGVAWATTGDNGSGCHGSIAECIGAEEEEMDSEINRRILATTKYISYQSLKRNSVPCSRRGASYYNCQNGAQANPYSRGCSKIARCRS
VIMSS10078551 211 421 0.20901990521327 Probable indole-3-pyruvate monooxygenase YUCCA9; Flavin-containing monooxygenase YUCCA9; EC 1.14.13.168 421 0 18 188 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64489 1 SwissProt HNAITSMVVRSSVHVLPREIMGKSTFGISVMMMKWLPLWLVDKLLLILSWLVLGSLSNYGLKRPDIGPMELKSMTGKTPVLDIGALEKIKSGDVEIVPAIKQFSRHHVELVDGQKLDIDAVVLATGYRSNVPSWLQESEFFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASVDAVNIAQDIGNVWREETKRQKMRRNVGHRRCISVA
VIMSS10079654 1 285 0.093058596491228 PF00067.22:p450:66:278 Cytochrome P450 78A5; Protein KLUH; EC 1.14.-.- 517 213 18 262 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LMX7 1 SwissProt MSPEAYVLFFNSFNLVTFEAFASVSLIIATVAFLLSPGGLAWAWTGSSKSRVSIPGPSGSLSVFSGSNPHRVLAALAKRFKASPLMAFSVGFSRFVISSEPETAKEILSSSAFADRPVKESAYELLFHRAMGFAPYGEYWRNLRRISSTHLFSPRRIASFEGVRVGIGMKMVKKIKSLVTSDACGEVEVKKIVHFGSLNNVMTTVFGESYDFDEVNGKGCFLERLVSEGYELLGIFNWSDHFWFLRWFDFQGVRKRCRALVSEVNTFVGGIIEKHKMKKGNNLNG
VIMSS10079953 1 315 0.216806984126984 PF00067.22:p450:45:215 Hexahomomethionine N-hydroxylase; Cytochrome P450 79F2; EC 1.14.14.42 537 171 18 295 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FUY7 1 SwissProt MMMKISFNTCFQILLGFIVFIASITLLGRIFSRPSKTKDRCRQLPPGRPGWPILGNLPELIMTRPRSKYFHLAMKELKTDIACFNFAGTHTITINSDEIAREAFRERDADLADRPQLSIVESIGDNYKTMGTSSYGEHFMKMKKVITTEIMSVKTLNMLEAARTIEADNLIAYIHSMYQRSETVDVRELSRVYGYAVTMRMLFGRRHVTKENMFSDDGRLGKAEKHHLEVIFNTLNCLPGFSPVDYVDRWLGGWNIDGEEERAKVNVNLVRSYNNPIIDERVEIWREKGGKAAVEDWLDTFITLKDQNGNYLVTP
VIMSS10080244 1 86 0.537424418604651 hypothetical protein (RefSeq) 86 0 18 86 0 Arabidopsis thaliana VIMSS10080244 1 MicrobesOnline MNGTSWADQWDNSGDSAKGGRIGSGAVVRSSGSGATSNTTKYKEKMGQGLDKTKAVASSGFKKLKTGSAIGFRWVKDKYHKTTHKQ
VIMSS10081546 98 367 0.668141851851852 Protein SUPPRESSOR OF FRI 4 367 0 18 270 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5G0 1 SwissProt EEDEPPAKVAKVEIPSAPLGGVVPRPYGMVYPPQQVPGAVPARPMYYPGPPMRHPAPVWQMPPPRPQQWYPQNPALSVPPAAHLGYRPQPLFPVQNMGMTPTPTSAPAIQPSPVTGVTPPGIPTSSPAMPVPQPLFPVVNNSIPSQAPPFSAPLPVGGAQQPSHADALGSADAYPPNNSIPGGTNAHSYASGPNTSGPSIGPPPVIANKAPSNQPNEVYLVWDDEAMSMEERRMSLPKYKVHDETSQMNSINAAIDRRISESRLAGRMAF
VIMSS10081929 1 68 0.353277941176471 Protein EPIDERMAL PATTERNING FACTOR 2 120 0 18 45 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LC53 0 SwissProt MTKFVRKYMFCLVLVFAACSLVVNSIRTPPLKNTVNGGEKKNADIEQAQTHHKKEISKNGGVEMEMYP
VIMSS10085202 123 286 0.354807317073171 Transcription factor MYB62; Myb-related protein 62; AtMYB62 286 0 18 164 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9G7 1 SwissProt ARQLNIESNSDKFFDAVRSFWVPRLIEKMEQNSSTTTTYCCPQNNNNNSLLLPSQSHDSLSMQKDIDYSGFSNIDGSSSTSTCMSHLTTVPHFMDQSNTNIIDGSMCFHEGNVQEFGGYVPGMEDYMVNSDISMECHVADGYSAYEDVTQDPMWNVDDIWQFRE
VIMSS10085255 1 53 0.0279433962264151 Protein IDA; Protein INFLORESCENCE DEFICIENT IN ABSCISSION 77 0 18 30 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LAD7 0 SwissProt MAPCRTMMVLLCFVLFLAASSSCVAAARIGATMEMKKNIKRLTFKNSHIFGYL
VIMSS10085809 1 261 0.496516091954023 PF00010.26:HLH:157:204 Transcription factor BEE 3; Basic helix-loop-helix protein 50; AtbHLH50; bHLH 50; Protein Brassinosteroid enhanced expression 3; Transcription factor EN 76; bHLH transcription factor bHLH050 261 48 18 261 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GWK7 1 SwissProt MANLSSDFQTFTMDDPIRQLAELSNTLHHFQTFPPPFSSSLDSLFFHNQFPDHFPGKSLENNFHQGIFFPSNIQNNEESSSQFDTKKRKSLMEAVSTSENSVSDQTLSTSSAQVSINGNISTKNNSSRRGKRSKNREEEKEREVVHVRARRGQATDSHSIAERVRRGKINERLKCLQDIVPGCYKTMGMATMLDEIINYVQSLQNQVEFLSMKLTAASSYYDFNSETDAVESMQKAKAREAVEMGQGRDGSSVFHSSSWTL
VIMSS10088606 1 167 0.677113173652694 PF00538.19:Linker_histone:24:90 HIS1-3 (HISTONE H1-3); DNA binding / nucleosomal DNA binding (RefSeq) 167 67 18 167 0 Arabidopsis thaliana VIMSS10088606 1 MicrobesOnline MAEDKILKKTPAAKKPRKPKTTTHPPYFQMIKEALMVLKEKNGSSPYAIAKKIEEKHKSLLPESFRKTLSLQLKNSVAKGKLVKIRASYKLSDTTKMITRQQDKKNKKNMKQEDKEITKRTRSSSTRPKKTVSVNKQEKKRKVKKARQPKSIKSSVGKKKAMKASAA
VIMSS10091751 214 543 0.226341212121212 PF03055.15:RPE65:46:318 CCD7 (CAROTENOID CLEAVAGE DIOXYGENASE 7); 9-cis-epoxycarotenoid dioxygenase (RefSeq) 629 273 18 330 0 Arabidopsis thaliana VIMSS10091751 1 MicrobesOnline RFNVENNGCESCDDDDSSDRDLSGHDIWDTAADLLKPILQGVFKMPPKRFLSHYKVDGRRKRLLTVTCNAEDMLLPRSNFTFCEYDSEFKLIQTKEFKIDDHMMIHDWAFTDTHYILFANRVKLNPIGSIAAMCGMSPMVSALSLNPSNESSPIYILPRFSDKYSRGGRDWRVPVEVSSQLWLIHSGNAYETREDNGDLKIQIQASACSYRWFDFQKMFGYDWQSNKLDPSVMNLNRGDDKLLPHLVKVSMTLDSTGNCNSCDVEPLNGWNKPSDFPVINSSWSGKKNKYMYSAASSGTRSELPHFPFDMVVKFDLDSNLVRTWSTGARR
VIMSS10091912 1 429 0.0664 PF00520.31:Ion_trans:40:363 cyclic nucleotide-gated channel 12 649 324 18 317 5 Arabidopsis thaliana NP_850454.5 1 RefSeq MNHRRSKFARIDSMGVDGKLKSVRGRLKKVYGKMKTLENWRKTVLLACVVALAIDPLFLFIPLIDSQRFCFTFDKTLVAVVCVIRTFIDTFYVIHIIYYLITETIAPRSQASLRGEIVVHSKATLKTRLLFHFIVDIISVLPIPQVVVLTLIPLSASLVSERILKWIILSQYVPRIIRMYPLYKEVTRAFGTVAESKWAGAALNLFLYMLHSYVFGAFWYLSSIERKSKCWRAACARTSDCNLTVTDLLCKRAGSDNIRFLNTSCPLIDPAQITNSTDFDFGMYIDALKSGVLEVKPKDFPRKFVYCFWWGLRNISALGQNLETSNSAGEIFFAIIICVSGLLLFAVLIGNVQKYLQSSTTRVDEMEEKRRDTEKWMSYRVIPEYLKERIRRFEDYKWRETKGTEEEALLRSLPKDLRLETKRYLYLDM
VIMSS10092577 1 546 0.0967580586080586 PF00999.21:Na_H_Exchanger:28:441 Sodium/hydrogen exchanger 2; Na(+)/H(+) exchanger 2; NHE-2 546 414 18 291 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q56XP4 1 SwissProt MTMFASLTSKMLSVSTSDHASVVSLNLFVALLCACIVIGHLLEENRWMNESITALLIGLGTGVVILLISRGKNSHLLVFSEDLFFIYLLPPIIFNAGFQVKKKQFFRNFVTIMAFGAIGTVVSCTIISLGAIQFFKKLDIGTFDLGDFLAIGAIFAATDSVCTLQVLNQDETPLLYSLVFGEGVVNDATSVVLFNAIQSFDLTHLNHEAAFQFLGNFFYLFLLSTGLGVATGLISAYVIKKLYFGRHSTDREVALMMLMAYLSYMLAELFALSGILTVFFCGIVMSHYTWHNVTESSRITTKHAFATLSFLAETFIFLYVGMDALDIEKWRFVSDSPGTSVAVSSILMGLVMLGRAAFVFPLSFLSNLAKKHQSEKISIKQQVVIWWAGLMRGAVSMALAYNKFTRSGHTELRGNAIMITSTITVCLFSTMVFGMLTKPLIRYLMPHQKATTSTTSMLSDDSTPKSIHIPLLDGEQLDSFELPGSHQDVPRPNSLRGFLMRPTRTVHYYWRQFDDAFMRPVFGGRGFVPFVPGSPTERSSHDLSKP
VIMSS10093284 1 502 0.0956390438247013 PF12710.7:HAD:14:166,PF01553.21:Acyltransferase:286:383 Glycerol-3-phosphate acyltransferase 5; AtGPAT5; EC 2.3.1.15 502 251 18 456 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAY3 1 SwissProt MVMEQAGTTSYSVVSEFEGTILKNADSFSYFMLVAFEAAGLIRFAILLFLWPVITLLDVFSYKNAALKLKIFVATVGLREPEIESVARAVLPKFYMDDVSMDTWRVFSSCKKRVVVTRMPRVMVERFAKEHLRADEVIGTELIVNRFGFVTGLIRETDVDQSALNRVANLFVGRRPQLGLGKPALTASTNFLSLCEEHIHAPIPENYNHGDQQLQLRPLPVIFHDGRLVKRPTPATALIILLWIPFGIILAVIRIFLGAVLPLWATPYVSQIFGGHIIVKGKPPQPPAAGKSGVLFVCTHRTLMDPVVLSYVLGRSIPAVTYSISRLSEILSPIPTVRLTRIRDVDAAKIKQQLSKGDLVVCPEGTTCREPFLLRFSALFAELTDRIVPVAMNYRVGFFHATTARGWKGLDPIFFFMNPRPVYEITFLNQLPMEATCSSGKSPHDVANYVQRILAATLGFECTNFTRKDKYRVLAGNDGTVSYLSLLDQLKKVVSTFEPCLH
VIMSS10093846 1 772 0.517764378238342 TSA1-like protein; Protein NAI2 772 0 18 772 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSB4 1 SwissProt MGTKFLALGLSLCLVLSSFYQVSCQDEGTGSLSTLDLIEHEYQTSVNSLQGNEAVDQTETSGQKNSTVSDNNTISLSLSEEPALETLKESVDTSAELGAVTDEVDKPSSMLDHIELEFEAHINELKEAGSDGINKVEESKDDEEAARRHKMLEAIEREFEAAHAGFEQLKTDDSAQGLDDEQSAKRQSMLDEIERDFEAATKGLEQLKADDLTGINDEEHAAKRQKMLEEIEREFEEATKGLEELRHSTSSTDDEAQSAKRQNMLDEIEREFEAATSGLKELKINAHTVKDDVDDKEQDAKRQSMLDAIEREFEAVTESFKQLEDIADNKAEGDDESAKRQSMLDEIEREFEAATNSLKQLNLDDFSEGDDSAESARRNSMLEAIEREFEAATKGLEELKANDSTGDKDDDEHVARRKIMLEAIEREFEAATKGLEELKNESEQAENKRNSMLEAFEREFEAATNAKANGENSAKNPSTISTTVQKSSGGYNAGLEGLLKPADGVCGCFNKDKDGLQADTDSSINIAEILAEESKLQGSGTSRLTTSLNNLVDTHRKETSSKVGSVLGSSSSVTSTTSESAATSESIESLKQTLRKLRGLSARDLVNHPNFDAIIAAGTRYEVLSSASIGYISLLAKYKTVIKEGLEASQRVQIAQTRAKLLKETAMEKQRTVDSVFAAAKTTAQRGDALHIRIVAIKKLLAKLEAEKVDVDSKFTSLTTSLSELLKEASQAYEEYHEAVHKAKDEQAAEEFAVETTKRAEHIWVEFLSSLN
VIMSS10094589 1 81 0.347667901234568 PF12734.7:CYSTM:25:78 Cysteine-rich and transmembrane domain-containing protein PCC1; Protein PATHOGEN AND CIRCADIAN CONTROLLED 1 81 54 18 81 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94C26 1 SwissProt MNQSAQNYFSVQKPSETSSGPYTSPPPIGYPTRDAVVGDPPAAAVETNSKGVNPEAIMSCFSTCMECIFCCGVCSSLCTSE
VIMSS10095454 1 255 0.14725137254902 PF01357.21:Pollen_allerg_1:162:239,PF03330.18:DPBB_1:73:151 expansin A5 255 157 18 232 1 Arabidopsis thaliana NP_189545.1 1 RefSeq MGVLVISLLVVHLLAFSVCVQGGYRRGGHHPGGHMGPWINAHATFYGGGDASGTMGGACGYGNLYSQGYGLETAALSTALFDQGLSCGACFELMCVNDPQWCIKGRSIVVTATNFCPPGGACDPPNHHFDLSQPIYEKIALYKSGIIPVMYRRVRCKRSGGIRFTINGHSYFNLVLVTNVGGAGDVHSVSMKGSRTKWQLMSRNWGQNWQSNSYLNGQSLSFVVTTSDRRSVVSFNVAPPTWSFGQTYTGGQFRY 1
VIMSS10097493 1 387 0.148694573643411 PF01040.18:UbiA:110:357 Chlorophyll synthase, chloroplastic; Polyprenyl transferase; Protein G4; AtG4; EC 2.5.1.62 387 248 18 282 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38833 1 SwissProt MTSILNTVSTIHSSRVTSVDRVGVLSLRNSDSVEFTRRRSGFSTLIYESPGRRFVVRAAETDTDKVKSQTPDKAPAGGSSINQLLGIKGASQETNKWKIRLQLTKPVTWPPLVWGVVCGAAASGNFHWTPEDVAKSILCMMMSGPCLTGYTQTINDWYDRDIDAINEPYRPIPSGAISEPEVITQVWVLLLGGLGIAGILDVWAGHTTPTVFYLALGGSLLSYIYSAPPLKLKQNGWVGNFALGASYISLPWWAGQALFGTLTPDVVVLTLLYSIAGLGIAIVNDFKSVEGDRALGLQSLPVAFGTETAKWICVGAIDITQLSVAGYLLASGKPYYALALVALIIPQIVFQFKYFLKDPVKYDVKYQASAQPFLVLGIFVTALASQH
VIMSS10097622 1 380 0.517820263157895 PF08879.10:WRC:152:194,PF08880.11:QLQ:83:115 Growth-regulating factor 4; AtGRF4; Transcription activator GRF4 380 76 18 380 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L8A7 1 SwissProt MDLQLKQWRSQQQNESEEQGSAATKISNFFFDQIQSQTATSAAAAPLPLFVPEPTSSSSFSCFSPDSSNSSSSSRFLKMGNFFSWAQWQELELQALIYRYMLAGASVPQELLLPIKKSLLHQSPMHFLHHPLQHSFPHHQPSWYWGRGAMDPEPGRCKRTDGKKWRCSRDVVAGHKYCDRHIHRGRNRSRKPVETATTTITTTATTTASSFVLGEELGHGPNNNHFFSSGSSQPLHLSHQQSCSSEMKQESNNNKRPYEANSGFSNGRSDDGHILRHFFDDWPRSSDSTSSPMSSSTCHLSISMPGNNTSSDVSLKLSTGNEEEEENMRNNNNEREQMNWWSNGGNHHNNMGGPLAEALRSASSTSSVLHQMGISTQVFH
VIMSS10097640 1 479 0.668324634655533 PF02987.16:LEA_4:98:134,PF02987.16:LEA_4:135:178,PF02987.16:LEA_4:179:222,PF02987.16:LEA_4:223:255,PF02987.16:LEA_4:254:295,PF02987.16:LEA_4:355:397 Late embryogenesis abundant protein At3g53040; LEA domain-containing protein At3g53040 479 241 18 479 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LF88 1 SwissProt MASGQREAERSAKAERAEAAASLAAEDLKDINKGDVTYKLTERTTTTEPERPGLIGSVMKAVQGTKDAVIGKSHDTAESTREGADIASEKAAGMRDTTGEVRDSTAQKTKETADYTADKAREAKDKTADKTKETADYAAEKAREAKDRTADKTKETAEYTAEKAREAKDKTADKLGEYKDYTAEKAKEAKDTTAEKLGEYKDYTVDKAKEAKDKTAEKAKETAEYTSDKARETKDKTAEKVGEYKDYTAEKAKETADKAREAKDKTAEKVGEYRDYTAEKATETKDAGVSKIGELKDSAVDTAKRAMGFLSGKTEETKQKAVETKDTAKEKMDEAGEEARRKMEEMRLEGKKLDEDASRKTQQSTESAADKAHETKDSVAQRGEEGKGSIMGALGNMTGAIKSKLTGATTPSDEETRASAHGDESTGKTVVAVDVKDTRPGYVATVLKEADQMTGQTFNDVGEIDDEEKVRIVVGEKKL
VIMSS10100367 96 256 0.448919254658385 PF01486.17:K-box:17:102 STK (SEEDSTICK); protein binding / transcription factor (RefSeq) 256 86 18 161 0 Arabidopsis thaliana VIMSS10100367 1 MicrobesOnline KKACSDSTNTSTVQEINAAYYQQESAKLRQQIQTIQNSNRNLMGDSLSSLSVKELKQVENRLEKAISRIRSKKHELLLVEIENAQKREIELDNENIYLRTKVAEVERYQQHHHQMVSGSEINAIEALASRNYFAHSIMTAGSGSGNGGSYSDPDKKILHLG
VIMSS10100727 1 57 0.125817543859649 EPIDERMAL PATTERNING FACTOR-like protein 9; EPF-like protein 9 102 0 18 37 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SV72 0 SwissProt MKHEMMNIKPRCITIFFLLFALLLGNYVVQASRPRSIENTVSLLPQVHLLNSRRRHM
VIMSS10100929 140 376 0.293594936708861 PF13920.6:zf-C3HC4_3:183:231,PF14447.6:Prok-RING_4:186:230 Putative E3 ubiquitin-protein ligase XBAT34; Ankyrin repeat domain and RING finger-containing protein XBAT34; Protein XB3 homolog 4; RING-type E3 ubiquitin transferase XBAT34; EC 2.3.2.27 376 49 18 237 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FPH0 1 SwissProt CMREYSGSSLLNLFAPQLLSRKVWVVVVPTGSRNPTKPLKLELVLYDSIQDAQPRMVIPLWKANLEEPKSFRCDDSVMIIDDSRSPKSMRQRRESGFISQARRWAQVDRQIRLKLAAEIKGDMKQMNWFSEACKGVPQPMNPPRFMKTSQATTTTTNVPALSDDALTRVAMSLPSPKTANKEDGLCVICVDAPSEAVCVPCGHVAGCISCLKEIENKKMGCPVCRANIDQVIKLYHV
VIMSS10101133 1 104 0.369510576923077 PF03242.13:LEA_3:3:97 Late embryogenis abundant protein 41; Protein DROUGHT-INDUCED 21; AtDI21 104 95 18 104 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39084 1 SwissProt MAARSLSGAVKSLCSAASGSLSCSIVLRRSYVATSQNVTAAGLSKGGSTRVMVGKMEQRGLDQEAESAWGPDPVTGYYRPSNRAAEIDPAELRELLLKNKAKSF
VIMSS10101172 1 176 0.336590340909091 PF02466.19:Tim17:30:166 mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein (RefSeq) 176 137 18 176 0 Arabidopsis thaliana VIMSS10101172 1 MicrobesOnline MEKSGGRIVMDEIRSFEKAHLFDLGHPLLNRIADSFVKAAGVGALQAVSREAYFTVVDGFDSNNVGPPSEITGNKKHRFPNLRGESSKSLDALVKNTGKESLQWGLAAGLYSGITYGMTEVRGGAHDWRNSAVAGALTGAAMAMTTSERTSHEQVVQSALTGAAISTAANLLSSVF
VIMSS10102133 164 418 0.129881176470588 PF00561.20:Abhydrolase_1:14:223,PF12146.8:Hydrolase_4:19:219,PF12697.7:Abhydrolase_6:15:225,PF06259.12:Abhydrolase_8:29:71 1-acylglycerol-3-phosphate O-acyltransferase; Lipid droplet-binding protein CGI-58 homolog; EC 2.3.1.51 418 212 18 255 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22975 1 SwissProt DFTCRSTEETEAWFIDSFEEWRKAQNLSNFILLGHSFGGYVAAKYALKHPEHVQHLILVGSAGFSAEADAKSEWLTKFRATWKGAVLNHLWESNFTPQKLVRGLGPWGPGLVNRYTTARFGAHSEGTGLTEEEAKLLTDYVYHTLAAKASGELCLKYIFSFGAFARKPLLQSASEWKVPTTFIYGMNDWMNYQGAVEARKSMKVPCEIIRVPQGGHFVFIDNPIGFHSAVLYACRKFISQDSSHDQQLLDGLRLV
VIMSS10103672 1 368 0.227024456521739 PF02135.16:zf-TAZ:240:323,PF00651.31:BTB:47:154 BTB/POZ and TAZ domain-containing protein 5; BTB and TAZ domain protein 5 368 192 18 368 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6EJ98 1 SwissProt MENMDDFSPENVLAPPPPPPPMKKSTDLFMQRSNSFVSKATRDSWDRMFDEAHGADVLIHTDDNGLIYAHSNVIGMASDVIRGMMKQHKRKSHRKSISILGVPHHALRVFIRFLYSSCYEKQDMEDFAIHLLVLSHVYVVPHLKRVCESEFESSLLNKENVIDVFQLALLCDAPRLGLLCHRMILNNFEEVSTSEGWQAMKESHPRLQKELLRSVAYELNSLKQRNRKQKEIQTYTQLYEAMEAFVHICRDGCREIGPTKTETPHMSCGFQACNGLEQLLKHLAGCKLRSIPGGCSRCKRMWQLLELHSRICVDSEQCKVPLCSSLKERMKTQSRKDEKRWKLLVRNVLSTKRIGGSPFFLQAIDVTL
VIMSS10104761 1 529 0.606817958412098 PF00010.26:HLH:278:327 Transcription factor BIM1; BES1-interacting Myc-like protein 1; Basic helix-loop-helix protein 46; AtbHLH46; bHLH 46; Transcription factor EN 126; bHLH transcription factor bHLH046 529 50 18 529 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LEZ3 1 SwissProt MELPQPRPFKTQGRKPTHDFLSLCSHSTVHPDPKPTPPPSSQGSHLKTHDFLQPLECVGAKEDVSRINSTTTASEKPPPPAPPPPLQHVLPGGIGTYTISPIPYFHHHHQRIPKPELSPPMMFNANERNVLDENSNSNCSSYAAASSGFTLWDESASGKKGQTRKENSVGERVNMRADVAATVGQWPVAERRSQSLTNNHMSGFSSLSSSQGSVLKSQSFMDMIRSAKGSSQEDDLDDEEDFIMKKESSSTSQSHRVDLRVKADVRGSPNDQKLNTPRSKHSATEQRRRSKINDRFQMLRQLIPNSDQKRDKASFLLEVIEYIQFLQEKADKYVTSYQGWNHEPAKLLNWQSNNNQQLVPEGVAFAPKLEEEKNNIPVSVLATAQGVVIDHPTTATTSPFPLSIQSNSFFSPVIAGNPVPQFHARVASSEAVEPSPSSRSQKEEEDEEVLEGNIRISSVYSQGLVKTLREALENSGVDLTKASISVEIELAKQSSSSSFKDHEVREPVSRTRNDNVKQTRKPKRLKTGQ
VIMSS10105108 185 961 0.511906563706563 Transcription factor MYB3R-4; Myb-related protein 3R-4; Protein ENHANCED DEFECTIVE CYTOKINESIS 961 0 18 777 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94FL9 1 SwissProt YMSSGLLDQYQAMPLAPYERSSTLQSTFMQSNIDGNGCLNGQAENEIDSRQNSSMVGCSLSARDFQNGTINIGHDFHPCGNSQENEQTAYHSEQFYYPELEDISVSISEVSYDMEDCSQFPDHNVSTSPSQDYQFDFQELSDISLEMRHNMSEIPMPYTKESKESTLGAPNSTLNIDVATYTNSANVLTPETECCRVLFPDQESEGHSVSRSLTQEPNEFNQVDRRDPILYSSASDRQISEATKSPTQSSSSRFTATAASGKGTLRPAPLIISPDKYSKKSSGLICHPFEVEPKCTTNGNGSFICIGDPSSSTCVDEGTNNSSEEDQSYHVNDPKKLVPVNDFASLAEDRPHSLPKHEPNMTNEQHHEDMGASSSLGFPSFDLPVFNCDLLQSKNDPLHDYSPLGIRKLLMSTMTCMSPLRLWESPTGKKTLVGAQSILRKRTRDLLTPLSEKRSDKKLEIDIAASLAKDFSRLDVMFDETENRQSNFGNSTGVIHGDRENHFHILNGDGEEWSGKPSSLFSHRMPEETMHIRKSLEKVDQICMEANVREKDDSEQDVENVEFFSGILSEHNTGKPVLSTPGQSVTKAEKAQVSTPRNQLQRTLMATSNKEHHSPSSVCLVINSPSRARNKEGHLVDNGTSNENFSIFCGTPFRRGLESPSAWKSPFYINSLLPSPRFDTDLTIEDMGYIFSPGERSYESIGVMTQINEHTSAFAAFADAMEVSISPTNDDARQKKELDKENNDPLLAERRVLDFNDCESPIKATEEVSSYLLKGCR
VIMSS10105782 1 252 0.17163373015873 Protein HEAT-INDUCED TAS1 TARGET 2 252 0 18 252 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJF8 1 SwissProt MNMIQRFMQSMAKTRGLCHPDCVKASSEQEDYDASQLSIWWIMLGRKTTREKWMNQALSSLSKTCISIWWKMSGIRGKMCREREQPLTVKDCLECAFKKGLPRREHWAHVGCTFKAPPFACHIPRVPMKGEVIETKSLDEAFKLLIKQPVGARLHVFSPDLDNVGEGVYEGLSSLSRKESRYVGLRDVIIVAVNKSEGKTVATVKICYKKKTSFVKVCLSRMFVQLGGGEESQVKEPTGLLVDFCIPRLSIN
VIMSS10106136 1 550 0.351415272727272 PF16486.5:ArgoN:48:203,PF02170.22:PAZ:269:396,PF08699.10:ArgoL1:215:263,PF16488.5:ArgoL2:406:451 Protein argonaute 9 896 379 18 550 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84VQ0 1 SwissProt MDSDEPNGSGLPPPPPFVPANLVPEVEPVKKNILLPMARPRGSGSKGQKIPLLTNHFGVKFNKPSGYFFHYSVAINYEDGRPVEAKGIGRKILDKVQETYQSDLGAKYFAYDGEKTLFTVGALPSNKLDFSVVLEEIPSSRNHAGNDTNDADRKRSRRPNQTKKFMVEISYAAKIPMQAIASALQGKETENLQDALRVLDIILRQSAARQGCLLVRQSFFHNDVKNFVPIGGGVSGCRGFHSSFRTTQGGLSLNIDTSTTMIVQPGPVVDFLLANQNKKDPYGMDWNKARRVLKNLRVQITLSNREYKISGLSEHSCKDQLFTWRKPNDKGEFEEVEITVLNYYKERNIEVRYSGDFPCINVGKPKRPTYFPIEFCNLVSLQRYTKSLTNFQRAALVEKSRQKPPERMASLTKGLKDSNYNADPVLQDSGVSIITNFTQVEGRILPTPMLKVGKGENLSPIKGKWNFMRKTLAEPTTVTRWAVVNFSARCDTNTLIRDLIKCGREKGINVEPPFKDVINENPQFRNAPATVRVENMFEQIKSKLPKPPLF
VIMSS10107671 1 404 0.204611386138614 PF04526.13:DUF568:90:189,PF03188.16:Cytochrom_B561:211:333 Cytochrome b561 and DOMON domain-containing protein At5g35735; Protein b561A.tha16 404 223 18 298 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKH6 1 SwissProt MDRTQSPKTALFAVLATLLVLTVNGQSLCNTHRFTNNLAFADCSDLSALGSFLHWTYNEQNGTVSIAYRHPGTSASSWVAWGLNPSSTQMVGTQALVAFTNTTTNQFQAYTSSVSSYGTRLERSSLSFGVSGLSATLVSGEVTIFATLELSPNLITANQLWQVGPVVNGVPASHQTSGDNMRSSGRIDFRTGQASAGGGGSGDRLRKRNTHGVLNAVSWGVLMPMGAMMARYMKVFADPTWFYLHIAFQVSGYVIGVAGWATGIKLGNDSPGTSYSTHRNLGIALFTFATLQVFALLVRPKPDHKYRTYWNVYHHTVGYTTIILSIVNIFKGFDILDPEDKWRWAYIGILIFLGACVLILEPLTWFIVLRRKSRGGNTVAAPTSSKYSNGVNGTTTTGPHHQDA
VIMSS10108069 1 476 0.215193907563025 PF01619.18:Pro_dh:133:456 Proline dehydrogenase 2, mitochondrial; Osmotic stress-induced proline dehydrogenase; Proline oxidase; EC 1.5.5.2 476 324 18 476 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NKX1 1 SwissProt MANRFLRPNLIHRFSTVSPVGPPTTIIPEILSFDQPKPEVDLDLSDQARLFASVPISTLLRSTAILHATSIGPMVDLGSWLMSSKLMDTTVTRDLVLRIVKGTFYDHFCAGEDAAAAARRVSSVYESTGLKGMLVYGVEHAEDGGACDENIQKFIETVEAAKTLPSSHLSSVVVKITAICPMNVLKRVSDLLRWQYKNPNFKLPWKLNSFPVFSGLSPLYHTTSEPEPLTVEEERELEKAHERLKSVCLRCQESNVPLLIDAEDTILQPAIDYMAYWSAIMFNSDKDRPIVYNTIQAYLKDAGERLHLALRESEKMNVPIGFKLVRGAYMSSEAKLADSLGYKSPVHDTIQNTHDCYNDCMSFLMEKASNGSGIAVILATHNTDSGKLGARKASELGINKENGKIEFAQLYGMSDALSFGLKRAGFNVSKYMPYGPVDTAIPYLIRRAYENRGMMSTGALDRQLMRKELKRRVMAW
VIMSS10108361 1 719 0.322950486787204 DNA repair endonuclease UVH1; DNA excision repair protein XP-F homolog; Ultraviolet hypersensitive 1; AtRAD1; EC 3.1.-.- 956 0 18 719 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LKI5 1 SwissProt MALKYHQQIISDLLEDSNGGLLILSSGLSLAKLIASLLILHSPSQGTLLLLLSPAAQSLKSRIIHYISSLDSPTPTEITADLPANQRYSLYTSGSPFFITPRILIVDLLTQRIPVSSLAGIFILNAHSISETSTEAFIIRIVKSLNSSAYIRAFSDRPQAMVSGFAKTERTMRALFLRKIHLWPRFQLDVSQELEREPPEVVDIRVSMSNYMVGIQKAIIEVMDACLKEMKKTNKVDVDDLTVESGLFKSFDEIVRRQLDPIWHTLGKRTKQLVSDLKTLRKLLDYLVRYDAVSFLKFLDTLRVSESYRSVWLFAESSYKIFDFAKKRVYRLVKASDVKSKEHVKNKSGKKRNSKGETDSVEAVGGETATNVATGVVVEEVLEEAPKWKVLREILEETQEERLKQAFSEEDNSDNNGIVLVACKDERSCMQLEDCITNNPQKVMREEWEMYLLSKIELRSMQTPQKKKQKTPKGFGILDGVVPVTTIQNSEGSSVGRQEHEALMAAASSIRKLGKTTDMASGNNNPEPHVDKASCTKGKAKKDPTSLRRSLRSCNKKTTNSKPEILPGPENEEKANEASTSAPQEANAVRPSGAKKLPPVHFYALESDQPILDILKPSVIIVYHPDMGFVRELEVYKAENPLRKLKVYFIFYDESTEVQKFEASIRRENEAFESLIRQKSSMIIPVDQDGLCMGSNSSTEFPASSTQNSLTRKAGGRKE
VIMSS10108433 184 1068 0.185809378531074 PF00931.22:NB-ARC:7:235,PF07725.12:LRR_3:421:440 disease resistance protein (TIR-NBS-LRR class), putative (RefSeq) 1068 249 18 885 0 Arabidopsis thaliana VIMSS10108433 1 MicrobesOnline EGMVGMEAHLKRLNSLLCLESDEVKMIGIWGPAGIGKTTIARTLFNKISSIFPFKCFMENLKGSIKGGAEHYSKLSLQKQLLSEILKQENMKIHHLGTIKQWLHDQKVLIILDDVDDLEQLEVLAEDPSWFGSGSRIIVTTEDKNILKAHRIQDIYHVDFPSEEEALEILCLSAFKQSSIPDGFEELANKVAELCGNLPLGLCVVGASLRRKSKNEWERLLSRIESSLDKNIDNILRIGYDRLSTEDQSLFLHIACFFNNEKVDYLTALLADRKLDVVNGFNILADRSLVRISTDGHVVMHHYLLQKLGRRIVHEQWPNEPGKRQFLIEAEEIRDVLTKGTGTESVKGISFDTSNIEEVSVGKGAFEGMRNLQFLRIYRDSFNSEGTLQIPEDMEYIPPVRLLHWQNYPRKSLPQRFNPEHLVKIRMPSSKLKKLWGGIQPLPNLKSIDMSFSYSLKEIPNLSKATNLEILSLEFCKSLVELPFSILNLHKLEILNVENCSMLKVIPTNINLASLERLDMTGCSELRTFPDISSNIKKLNLGDTMIEDVPPSVGCWSRLDHLYIGSRSLKRLHVPPCITSLVLWKSNIESIPESIIGLTRLDWLNVNSCRKLKSILGLPSSLQDLDANDCVSLKRVCFSFHNPIRALSFNNCLNLDEEARKGIIQQSVYRYICLPGKKIPEEFTHKATGRSITIPLSPGTLSASSRFKASILILPVESYETDDISCSLRTKGGVEVHCCELPYHFLLRSRSEHLFIFHGDLFPQGNKYHEVDVTMSEITFEFSHTKIGDKIIECGVQIMTEGAEGDSSRELDSFETESSSSQVDNFETGGNNNHHTDGNGDGNYQAEGFKFFQDENIKTSKHTGFRSWLRELGLKVKKMNSHGVS
VIMSS10108744 91 200 0.395222727272727 Ethylene-responsive transcription factor 9; AtERF9; Ethylene-responsive element-binding factor 9; EREBP-9 200 0 18 110 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FE67 1 SwissProt PGESTTVNDGGENDSYVNRTTVTTAREMTRQRFPFACHRERKVVGGYASAGFFFDPSRAASLRAELSRVCPVRFDPVNIELSIGIRETVKVEPRRELNLDLNLAPPVVDV
VIMSS10109736 1 303 0.174120792079208 PF04116.13:FA_hydroxylase:143:270 Beta-carotene 3-hydroxylase 2, chloroplastic; AtB2; EC 1.14.15.24 303 128 18 216 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LTG0 1 SwissProt MAAGLSTIAVTLKPLNRSSFSANHPISTAVFPPSLRFNGFRRRKILTVCFVVEERKQSSPMDDDNKPESTTSSSEILMTSRLLKKAEKKKSERFTYLIAAVMSSFGITSMAIMAVYYRFSWQMKGGEVSVLEMFGTFALSVGAAVGMEFWARWAHRALWHDSLWNMHESHHKPREGAFELNDVFAITNAVPAIGLLYYGFLNKGLVPGLCFGAGLGITMFGMAYMFVHDGLVHKRFPVGPIANVPYLRKVAAAHQLHHTDKFKGVPYGLFLGPKEVEEVGGKEELEKEISRRIKLYNKGSSTS
VIMSS10109800 1 419 0.0589520286396181 PF00520.31:Ion_trans:94:413 Cyclic nucleotide-gated ion channel 1; AtCNGC1; Cyclic nucleotide- and calmodulin-regulated ion channel 1 716 320 18 305 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65717 1 SwissProt MNFRQEKFVRFQDWKSDKTSSDVEYSGKNEIQTGIFQRTISSISDKFYRSFESSSARIKLFKRSYKSYSFKEAVSKGIGSTHKILDPQGPFLQRWNKIFVLACIIAVSLDPLFFYVPIIDDAKKCLGIDKKMEITASVLRSFTDVFYVLHIIFQFRTGFIAPSSRVFGRGVLVEDKREIAKRYLSSHFIIDILAVLPLPQMVILIIIPHMRGSSSLNTKNMLKFIVFFQYIPRFIRIYPLYKEVTRTSGILTETAWAGAAFNLFLYMLASHVFGAFWYLFSIERETVCWKQACERNNPPCISKLLYCDPETAGGNAFLNESCPIQTPNTTLFDFGIFLDALQSGVVESQDFPQKFFYCFWWGLQNLSSLGQNLKTSTYIWEICFAVFISIAGLVLFSFLIGNMQTYLQSTTTRLEEMRV
VIMSS10110023 198 523 0.589841411042945 Transcription factor MYB120; Myb-related protein 120; AtMYB120 523 0 18 326 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94FL7 1 SwissProt ANAKSSSSFTFHTTTANLLHPLSPHTPNTPSQLSSTPPPPPLSSPLCSPRNNQYPTLPLFALPRSQINNNNNGNFTFPRPPPLLQPPSSLFAKRYNNANTPLNCINRVSTAPFSPVSRDSYTSFLTLPYPSPTAQTATYHNTNNPYSSSPSFSLNPSSSSYPTSTSSPSFLHSHYTPSSTSFHTNPVYSMKQEQLPSNQIPQIDGFNNVNNFTDNERQNHNLNSSGAHRRSSSCSLLEDVFEEAEALASGGRGRPPKRRQLTASLPNHNNNTNNNDNFFSVSFGHYDSSDNLCSLQGKTKTTYNTSNLNYSSLQVKCKMFMIKTQI
VIMSS10110827 93 210 0.292609322033898 PF01486.17:K-box:1:78 MADS-box protein AGL42; Protein AGAMOUS-LIKE 42; Protein FOREVER YOUNG FLOWER 210 78 18 118 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FIS1 1 SwissProt EASHMITKIELLEFHKRKLLGQGIASCSLEELQEIDSQLQRSLGKVRERKAQLFKEQLEKLKAKEKQLLEENVKLHQKNVINPWRGSSTDQQQEKYKVIDLNLEVETDLFIGLPNRNC
VIMSS10160527 1 827 0.560540145102781 PF18204.1:PGF-CTERM:803:825 Cell surface glycoprotein; S-layer glycoprotein 827 23 18 786 2 Haloferax volcanii (strain ATCC 29605 / DSM 3757 / JCM 8879 / NBRC 14742 / NCIMB 2012 / VKM B-1768 / DS2) (Halobacterium volcanii) SwissProt::P25062 1 SwissProt MTKLKDQTRAILLATLMVTSVFAGAIAFTGSAAAERGNLDADSESFNKTIQSGDRVFLGEEISTDAGLGASNPLLTGTAGNSEGVSLDLSSPIPQTTENQPLGTYDVDGSGSATTPNVTLLAPRITDSEILTSSGGDVTGSAISSSDAGNLYVNADYNYESAEKVEVTVEDPSGTDITNEVLSGTDTFVDDGSIGSTSSTGGGVGIDMSDQDAGEYTIILEGAEDLDFGDATETMTLTISSQDEIGIELDSESVTQGTDVQYTVTNGIDGNEHVVAMDLSDLQNDATTEQAKEVFRNIGDTSEVGIANSSATNTSGSSTGPTVETADIAYAVVEIDGASAVGGIETQYLDDSEVDLEVYDAGVSATAAVGQDATNDITLTIEEGGTTLSSPTGQYVVGSEVDINGTATSSDSVAIYVRDDGDWQLLEIGGDNEISVDSDDTFEEEDIALSGLSGDGSSILSLTGTYRIGVIDASDADVGGDGSVDDSLTTSEFTSGVSSSNSIRVTDQALTGQFTTINGQVAPVETGTVDINGTASGANSVLVIFVDERGNVNYQEVSVDSDGTYDEDDITVGLTQGRVTAHILSVGRDSAIGDGSLPSGPSNGATLNDLTGYLDTLDQNNNNGEQINELIASETVDETASDDLIVTETFRLAESSTSIDSIYPDAAEAAGINPVATGETMVIAGSTNLKPDDNTISIEVTNEDGTSVALEDTDEWNNDGQWMVEIDTTDFETGTFTVEADDGDNTDTVNVEVVSEREDTTTSSDNATDTTTTTDGPTETTTTAEPTETTEEPTEETTTSSNTPGFGIAVALVALVGAALLALRREN
VIMSS103300 1 100 0.335585 Fibrinogen-binding protein 165 0 18 100 0 Staphylococcus aureus SwissProt::P0C6P2 1 SwissProt MKNKLIAKSLLTIAAIGITTTTIASTADASEGYGPREKKPVSINHNIVEYNDGTFKYQSRPKFNSTPKYIKFKHDYNILEFNDGTFEYGARPQFNKPAAK
VIMSS110688 1 237 0.00539831223628692 Fluoroquinolones export permease protein Rv2687c 237 0 18 99 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJB1 0 SwissProt MTRLVPALRLELTLQVRQKFLHAAVFSGLIWLAVLLPMPVSLRPVAEPYVLVGDIAIIGFFFVGGTVFFEKQERTIGAIVSTPLRFWEYLAAKLTVLLAISLFVAVVVATIVHGLGYHLLPLVAGIVLGTLLMLLVGFSSSLPFASVTDWFLAAVIPLAIMLAPPVVHYSGLWPNPVLYLIPTQGPLLLLGAAFDQVSLAPWQVGYAVVYPIVCAAGLCRAAKALFGRYVVQRSGVL
VIMSS1238596 1 154 0.232133116883117 PF13353.6:Fer4_12:12:151,PF13394.6:Fer4_14:21:130 anaerobic ribonucleoside-triphosphate reductase activating protein 154 140 18 154 0 Escherichia coli K-12 substr. MG1655 ecocyc::RNTRACTIV-MONOMER 1 ecocyc MNYHQYYPVDIVNGPGTRCTLFVSGCVHECPGCYNKSTWRVNSGQPFTKAMEDQIINDLNDTRIKRQGISLSGGDPLHPQNVPDILKLVQRIRAECPGKDIWVWTGYKLDELNAAQMQVVDLINVLVDGKFVQDLKDPSLIWRGSSNQVVHHLR
VIMSS14436 1 99 0.475810101010101 PF01527.20:HTH_Tnp_1:12:78 IS3 element protein InsE 99 67 18 99 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6534-MONOMER 1 ecocyc MTKTVSTSKKPRKQHSPEFRSEALKLAERIGVTAAARELSLYESQLYNWRSKQQNQQTSSERELEMSTEIARLKRQLAERDEELAILQKAATYFAKRLK
VIMSS149901 1 181 0.268025966850829 Salmonella anti-inflammatory response activator; SarA; Salmonella translocated effector E 181 0 18 158 1 Salmonella typhimurium (strain 14028s / SGSC 2262) SwissProt::A0A0F6B506 1 SwissProt MMRFVYIYILVIYGSYLWFSLGGNMFTINSTNRVASTIAPYACVSDVNLEDKATFLDEHTSIHANDSSLQCFVLNDQHVPQNTLATDVEGYNRGLQERISLEYQPLESIVFLLGTPAVLETKESLSLPVSPDALTQKLLSISSNDECKLSGSTSCTTPASHNPPSGYIAQYRHSAEVFPDE
VIMSS150893 1 255 0.119698431372549 PF00563.20:EAL:27:242 putative Diguanylate cyclase/phosphodiesterase domain 3 (NCBI ptt file) 255 216 18 255 0 Salmonella typhimurium LT2 VIMSS150893 1 MicrobesOnline MIKQVIQQLRVPDAGIENLQERRYWLQCERAYTYQPIYQTDGRLMAVELLTVVTHPDNPSRRIAPDRYFAELAVRHRIDVVKEQLHQLEQKADFFTRHHLLASVNVDGPTLIAMRRQPDILAAMERLPWLRFELVEHIRLPKDSSFASMCEFGPLWLDDFGTGMANFSALSEVRYDYIKVARELFVMLRQSPEGRNLFTLLLQLMNRYCRGVIVEGVETLEEWRDVQRSPAFAAQGYFLSRPVPLISLEEVILTL
VIMSS151044 1 231 0.104565367965368 PF02308.16:MgtC:14:133 Protein MgtC 231 120 18 144 4 Salmonella typhimurium (strain 14028s / SGSC 2262) SwissProt::D0ZLQ7 1 SwissProt MEERMLMFPYILNLLAAMLLGALIGAERQWRQRMAGLRTNALVATGAAVFILSSMTTSPDSPGRIAAQIVSGIGFLGAGVIMREGMNVRGLNTAATLWCSAGIGVLCGLGQFKNALAATIIILCANILLREAAQRINQLPISAEGEKRYILKVTCNKEDESAVRQWLLNIVKEAAICLQGLGSVPAQEQGYKEIRAELVGHADYRKTRELIISRIGDNDNITAIHWSIDSQ
VIMSS15261 1 297 0.172478114478114 PF10463.9:Peptidase_U49:61:258 e14 prophage; cell death peptidase Lit 297 198 18 297 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10535-MONOMER 1 ecocyc MRSPICHLFSAINSSPFKIAPEKEQDLKTIVDDKKIIISVVSEPGFNIRVRKNESNNSHEIVLTVASLEYIWAFSNFFWVFTQEYSKSQKNNDEHFDLTGKNRLKKSDELLKWARKNLQTTGCESWPKKCPKPEAYLQGSEDSQVASEIFLCAIAWILHHEISHVVLQHPLVTTAFSTQEEREADSHATKWILGNLYESAPELKKRALGIATAVLCIQSLEVENYFCLQNTHPAAYERIYSNISCYPVGNEELIEALCTVMLQYLFHGKNINVNLDGESFSSILGDLLCDISRLTSN
VIMSS15364 1 306 0.0245617647058824 PF00528.22:BPD_transp_1:112:306 murein tripeptide ABC transporter / oligopeptide ABC transporter inner membrane subunit OppB (EC 7.4.2.6) 306 195 18 176 6 Escherichia coli K-12 substr. MG1655 ecocyc::OPPB-MONOMER 1 ecocyc MLKFILRRCLEAIPTLFILITISFFMMRLAPGSPFTGERTLPPEVMANIEAKYHLNDPIMTQYFSYLKQLAHGDFGPSFKYKDYSVNDLVASSFPVSAKLGAAAFFLAVILGVSAGVIAALKQNTKWDYTVMGLAMTGVVIPSFVVAPLLVMIFAIILHWLPGGGWNGGALKFMILPMVALSLAYIASIARITRGSMIEVLHSNFIRTARAKGLPMRRIILRHALKPALLPVLSYMGPAFVGIITGSMVIETIYGLPGIGQLFVNGALNRDYSLVLSLTILVGALTILFNAIVDVLYAVIDPKIRY
VIMSS16373 1 101 0.274764356435644 PF05957.13:DUF883:10:101 tail-anchored inner membrane protein ElaB 101 92 18 81 1 Escherichia coli K-12 substr. MG1655 ecocyc::G7173-MONOMER 1 ecocyc MSNQFGDTRIDDDLTLLSETLEEVLRSSGDPADQKYVELKARAEKALDDVKKRVSQASDSYYYRAKQAVYRADDYVHEKPWQGIGVGAAVGLVLGLLLARR
VIMSS16754 1 220 0.147041363636364 PF07729.12:FCD:76:206,PF00392.21:GntR:10:66 DNA-binding transcriptional repressor GlaR 220 188 18 220 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12386-MONOMER 1 ecocyc MTITSLDGYRWLKNDIIRGNFQPDEKLRMSLLTSRYALGVGPLREALSQLVAERLVTVVNQKGYRVASMSEQELLDIFDARANMEAMLVSLAIARGGDEWEADVLAKAHLLSKLEACDASEKMLDEWDLRHQAFHTAIVAGCGSHYLLQMRERLFDLAARYRFIWLRRTVLSVEMLEDKHDQHQTLTAAVLARDTARASELMRQHLLTPIPIIQQAMAGN
VIMSS181971 1 165 0.159406666666667 PF03472.15:Autoind_bind:2:152 TRANSCRIPTIONAL ACTIVATOR, LUXR FAMILY (NCBI ptt file) 235 151 18 165 0 Brucella melitensis 16M VIMSS181971 1 MicrobesOnline MALLTRIRDEIGCRYVTHTYRGRVGDCTKVNSADLTVLMTLPATWVARYSSKNYFAIDPVFQEDAPYYRNDTSAIARDLKEDADICPAVAELLHDAEKHGLGNLFIAVSARNPKGVAGCTVFTFEVEDEDRTQFLARMRPRLLSLAGIIHGTVCGCKDANSVASL
VIMSS18580 1 372 0.0620529569892472 PF01501.20:Glyco_transf_8:6:293 α-1,6-glucosyltransferase (RfaJ;HP0159) (EC 2.4.1.-) 372 288 18 372 0 Helicobacter pylori 26695 CAZy::AAD07221.1 1 CAZy MSIIIPIVIAFDNHYAMPAGVSLYSMLACAKTEHPQSQNDSEKLFYKIHCLVDNLSLENQSKLKETLAPFSAFSSLEFLDISTPNLHATPIEPSAIDKINEAFLQLNIYAKTRFSKMVMCRLFLASLFPQYDKIIMFDADTLFLNDVSESFFIPLDGYYFGAAKDFASDKSPKHFQIVREKDPRQAFSLYEHYLNESDMQIIYESNYNAGFLVVNLKLWRADHLEERLLNLTHQKGQCVFYPEQDLLTLACYQKVLILPYIYNTHPFMANQKRFIPDKKEIVMLHFYFVGKPWVLPTFSYSKEWHETLLKTPFYAEYSVKFLKQMTECLSLKDKQKTFEFLAPLLNKKTLLEYVFFRLNRIFKRLKEKFFNS
VIMSS19326 1 529 0.24821606805293 PF01856.17:HP_OMP:369:529 Outer membrane protein (Omp21) 529 161 18 529 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25571 1 SwissProt/TReMBL MKQNLKPFKMIKENLMTQSQKVRFLAPLSLALSLSFNPVGAEEDGGFMTFGYELGQVVQQVKNPGKIKAEELAGLLNSNTTNNTNTNIAGTGGNVAGTLGNLFMNQLGNLIDLYPILNTKNIHQCGTTNNGSSSATTAAATTNNGLCFQGNLDLYNEMVGSIKTLSQNISKNIFQGNNNTTSQNLSNQLSELNTASVYLTYMNSFLNANNQAGGIFQNNTNQAYGNGVTAQQIAYILKQASITMGPSGDSGAAAAFLDAALAQHVFNSANAGNDLSAKEFTSLVQNIVNNSQNALTLANNANISNSTGYQVSYGGHIDQARSTQLLNNTTNTLAKVTALNNELKANPWLGNFAAGNSSQVNAFNGFITKIGYKQFFGENKNVGLRYYGFFSYNGAGVGNGPTYNQVNLLTYGVGTDVLYNVFSRSFGSRSLNAGFFGGIQLAGDTYISTLRNSPQLANRPTATKFQFLFDVGLRMNFGILKKDLKSHNQHSIEIGVQIPTIYNTYYKAGGAEVKYFRPYSVYWVYGYAF 5
VIMSS1935760 261 584 0.191311728395062 PF00300.22:His_Phos_1:27:115 PE-PGRS family protein PE_PGRS11; PE-PGRS phosphoglycerate mutase; EC 5.4.2.12 584 89 18 324 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::Q79FW5 1 SwissProt RGGWFIGTGGMATIGGGGNGQSIVIDFVRHGQTPGNAAMLIDTAVPGPGLTALGQQQAQAIANALAAKGPYAGIFDSQLIRTQQTAAPLANLLGMAPQVLPGLNEIHAGIFEDLPQISPAGLLYLVGPIAWTLGFPIVPMLAPGSTDVNGIVFNRAFTGAVQTIYDASLANPVVAADGNITSVAYSSAFTIGVGTMMNVDNPHPLLLLTHPVPNTGAVVVQGNPEGGWTLVSWDGIPVGPASLPTALFVDVRELITAPQYAAYDIWESLFTGDPAAVINAVRDGADEVGAAVVQFPHAVADDVIDATGHPYLSGLPIGLPSLIP
VIMSS1935947 1 266 0.130795864661654 PF00823.19:PPE:8:163 Uncharacterized PPE family protein PPE37 473 156 18 266 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::Q79FH3 1 SwissProt MTFPMWFAVPPEVPSAWLSTGMGPGPLLAAARAWHALAAQYTEIATELASVLAAVQASSWQGPSADRFVVAHQPFRYWLTHAATVATAAAAAHETAAAGYTSALGGMPTLAELAANHAMHGALVTTNFFGVNTIPIALNEADYLRMWIQAATVMSHYQAVAHESVAATPSTPPAPQIVTSAASSAASSSFPDPTKLILQLLKDFLELLRYLAVELLPGPLGDLIAQVLDWFISFVSGPVFTFLAYLVLDPLIYFGPFAPLTSPVLL
VIMSS19776 1 397 0.0499506297229219 PF02518.26:HATPase_c:292:384 histidine kinase sensor protein 397 93 18 351 2 Helicobacter pylori 26695 NP_208156.1 1 RefSeq MAIALTHYEKKSLKLFLGIYLGSSFVLMLVISVLAFNYEKNEKIKMIRMDMDKMASKIASEVIALHMQTHGDYQNALNALISRYKDASIALFDSKKRVLYSNIPESANLIKNHKEAGFFSFRGEYYLLSDETFAHLGVAKMLFKNSKPLHFSSLYRNIVLVFVVAFLCVIGVSVFLGRLFLKPIRNEITRIDHFLKNTTHELNTPMSALVLSLKTLEDNQQHRRIKIAIQRMSFLYRSLSYLVMQDIERESFVLLDLKALIIKENTLFSEMIDYHKLEFKSDLVEVELKAKEQDFISLYSNLLMNAIKYSVMNGYIHIELTHAFLKVKNLGYEIPKDKITELSVRYVRFNSGVLGYGIGLGLVKKVCEKYKMRLEIHSEPSLKGSFYENSFCVQFQG
VIMSS2198413 1 252 0.25460873015873 PF02321.18:OEP:31:219 alkaline protease secretion outer membrane protein AprF precursor (NCBI) 481 189 18 252 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2198413 1 MicrobesOnline MTMRRLMTWLFGAFLLLLREDAFALGLLDGYHLALENDPQFQAAIQEHEAGRQYRALGRAALLPRLVYSYNRGRSWSDVTQTTTRGDFKEDRDYDSYVSTLSLQQPLFDYEAFSRYRKGVAQALLSDERFRSQSQELLVRVLEAYTGALLAQDQIELARAQKRSYREQFQLNQRQFERGNGTRTDTLETQARFNLAQAQEIEARDSQDAALRELERLVGAPLEIADLAPLGERFQVRPLSPASYTAWRDLAL
VIMSS2200194 51 432 0.279973560209424 PF01266.24:DAO:50:348 D-amino acid dehydrogenase 1; D-alanine dehydrogenase 1; EC 1.4.99.- 432 299 18 382 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9HTQ0 1 SwissProt YASPWAAPGIPLKAMKWLLEKHAPLAIKLTSDPSQYAWMLQMLRNCTAERYAVNKERMVRLSEYSRDCLDELRAETGIAYEGRTLGTTQLFRTQAQLDAAGKDIAVLERSGVPYEVLDRDGIARVEPALAKVADKLVGALRLPNDQTGDCQLFTTRLAEMAKGLGVEFRFGQNIERLDFAGDRINGVLVNGELLTADHYVLALGSYSPQLLKPLGIKAPVYPLKGYSLTVPITNPEMAPTSTILDETYKVAITRFDQRIRVGGMAEIAGFDLSLNPRRRETLEMITTDLYPEGGDISQATFWTGLRPATPDGTPIVGATRYRNLFLNTGHGTLGWTMACGSGRYLADLMAKKRPQISTEGLDISRYSNSPENAKNAHPAPAH
VIMSS236 1 115 0.0629460869565217 Inclusion Membrane Protein B (NCBI ptt file) 115 0 18 69 2 Chlamydia trachomatis D/UW-3/CX VIMSS236 0 MicrobesOnline MVHSVYNSLAPEGFSQVSIQPSQIPTSKKVMIAIMTLFALTAIAAIVLSIVTVCGGFPFLLAALNTVTIGACVSLPVFTCIATTLLLLCLRNIELLARPQVFTLSTQFSPTKPQE
VIMSS242042 1 77 0.0985194805194805 PF03777.13:ChpA-C:21:76 Chaplin-H 77 56 18 34 2 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q9AD92 0 SwissProt MLKKVVAAAAATGGLVLAGAGMAVADSGAQGAAVHSPGVLSGNVVQVPVHVPVNVCGNTISVIGLLNPAFGNVCINK
VIMSS26310 1 637 0.157992307692308 PF02743.18:dCache_1:184:420,PF00672.25:HAMP:456:508,PF17201.4:Cache_3-Cache_2:298:436 methyl-accepting chemotaxis protein 845 306 18 594 2 Treponema pallidum subsp. pallidum str. Nichols WP_010881937.1 1 RefSeq MCGATACGARICKAERQEHCEGAVPYGYAHPFCTVLVLISLREGVYPFTHCGCLRSELCVGSNGRCPKRAAPDALHMCLRGAHAPCGAELFPVKPCKRKNACGRIGPRWVKGLVTFHSHIIIPREWLMGYGSSAEETSSTPHASGQRKVGFLSLRTKLALVFGLLAFVSGLVQGGILVVFARNSIVGEISSHLAGRARDTSSIVEGRIGALFQFLEGLARLEVLQGSSDRRRAQVDRLKKEAFFNRDIARLAVVDLAGVLYGEDGRTHYVQDRKYFQRAVKGRCYVSAPYPSRSSDDMVITFSIPVYDEDRRVIAVLVADVIWTWLCDITGDFSVGGVGRIAVIDEVGTVVAHPRHEVVAQQTNYIRLAKEDPATYASVAEFVEKVIKSDSTASHVFSYEGLEKIGSSAKMKSTGWTVVVFVPVSEFMGPVYTLQNYLLAVGIIVVLFSLIVVYAVARKIVRPLRSTVRVLEDIAYGEGDLTVRLPVVGGDEVSLLCQYFNQTMEKIRFAIATVGSSSDDMRRIGDELASNMTETASAVNEITANIDGVKHRVDLQVSGVSEATDTVERIIKTIKGLNSSIETQAVNVAQSSSSVEQMVANIVSITQTLERSDEAVHSLAIATADGRDTLVSSSGIT
VIMSS29431 1 148 0.468087837837838 PF03304.13:Mlp:30:144 Lipoprotein MlpA 148 115 18 148 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::H7C7P1 1 SwissProt MKIINILFCLFLLLLNSCNSNDNDTLKNNAQQTKSRGKRDLTQKEATPEKPKSKEELLREKLSEDQKTHLDWLKEALGNDGEFDKFLGYDESKIKTALDHIKSELDKCNGNDADQQKTTFKQTVQGALSGGIDGFGSNNAVTTCGNGS
VIMSS32956 337 1002 0.24737987987988 PF03176.15:MMPL:299:631 Acyltrehalose exporter MmpL10 1002 333 18 531 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJU1 1 SwissProt GERMATFWRRAGTRIVRRPKAYLGASLIGLVALASCASLAHFNYDDRKQLPPSDPSSVGYAAMEHHFSVNQTIPEYLIIHSAHDLRTPRGLADLEQLAQRVSQIPGVAMVRGVTRPNGETLEQARATYQAGQVGNRLGGASRMIDERTGDLNRLASGANLLADNLGDVRGQVSRAVAGVRSLVDALAYIQNQFGGNKTFNEIDNAARLVSNIHALGDALQVNFDGIANSFDWLDSVVAALDTSPVCDSNPMCGNARVQFHKLQTARDNGTLDKVVGLARQLQSTRSPQTVSAVVNDLGRSLNSVVRSLKSLGLDNPDAARARLISMQNGANDLASAGRQVADGVQMLVDQTKNMGIGLNQASAFLMAMGNDASQPSMAGFNVPPQVLKSEEFKKVAQAFISPDGHTVRYFIQTDLNPFSTAAMDQVNTIIDTAKGAQPNTSLADASISMSGYPVMLRDIRDYYERDMRLIVAVTVVVVILILMALLRAIVAPLYLVGSVVISYMSAIGLGVVVFQVFLGQELHWSVPGLAFVVLVAVGADYNMLLASRLRDESALGVRSSVIRTVRCTGGVITAAGLIFAASMSGLLFSSIGTVVQGGFIIGVGILIDTFVVRTITVPAMATLLGRASWWPGHPWQRCAPEEGQMSARMSARTKTVFQAVADGSKR
VIMSS33091 1 346 0.0958921965317919 PF00672.25:HAMP:275:325 adenylate cyclase (EC 4.6.1.1) 541 51 18 211 6 Mycobacterium tuberculosis BRENDA::P9WQ33 1 BRENDA MSAKKSTAQRLGRVLETVTRQSGRLPETPAYGSWLLGRVSESQRRRRVRIQVMLTALVVTANLLGIGVALLLVTIAIPEPSIVRDTPRWLTFGVVPGYVLLALALGSYALTRQTVQALRWAIEGRKPTREEERRTFLAPWRVAVGHLMFWGVGTALLTTLYGLINNAFIPRFLFAVSFCGVLVATATYLHTEFALRPFAAQALEAGPPPRRLAPGILGRTMVVWLLGSGVPVVGIALMAMFEMVLLNLTRMQFATGVLIISMVTLVFGFILMWILAWLTATPVRVVRAALRRVERGELRTNLVVFDGTELGELQRGFNAMVAGLRERERVRDLFGRHVGREVAAAA
VIMSS33144 1 489 0.151414110429448 PF00173.28:Cyt-b5:28:88,PF00487.24:FA_desaturase:172:403 PROBABLE CONSERVED MEMBRANE PROTEIN (NCBI) 489 293 18 446 2 Mycobacterium tuberculosis H37Rv VIMSS33144 1 MicrobesOnline MTNDLPDVRERDGGPRPAPPAGGPRLSDVWVYNGRAYDLSEWISKHPGGAFFIGRTKNRDITAIVKSYHRDPAIVERILQRRYALGRDATPRDIHPKHNAPAFLFKDDFNSWRDTPKYRFDDPNDLLHRVKARLAEPALAARIKRMDTLFNAIVAVLAVGYFAVQGVRLVEPSWMPLWAFVIAMVLLRSSLAGFGHYALHRAQRGLNRVFNNAFDLNYVALSLVTADGHTLLHHPYTQSEVDIKKNVFTMMMRLPWLYRVPVHTIHKFGHMLSGMAIRIVDVFRITRKVGVEESYGSWRAALPHFLGSAGVRLLLVSELVVFAIAGDFWPWALQFVATLWVSTFLVVASHEFEDDTQGGAVNGEDWGIDQLEHANDLTVIGNRYVDCFLSAGLSSHRVHHVLPFQRSGFANIVTEDVLREEAAKFGVEWLPAKGFITDRLPRLCRKYLLTPSRQAKERHWGFVREHCSPAALKASASYVVAGFVGIGSV
VIMSS33673 1 290 0.164166206896552 PF00561.20:Abhydrolase_1:63:146 adenylate cyclase (EC 4.6.1.1) 462 84 18 290 0 Mycobacterium tuberculosis BRENDA::O07732 1 BRENDA MAQAPHIHRTRYAKCGDMDIAYQVLGDGPTDLLVLPGPFVPIDSIDDEPSLYRFHRRLASFSRVIRLDHRGVGLSSRLAAITTLGPKFWAQDAIAVMDAVGCEQATIFAPSFHAMNGLVLAADYPERVRSLIVVNGSARPLWAPDYPVGAQVRRADPFLTVALEPDAVERGFDVLSIVAPTVAGDDVFRAWWDLAGNRAGPPSIARAVSKVIAEADVRDVLGHIEAPTLILHRVGSTYIPVGHGRYLAEHIAGSRLVELPGTDTLYWVGDTGPMLDEIEEFITGVRGGAD
VIMSS34106 1 537 0.0639208566108007 PF07690.16:MFS_1:14:403 Multidrug resistance protein Stp; Spectinomycin tetracycline efflux pump 537 390 18 224 14 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WG91 1 SwissProt MNRTQLLTLIATGLGLFMIFLDALIVNVALPDIQRSFAVGEDGLQWVVASYSLGMAVFIMSAATLADLDGRRRWYLIGVSLFTLGSIACGLAPSIAVLTTARGAQGLGAAAVSVTSLALVSAAFPEAKEKARAIGIWTAIASIGTTTGPTLGGLLVDQWGWRSIFYVNLPMGALVLFLTLCYVEESCNERARRFDLSGQLLFIVAVGALVYAVIEGPQIGWTSVQTIVMLWTAAVGCALFVWLERRSSNPMMDLTLFRDTSYALAIATICTVFFAVYGMLLLTTQFLQNVRGYTPSVTGLMILPFSAAVAIVSPLVGHLVGRIGARVPILAGLCMLMLGLLMLIFSEHRSSALVLVGLGLCGSGVALCLTPITTVAMTAVPAERAGMASGIMSAQRAIGSTIGFAVLGSVLAAWLSATLEPHLERAVPDPVQRHVLAEIIIDSANPRAHVGGIVPRRHIEHRDPVAIAEEDFIEGIRVALLVATATLAVVFLAGWRWFPRDVHTAGSDLSERLPTAMTVECAVSHMPGATWCRLWPA
VIMSS34710 1 289 0.0443069204152248 Doxorubicin resistance ABC transporter permease protein DrrB 289 0 18 151 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WG23 0 SwissProt MSGPAIDASPALTFNQSSASIQQRRLSTGRQMWVLYRRFAAPSLLNGEVLTTVGAPIIFMVGFYIPFAIPWNQFVGGASSGVASNLGQYITPLVTLQAVSFAAIGSGFRAATDSLLGVNRRFQSMPMAPLTPLLARVWVAVDRCFTGLVISLVCGYVIGFRFHRGALYIVGFCLLVIAIGAVLSFAADLVGTVTRNPDAMLPLLSLPILIFGLLSIGLMPLKLFPHWIHPFVRNQPISQFVAALRALAGDTTKTASQVSWPVMAPTLTWLFAFVVILALSSTIVLARRP
VIMSS34958 1 312 0.269490705128205 PF00665.26:rve:137:256,PF13276.6:HTH_21:59:112,PF13683.6:rve_3:229:295 PROBABLE TRANSPOSASE (NCBI) 312 213 18 312 0 Mycobacterium tuberculosis H37Rv VIMSS34958 1 MicrobesOnline LITRFIADHQGHREGPDGLRWGVESICTQLTELGVPIAPSTYYDHINREPSRRELRDGELKEHISRVHAANYGVYGARKVWLTLNREGIEVARCTVERLMTKLGLSGTTRGKARRTTIADPATARPADLVQRRFGPPAPNRLWVADLTYVSTWAGFAYVAFVTDAYARRILGWRVASTMATSMVLDAIEQAIWTRQQEGVLDLKDVIHHTDRGSQYTSIRFSERLAEAGIQPSVGAVGSSYDNALAETINGLYKTELIKPGKPWRSIEDVELATARWVDWFNHRRLYQYCGDVPPVELEAAYYAQRQRPAAG
VIMSS35055 1 177 0.823770621468926 PF13822.6:ACC_epsilon:112:162 hypothetical protein (NCBI) 177 51 18 177 0 Mycobacterium tuberculosis H37Rv VIMSS35055 1 MicrobesOnline MGTCPCESSERNEPVSRVSGTNEVSDGNETNNPAEVSDGNETNNPAEVSDGNETNNPAPVSRVSGTNEVSDGNETNNPAPVSRVSGTNEVSDGNETNNPAPVTEKPLHPHEPHIEILRGQPTDQELAALIAVLGSISGSTPPAQPEPTRWGLPVDQLRYPVFSWQRITLQEMTHMRR
VIMSS35179 95 188 0.165139361702128 HTH-type transcriptional repressor Rv3405c 188 0 18 94 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMC3 1 SwissProt DRHGRVLARALLDGYPVGQLQQRFPNVAELLDAVRPRYDSDLGARLAVAHALALQFGWRLFAPMLRSATGIDELTGDELRLSVNDAVARILEPH
VIMSS35641 1 183 0.518957923497268 ESX-1 secretion-associated protein EspH 183 0 18 183 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O69732 1 SwissProt MVDPPGNDDDHGDLDALDFSAAHTNEASPLDALDDYAPVQTDDAEGDLDALHALTERDEEPELELFTVTNPQGSVSVSTLMDGRIQHVELTDKATSMSEAQLADEIFVIADLARQKARASQYTFMVENIGELTDEDAEGSALLREFVGMTLNLPTPEEAAAAEAEVFATRYDVDYTSRYKADD
VIMSS366542 1 99 0.226637373737374 PF00589.22:Phage_integrase:6:90 regulator for fimA 198 85 18 99 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10312-MONOMER 1 ecocyc MSKRRYLTGKEVQAMMQAVCYGATGARDYCLILLAYRHGMRISELLDLHYQDLDLNEGRINIRRLKNGFSTVHPLRFDEREAVERWTQERANWKGADRT
VIMSS43989 1 475 0.182072631578947 PF05833.11:FbpA:20:143,PF05833.11:FbpA:163:335,PF05670.13:NFACT-R_1:356:445 fibronectin/fibrinogen-binding protein, putative (NCBI ptt file) 475 387 18 475 0 Borrelia burgdorferi B31 VIMSS43989 1 MicrobesOnline MIKMSLNYTEINTLIKEIPFTNSLITKIIQPDYKSLVLEIYNKIDNKKFKILICLNPNTTRFHITKKNFKKNALKLRFSDFLKSKIQNGKIIKAFQMKNERIISLEILQKDMIILFIKLWPSSPNIIATNSNFKILDAYYRRPKIKETTGEIFLKAKEIHESNKMSDKKIMELKEEYNNTSYTSYSEFLENYYESLNDQIKKTNIKELLIEKYKKELIVLEKRIDSLKQQIKLLENIENEKEKGELILLNINKIQKGIKEINLLNYKEEKIKISLNQSLSPKENALQYFKAYKKGKNSFKTIQNQLKDNLDKFNLIQSKITMLKVENLIPEEEYNQEKTAIKEKEKTPKIGLHFTYCGFEILIGRNAKENDKLLRHCVKGNDYWLHTRDYPGAYVFIKNQKNKTPSLDVLLGAGNLCVFYTKLAKKSGKADLYYTQVKNLRRVKNKKLGLVIPKAEKNLHIKLDENLIKKIKNQT
VIMSS44842 1 282 0.126940780141844 antigen, S2 (NCBI ptt file) 282 0 18 282 0 Borrelia burgdorferi B31 VIMSS44842 1 MicrobesOnline MKRVIVSFVVLILGCNLDDNSKMERKGSNKLIRESGSDRRGQENRALGAMNFGLFSGDSGVVYDLQNYETLKALENKNKFIDYSKIEFLEGTKSINAFIWAVSVRWIKIKARDLFGECGDFIKELKGIKYSYLVSPVDGSYISYAMPIIVFETTRESDPLYSVSGFKLISKGNDINFNENKSGFWGRLPMSEKSVESGLVTAYPFGSSDAKKVIEAFASLYNNGTWSDMIAEITIKSKQYPKNEKVYRITLDSQLFNVAMKKIIEKYPKIKSASFAFNSLIN
VIMSS47118 1 106 0.544155660377358 invasion antigen CiaC 106 0 18 106 0 Campylobacter jejuni subsp. jejuni IA3902 WP_002853224.1 1 RefSeq MVVDNTQKTSNAIFSTTTKVKEKNTSADEFQATLNEVKNKEEKEDKKTNSSKFTNEDIDLGAVREDFRSYAWQKMREDQYKKNEETLLNKLFTTIDAGNATNNTKA
VIMSS53537 1 271 0.0663571955719557 PF13727.6:CoA_binding_3:63:239 capsular polysaccharide biosynthesis glycosyltransferase, putative (NCBI ptt file) 465 177 18 186 4 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53537 1 MicrobesOnline MMKEKSRIRITNYHGKFFYRLIDSIFILVIMYISIKSNQHVVTMSYISVALLGVLFYSYIAESLDIYSGWRTAKLRSLSLHTAFCWAATIASLTLLAYFSKTGIEFSRMVMGAWFVGSFIGLIGWRVLAFATIHYMHKKGLHTKNAVIIGMTTQGQELSNNLLKNPELGIVMQGFYDDRAPERLKEGAPVLGNINDALSLAKTGQVQNVYIALPMQAQRRINQILDAFSDSTVNTYIVPDFFTFNLLHSRWYTIGDVNAFSIFDTPFNGLL
VIMSS55466 1 145 0.181138620689655 PF04965.14:GPW_gp25:38:124 hypothetical protein 145 87 18 145 0 Vibrio cholerae O1 biovar El Tor str. N16961 NP_232510.1 1 RefSeq MTYIAPEESAFGVGFFERLEANAKPMSLTRGPDAWDVLESIKRNVSNILNTRIGGAQSAPHLGLVDFNDATLETLDLSVRIKLAIQQCLERYEPRLTRVLVRADQDSFNPLTLRFHITATINSEALHEKVQFSLLLDQSRKYRVF
VIMSS56532 1 344 0.274364534883721 PF06812.12:ImpA_N:8:131 hypothetical protein (NCBI) 344 124 18 344 0 Pseudomonas aeruginosa PAO1 VIMSS56532 1 MicrobesOnline MLDVPVLLAAVSPDSPCGDDLEYDAAFLELERIAQGQPERQMGDAVLPAEPPEWPRVRALASELFGRSKDLRVANLLLQSNVALDGLDGLADGLLLVRELLGQYWDGVYPLLDADDDNDPTFRINALTGLVAEPLLQLVWAIPLVRSRAFGPVNLRAALNAAGLQRFASETLSPEQIAGAFADADADALAATRRALDGAQEHALAIESGVAERVGSAQGLDLGPLRQLLRQALQVFDLYGPQGAGESLAPGAEAVADEQVGAAPVAAVAAPAPRASGEIANREDVLRQLDRLLEYYVRHEPSSPVPVLLKRAKTLVTADFAEIVRNLIPDGISQFETLRGPESE
VIMSS56687 1 259 0.234850193050193 PF01614.18:IclR:124:250,PF09339.10:HTH_IclR:6:56,PF12802.7:MarR_2:12:55,PF13412.6:HTH_24:11:53,PF13463.6:HTH_27:12:63 probable transcriptional regulator (NCBI) 259 185 18 259 0 Pseudomonas aeruginosa PAO1 VIMSS56687 1 MicrobesOnline MAGSLIERALGLLELLASDARGLPLQQLADRLDIPKSAAHRMLAELIRLGYVRQDDDTSRYRLSTRLAALGFRFLASSGVVDLVQPVLDGLARETGELVRLGVIEGDRQTWIAKSQGATSGLRYDPDMGREAPLFYTASGHAWLASLSDKAALALVERQGVGAARDFGPNAPRSRSELLRYLKRAREHGYAWQIECSAPGMAAMAALVRHPEDGRALGVLSVAGPSARFGEARMHELAPLLLAAAQDLSHASQASELFR
VIMSS5752727 1 65 0.0844584615384615 PF17073.5:SafA:1:64 two-component system connector SafA 65 64 18 45 1 Escherichia coli K-12 substr. MG1655 ecocyc::MONOMER0-2841 0 ecocyc MHATTVKNKITQRDNYKEIMSAIVVVLLLTLTLIAIFSAIDQLSISEMGRIARDLTHFIINSLQG
VIMSS59779 1 442 0.34965294117647 PF00668.20:Condensation:19:145 hypothetical protein 442 127 18 442 0 Pseudomonas aeruginosa PAO1 NP_252019.1 1 RefSeq MMAEIRRPLSAVERWYWLSDQFSALNVISRVRVHGRLSIDDLRRGLDALQARHPLLRARIEHDAGLDPRWVPCERPIPLREVRGGGEEQWLREINERELPERIDPDSGPLIRTVAIATDAGAHDLLVVVPHIIADGTTVLTLAEQWLTLAADPAAQPWTASALPPAEDLRPRRFTGDEGAARLAEQTAQDEALVGRHRPGRIEPSNPVPLEARRTRLLHRELDGAQLEQLQRRAREHGTTVHGALTAALAIAAGHDHQRRPSHIAIGSPIDFRDELEPPVRPDEVGTYVATVPVVLDIARPFWEVARALTDDLGERRRQGHHFNLVTLVASAAPRCMADARPFMAFMEAEGPINLCSSNIGRYPFPERIGALRLSDAQFLTGISVNGYFVAAINSSHGRLFWNFTYIDEAVPGERAERLAEDCLGTLLSAIHAPQRSALEEQ
VIMSS60921 1 131 0.218302290076336 hypothetical protein (NCBI) 131 0 18 131 0 Pseudomonas aeruginosa PAO1 VIMSS60921 1 MicrobesOnline MSFEAHDPQALDHWYWLGTQIRCALDPDEPRLLDQYLGEARYLAGWEGVAAWPLFEKAYNLLLDTGRDPILPWHWRSLCLDYAYRPLCELQRLTTNGSQRRRLRQLSVRMARQDLAPSMSFDHPQQGNQDD
VIMSS61934 1 496 0.501231653225806 diguanylate cyclase (EC 2.7.7.65) 671 0 18 496 0 Pseudomonas aeruginosa BRENDA::S0JAX7 1 BRENDA MSRDDVQRWKDKYLENIEQQERLQRRWDARIDLLRRGLVRSSLAAEGSDKAVDQCMKELREILRRDDMDAGLSGLIPRLEKAVLDSEQRRQQRTQQNIDALGELAQQLLALDLPRELRKPLKQFARDIEERARQSREIPILLSELSRLQRQALAERKGGDAEDGRPSLLQRLFGGKESETTAEPSASVPSVVAASNTPIQPAAAAPSLPVAEHDEAPGGPPQPLPARTVAAIESAPAGWVGVAERGEPNQILLDEPREIWLDSLPLPAGLSFSETLEEAGAEPSPAMPADVESAPEAPATPVDNLDGQAVDEAYELPPPIPEPGYSAVAPHIEASLLRLLDGLSLPSSHQPQAEALRERIDGSLNWYELVPVLDDLAVLVLSLADSGQRDFEEYLRQLNERLESFLGHLGDAHAGYTDVLDNARGFDQSLREQVSGLQASVQQATDLNSLKLAVDSRLNGLLASMDEHQREQAEHEQEVSGRLQALMERVNSMEQD
VIMSS6575551 1 868 0.628627995391705 PF00611.23:FCH:33:104 extended Fer/CIP4 (EFC) doamin protein Cdc15 927 72 18 868 0 Schizosaccharomyces pombe CharProtDB::CH_125561 1 CharProtDB MEVNGVSQSEAAPYVTKSSVKFRDNFWGSEDAGMDALMSRTKSSLSVLESIDEFYAKRASIEREYASKLQELAASSADIPEVGSTLNNILSMRTETGSMAKAHEEVSQQINTELRNKIREYIDQTEQQKVVAANAIEELYQKKTALEIDLSEKKDAYEYSCNKLNSYMRQTKKMTGRELDKYNLKIRQAALAVKKMDAEYRETNELLLTVTREWIDRWTEVCDAFQHIEEYRLEFLKTNMWAYANIISTACVKDDESCEKIRLTLENTNIDEDITQMIQNEGTGTTIPPLPEFNDYFKENGLNYDIDQLISKAPSYPYSSSRPSASASLASSPTRSAFRPKTSETVSSEVVSSPPTSPLHSPVKPVSNEQVEQVTEVELSIPVPSIQEAESQKPVLTGSSMRRPSVTSPTFEVAARPLTSMDVRSSHNAETEVQAIPAATDISPEVKEGKNSENAITKDNDDIILSSQLQPTATGSRSSRLSFSRHGHGSQTSLGSIKRKSIMERMGRPTSPFMGSSFSNMGSRSTSPTKEGFASNQHATGASVQSDELEDIDPRANVVLNVGPNMLSVGEAPVESTSKEEDKDVPDPIANAMAELSSSMRRRQSTSVDDEAPVSLSKTSSSTRLNGLGYHSRNTSIASDIDGVPKKSTLGAPPAAHTSAQMQRMSNSFASQTKQVFGEQRTENSARESLRHSRSNMSRSPSPMLSRRSSTLRPSFERSASSLSVRQSDVVSPAPSTRARGQSVSGQQRPSSSMSLYGEYNKSQPQLSMQRSVSPNPLGPNRRSSSVLQSQKSTSSNTSNRNNGGYSGSRPSSEMGHRYGSMSGRSMRQVSQRSTSRARSPEPTNRNSVQSKNVDPRATFTAEGEPIL
VIMSS6578016 1 1040 0.0563279807692308 PF03178.15:CPSF_A:712:1000,PF10433.9:MMS1_N:73:512 Chromatin modification-related protein rik1; Silencing protein rik1 1040 729 18 1040 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10426 1 SwissProt MALCVHSFWATAVDTATSCHFISSENCLVLLQALKINIYLCSEVHGLQFFTSIPLFSTVKHIRPYRPPGLDRDYLFVVLNDDTYFSIYWDEDYQKVIVDHPPVRYRVTFPWNRNAKSYCLVDLRMRAIFLSIDEISMICIRILSAEERLKTGRSIDSGFPFSFPVHLIYDMCILNDSSTPTLVVLHSDGLDCYVTAFLLDLSSKSLGKGIRLFERVKPSMIMPFGKRGLLVFESLFIHCMYRGNFVTINGPCTTYMHWTPLKGQKMHYIVCDTNGYLFGVYSSILGKNKWSLVMERLPIPPFDFITSLNSIHEGLLFIGSKNSESKLINLSTLKDVDSIPNLGPIHDLLVLKNDIEKSFLVCAGTPRNASLIYFQHALKLDILGQTKISGILRAMVLPSYPEHKLFLGFPSETVAFNIKEDFQLELDPSLSTKERTIALSGTNGEFVQVTSTFLCIYDSAKRSRLVYIEKITNAACYQEYSAIVINGTALAIFKKDTEVARKVFESEISCLDFSAQFQIGVGFWSKQVMILTFSDNSSISCAFQTNVPSLPRNIILEGVGVDRNLLLVSSGSGEFKSYVLFKNNLVFSETKHFGTTPVSFRRFTMNIGTYIICNNDCPHMVYGFNGALCYMPLSMPQSYDVCQFRDNSGKDFLISVSLGGLKFLQLNPLPELTPRKVLLEHVPLQAIIFQNKLLLRTLENRYEDYESYKENYHLELVDSYDDNSFRVFSFTENERCEKVLKINESSLLVGTSIIEQDKLVPVNGRLILLEFEKELQSLKVVSSMVLSAAVIDLGVYNDRYIVAFGQQVAIVKLTEERLMIDSRISLGSIVLQLIVEGNEIAIADSIGRFTIMYFDGQKFIVVARYLFGENIVKAALYEGTVYIIATNSGLLKLLRYNKDAKNFNDRFICESVYHLHDKVSKFQNFPITNTNSFLEPKMLFATEIGAIGSIVSLKDKELELEELTRKIRKLKFSYLSSMDYESIEADLISPVPFIDGDLVIDVKRWASSELFRLCRSVEHRESLNSYQKVQALLEEIQSLC
VIMSS6578810 1 971 0.186653553038105 PF04821.14:TIMELESS:25:278,PF05029.13:TIMELESS_C:596:816 Mating-type switching protein swi1; Replication fork protection complex subunit swi1 971 475 18 971 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9UUM2 1 SwissProt MELDEVIQGIVSAIGGFDYSDDEKVYVLGDEALACLKDLKRYLQVVDEKYKVWQIRSLLSSLQLVTNDICPILSDWDKDITNYRNWRIALACVELLVPLTWPLETEHETFRENVDVLYNLRQAQSNYKNSILSYKKGSVLSAILAVLLKPLSTPAESRTLRDKGIIRIVLLLFRNILQIDELKTKNETIISFAKAHILDLIVTLVSNLDEFEHFDVYILEIVYNLIRGCKPSALFSDASLTNSQTELNSLLLKESTQNRYLKRNAHTRHNRFGTMLSVQTEDRRFTIASQNIKTDGLDELDSHKRFRKRGTRRKHFDDINKSFFINTEAGTALRNFAVEFLEAGFNPLFQSLLKDLEREDPRVLPIHKMQLLYVQSFFLEFMRFSSKPKKTEEIYSNDYSFGLAASVFDQRALIMHNRLMVESFEMKQWSTFQASMLSMTQLLFTLRSMTLCSSEIYQRIADNLLSNIFYQEEILLLVYSALKHFKTQSFGYLDAITELTIVLLKELEKFSSAKQYLYVKKRRRNQKSVDSNVLESDEDEESSLINANAAVEDRLFDFGRYESRYCDNGCIDSFVLFLQCYQDLDSKQIHRAISFFYRIFVKQKCHVYLYRLDFLRVLDKMFNDHVYFSTTNSARQDFEQFFVYYMRKLSDALKDVPALFIELPFPKLTDTFYYLEYGKSPLFSIHGSRKGPLYETVPGLSHLEKVAAVVACLINENKSDLLDELKVQLNCLISERKLITLADENKYINEGGNDGERMGKNLKGDTDSFNTALLKDGKFRLLLELCGFEESDNNIDVQALWKLPNSVIIDELVEHAMLLRRFTDDPPTFEGTKPEDLLVRKQRGNVRLPSSSEGETSDEEIEFEADDPITFANRREALNKITDRKRKKMKTNETIIDHTTRKKKENHLRSAKYIVDSDDDSETDIAFFQSEAALREKNAQKASALFKRIDDLEMEGKLQEIEQLSENSSSD
VIMSS6581110 1 175 0.262744 PF04628.13:Sedlin_N:7:171,PF04099.12:Sybindin:100:170 Trafficking protein particle complex subunit 20; TRAPP subunit 20; Transport protein particle 20 kDa subunit 175 165 18 175 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38334 1 SwissProt MPQYFAIIGKKDNPVYEIEFTNAENPQGFPQDLKELNPFILHASLDIVEDLQWQINPTSQLNGNGGNGSNGGGGFLRSRAVNNTDNCYLGKVDHFYGLAITAYISYSGMKFVMIHGNSANSSVVIDDNNMRSFYQEVHELYVKTLMNPFYKITDPIRSPAFDSRVRTLARKHLSK
VIMSS6581242 1 290 0.450650344827586 PF10180.9:DUF2373:102:196 Uncharacterized protein YCR016W 290 95 18 290 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25617 1 SwissProt MSENHVPAWKRIALKRQTISSGDESKEKGQSNLIDDDPLNITTHLSTGNLTKKEKKRIINGESKSSTKKGKRVSKPGTKKKEKLSKDEKNSKKNKILKDQLRYLIEFFRTKSESKFPTGILELESVKENYGDSLIKDEPSESGVVEVWKFSKQKQNWLIKHFFNLDEIPSVYNDLLLLYFRDLQGKSKEELISKCKGKLKQWNDYVEDQETKIKALIAEDKASEPINGEEKEEGEKDGNAEQGKQKEVQDEQEEVQMPNKELVQRSLKLLEIWKNDDSEQIELKNFFVDV
VIMSS6581361 1 317 0.36668832807571 PF01284.23:MARVEL:9:151 Uncharacterized membrane protein YDL218W 317 143 18 225 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07629 1 SwissProt MKRVTGVFLTLLRFSQFASSVLVMSLLAYAIHAYGNRGNKKTNFTLATGVISVFYLIALGILCLALPTLIYIGMYFCAELIVCMLWLAAFVVLAKAQGERSCSNTNADGLYYNPYSGQYTADSHRRACNSSQAAIAFSGLCFVLFLISVILLGINVLTPIRKRYQTQGMWRSGASMGTKLHRWSGLALSEPFEETAAYDNTNVRTGDVEAGAGDNAAYTSEPNGDARYATNDPNGQYHTTTTNTRYTTTTADPKTRYTTNDRNPGSANVANSAVDQHAYSTDESGDRSYQEKVTEGAHSGAMSGSTAEPNRNVNQMP
VIMSS6581457 1 307 0.144163843648208 PF00153.27:Mito_carr:11:90,PF00153.27:Mito_carr:115:200,PF00153.27:Mito_carr:223:306 Mitochondrial glycine transporter; Heme biosynthesis protein of SLC25 family; Solute carrier family 25 member 38 homolog 307 250 18 307 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07534 1 SwissProt MTEQATKPRNSSHLIGGFFGGLTSAVALQPLDLLKTRIQQDKKATLWKNLKEIDSPLQLWRGTLPSALRTSIGSALYLSCLNLMRSSLAKRRNAVPSLTNDSNIVYNKSSSLPRLTMYENLLTGAFARGLVGYITMPITVIKVRYESTLYNYSSLKEAITHIYTKEGLFGFFRGFGATCLRDAPYAGLYVLLYEKSKQLLPMVLPSRFIHYNPEGGFTTYTSTTVNTTSAVLSASLATTVTAPFDTIKTRMQLEPSKFTNSFNTFTSIVKNENVLKLFSGLSMRLARKAFSAGIAWGIYEELVKRFM
VIMSS6581651 133 338 0.528805339805825 Cell wall protein SED1; Glycoprotein GP260 338 0 18 206 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01589 1 SwissProt LPTNGTTSAFPPTTSLPPSNTTTTPPYNPSTDYTTDYTVVTEYTTYCPEPTTFTTNGKTYTVTEPTTLTITDCPCTIEKPTTTSTTEYTVVTEYTTYCPEPTTFTTNGKTYTVTEPTTLTITDCPCTIEKSEAPESSVPVTESKGTTTKETGVTTKQTTANPSLTVSTVVPVSSSASSHSVVINSNGANVVVPGALGLAGVAMLFL
VIMSS6581657 1 310 0.187057741935484 PF10451.9:Stn1:11:260 Protein STN1 494 250 18 310 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38960 1 SwissProt MDKYGHIAHQEGDVCYYIPRLFKYNSYYSGTEDVRIFVGDLKYRMRVSLQICEKYYDRRLSMLFWKNHPLQQIHLIGCIIGLQFKWIGKQEYIFFQLDDCTSDSSLVGYTSDMRFLTCKVKKDSILSWGLNITDLIGLTLHVYGQASLNYQELQVEYLRLCYSLTEEIDHWKITMNMREQLDTPWSLSDFVIGELFTQEQEWTPETSQIEVVNPDFVGIGYKTPESKRNETTFIEQLQEERLKDELEIISPYNSTDTSNSVHSLSFRFVSSLKDFPETHFLNSGDQIDNGNDEQLKKLEYQSANLPVMIP
VIMSS6582008 104 355 0.483235714285715 AP-1-like transcription factor YAP2; Cadmium resistance protein 1; Transcription factor CAD1 409 0 18 252 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P24813 1 SwissProt TKYRAKNSDDERILAFLDDLQEQQKRENEKGTSTAVSKAAKELPSPNSDENMTVNTSIEVQPHTQENEKVMWNIGSWNAPSLTNSWDSPPGNRTGAVTIGDESINGSEMPDFSLDLVSNDRQTGLEALDYDIHNYFPQHSERLTAEKIDTSACQCEIDQKYLPYETEDDTLFPSVLPLAVGSQCNNICNRKCIGTKPCSNKEIKCDLITSHLLNQKSLASVLPVAASHTKTIRTQSEAIEHISSAISNGKAS
VIMSS6582112 1 385 0.287022597402598 PF17097.5:Kre28:1:384 Spindle pole body component KRE28 385 384 18 385 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04431 1 SwissProt MDTGSASIKDYETVLTDIEDSIAVSSEEVLNNQELRLKNTLHEITSSILAINEENKFVNPLRNDESLDVEGKEVFVNPKILSAKIKEFNKLMELLKLTYLEQETLDYFFRFTLSSTKPLQLDSEKDPQFVKLNERVNDLKEEISNVQESKIEQIKAEIQETGHNFAERQDLINELYLEATGDIENCWDSLNELKNLTNKEDKNMMGEKDTILNSSDSDDFVEETYTNWQKLLFLQKQNQRLTKELKEMHEVKNQIIRKGEQSKKEDSGHLMANESELCQSINLLTKFWEKHFLLKGSKTTILNFEIFTQLGKVQFEIKDMQYIIAISLSDLKRPMIKDITILQKAGGNIVTDIEASSKFNNKYRNNTKVQIFEVMDDIISELTNE
VIMSS6582266 1 300 0.0742676666666666 PF00153.27:Mito_carr:19:102,PF00153.27:Mito_carr:109:194,PF00153.27:Mito_carr:213:293 Mitochondrial phosphate carrier protein 2; Phosphate transport protein 2; PTP 2; Pi carrier isoform 2; mPic 2 300 251 18 277 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40035 1 SwissProt MESNKQPRKIQLYTKEFYATCTLGGIIACGPTHSSITPLDLVKCRLQVNPKLYTSNLQGFRKIIANEGWKKVYTGFGATFVGYSLQGAGKYGGYEYFKHLYSSWLSPGVTVYLMASATAEFLADIMLCPFEAIKVKQQTTMPPFCNNVVDGWKKMYAESGGMKAFYKGIVPLWCRQIPYTMCKFTSFEKIVQKIYSVLPKKKEEMNALQQISVSFVGGYLAGILCAAVSHPADVMVSKINSERKANESMSVASKRIYQKIGFTGLWNGLMVRIVMIGTLTSFQWLIYDSFKAYVGLPTTG
VIMSS6582341 115 244 0.601396923076923 Vesicle-associated membrane protein-associated protein SCS2; VAMP-associated protein SCS2; Choline sensitivity suppressor protein 2; VAP homolog 1 244 0 18 110 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40075 1 SwissProt QAISKKIKVKYLISPDVHPAQNQNIQENKETVEPVVQDSEPKEVPAVVNEKEVPAEPETQPPVQVKKEEVPPVVQKTVPHENEKQTSNSTPAPQNQIKEAATVPAENESSSMGIFILVALLILVLGWFYR
VIMSS6582516 1 1109 0.763713796212803 Uncharacterized protein YFR016C 1233 0 18 1109 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43597 1 SwissProt MVESLTVENQEHNVQPPSVTSAGDSYSTLATDLPLPSTNDIIESRDQLTESDLDEAINATENFAQELSSQRKSSKLKGHKKKNQGQIKANRDRDTIVKLSSSVGETEEASTRDAISHDLERKDDVIEIATDTINDATESPTQIPIDVNVVIKETSTNNVAEGTENVPPIKESTGIEVGNSPITRRKKNKKKKTTNRRGRNSSNPADTTDLSKQSTLDSILVGIEEYLQEDGSKNEDIKVNIVQDEPVNVEKMDIRTRNESSDKTFDIDVPNKDNVDETSSKSENNINEEKAEHTLPREENEILNVNEGNAASFKHQLEPHGLEAGDENGQASTKDVESESLTKNGFNFKENESKHLKAGEKQQTESDRDGISPSVLAKNQKETEIGKEDHVFEQKDKEDEKCRKELSVNHENNMSHNFNAAGSDSIIPPETERETYDDETMGPTKRISDNEKNLQHGTNDISVEVEKEEEEEEEEEENSTFSKVKKENVTGEQEAVRNNEVSGTEEESTSKGEEIMGGDEKQSEAGEKSSIIEIEGSANSAKISKDNLVLEDEAEAPTQENKPTEVVGEIDIPDAPRDDVEIVEAVEKNIIPEDLEVAKEDQEGEQVKLDEPVKAMKDDKIAMRGAESISEDMKKKQEGTAELSNEKAKKEVDETARESAEGVEVEKSKTPESPKVVKRCTSGRPEDLQINERDPEVLKEDVRVPDEDVKPEIATTIENSEEEDPKSQRVQISTEQAETTQKDMGDVGSTTSFKEEEKPKRFEITQEGDKITGKDTNHEHGEATEAASENSKASDVGTAEKYIEPSSESVKKDTEEDAEVENSEKTEFIKVKAELENLDAPKEAEVTAELNKENEDVEVDTEEDAEVENSEKTEFIKVKAELGNLDAPKEAEVTAELNKENEDVEVAATSKEDIETKCSEPAETPIEDGTCTEAEVSKKDAEAVTKEDENMENSKIAEALKDVTGDQEIDDINISDEFQRTVELPELEKQDIKDNKGEDKELEVEETEKETSLPDLVVEENITEEKNEIKQEEEEVSQLDFNETESISKEAPNNDENGFEDQSTRENPKKASADDIFKDILDETNEFLEQLKIVDDSELNALLQSLDAK
VIMSS6582663 1 299 0.34816856187291 Sterol uptake protein 1 299 0 18 299 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53032 1 SwissProt MSTSITVRNRDRSLPPLLLPNVSLLEKDIRRKGTQNVGITDPELLSTTWTRKRAFPTDELLGGYKRLKPAAADSNECAIGIATVTPPPTLPVSAIVPPPQNYTPPLFEYHPHALASMVNEDANASCTQMSIISRSTSNSTTSSATSTSSISKRQRSGPSCDKCRLKKIKCNAKIEILLQDDTIMPMISNKLRYVLTPDDIRLYRGTLLRNIAIPDDVIEGTGSRKLIKHIDKLVLLTPCLPCIKKKHSSSSTNFPKNDKCTFSKGFTRADINISSKISLKFKDKTIYDITYDDYKSIDF
VIMSS6582925 1 346 0.0843442196531791 PF01694.22:Rhomboid:185:330 Rhomboid protein 1, mitochondrial; Mitochondrial distribution and morphology protein 37; Processing of cytochrome c peroxidase protein 1; EC 3.4.21.105 346 146 18 216 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53259 1 SwissProt MSGVSSVMLGLRPATRIFFRSNISVSPSRTFVSYIGRSQSTSILKNAPNLEDNVTNLQKIIPKRFFSQTSILKSRWKPIFNEETTNRYVRLNRFQQYQQQRSGGNPLGSMTILGLSLMAGIYFGSPYLFEHVPPFTYFKTHPKNLVYALLGINVAVFGLWQLPKCWRFLQKYMLLQKDYVTSKISIIGSAFSHQEFWHLGMNMLALWSFGTSLATMLGASNFFSLYMNSAIAGSLFSLWYPKLARLAIVGPSLGASGALFGVLGCFSYLFPHAKILLFVFPVPGGAWVAFLASVAWNAAGCALRWGSFDYAAHLGGSMMGVLYGWYISKAVEKQRQRRLQAAGRWF
VIMSS6583099 112 640 0.52780775047259 DNA repair protein RAD2; EC 3.1.-.- 1031 0 18 529 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P07276 1 SwissProt LQLQNGSNDNVKNSTPSSGSSVQIFKPQDEWDLPDIPGFKYDKEDARVNSNKTFEKLMNSINGDGLEDIDLDTINPASAEFEELPKATQYLILSSLRLKSRLRMGYSKEQLETIFPNSMDFSRFQIDMVKRRNFFTQKLINTTGFQDGGASKLNEEVINRISGQKSKEYKLTKTNNGWILGLGANDGSDAQKAIVIDDKDAGALVKQLDSNAEDGDVLRWDDLEDNSLKIVRHESSNATTAPQKRSNRSEDEGCDSDECEWEEVELKPKNVKFVEDFSLKAARLPYMGQSLNNAGSKSFLDKRHDQASPSKTTPTMRISRISVEDDDEDYLKQIEEIEMMEAVQLSKMEKKPEADDKSKIAKPVTSKGTEARPPIVQYGLLGAQPDSKQPYHVTNLNSKSESVIKRTSKTVLSEFRPPSQQEDKGAILTEGEQNLNFISHKIPQFDFNNENSLLFQKNTESNVSQEATKEKSPIPEMPSWFSSTASQQLYNPYNTTNFVEDKNVRNEQESGAETTNKGSSYELLTGLNA
VIMSS6583100 1 534 0.128007303370787 PF07690.16:MFS_1:98:459 high-affinity nicotinic acid transporter 534 362 18 278 12 Saccharomyces cerevisiae CharProtDB::CH_091395 1 CharProtDB MSNKFTMESPKHLVDDVLFISPTNDGSEEKPTEVTFQEDEGHDASLHNRSHDKKSELATEREIMATTTDDDGIPSPSHPMEKRVLRKMDIYLIPLMGMLYFLSNLDKSNIGNAEVAGLSKDIHLVGTQYNTCVTVFFATYVLFDPIGTNLLKIMGPPLMMSICLTCFGAISLGTAWVKNYAQLIVVRLLLGAFEGMIYPAINMYLSVCYRREQYALRFAFVFSAACLSSSFGGLIAYGCSKISGSLKDWQYIYIVEGCISLGFVPFYAFGLSKNLEDSWFFNKEEKEYISERYKTMNTFDPDEKFEWFQVWQAVKDVKTWASAVALFGIDLTTFGLTVFLPIIITSMGFTNVRAQLMTVPIYFLTAIVFFICAVWSDRIKLRSPFILGACLTTSIGIAIVLGSQVHGVRYFGVYILCMGIYVNAACNCLWLSGNTGNYFKRATALGINLFFGSGSGLVSGQIFVAKDKPRYIKGLSISLAFQVFSIFMTVVQIFLYKRENDKKKAIIDRCNELGEPIPYDERLSDKNPEFKYMY
VIMSS6583151 1 679 0.243537407952872 Oxidant-induced cell-cycle arrest protein 5 679 0 18 679 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38738 1 SwissProt MHDKKSPMANSHYLKNLKQQFRNKNLIETTIHLVKCNDHDSLAFLARTYGVPPQLRHVVWPILLKYHPMCISPNITSNTISWDPITNDFILNDPFLKSKAPTDKQDKSDDENILPYDIESIILHDLKKYFHSRSNPAGSSSNANTTNIATPTPVSSSDASTISSMEVLSPSLDYEFQIIETLKNAIVKFLLKWSKIFKYESGLAWIALGLAEWYPIYPYETMSPFNETHSFYEVEDYVVLSGRKHALLSTNNGNNGNSNSSSNNTNNNNTNITSGMHNLSINTNTSLHNSPYISHTLSYLYKEYPLPFELRSKLPTKPIFSFSALFERLALVILHCPDTILAHKQLKNDSNASSSSKANSNFNTNYFPIISGGDLSFQTQVFFKVFSSILPELYQPLTEESSLQPSSSRNSWIYWWLKCSGAKALQRQDRGRVWDLLLGWRPKPNMDTINFFLNYNDKKMDHLYHDTPQCDNEQYWMKDWIALYNNDPFWFPDLDSMALGSKKFPYDYSVFKELILRNRYGGTQSKAQKDNTVPSPGSDSNDKSELKLPFSSIDPHMQLIFIFIAILQFNEFKLLEFEEAEISEFLNNVPLLTKFDDSSYRKLYENTESSITSLPSSPTTSTMASLQSSSNSSAHISNYHMLIEVGNDAKASHCFDDLLNMAGDIWRKWLWRELEESSL
VIMSS6583263 1 280 0.147731428571429 Hydroxyacyl-thioester dehydratase type 2, mitochondrial; 3-hydroxyacyl-[acyl-carrier-protein] dehydratase; EC 4.2.1.- 280 0 18 280 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38790 1 SwissProt MKSKTWIFRDVLSSHRTKAFDSLLCRRLPVSKATKHLQLGEHFLFFPPSFEKLDRDGYFNYQNPASLLGNPDLRYRRRIWGQGELVQYLPVTLDQEYTCHESIKYVKKIRDEHVVCIERTLLQERPENVSSPMDICLFERRVLMYTNSPANKTAVKMPVGEENYKILKNFTVTDMDIVAYGQMSLNPHRIHWDKEYSRYVEGYDDIIMQGPFSVQLLQKCIQPFLEQPIRQLRYRNLNYIYPNTTLSICQSLSSSSGMYTFQIRDLQKANLVYMKADVFC
VIMSS6583410 1 526 0.281310266159696 PF00856.28:SET:124:402 Putative protein lysine methyltransferase SET5; SET domain-containing protein 5; EC 2.1.1.- 526 279 18 526 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38890 1 SwissProt MTLTIKIGTLNDSDQSAVHNGTENGSDFRKITPTEEEICDDVVLLWKEEPGTEDATIQHLYDRITERNQSWKLSASRFRKILNEHHLYDTDLETVSLYKDKIHFPKALDSDAKVEVKFIDDEHGRGLFAKRDFSKGQIILKENKPIVYIPPLDKLFLISNGKACARCGKALYDLTQHKIMVHYLDCEVCKAIWCSEKCKKAHASLHELLYHSWRSNRIDILHAGNWKRFVNYCEKYCFTAAFSVGLIYGSMLLDTTGEVKEQWQKLASISQRERIKLRDASGIGSTFSLLNGTTVHTEEESDNGTKKGVEKNIDDETVWEKCYELFCGAFPKASEEIDFEKFLTMIGTFNINQYNGQVYHWISFINHDCEPNAYIEQVEEHEELRLHARKPIKKGEQIRITYVNPLHGVRLRRRELRVNWGFLCQCDRCQNELSTFERVPNLEKKNADANLGVEKIDSNDSSEDGSKKSTGNRKSSMREAQPDLKEILKNGKEFELDIPETVDTQGNVRKTSVRFDSNVSVAVDER
VIMSS6583476 110 705 0.191917281879195 PF04082.18:Fungal_trans:142:395 Activator of stress genes 1 964 254 18 596 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40467 1 SwissProt SNNPSKPRKYKTKSTRLQSKIDRYKQIFDEVFPQLPDIDNLDIPVFLQIFHNFKRDSQSFLDDTVKEYTLIVNDSSSPIQPVLSSNSKNSTPDEFLPNMKSDSNSASSNREQDSVDTYSNIPVGREIKIILPPKAIALQFVKSTWEHCCVLLRFYHRPSFIRQLDELYETDPNNYTSKQMQFLPLCYAAIAVGALFSKSIVSNDSSREKFLQDEGYKYFIAARKLIDITNARDLNSIQAILMLIIFLQCSARLSTCYTYIGVAMRSALRAGFHRKLSPNSGFSPIEIEMRKRLFYTIYKLDVYINAMLGLPRSISPDDFDQTLPLDLSDENITEVAYLPENQHSVLSSTGISNEHTKLFLILNEIISELYPIKKTSNIISHETVTSLELKLRNWLDSLPKELIPNAENIDPEYERANRLLHLSFLHVQIILYRPFIHYLSRNMNAENVDPLCYRRARNSIAVARTVIKLAKEMVSNNLLTGSYWYACYTIFYSVAGLLFYIHEAQLPDKDSAREYYDILKDAETGRSVLIQLKDSSMAASRTYNLLNQIFEKLNSKTIQLTALHSSPSNESAFLVTNNSSALKPHLGDSLQPPVFF
VIMSS6583524 1 327 0.590754434250764 PF08598.11:Sds3:21:309 Transcriptional regulatory protein SDS3; Suppressor of defective silencing protein 3 327 289 18 327 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40505 1 SwissProt MAIQKVSNKDLSRKDKRRFNIESKVNKIYQNFYSERDNQYKDRLTALQTDLTSLHQGDNGQYARQVRDLEEERDLELVRLRLFEEYRVSRSGIEFQEDIEKAKAEHEKLIKLCKERLYSSIEQKIKKLQEERLLMDVANVHSYAMNYSRPQYQKNTRSHTVSGWDSSSNEYGRDTANESATDTGAGNDRRTLRRRNASKDTRGNNNNQDESDFQTGNGSGSNGHGSRQGSQFPHFNNLTYKSGMNSDSDFLQGINEGTDLYAFLFGEKNPKDNANGNEKKKNRGAQRYSTKTAPPLQSLKPDEVTEDISLIRELTGQPPAPFRLRSD
VIMSS6583930 1 574 0.534105574912892 Mitotic check point protein BFA1; Cell cycle arrest protein BFA1 574 0 18 574 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47113 1 SwissProt MSIRPLTLNGLDEPETSFEELNTTLPRFQSHETLTLEENVPPLSTSTYIPPPSSVGTSDTGTVFSNSTSAFWSNKQADDDQDMEVDQDDEFLNDFQEFQNKKDDFDDAIKTNFHLRNGCRTGPFKNDIFAEEFDRKLSLEDKPRLKQPRSMMELKPKRKLSNSVTSRNLRSGNSVRFKKSMPNLALVNPAIREEEEDEEREREDQREFNYKIDNDTQDTILAKFSSDDEGDFLTGFEELEGEAIDETISSNDKESADHPRFLKKSSSSLPLKISPAQYDIVKHDELLTPGLHRRQRDWNTQQELDSFKEKRSVRHCSNQNVQLNGPAKIKTIKQQIDHNTPMKKGSMIYNPKTMKWEGNENVLSKFSDVDTANRKALLIKNKLQRDADSKKQKYSDLQHARATSRNQKVIGNMILDEQNLRWVSVSEEEADPFAGIPEINLPPVGKSMKKRSSSPFLRSKSQVNTPFVSNDNDGVYQSTAAQARLRKYHSMRTLNGTTETPEISSTFHLSSRALEKFYHEENRWCKKLASWFIPRDETIISVDEETIMDESTVNSKRKSYMYEIRNMVINSTKD
VIMSS6583954 1 396 0.198378535353535 PF04488.15:Gly_transf_sug:144:232 Putative glycosyltransferase HOC1; M-Pol II subunit Hoc1p; Mannan polymerase II complex HOC1 subunit; EC 2.4.-.- 396 89 18 376 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47124 1 SwissProt MAKTTKRASSFRRLMIFAIIALISLAFGVRYLFHNSNATDLQKILQNLPKEISQSINSANNIQSSDSDLVQHFESLAQEIRHQQEVQAKQFDKQRKILEKKIQDLKQTPPEATLRERIAMTFPYDSHVKFPAFIWQTWSNDEGPERVQDIKGMWESKNPGFAHEVLNHDVINALVHHYFYSIPEILETYEALPSIILKIDFFKYLILLVHGGVYADIDTFPVQPIPNWIPEELSPSDIGLIVGVEEDAQRADWRTKYIRRLQFGTWIIQAKPGHPVLREIISRIIETTLQRKRDDQLNVNLRNDLNIMSWTGSGLWTDTIFTYFNDFMRSGVREKVTWKLFHNLNQPKLLSDVLVFPKFSFNCPNQIDNDDPHKKFYFITHLASQFWKNTPKVEQK
VIMSS6583956 1 311 0.0906035369774919 PF00153.27:Mito_carr:19:104,PF00153.27:Mito_carr:119:199,PF00153.27:Mito_carr:213:298 Mitochondrial phosphate carrier protein 311 253 18 311 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P23641 1 SwissProt/TReMBL MSVSAAPAIPQYSVSDYMKFALAGAIGCGSTHSSMVPIDVVKTRIQLEPTVYNKGMVGSFKQIIAGEGAGALLTGFGPTLLGYSIQGAFKFGGYEVFKKFFIDNLGYDTASRYKNSVYMGSAAMAEFLADIALCPLEATRIRLVSQPQFANGLVGGFSRILKEEGIGSFYSGFTPILFKQIPYNIAKFLVFERASEFYYGFAGPKEKLSSTSTTLLNLLSGLTAGLAAAIVSQPADTLLSKVNKTKKAPGQSTVGLLAQLAKQLGFFGSFAGLPTRLVMVGTLTSLQFGIYGSLKSTLGCPPTIEIGGGGH
VIMSS6584008 1 339 0.149070206489676 PF10294.9:Methyltransf_16:131:292 Putative protein of unknown function; predicted S-adenosylmethionine-dependent methyltransferase of the seven beta-strand family; green fluorescent protein (GFP)-fusion protein localizes to the cytoplasm (RefSeq) 339 162 18 339 0 Saccharomyces cerevisiae VIMSS6584008 1 MicrobesOnline MNEDLFYDRLHQRCPGKYLLEELETSKSNDVLHASRFVCEMELVQKTNAYYCKTIVKMLLDHEWIFAKAFTIVNDGEDEIEIYDYLYEKYIKLLSTGKPDPMMKDVVRYRFDEDVKITIEETPNLISAASTTGFRTWEAALYMGDFLIHKPLQELAPVQGQDDGKKKLNVLEVGAGTGIVSLVILQKYHEFVNKMYVTDGDSNLVETQLKRNFELNNEVRENEPDIKLQRLWWGSDRVPEDIDLVVGADVTYDPTILPDLCECLAECLALDRCKLCLLSATIRSESTVQLFSQECNKLGLKCTIVTSTEYDANNEIRAMKALQFKPLIAPIRIYKITKQ
VIMSS6584480 1 224 0.194238839285714 PF00660.17:SRP1_TIP1:41:127 A-factor barrier protein 1 224 87 18 224 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07988 1 SwissProt MIFAPSFSLIKNILLVSFLISHSFAAKTLTSSSNDDTLARSAAADADMAFFMEFLNDFDTAFPQYTSYMMQNHLTLPQPVADYYYHMVDLASTADLQSDIAQSFPFTQFQTFITAFPWYTSLLNKASATTIYLPQHFITGETEATMTNSSYASQKNSVSNSVPFSTANAGQSMISMANEENSTTALISASNSSSTSRTSQSQNGAHAKSLYFPMALFGIFAVAL
VIMSS6584634 1 118 0.404225423728814 Protein YLR162W 118 0 18 118 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06235 1 SwissProt MQHTLTRTASLPERSSSAHSAATALPALRRPPDSCETLVPLLCIFWFVFVSMSPLPPARANKSDNKGLISADRNNKATLLLTIPRCTSKSYTNDLSPLKMTLLSAGKHPRPFRQEHRC
VIMSS6585084 1 405 0.0949669135802469 PF04193.14:PQ-loop:252:309 Scramblase ANY1; Antagonizes NEO1 phospholipid flippase protein 1; CDC50 suppressor 1 405 58 18 224 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03687 1 SwissProt MSTTGPLDATLIRDVAVATATKASYDMSDTLYSYLPKVDQFYIPEWLTMQFIANNLISFTPLFSYGTTIISIEKCKTALGFSIDICATMLIASILRISYYLITPYEITLLRQSLVMIFIQLILLRTSLKYRPDEYKYQNLTDVESLSHLIHDIWFEFFSCINRPKFLSEDWKNLIKSLSFTNLLKFSFKIFLAFFYKILKFFDPNFKRIGAFWQWDDDKNFWRFLALFATVQILVTFFISNILNWDSLAQGLGSIIGSLGLLVESLLPLPQIAILYKLKSVQGFKLILLVSWLCGDTLKITYLIFGAKNISALFVIFALFQMSLDFYIGGQYIYYRYYYPKLRHQHHPNDSNSPSDEDESEMYELDLFNTLQKDVEKALKQDSNDTSDSPQDDQVGKSQAQAVTL
VIMSS6585098 1 785 0.670951719745223 Protein SOK2 785 0 18 785 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53438 1 SwissProt MPIGNPINTNDIKSNRMRQESNMSAVSNSESTIGQSTQQQQQQQQYLGQSVQPLMPVSYQYVVPEQWPYPQYYQQPQSQSQQQLQSQPQMYQVQESFQSSGSDSNASNPPSTSVGVPSNATATALPNGSAITTKKSNNSTNISNNVPYYYYFPQMQAQQSMAYSYPQAYYYYPANGDGTTNGATPSVTSNQVQNPNLEKTYSTFEQQQQHQQQQQLQAQTYPAQPPKIGNAFSKFSKSGPPSDSSSGSMSPNSNRTSRNSNSISSLAQQPPMSNYPQPSTYQYPGFHKTSSIPNSHSPIPPRSLTTPTQGPTSQNGPLSYNLPQVGLLPPQQQQQVSPLYDGNSITPPVKPSTDQETYLTANRHGVSDQQYDSMAKTMNSFQTTTIRHPMPLIATTNATGSNTSGTSASIIRPRVTTTMWEDEKTLCYQVEANGISVVRRADNDMVNGTKLLNVTKMTRGRRDGILKAEKIRHVVKIGSMHLKGVWIPFERALAIAQREKIADYLYPLFIRDIQSVLKQNNPSNDSSSSSSSTGIKSISPRTYYQPINNYQNPNGPSNISAAQLTYSSMNLNNKIIPNNSIPAVSTIAAGEKPLKKCTMPNSNQLEGHTITNLQTLSATMPMKQQLMGNIASPLSYPRNATMNSASTLGITPADSKPLTPSPTTTNTNQSSESNVGSIHTGITLPRVESESASHSKWSKEADSGNTVPDNQTLKEPRSSQLPISALTSTDTDKIKTSTSDEATQPNEPSEAEPVKESESSKSQVDGAGDVSNEEIAADDTKKQEK
VIMSS6585257 1 368 0.278172282608696 PF00153.27:Mito_carr:52:141,PF00153.27:Mito_carr:149:243,PF00153.27:Mito_carr:253:363 Mitochondrial magnesium exporter 1 368 296 18 368 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03829 1 SwissProt MNSWNLSSSIPIIHTPHDHPPTSEGTPDQPNNNRKDDKLHKKRGDSDEDLSPIWHCVVSGGIGGKIGDSAMHSLDTVKTRQQGAPNVKKYRNMISAYRTIWLEEGVRRGLYGGYMAAMLGSFPSAAIFFGTYEYTKRTMIEDWQINDTITHLSAGFLGDFISSFVYVPSEVLKTRLQLQGRFNNPFFQSGYNYSNLRNAIKTVIKEEGFRSLFFGYKATLARDLPFSALQFAFYEKFRQLAFKIEQKDGRDGELSIPNEILTGACAGGLAGIITTPMDVVKTRVQTQQPPSQSNKSYSVTHPHVTNGRPAALSNSISLSLRTVYQSEGVLGFFSGVGPRFVWTSVQSSIMLLLYQMTLRGLSNAFPTD
VIMSS6585466 1 1636 0.122269193154034 PF16213.5:DCB:10:179,PF12783.7:Sec7_N:203:366,PF16206.5:Mon2_C:936:1081 Protein MON2 1636 480 18 1636 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48563 1 SwissProt MAMNTGGFDSMQRQLEAELRSLSSESKRRNSTIRHASDKSIEILKRVHSFEELERHPDFALPFVLACQSRNAKMTTLAMQCLQGLSTVPSIPRSRLSEILDAFIEATHLAMEIQLKVLQVVPIFFKTYGKFIYGPLCKKLLLCCSNLLHVPNKAPVVVGTASATLQQLIDEIFDRLSIESVVDDKQYEVLISNSESIKVNVYRYDANKLFDNICSLNEISSNGAVSDEEMLLDIGDIPIDYGLEILESILKNSQKNLLECQDLQYLLRVKAIPLLLRCISSSRHFSTAVRSCRCLKLLIRKEYLSILELELEVILSLLIHGISVESNLSGWQRVLSLELFKDLSQDPEIVNTLYMDYDNYPDKKHVFKYLLKECIVLLNSPEYITFLAPSKVVEKMDSPLITTENSTVKTKFMHLLDKSNAPSINITYIISLILTICNHLCEGLNKSALESSPLEKKIEDKEREEGTGNDSTVVKVYSGLFSGLFELNKLFLYSTSLETSIFHLVVRAFQKLAHSAGVLSLKDKLRACMKLFSILITNNVTSSNQYSFNDTSKSAKNQHTRNISTSSVTTSPVESTKNPSRSIADSAQNKEMKRRLHPRNISSRQVSLLRALISLSISLGPIFDSESWRYTFLTWQWITYYIYGPSADFKESFYSEDIPPPPILTKSDVTSIESSLTKFFESTSSYSCSTFHLVLTRLILDSKNTLTLEQTNLNLNNDIGYHPLDAKDEIIPCIYNKAFFVNKIGELATYNCKKFLFGKNGKELWSLISTYMIKLISNREMDNDSLRLYTVRVFTDIIKKATNEVGNSDEQDNKVKQFGTLENLVIDSLMATINSIKQLDIGKQEIYNGTINVESDILFQLLLTLKEILNEFGELLMNSWTNIFNIINSPFEWTVEDTDFSVNEDIDDSSLFEGIVQKHKNMIQVSYDVFKLISDDFLQSLPMSVIKFVIDTLVNFVSQKRNLNISFSSISQFWLVGDYLRVRFNPETLNLSDEKRRSLSEKINNQKLIEIITSSSSHDWELYNGLWIYLLKNLINCTNDDRVEVKNGAVQTFFRIIDSHSVCFPPWDLIFLEVIEPLLTKEWSTEELENETDFINVTLQGLIKLYPEHFKDFKNNTTCAKEWSMLLDFLKRLLSSTSNNTKNAVILNYQTLLKEIITIEDVPSDILKKCCEIFTDYNITYSDLSTNASSKTEYDCIYELITGFPPLYQLISKYDAMTDEFVEKVLLLFNSAIKYPLLPEFVQDKTKPSSMQKAILSGLDIFMTNDSKDTEILILLQLSTISILAFDTREKITKKLGPKLPKASLNRLPTFEAISYMSCSNLRNRIAKIDQFGISTLKAKHILRILKNLAEIIKRKSLITGSESDEIPIWVLASNCFCDLSNKIFKSLQEDAENPLKDNFCDLFINVIVVTLQRINPELDNLTEIDDLNEYSKYREILLENRIIDLFNERQLDTFIYAVWDCSFLYEFDELENALMQDCGTFSELSQKLSSFDFSCIFGSTTNPRFQTKYKCSLECLQDLVNFMLNTNEKLRKLTAPYLSARIALALRRYISDEYLIGRAPIPKLRKTELATLLNGLCVILRGVLDQNSTLGNKQIGVENLQTLSPLILRTIPVSHKMDGLQDKVLELSLGFTKLD
VIMSS6585500 173 760 0.535544897959183 Phosphatidylinositol 4-kinase PIK1; PI4-kinase; PtdIns-4-kinase; EC 2.7.1.67 1066 0 18 588 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39104 1 SwissProt PQLSEVTKPLVESQGRRQKAFVFKLARSAMKDFTKNMTLKNTLLNKKTSRSKRVSSNRSSTPTSPIDLIDPIKTKEDASFRKSRHSEVKLDFDIVDDIGNQVFEERISSSIKLPKRKPKYLDNSYVHRTYDGKNINRDGSISNTAKALDGNKGDYISPKGRNDENNEIGNNEDETGGETEEDADALNSDHFTSSMPDLHNIQPRTSSASSASLEGTPKLNRTNSQPLSRQAFKNSKKANSSLSQEIDLSQLSTTSKIKMLKANYFRCETQFAIALETISQRLARVPTEARLSALRAELFLLNRDLPAEVDIPTLLPPNKKGKLHKLVTITANEAQVLNSAEKVPYLLLIEYLRDEFDFDPTSETNERLLKKISGNQGGLIFDLNYMNRKENNENRNESTLTSNNTRSSVYDSNSFNNGASRNEGLSSTSRSDSASTAHVRTEVNKEEDLGDMSMVKVRNRTDDEAYRNALVIQSAANVPILPDDSQDRSPELNFGSNLDEVLIENGINSKNIHSQTDALADQMRVSAVMLAQLDKSPQQLSESTKQIRAQIISSMKEVQDKFGYHDLEALHGMAGERKLENDLMTGGI
VIMSS6585531 1 426 0.382578403755869 PF00042.22:Globin:204:263 Uncharacterized globin-like protein YNL234W 426 60 18 426 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53857 1 SwissProt MTGEKILHSQLLTNSDMSSGNVHHTKPMMYNVTLPSYNSSSIGPVDNLKINERPGSHDHSMRSEMSSKNSGSDFMPQSISRSEGSVYQVKIDRGDSPNTEGFDFKVNARDLLLLRMSWDILLREYLTPKELKVFQALLYSNKHITSTERPYLNTAPDGMISKTIDPTARPRKTKQRDNDNKVDTALFCSQFYDNLIAMDPLLEEYFPSLKHQAVSFCKVLDSAIDNLENVHVLDDYIVKLGKRHSRILGIKTVGFEVMGKAFMTTLQDRFGSFLTLELKNLWGQLYSYLANCMITAGKDPMEKIQPDFSYNGDSVVLNFSIPKLAMHDISTVNKLQMVKTKNATIPHNITQVPTNKIPTEILLDNSSTPIKSDRESTPPISPKGSGSTKPSIGSSTVVESNTKKNNYDEKIHLLQKTAQQKNCSIM
VIMSS6585651 1 672 0.647315773809524 Met4p 672 0 18 672 0 Saccharomyces cerevisiae S288C NP_014296.4 1 RefSeq MKQEQSHEGDSYSTEFINLFGKDTATHPSSNNGANNNGMGSTNSLDQFVATASSSSSLVTSSENRRPLIGDVTNRGNTNLYDHAVTPEILLEQLAYVDNFIPSLDNEFSNVDWNVNTTHNNANNNGADTFSSINANPFDLDEQLAIELSAFADDSFIFPDEDKPSNNNNNSNNGNDDHSNHDVLHEDPSTNNRQRNPHFLTQRRNTFLTSQYDQSKSRFSSKNKRNGNNGETNNFGDNMQNNHPFEPNFMGSPSQFPADATNMTSIDHGGFTNVDITSTENNTTGDNGVDALSNLLHRTTHTPNRSSPLSNVTSAQNSSSQQRKHSESKVDSNSDNNSSNKAPNITVPDYSIIPTSVLVTLLPRVNVPNGAYNSLISAGFDNDQIDAIAAIMAYHHQKKIRENNSNNNKNINTNDSQEAPILKNINELLSVLIPPSPAETAAPTTLSTSPSFNEHGVVAEASFLSSILELGIKHPKSNNIHNQRQPSRNDHKISRESDGNNGNDNVHHNNAVIKSSTTRGDEIAKIRSEPTLNASSSDHKENSLKRSHSGDLKNKKVPVDRKYSDNEDDEYDDADLHGFEKKQLIKKELGDDDEDLLIQSKKSHQKKKLKEKELESSIHELTEIAASLQKRIHTLETENKLLKNLVLSSGETEGIKKAESLKKQIFEKVQKE
VIMSS6585677 1 407 0.548253808353808 Protein NIS1; Jumonji domain-interacting protein 1; Neck protein interacting with septins protein 1 407 0 18 407 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53939 1 SwissProt METYETSIGTQSYPPTLFPPPLGTGGFTTSGYIHALVDSTSNSNSNSNTNSNTNSNTNSNSDTKIPIVQISDDSHITHDSFKPYMEYHDASHLRNRNISKADQVESTEVMEQFTQWSNYKMRSRSPTINAKPIRHTSQRRTDFTSKNELSKFSKNHNFIFHKGFLKRQHSIRREDRQAKVRSRFRSKKELTSVLNYIELEQMDIANVLASQPVNLHAIRNLTSRDPAVTPIPFLRSQMYATSSRPPYLRNRSISRKLPKSQPGSLPTTMPATATKTIKQNSTTPTTRSVYNKNVGRSNTSPSVLYHPKRRGKLNTKSHARKEQLLLELWREYLMLVITQRTQLRLTLLCSPGSASNESSVCSSNASDLDMSLLSTPSSLFQMAGETKSNPIIIPDSQDDSILSSDPF
VIMSS6585717 1 839 0.235888200238379 PF06419.11:COG6:195:832 Conserved oligomeric Golgi complex subunit 6; COG complex subunit 6; Complexed with DOR1 protein 2; Component of oligomeric Golgi complex 6; Protein SEC37 839 638 18 839 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53959 1 SwissProt MDFVVDYQTYAMADTATPELPEPEPRLNLTSDAQSQPTGKLDLQFKLPDLQRYSNNNATLPVDNDGAGSKDLHKKMTHYAMSSIDKIQLSNPSKQLGQNSQDEKLSQQESQNFTNYEPKNLDLSKLVSPSSGSNKNTTNLVLSNKLSKILNNYTLINYQATVQLRKSLKVLEENKERLSLDEQKLMNPEYVGTLARRALRTDLESQLLKEHITVLEEFKPIIRRIKRLSSSVEKIQRTSEKLLSNETNEVPTNNVVLQEIDQYRLKAEQLKLKKKILLSIRDRFTLNQVEDDVITNGTIDNIFFEVVKKVINIKDESSFLLTLPNLNAGNALIMGVNEILEKTNKKIFNYLIDFLYSFESSSNLLNDHGTTEQESLNIFRKSLVFLSSDLELFNELLKRVTTLRSKSILDEFLSQFDMNSTTSKPIILSAHDPIRYIGDVLASVHSIIANEADFVKSLFDFQDEDLKDTPISILQQNKTFLKGIDNKLLNDIIQSLSNSCRIRIEQIVRFEENPIINFEIVRLLKLYRVMFERKGIQDDSSIINNLKSLEDISKNRIIGYYEDYMKQTVMAETKNSSDDLLPPEWLSEYMNKLVELFEIYEKTHAAEDEESEDNKLLSSKNLQTIVEQPIKDVLLKQLQTSFPLAKKNEKEKASLLTIEINCFDLIKSRLQPFEGLFAQDDDSRKITIWVCDKLKEYTKQMLTLQIKFLFENTGLDLYSNLVNMIFPVDSVKDELDYDMYLALRDNSLMELDMVRKNVHDKLNYYLPQALTDVQGNLLFKLTSPMIADEICDECFKKLSLFYNIFRKLLIHLYPNKKDQVFEILNFSTDEFDMLIGIDH
VIMSS6585734 1 484 0.207820454545455 PF01103.23:Bac_surface_Ag:170:483 Sorting assembly machinery 50 kDa subunit; TOB complex 55 kDa subunit 484 314 18 484 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53969 1 SwissProt MTSSSGVDNEISLDSPMPIFNESSTLKPIRVAGVVTTGTDHIDPSVLQAYLDDTIMKSITLGQLVKNADVLNKRLCQHHIALNAKQSFHFQGNTYISDEKETHDVVPLMEVVSQLDILPPKTFTAKTGTNFGNDNDAEAYLQFEKLIDKKYLKLPTRVNLEILRGTKIHSSFLFNSYSSLSPQSILNLKVFSQFYNWNTNKGLDIGQRGARLSLRYEPLFLHKLLHNPHSNESPTLFHEWFLETCWRSTKICSQGTSAPYMYSGTMLSQAGDQLRTILGHTFVLDKRDHIMCPTKGSMLKWSNELSPGKHLKTQLELNSVKSWMNDDFITFSTTIKTGYLKNLSSQQSLPVHICDKFQSGGPSDIRGFQTFGLGPRDLYDAVGGDAFVSYGLSVFSRLPWKKVEKSNFRLHWFFNGGKLVNHDNTSLGNCIGQLSKEHSTSTGIGLVLRHPMARFELNFTLPITAHENDLIRKGFQFGLGLAFL
VIMSS6585847 1 215 0.0547367441860465 Putative protein of unknown function; member of the Dal5p subfamily of the major facilitator family (RefSeq) 215 0 18 82 6 Saccharomyces cerevisiae VIMSS6585847 0 MicrobesOnline MGLLAYIPTNVLATYLTLVLRSIGFTTFQANLLAIPNFVLHILLLFGLTWSTEKCNNRLGLSLLQPLYTVPLLAVLRFWKGTMFNKWGTYAIITLILDNPYIHAICVSLCSRNSQSVKTRTVSTCLYNMFVQAGLIISSNIYAKSDAPLYRKGNGVLFGLALFMFPILIGSKLIYVYINKQRDKRWNAMSEEEKDHYLSTTSDAGSRRLDFRFYH
VIMSS6586058 1 875 0.2340968 PF07569.11:Hira:581:824 Protein HIR2; Histone transcription regulator 2 875 244 18 875 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32480 1 SwissProt MRLLKYPLDIHNEQVNALAALGPYIILAGSGGHVMAWRQQQLVDTAFDRVMIKDLKPEVSFQVDQDTTGDIFFITGDLETLYIGSEHRLWGYSGWLCRDTNNINSVEKMNSKLLFECKSPSTITDVKYDINLGILFVLLSNENKILLFRHKTFDKLSEITIDKASKPITGIIDPTGQTFTVMTSDRSILVYQINKTGTHKLINKLTQHVQMYPLHYRISMSPQADILPVINSVKGVPNNATSCTALLDRNNNYKVTKTLVTPSSNGCRVLVYSPAFYEKPNLKKGTSTRYNLIATSGSTDGTILVWNTKRMKPLFNALQVSSTAINDMSWSQDGFTLFAISNDATLYTFAFQEKDLGVALPQTEIKSLQEVNKKLPKLEEPLAEQIPKSFPENIKLEESASAAPIPNDIGRSAVGKKPTKKKTANNQTNGIKTIQSTSMEFNTPSYTVPRDLKRKPKEATPSNIAPGSKKQKKELQPIDFLDTGLLLPNTSFSRIRLATPKIRSTFKYSPINNPNLILDVKNGSGNEQRPTIVKLTSKVLDQDQVLFQDFIPKLITICTAGDTFWSFCSEDGSIYIYSDSGRKLMAPLVLGVSISFLEACGTYLLCLTSIGELYCWNIEQKKLAFPTNTIYPLLNPSLRYSDDILTRAENITLCSITKKGVPLVTLSNGDGYLFDKNMETWLLVSDGWWAYGSQYWDTTNTTGLSSSKANTDSFNGSESNINEIVSDIKNDNQSIINFLECKTNDELNRKGRIKNLQRFARTILMKEGFENMEEIVTLSHLENKILISIRLEEPEEFSKLMMVYCIRLSELGYMDRLNDVFQWLYDDLPISGTGSAFADKDFKRNLLKKILIACGDIRQVQRVTTRYAKEMNIIS
VIMSS6586177 1 972 0.0742894032921811 PF08389.12:Xpo1:100:253 mRNA transport regulator MTR10 972 154 18 972 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99189 1 SwissProt MDNLQVSDIETALQCISSTASQDDKNKALQFLEQFQRSTVAWSICNEILSKEDPTNALLELNIFAAQTLRNKVTYDLSQLENNLPQFKDSLLTLLLSHNQKLIITQLNVALARLAIQFLEWQNPIFEIISLLNSSPSILLNFLRILPEETLDIASTSLTEVEFNSRIHELIDPIAEDVLKFLVSCIDLLQNTDGNSSSSISLEQILRCLNSWSYEFPVEQLLTVQPLINLVFETISNGNESDMEAFDSAIDCLCVILRESRDTTNEQLISALFHQLMLLQEKLLPTLFTDHPLNDEYDDDLLEGMTRLFVEAGEAWSVVISKNPDFFKPMVLVLLMLTCKNEDLDVVSYTFPFWFNFKQSLVLPRYQESRKAYSDIFVKLINGIITHLQYPSGQFSSKEEEDKFKDFRYHMGDVLKDCTAVVGTSEALSQPLIRIKSAIENNNSWQIMEAPLFSLRTMAKEISLTENTILPEIIKIICNLPEQAKIRYASTLVLGRYTEWTAKHPELLEVQLQYIFNGFQLHEGSSDMQSIITASSHALMFFCSDCSKLLVGYIDQLINFFLNVQSSIDIESQFELCQGLSAVINNQPEAKVSVIFQKLVDDNLRQIEALIPQWKANPTLLAPQIADKIDLLYALFEELKPRYNYPQQGSEPLLPRIEFIWKALRTLLVDAGAMTDSIIVERVAKLLRRIFERFHVFCEPILPSVAEFLIQGYLTTGFGSYLWCSGSLIVIFGDDESFPISPSLKDAVWKFALSQCETFILNFNKFDKLQLNDYHEAIIDFFSLISDLIMFYPGAFLNSTELLGPVLNVALECVNKLDNYDAYICILRCLDDIISWGFKTPPISTVSIEIVPDEWRKQVINEVVIAHGNQLILVLFIGLVTTFENTAHSDAISCIVKCLRILTEANNNDATICIDWIYKVVEQLGQVTLNERDNLAKAVVEGLNSKDYRKVREGIRAFVGWYSRKNINSRFE
VIMSS6586599 1 456 0.229765350877193 PF00561.20:Abhydrolase_1:168:434,PF12146.8:Hydrolase_4:169:402,PF12697.7:Abhydrolase_6:170:433 Medium-chain fatty acid ethyl ester synthase/esterase 1; Alcohol O-acetyltransferase; Ethyl ester biosynthesis protein 1; EC 2.3.1.84; EC 3.1.1.- 456 267 18 456 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02891 1 SwissProt MFRSGYYPTVTPSHWGYNGTVKHVLGEKGTKSLAFRDSKRQIPLHEFVTKHVPTLKDGANFRLNSLLFTGYLQTLYLSAGDFSKKFQVFYGREIIKFSDGGVCTADWVMPEWEQTYSLNAEKASFNEKQFSNDEKATHPKGWPRLHPRTRYLSSEELEKCHSKGYSYPLVVVLHGLAGGSHEPLIRALSEDLSKVGDGKFQVVVLNARGCSRSKVTTRRIFTALHTGDVREFLNHQKALFPQRKIYAVGTSFGAAMLTNYLGEEGDNCPLNAAVALSNPWDFVHTWDKLAHDWWSNHIFSRTLTQFLTRTVKVNMNELQVPENFEVSHKPTVEKPVFYTYTRENLEKAEKFTDILEFDNLFTAPSMGLPDGLTYYRKASSINRLPNIKIPTLIINATDDPVTGENVIPYKQARENPCVLLCETDLGGHLAYLDNESNSWLTKQAAEFLGSFDELVL
VIMSS6586707 1 185 0.433703783783784 Putative protein of unknown function (RefSeq) 247 0 18 185 0 Saccharomyces cerevisiae VIMSS6586707 1 MicrobesOnline MWRTKTLESMLCSPMKCSSSNIGGSYAQSSKEVSNTTKREVHLPPCSSIMHAPLTPEINQAALPPPAYHYAPSSLHQTEDPVWRSSPNSIIFSPVIATPQPFPLTFVERQSCCPIYSTAASSYTAQSVPPSMQHFQEENHRAVSNEQYSLPNVHIGQNPGTLLSQTQTDLDLIQKQLRAVVKLRK
VIMSS6586853 1 720 0.368198194444444 PF03935.15:SKN1:209:711 Beta-glucan synthesis-associated protein KRE6; Killer toxin-resistance protein 6 720 503 18 697 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32486 1 SwissProt MPLRNLTETHNFSSTNLDTDGTGDDHDGAPLSSSPSFGQQNDNSTNDNAGLTNPFMGSDEESNARDGESLSSSVHYQPQGSDSSLLHDNSRLDLSQNKGVSDYKGYYSRNNSRAVSTANDNSFLQPPHRAIASSPSLNSNLSKNDILSPPEFDRYPLVGSRVTSMTQLNHHGRSPTSSPGNESSASFSSNPFLGEQDFSPFGGYPASSFPLMIDEKEEDDYLHNPDPEEEARLDRRRFIDDFKYMDKRSASGLAGVLLLFLAAIFIFIVLPALTFTGAIDHESNTEEVTYLTQYQYPQLSAIRTSLVDPDTPDTAKTREAMDGSKWELVFSDEFNAEGRTFYDGDDPYWTAPDVHYDATKDLEWYSPDASTTVNGTLQLRMDAFKNHGLYYRSGMLQSWNKVCFTQGALEISANLPNYGRVSGLWPGLWTMGNLGRPGYLASTQGVWPYSYESCDAGITPNQSSPDGISYLPGQKLSICTCDGEDHPNQGVGRGAPEIDVLEGETDTKIGVGIASQSLQIAPFDIWYMPDYDFIEVYNFTTTTMNTYAGGPFQQAVSAVSTLNVTWYEFGEYGGYFQKYAIEYLNDDDNGYIRWFVGDTPTYTIHAKALHPDGNIGWRRISKEPMSIILNLGISNNWAYIDWQYIFFPVVMSIDYVRIYQPSNAISVTCDPSDYPTYDYIQSHLNAFQNANLTTWEDAGYTFPKNILTGKCTSSKFKLSS 1
VIMSS81124 1 95 0.437875789473684 PF06013.12:WXG100:7:86 6 kDa early secretory antigenic target homolog; ESAT-6-like protein; L-ESAT 95 80 18 95 0 Mycobacterium leprae (strain TN) SwissProt::Q50206 1 SwissProt MIQAWHFPALQGAVNELQGSQSRIDALLEQCQESLTKLQSSWHGSGNESYSSVQRRFNQNTEGINHALGDLVQAINHSAETMQQTEAGVMSMFTG
VIMSS845138 1 554 0.225285920577617 PF13486.6:Dehalogenase:64:423,PF00037.27:Fer4:435:453,PF13484.6:Fer4_16:436:492,PF12838.7:Fer4_7:437:492 trichloroethene reductive dehalogenase (NCBI) 554 418 18 554 0 Dehalococcoides ethenogenes 195 VIMSS845138 1 MicrobesOnline MSEKYHSTVTRRDFMKRLGLAGAGAGALGAAVLAENNLPHEFKDVDDLLSAGKALEGDHANKVNNHPWWVTTRDHEDPTCNIDWSLIKRYSGWNNQGAYFLPEDYLSPTYTGRRHTIVDSKLEIELQGKKYRDSAFIKSGIDWMKENIDPDYDPGELGYGDRREDALIYAATNGSHNCWENPLYGRYEGSRPYLSMRTMNGINGLHEFGHADIKTTNYPKWEGTPEENLLIMRTAARYFGASSVGAIKITDNVKKIFYAKVQPFCLGPWYTITNMAEYIEYPVPVDNYAIPIVFEDIPADQGHYSYKRFGGDDKIAVPNALDNIFTYTIMLPEKRFKYAHSIPMDPCSCIAYPLFTEVEARIQQFIAGLGYNSMGGGVEAWGPGSAFGNLSGLGEQSRVSSIIEPRYGSNTKGSLRMLTDLPLAPTKPIDAGIREFCKTCGICAEHCPTQAISHEGPRYDSPHWDCVSGYEGWHLDYHKCINCTICEAVCPFFTMSNNSWVHNLVKSTVATTPVFNGFFKNMEGAFGYGPRYSPSRDEWWASENPIRGASVDIF
VIMSS898143 1 104 0.406751923076923 YchA (NCBI) 104 0 18 104 0 Salmonella enterica subsp. enterica serovar Choleraesuis str. SC-B67 VIMSS898143 1 MicrobesOnline MRGAAPAALPARLSGQKVVYGCMQGTGVIFRDTVTVSGCLLLRPLRLPAAWRHPVVRPDSDRQLLAHPPVSAEPAGAVSGCRYGPMRENPACSDRSGRAAPVAP
VIMSS911656 105 291 0.0796192513368984 PF01380.22:SIS:23:151 MurR/RpiR family transcriptional regulator 291 129 18 187 0 Staphylococcus aureus subsp. aureus MW2 WP_000181832.1 1 RefSeq TNTMRFVATNIMDAQIDAICDVLKNARTIFLFGFGASSLTIGDLFQKLSRIGLNVRLLHETHLLVSTFATHDDRDCMIFVTNQGSHSELQSIAQVATHYSIPIITISSTANNPVAQIADYALIYGRTDENEMRMAATTSLFAQLFTVDILYYRFVALNYHAILDCITQSKMALDNYRKHLATIDFKH
VIMSS912268 100 323 0.00618482142857143 PF01032.18:FecCD:7:216 iron compound ABC transporter, permease protein (NCBI) 323 210 18 89 6 Staphylococcus aureus subsp. aureus COL VIMSS912268 0 MicrobesOnline FFPTGHILLKLVFAVICSICGTFLFVKIIDFIKVKDVIFVPLLGIMMGGIVASFTTFISLRTNAVQSIGNWLNGNFAIITSGRYEILYLSIPLLALTYLFANHFTIVGMGKDFTNNLGLSYEKLINIALFITATITALVVVTVGTLPFLGLVIPNIISIYRGDHLKNAIPHTMMLGAIFVLFSDIVGRIVVYPYEINIGLTIGVFGTIIFLILLMKGRKNYAQQ
WP_009871488.1 1 285 0.265970175438596 hypothetical protein 285 0 18 285 0 Chlamydia trachomatis A2497 WP_009871488.1 1 RefSeq MSDSDKIINDCRFDFNTTIHGDLLASNLTTEGDVTVKSISAKESFSVKRNVDVNENDIIVNGFTGAAGYDLTTQGKISINLNGNRLSNVKRPEKDSQPVPANYIRTPEYYFCSLQDGARIEWKRGQKLPLIGPSRLVYQSSRIDEFIRFVSFEEDKTKNQVKINLSGTTGLQMLAKGVYIINVGVGKRWGWNNGYGGDYCLAVPLGKEYSESSTFSRGGYYASTAVGTAIHIRKESTNPDGPFSSSDTELMKTLLEVRYKGGDYVDKSALSTLYFGVLVYPEIGG
WP_010881919.1 1 192 0.214059895833333 PF00515.28:TPR_1:111:140,PF07719.17:TPR_2:109:137,PF13432.6:TPR_16:44:96,PF13432.6:TPR_16:111:174,PF14559.6:TPR_19:49:104,PF13181.6:TPR_8:78:96,PF13181.6:TPR_8:111:137,PF13414.6:TPR_11:114:150,PF12895.7:ANAPC3:53:132 tetratricopeptide repeat protein 369 131 18 192 0 Treponema pallidum subsp. pallidum str. Nichols WP_010881919.1 1 RefSeq MCQKSSPCTYARVRSLPSVRLFSFLALAFASFLRAEDAFDHFREGERLLSLQQAQQAIGPLHKAAQQKPAHPKAALYLGMAYLQTGRYTQAIQWLQNPPVHSQEYAHLYAYNLGNVYFVQHRYEEAQHAYEQALALKHDYPPALLNRANTAMKRQAYAHALADYKKYVSQNPTASQHYEVQRMIAALEQWLQ
WP_010904265.1 1 387 0.183359173126615 PF01308.17:Chlam_OMP:1:387 porin 387 387 18 387 0 Chlamydia muridarum str. Nigg WP_010904265.1 1 RefSeq MKKLLKSVLAFAVLGSASSLHALPVGNPAEPSLMIDGILWEGFGGDPCDPCTTWCDAISLRLGYYGDFVFDRVLKTDVNKQFEMGAAPTGDADLTTAPTPASRENPAYGKHMQDAEMFTNAAYMALNIWDRFDVFCTLGATSGYLKGNSAAFNLVGLFGRDETAVAADDIPNVSLSQAVVELYTDTAFAWSVGARAALWECGCATLGASFQYAQSKPKVEELNVLCNAAEFTINKPKGYVGQEFPLNIKAGTVSATDTKDASIDYHEWQASLALSYRLNMFTPYIGVKWSRASFDADTIRIAQPXLETSILXMTTWNPTISGSGIDVDTKITDTLQIVSLQLNKMKSRKSCGLAIGTTIVDADKYAVTVETRLIDERAAHVNAQFRF 2
WP_010904332.1 1 365 0.0926539726027397 hypothetical protein 365 0 18 296 3 Chlamydia muridarum str. Nigg WP_010904332.1 1 RefSeq MVSFDLSVTTTNIGAGYDDIQRMLNGVTCSSGGMGLLTPSACSPMSSFCSSNQPYSARDLKNRIHQFCQHSGPITGFYSLYNEKIMFEEALLVPTVLEAVESTFWISALSRLGGERPSTFDTVILSFFVGLISLVCGAMFVGIVSSAVKIYRLMQTMRQARTLNENVQRLLAPQATNMRSAFAKLKGIVASKALDQVEQGYRKFRNRMITSFVANALITIAFCALLASVILSAFFIGGASGCLMAAFFGCLGVGLGSLTIGMLVGIVSAICQRKHKQEAARCIQRGIFYSLILEQMQRFPKDFFRDPVAKSIMAIQAGEALDEGKLSWKEMPSITACLGREGLDAQAYSFISSSPLDGRIEEAFR
XP_001347636.1 1 267 0.618929588014981 PF07133.11:Merozoite_SPAM:237:267 merozoite surface protein 405 31 18 267 0 Plasmodium falciparum 3D7 XP_001347636.1 1 RefSeq MNKFLNIIFYIFLILNFSFFQSNATSKEIQKDEQKNLRNGSSINNNKNIENKNDNIETQYEASEYIEKQNDILNMYNDEKEKNNNNSLDTNVTKNTVIDNSNKFQSIEDNNVYNKGIFVGTGIKLNDSQTTSDNYKNERYQIDDEKLKYGGSFDTIFSGFVNLLTPSSPTQNDGSTGRNVPPPSEPNVDTPDPPTAPAPVKVPEDAKLSSSPRPEGPRANNRNENNQNTDPYNHYFAWEIGGGAPTYKPENNKNDNILLEHVKITSW 2
XP_001691627.1 1 576 0.483492881944444 Coiled-coil domain-containing protein 40 homolog; Flagellar-associated protein 172 576 0 18 576 0 Chlamydomonas reinhardtii (Chlamydomonas smithii) SwissProt::A8IQT2 1 SwissProt MADPMDQPSTSDPVDNQIFGEQGGLRPDHPLLRRAQEALKVQFEANRTRLQEELREKANALKQAKARREALGVELYGFQQNLAKLQLNLETTHQNYQCEDQLNQLKQQLSLEEGDTKGERSRRVCVCVCRVCCRIDTLQDNLKGTQQQLALVSAQLEAQKRETRAALETLAEAEVGGCVRDEALSAIQDGMREQQQQELSLVLEIEGYKKDVVREQLKHESLTAVVRKVEGDAVFVQKQIEGAQERQARLQEILAKLAKSLEHTEAEGEADAVDRAITKVAAEGRAIEEEMLSALSDQTTAEKATSKTAADTQELRKRIRAEELAVVETENELAKLQVDILNTEAHNSRLGETLGLLDEELRDKGRTIEKYELEIKRRNDEIEKKTREIDILNRRRDCRGSAALDTRPLQAPPPPQVKSDLALTTPMYTPPPVPQPSVGMTVTTEKLVSDMEKALTKREIISVKGRATAAKSKSSTPAGSATASSRASPSASVASSTLTRNQLDRATTDLAKSIKDLEAGRYRPVVEDAAAVGEELGRAQDKLGRVVALLEGLRQAAPHLAGELDKVLCHVADVRA
XP_005161160.1 1 241 0.622585062240664 POU domain, class 5, transcription factor 1 isoform X1 471 0 18 241 0 Danio rerio XP_005161160.1 1 RefSeq MTERAQSPTAADCRPYEVNRAMYPQAAGLDGLGGASLQFAHGMLQDPSLIFNKAHFNGITPATAQTFFPFSGDFKTNDLQGGDFTQPKHWYPFAAPEFTGQVAGATAATQPANISPPIGETREQIKMPSEVKTEKDVEEYGNEENKPPSQYHLTAGTSSVPTGVNYYTPWNPNFWPGLSQITAQANISQAPPTPSASSPSLSPSPPGNGFGSPGFFSGGTAQNIPSAQAQSAPRSSGSSSG
XP_005252627.1 1 1842 0.559871878393052 PF00626.22:Gelsolin:1504:1546 supervillin isoform X7 2256 43 18 1842 0 Homo sapiens XP_005252627.1 1 RefSeq MKRKERIARRLEGIENDTQPILLQSCTGLVTHRLLEEDTPRYMRASDPASPHIGRSNEEEETSDSSLEKQTRSKYCTETSGVHGDSPYGSGTMDTHSLESKAERIARYKAERRRQLAEKYGLTLDPEADSEYLSRYTKSRKEPDAVEKRGGKSDKQEESSRDASSLYPGTETMGLRTCAGESKDYALHVGDGSSDPEVLLNIENQRRGQELSATRQAHDLSPAAESSSTFSFSGRDSSFTEVPRSPKHAHSSSLQQAASRSPSFGDPQLSPEARPSTGKPKHEWFLQKDSEGDTPSLINWPSRVKVREKLVKEESARNSPELASESVTQRRHQPAPVHYVSFQSEHSAFDRVPSKAAGSTRQPIRGYVQPADTGHTAKLVTPETPENASECSWVASATQNVPKPPSLTVLEGDGRDSPVLHVCESKAEEEEGEGEGEEKEEDVCFTEALEQSKKTLLALEGDGLVRSPEDPSRNEDFGKPAVSTVTLEHQKELENVAQPPQAPHQPTERTGRSEMVLYIQSEPVSQDAKPTGHNREASKKRKVRTRSLSDFTGPPQLQALKYKDPASRRELELPSSKTEGPYGEISMLDTKVSVAQLRSAFLASANACRRPELKSRVERSAEGPGLPTGVERERGSRKPRRYFSPGESRKTSERFRTQPITSAERKESDRCTSHSETPTVDDEEKVDERAKLSVAAKRLLFREMEKSFDEQNVPKRRSRNTAVEQRLRRLQDRSLTQPITTEEVVIAATEPIPASCSGGTHPVMARLPSPTVARSAVQPARLQASAHQKALAKDQTNEGKELAEQGEPDSSTLSLAEKLALFNKLSQPVSKAISTRNRIDTRQRRMNARYQTQPVTLGEVEQVQSGKLIPFSPAVNTSVSTVASTVAPMYAGDLRTKPPLDHNASATDYKFSSSIENSDSPVRSILKSQAWQPLVEGSENKGMLREYGETESKRALTGRDSGMEKYGSFEEAEASYPILNRAREGDSHKESKYAVPRRGSLERANPPITHLGDEPKEFSMAKMNAQGNLDLRDRLPFEEKVEVENVMKRKFSLRAAEFGEPTSEQTGTAAGKTIAQTTAPVSWKPQDSSEQPQEKLCKNPCAMFAAGEIKTPTGEGLLDSPSKTMSIKERLALLKKSGEEDWRNRLSRRQEGGKAPASSLHTQEAGRSLIKKEEGGVADDSAISNLLWEPVYASTYSPAIPAAHKYLSFVSINQRVTESRESQMTIEERKQLITVREEAWKTRGRGAANDSTQFTVAGRMVKKGLASPTAITPVASPICGKTRGTTPVSKPLEDIEARPDMQLESDLKLDRLETFLRRLNNKVGGMHETVLTVTGKSVKEVMKPDDDETFAKFYRSVDYNMPRSPVEMDEDFDVIFDPYAPKLTSSVAEHKRAVRPKRRVQASKNPLKMLAAREDLLQEYTEQRLNVAFMESKRMKVEKMSSNSNFSEVTLAGLASKENFSNVSLRSVNLTEQNSNNSAVPYKRLMLLQIKGRRHVQTRLVEPRASALNSGDCFLLLSPHCCFLWVGEFANVIEKAKASELATLIQTKRELGCRATYIQTIEEGINTHTHAAKDFWKLLGGQTSYQSAGDPKEDELYEAAIIETNCIYRLMDDKLVPDDDYWGKIPKCSLLQPKEVLVFDFGSEVYVWHGKEVTLAQRKIAFQLAKHLWNGTFDYENCDINPLDPGECNPLIPRKGQGRPDWAIFGRLTEHNETILFKEKFLDWTELKRSNEKNPGELAQHKEDPRTDVKAYDVTRMVSMPQTTAGTILDGVNVGRGYGLVEGHDRRQFEITSVSVDVWHILEFDYSRLPKQSIGQFHEGDAYVVKWKFMVSTAVGSRQKGE
XP_005260309.1 839 1784 0.154241014799155 PF09324.10:DUF1981:328:409 brefeldin A-inhibited guanine nucleotide-exchange protein 2 isoform X1 1784 82 18 946 0 Homo sapiens XP_005260309.1 1 RefSeq KSTKQNVASEKQRRLLYNLEMEQMAKTAKALMEAVSHAKAPFTSATHLDHVRPMFKLVWTPLLAAYSIGLQNCDDTEVASLCLEGIRCAIRIACIFGMQLERDAYVQALARFSLLTASSSITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKTRYLSGSGREREGSLKGHTLAGEEFMGLGLGNLVSGGVDKRQMASFQESVGETSSQSVVVAVDRIFTGSTRLDGNAIVDFVRWLCAVSMDELASPHHPRMFSLQKIVEISYYNMNRIRLQWSRIWHVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKKNRSPTIRDMAIRCIAQMVNSQAANIRSGWKNIFAVFHQAASDHDGNIVELAFQTTCHIVTTIFQHHFPAAIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRFCGKYVSERPRVLQEYTSDDMNVAPGDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKSYGHTFEKHWWQDLFRIVFRIFDNMKLPEQLSEKSEWMTTTCNHALYAICDVFTQFYEALNEVLLSDVFAQLQWCVKQDNEQLARSGTNCLENLVISNGEKFSPEVWDETCNCMLDIFKTTIPHVLLTWRPVGMEEDSSEKHLDVDLDRQSLSSIDKNPSERGQSQLSNPTDDSWKGRPYANQKLFASLLIKCVVQLELIQTIDNIVFYPATSKKEDAEHMVAAQQDTLDADIHIETEDQGMYKYMSSQHLFKLLDCLQESHSFSKAFNSNYEQRTVLWRAGFKGKSKPNLLKQETSSLACCLRILFRMYVDENRRDSWEEIQQRLLTVCSEALAYFITVNSESHREAWTSLLLLLLTKTLKINDEKFKAHASMYYPYLCEIMQFDLIPELRAVLRKFFLRIGVVYKIWIPEEPSQVPAALSPVW
XP_005266079.1 1 286 0.060991958041958 PF03798.16:TRAM_LAG1_CLN8:68:251 Protein CLN8 286 184 18 171 5 Homo sapiens (Human) SwissProt::Q9UBY8 1 SwissProt MNPASDGGTSESIFDLDYASWGIRSTLMVAGFVFYLGVFVVCHQLSSSLNATYRSLVAREKVFWDLAATRAVFGVQSTAAGLWALLGDPVLHADKARGQQNWCWFHITTATGFFCFENVAVHLSNLIFRTFDLFLVIHHLFAFLGFLGCLVNLQAGHYLAMTTLLLEMSTPFTCVSWMLLKAGWSESLFWKLNQWLMIHMFHCRMVLTYHMWWVCFWHWDGLVSSLYLPHLTLFLVGLALLTLIINPYWTHKKTQQLLNPVDWNFAQPEAKSRPEGNGQLLRKKRP
XP_006252465.1 1 259 0.562837837837837 Krueppel-like factor 5 isoform X1 363 0 18 259 0 Rattus norvegicus XP_006252465.1 1 RefSeq MEKYLTPQLPPVPIISEHKKYRRDSASVVDQFFTDTEGLPYSINMNVFLPDITHLRTGLYKSQRPCVTQIKTEPVTIFSHQSESTAPPPPAPTQALPEFTSIFSSHQTTAPEVNNIFIKQELPIPDLHLSVPSQQGHLYQLLNTPDLDMPSSTNQTAVMDTLNVSMAGLNSHPSAVPQTSMKQFQGMPPCTYTMPSQFLPQQATYFPPSPPSSEPGSPDRQAEMLQNLTPPPSYAATIASKLAIHNPNLPATLPVNSPN
XP_006496925.1 354 837 0.605640082644627 Exonuclease 1; mExo1; Exonuclease I; EC 3.1.-.- 837 0 18 484 0 Mus musculus (Mouse) SwissProt::Q9QZ11 1 SwissProt SWNEKAGQKPPGTNSIWHKNYCPRLEVNSVSHAPQLKEKPSTLGLKQVISTKGLNLPRKSCVLKRPRNEALAEDDLLSQYSSVSKKIKENGCGDGTSPNSSKMSKSCPDSGTAHKTDAHTPSKMRNKFATFLQRRNEESGAVVVPGTRSRFFCSSQDFDNFIPKKESGQPLNETVATGKATTSLLGALDCPDTEGHKPVDANGTHNLSSQIPGNAAVSPEDEAQSSETSKLLGAMSPPSLGTLRSCFSWSGTLREFSRTPSPSASTTLQQFRRKSDPPACLPEASAVVTDRCDSKSEMLGETSQPLHELGCSSRSQESMDSSCGLNTSSLSQPSSRDSGSEESDCNNKSLDNQGEQNSKQHLPHFSKKDGLRRNKVPGLCRSSSMDSFSTTKIKPLVPARVSGLSKKSGSMQTRKHHDVENKPGLQTKISELWKNFGFKKDSEKLPSCKKPLSPVKDNIQLTPETEDEIFNKPECVRAQRAIFH
XP_006501993.1 162 400 0.677735146443515 pleckstrin homology domain-containing family O member 1 isoform X1 400 0 18 239 0 Mus musculus XP_006501993.1 1 RefSeq HLMAVASTSTSDGMLTLDLIQEEDPSPEEPASCAESFRVDLDKSVAQLAGSRRRADSDRIQPSSQRASSLSRPWEKPDKGAPYTPQALKKFPSTEKSRCASLEEILSQRDTAPARPLHLQAEESLPPVPAQPGQLSRIQDLVARKLEKTQELLAEVQGLGDGKRKAKDPPQSPPDSESEQLLLETERLLGEASSNWSQAKRVLQEVRELRDLYRQMDLQTPDSHLRQTSQHSQYRKSLM
XP_006509622.1 1 669 0.361256801195814 PF00041.21:fn3:469:554 interleukin-12 receptor subunit beta-1 isoform X3 669 86 18 669 0 Mus musculus XP_006509622.1 1 RefSeq MDMMGLAGTSKHITFLLLCQLGASGPGDGCCVEKTSFPEGASGSPLGPRNLSCYRVSKTDYECSWQYDGPEDNVSHVLWCCFVPPNHTHTGQERCRYFSSGPDRTVQFWEQDGIPVLSKVNFWVESRLGNRTMKSQKISQYLYNWTKTTPPLGHIKVSQSHRQLRMDWNVSEEAGAEVQFRRRMPTTNWTLGDCGPQVNSGSGVLGDIRGSMSESCLCPSENMAQEIQIRRRRRLSSGAPGGPWSDWSMPVCVPPEVLPQAKIKFLVEPLNQGGRRRLTMQGQSPQLAVPEGCRGRPGAQVKKHLVLVRMLSCRCQAQTSKTVPLGKKLNLSGATYDLNVLAKTRFGRSTIQKWHLPAQELTETRALNVSVGGNMTSMQWAAQAPGTTYCLEWQPWFQHRNHTHCTLIVPEEEDPAKMVTHSWSSKPTLEQEECYRITVFASKNPKNPMLWATVLSSYYFGGNASRAGTPRHVSVRNQTGDSVSVEWTASQLSTCPGVLTQYVVRCEAEDGAWESAEWLVPPTKTQVTLDGLRSRVMYKVQVRADTARLPGAWSHPQRFSFGPPGTCAHPCLHPVAALPWSSLAARASRLGSGATLRTSRRCCTREMRWWSRCPETEATGQSRPRPPLSAPWTQGGPWRLRGRGRCRHCQRPGAWAWLGRTVPVVTWPT
XP_006515695.1 265 767 0.467267395626242 homeobox protein SIX4 isoform X1 767 0 18 503 0 Mus musculus XP_006515695.1 1 RefSeq NPSETQSKSESDGNPSTEDESSKGHEDLSPHPLSGASDGVTNLSLSSHVEPVYMQQIGNAKISLSSSGVLLNGSLVPASTSPVFLNGNSFIQGHNGVILNGLNVGNTQTVSLNPPKMSSNIVGNGIAMTDILGSTSQDVKEFKVLQSSAVNSAATTSYSPSAPVSFPGLIPCTEVKREGIQTVASQDGGSVVTFTTPVQINQYGIVQIPNSGANGQFLNGSIGFSPLQLPPVSVAASQGNLSVTPSTSDGSTFTSEPATVQHGKLFLSPLTPSAVVYTVPNSGQTVGAVKQEGLERGLVFSQLMPVNHSAQVNASLSSENLSGSGLHPLTSSLVNVSAAHGFSLTPPTLLNPTELNPDLAESQPVSAPVASKCTVSSVSNTNYATLQNCSLIPGQDLLSGPMTQAALGEIVPTAEEQVSHASTAVHQDFVREQRLVLQSVPNIKENFLQNSENKATNNLMMLDSKSKYVLDGMVEAGCEDLGTDKKELAKLQTVQLDEDMQDL
XP_006518653.1 63 320 0.662741860465116 potassium channel subfamily K member 5 isoform X1 320 0 18 258 0 Mus musculus XP_006518653.1 1 RefSeq KVSMFVEVHKAIKKRRRRRKESFESSPHSRKALQMAGSTASKDVNIFSFLSKKEETYNDLIKQIGKKAMKTSGGGERVPGPGHGLGPQGDRLPTIPASLAPLVVYSKNRVPSLEEVSQTLKNKGHVSRPLGEEAGAQAPKDSYQTSEVFINQLDRISEEGEPWEALDYHPLIFQNANITFENEETGLSDEETSKSSVEDNLTSKEQPEQGPMAEAPLSSTGEFPSSDESTFTSTESELSVPYEQLMNEYNKADNPRGT
XP_006530561.1 1 163 0.10668527607362 PF15209.6:IL31:28:158 Interleukin-31; IL-31 163 131 18 140 1 Mus musculus (Mouse) SwissProt::Q6EAL8 1 SwissProt MIFHTGTTKPTLVLLCCIGTWLATCSLSFGAPISKEDLRTTIDLLKQESQDLYNNYSIKQASGMSADESIQLPCFSLDREALTNISVIIAHLEKVKVLSENTVDTSWVIRWLTNISCFNPLNLNISVPGNTDESYDCKVFVLTVLKQFSNCMAELQAKDNTTC
XP_006532556.1 1 616 0.685688961038961 PF15548.6:DUF4655:14:367,PF15548.6:DUF4655:368:509 septin-4 isoform X1 971 496 18 616 0 Mus musculus XP_006532556.1 1 RefSeq MASTQKATVYQVYKTNKNGSKVAVSSHRGAEVTTSTPQRGHGYYSSSQRATAAVSLSPPPLPSQRRAEATSTTHHSTSDYLHPVSPQPGPGLSAVSTSRGTETRTRIEVPCHHSPHHSPHHSPHHSPHRKNQSIQTMSSHLAGVHRNVSPVREESTRRTETRPGREVAHHSSTTSDAKCRHLYFTGEKEEDPPSKVQNPQGVKVPRRISAYPKDEAIQTEPTRRTTAEVRSSRNISVQEHGIRMANNPQIVIRKVPPQEPEVGHSSIYSEPKTSQKSTKLSSGLKLSVLRDLDGAPRAAPPRPERSVCIGTKPSPKILISEAENTMRSPTREREVTRKVTISPGKQSTQPPHRVTCRTVSEGSYKSPLYPELSTKPSTHVPSAFELTPRPLPPRSLPRYGPDCSWWALLNPKVETPPNHSSFDLEPKSPPPLDPLESFYEMDSTPFCEDLLFQRDKASLPPSPKDSLYRVPLTEVQKTPKYTSKQPTQGFNAFFLDVSEEMYNRILWWLKDEEIKHFLEDSSDDAELSKFVKDFPGSEPYHSAESKTRVARPQILEPRPQSPDLCDDDVEFRGSLWPQPSDSQQYFSAPAPLSPSSRPRSPWGKLDPYDSSEDDKE
XP_006714818.1 1 957 0.756824869383489 synaptopodin isoform X1 1147 0 18 957 0 Homo sapiens XP_006714818.1 1 RefSeq MLGPHLPPPPLAPSEGRPTPCAFQIPDGSYRCLALEAEESSGEEGLQGEVGPTDLEEDEGVSRSGDDSACRVTQGTPQLPKALGIQPPSCSREEQGASQHDDRASQDWDVVKAGQMMTASPSPGPGPRVAQKPALGRSTSLTEKDLKEAKARSQQIAAQLTTPPSSNSRGVQLFNRRRQRVNEFTLESHGQRGQKPSQESLRVLPSSLPGHAPGLSLSSTSLPEPGPPRHPSPQSPDRGVPGHSMEGYSEEASLLRHLEKVASEEEEVPLVVYLKENAALLTANGLHLSQNREAQQSSPAPPPAEVHSPAADVNQNLASPSATLTTPTSNSSHNPPATDVNQNPPATVVPQSLPLSSIQQNSSEAQLPSNGTGPASKPSTLCADGQPQAPAEEVRCSTLLIDKVSTPATTTSTFSREATLIPSSRPPASDFMSSSLLIDIQPNTLVVSADQEMSGRAAATTPTKVYSEVHFTLAKPPSVVNRTARPFGIQAPGGTSQMERSPMLERRHFGEKAPAPQPPSLPDRSPRPQRHIMSRSPMVERRMMGQRSPASERRPLGNFTAPPTYTETLSTAPLASWVRSPPSYSVLYPSSDPKSSHLKGQAVPASKTGILEESMARRGSRKSMFTFVEKPKVTPNPDLLDLVQTADEKRRQRDQGEVGVEEEPFALGAEASNFQQEPAPRDRASPAAAEEVVPEWASCLKSPRIQAKPKPKPNQNLSEASGKGAELYARRQSRMEKYVIESSSHTPELARCPSPTMSLPSSWKYPTNAPGAFRVASRSPARTPPASLYHGYLPENGVLRPEPTKQPPYQLRPSLFVLSPIKEPAKVSPRAASPAKPSSLDLVPNLPKGALPPSPALPRPSRSSPGLYTSPGQDSLQPTAVSPPYGGDISPVSPSRAWSPRAKQAPRPSFSTRNAGIEAQDRRESLPTSPPWTPGASRPPSSLDGWVSPGPWEPGRG
XP_006720465.1 326 1239 0.65126192560175 tau-tubulin kinase 2 isoform X3 1239 0 18 914 0 Homo sapiens XP_006720465.1 1 RefSeq ATPIPGDLLRENTDEVFPDEQLSDGENGIPVGVSPDKLPGSLGHPRPQEKDVWEEMDANKNKIKLGICKAATEEENSHGQANGLLNAPSLGSPIRVRSEITQPDRDIPLVRKLRSIHSFELEKRLTLEPKPDTDKFLETCLEKMQKDTSAGKESILPALLHKPCVPAVSRTDHIWHYDEEYLPDASKPASANTPEQADGGGSNGFIAVNLSSCKQEIDSKEWVIVDKEQDLQDFRTNEAVGHKTTGSPSDEEPEVLQVLEASPQDEKLQLGPWAENDHLKKETSGVVLALSAEGPPTAASEQYTDRLELQPGAASQFIAATPTSLMEAQAEGPLTAITIPRPSVASTQSTSGSFHCGQQPEKKDLQPMEPTVELYSPRENFSGLVVTEGEPPSGGSRTDLGLQIDHIGHDMLPNIRESNKSQDLGPKELPDHNRLVVREFENLPGETEEKSILLESDNEDEKLSRGQHCIEISSLPGDLVIVEKDHSATTEPLDVTKTQTFSVVPNQDKNNEIMKLLTVGTSEISSRDIDPHVEGQIGQVAEMQKNKISKDDDIMSEDLPGHQGDLSTFLHQEGKREKITPRNGELFHCVSENEHGAPTRKDMVRSSFVTRHSRIPVLAQEIDSTLESSSPVSAKEKLLQKKAYQPDLVKLLVEKRQFKSFLGDLSSASDKLLEEKLATVPAPFCEEEVLTPFSRLTVDSHLSRSAEDSFLSPIISQSRKSKIPRPVSWVNTDQVNSSTSSQFFPRPPPGKPPTRPGVEARLRRYKVLGSSNSDSDLFSRLAQILQNGSQKPRSTTQCKSPGSPHNPKTPPKSPVVPRRSPSASPRSSSLPRTSSSSPSRAGRPHHDQRSSSPHLGRSKSPPSHSGSSSSRRSCQQEHCKPSKNGLKGSGSLHHHSASTKTPQGKSKPASKLSR
XP_008770901.1 1 381 0.861016272965879 LOW QUALITY PROTEIN: egl nine homolog 1 isoform X1 627 0 18 381 0 Rattus norvegicus XP_008770901.1 1 RefSeq MRANANHLTILAEEVGESMSEDYRAASQDNKESPRPGARDRPPGSSERPRGRLSFRFLLRDDPRWPRHPERGPWAPTGRWSARRRARAPRPATRAPAEPRGGGRRRSGAPAARPGPVPRARGRPPSARAGRRGRVYVHRGASGSPQPAPCPRRPDQPAGPPARAVRRGRPSALIRARCGARRPGAKAGEAGRARPRAAGGPRRRPDGRPPPRSGRRPALPRPWPSDSGGPAVLSASERERQYCELCVKMRNLLRLRPRRSSQNIKLCCRSTSDQDGKKHKADGAQGGEAPRAQPAPAQPRVAPPPGGAPGAARAGGAARRGDSSTAASRVPGPEDATQAGSGPGPAEPSSEDPPPSRSPGPERASLCPAGGGPGEALSPSG
XP_011237860.1 1 220 0.0396968181818182 PF00001.21:7tm_1:3:168 prokineticin receptor 2 isoform X3 220 166 18 128 4 Mus musculus XP_011237860.1 1 RefSeq MNYQTASFLIALVWMVSILIAVPSAYFTTETILVIVKNQEKIFCGQIWSVDQQLYYKSYFLFVFGLEFVGPVVTMTLCYARISQELWFKAVPGFQTEQIRKRLRCRRKTVLLLMGILTAYVLCWAPFYGFTIVRDFFPTVVVKEKHYLTAFYVVECIAMSNSMINTICFVTVKNNTMKYFKKMLRLHWRPSHYGSKSSADLDLKTSGVPATEEVDCIRLK
XP_011508064.1 422 1562 0.100698422436459 adenylate cyclase type 10 isoform X2 1562 0 18 1095 2 Homo sapiens XP_011508064.1 1 RefSeq MFGMACLICNRKEDYPLLGRNKEINYFMYTMKKFLISNSSQVLMYEGLPGYGKSQILMKIEYLAQGKNHRIIAISLNKISFHQTFYTIQMFMANVLGLDTCKHYKERQTNLRNKVMTLLDEKFYCLLNDIFHVQFPISREISRMSTLKKQKQLEILFMKILKLIVKEERIIFIIDEAQFVDSTSWRFMEKLIRTLPIFIIMSLCPFVNIPCAAARAVIKNRNTTYIVIGAVQPNDISNKICLDLNVSCISKELDSYLGEGSCGIPFYCEELLKNLEHHEVLVFQQTESEEKTNRTWNNLFKYSIKLTEKLNMVTLHSDKESEEVCHLTSGVRLKNLSPPTSLKEISLIQLDSMRLSHQMLVRCAAIIGLTFTTELLFEILPCWNMKMMIKTLATLVESNIFYCFRNGKELQKALKQNDPSFEVHYRSLSLKPSEGMDHGEEEQLRELENEVIECHRIRFCNPMMQKTAYELWLKDQRKAMHLKCARFLEEDAHRCDHCRGRDFIPYHHFTVNIRLNALDMDAIKKMAMSHGFKTEEKLILSNSEIPETSAFFPENRSPEEIREKILNFFDHVLTKMKTSDEDIIPLESCQCEEILEIVILPLAHHFLALGENDKALYYFLEIASAYLIFCDNYMAYMYLNEGQKLLKTLKKDKSWSQTFESATFYSLKGEVCFNMGQIVLAKKMLRKALKLLNRIFPYNLISLFLHIHVEKNRHFHYVNRQAQESPPPGKKRLAQLYRQTVCLSLLWRIYSYSYLFHCKYYAHLAVMMQMNTALETQNCFQIIKAYLDYSLYHHLAGYKGVWFKYEVMAMEHIFNLPLKGEGIEIVAYVAETLVFNKLIMGHLDLAIELGSRALQMWALLQNPNRHYQSLCRLSRCLLLNSRYPQLIQVLGRLWELSVTQEHIFSKAFFYFVCLDILLYSGFVYRTFEECLEFIHQYENNRILKFHSGLLLGLYSSVAIWYARLQEWDNFYKFSNRAKNLLPRRTMTLTYYDGISRYMEGQVLHLQKQIKEQSENAQASGEELLKNLENLVAQNTTGPVFCPRLYHLMAYVCILMGDGQKCGLFLNTALRLSETQGNILEKCWLNMNKESWYSTSELKEDQWLQTILSLPSWEKIVAGRVNIQDLQKNKFLMRANTVDNHF
XP_011523423.2 1 417 0.366960191846523 PF05510.13:Sarcoglycan_2:65:258,PF05510.13:Sarcoglycan_2:284:353 alpha-sarcoglycan isoform X2 417 264 18 394 1 Homo sapiens XP_011523423.2 1 RefSeq MSARRVSIPDLVHAPGGEGRLTLGPSCPEPPPSFPPLLPAPCLCHSPGGPGRAAMAETLFWTPLLVVLLAGLGDTEAQQTTLHPLVGRVFVHTLDHETFLSLPEHVAVPPAVHITYHAHLQGHPDLPRWLRYTQRSPHHPGFLYGSATPEDRGLQVIEVTAYNRDSFDTTRQRLVLEIGDPEGPLLPYQAEFLVRSHDAEEVLPSTPASRFLSALGGLWEPGELQLLNVTSALDRGGRVPLPIEGRKEGVYIKVDKSVPEPADEVPTPGDGILEHDPFFCPPTEAPDRDFLVDALVTLLVPLLVALLLTLLLAYVMCCRREGRLKRDLATSDIQMVHHCTIHGNTEELRQMAASREVPRPLSTLPMFNVHTVVPGPALTSSSLLCPHHEWHIPPADSSSWPSWNPGSKQAGRGGGVG
XP_016858256.1 1 309 0.511170873786408 PF00017.24:SH2:101:186 SH2 domain-containing protein 2A isoform X7 309 86 18 309 0 Homo sapiens XP_016858256.1 1 RefSeq MEFPLAQICPQALFVLTGSHEAPIPTFSTFQITDMTRRSCQNLGYTAASPQAPEAASNTGNAERAEEVPGEGSLFLQAETRAWFQKTQAHWLLQHGAAPAWFHGFITRRVRPPLSVTHREAERLLEPKPQGCYLVRFSESAVTFVLTYRSRTCCRHFLLAQLRDGRHVVLGEDSAHARLQDLLLHYTAHPLSPYGETLTEPLARQTPEPAGLSLRTEESNFGSKSQDPNPQYSPIIKQGQAPVPMQKEGAGEKENTGGSQLHSENSVIGQGPPLPHQPPPAWRHTLPHNLSRQVLQDRGQAWLPLGPPQ
XP_016865213.1 1 290 0.246626896551724 PF04790.13:Sarcoglycan_1:24:277 delta-sarcoglycan isoform X1 290 254 18 267 1 Homo sapiens XP_016865213.1 1 RefSeq MMPQEQYTHHRSTMPGSVGPQVYKVGIYGWRKRCLYFFVLLLMILILVNLAMTIWILKVMNFTIDGMGNLRITEKGLKLEGDSEFLQPLYAKEIQSRPGNALYFKSARNVTVNILNDQTKVLTQLITGPKAVEAYGKKFEVKTVSGKLLFSADNNEVVVGAERLRVLGAEGTVFPKSIETPNVRADPFKELRLESPTRSLVMEAPKGVEINAEAGNMEATCRTELRLESKDGEIKLDAAKIRLPRLPHGSYTPTGTRQKVFEICVCANGRLFLSQAGAGSTCQINTSVCL
XP_016871426.1 1 338 0.329594082840237 protein ZNF365 isoform X1 338 0 18 338 0 Homo sapiens XP_016871426.1 1 RefSeq MQQKAFEESRYPWQESFENVAVCLPLRCPRCGDHTRFRSLSSLRAHLEFSHSYEERTLLTKCSLFPSLKDTDLVTSSELLKPGKLQSSGNVVKQKPSYVNLYSISHEHSKDRKPFEVVAERPVSYVQTYTAMDLHADSLDGTRSGPGLPTSDTKASFEAHVREKFNRMVEAVDRTIEKRIDKLTKELAQKTAELLEVRAAFVQLTQKKQEVQRRERALNRQVDVAVEMIAVLRQRLTESEEELLRKEEEVVTFNHFLEAAAEKEVQGKARLQDFIENLLQRVELAEKQLEYYQSQQASGFVRDLSGHVSWKGAGEARLVCQNDLELEGNNKLPANVDF
XP_016871648.1 1 307 0.808999348534202 B-cell linker protein isoform X2 433 0 18 307 0 Homo sapiens XP_016871648.1 1 RefSeq MDSSPCALLARRTSLGQLQKMVHDIKNNEGGIMNKIKKLKVKAPPSVPRRDYASESPADEEEQWSDDFDSDYENPDEHSDSEMYVMPAEENADDSYEPPPVEQETRPVHPALPFARGEYIDNRSSQRHSPPFSKTLPSKPSWPSEKARLTSTLPALTALQKPQVPPKPKGLLEDEADYVVPVEDNDENYIHPTESSSPPPEKGRNSGAWETKSPPPAAPSPLPRAGKKPTTPLKTTPVASQQNASSVCEEKPIPAERHRGSSHRQEAVQSPVFPPAQKQIHQKPIPLPRFTEGGNPTVDGPLPSFSS
XP_016873400.1 1 653 0.322615926493109 cGMP-inhibited 3',5'-cyclic phosphodiesterase B isoform X1 1074 0 18 540 5 Homo sapiens XP_016873400.1 1 RefSeq MRRDERDAKAMRSLQPPDGAGSPPESLRNGYVKSCVSPLRQDPPRGFFFHLCRFCNVELRPPPASPQQPRRCSPFCRARLSLGALAAFVLALLLGAEPESWAAGAAWLRTLLSVCSHSLSPLFSIACAFFFLTCFLTRTKRGPGPGRSCGSWWLLALPACCYLGDFLVWQWWSWPWGDGDAGSAAPHTPPEAAAGRLLLVLSCVGLLLTLAHPLRLRHCVLVLLLASFVWWVSFTSLGSLPSALRPLLSGLVGGAGCLLALGLDHFFQIREAPLHPRLSSAAEEKVPVIRPRRRSSCVSLGETAASYYGSCKIFRRPSLPCISREQMILWDWDLKQWYKPHYQNSGGGNGVDLSVLNEARNMVSDLLTDPSLPPQVISSLRSISSLMGAFSGSCRPKINPLTPFPGFYPCSEIEDPAEKGDRKLNKGLNRNSLPTPQLRRSSGTSGLLPVEQSSRWDRNNGKRPHQEFGISSQGCYLNGPFNSNLLTIPKQRSSSVSLTHHVGLRRAGVLSSLSPVNSSNHGPVSTGSLTNRSPIEFPDTADFLNKPSVILQRSLGNAPNTPDFYQQLRNSDSNLCNSCGHQMLKYVSTSESDGTDCCSGKSGEEENIFSKESFKLMETQQEEETEKKDSRKLFQEGDKWLTEEAQSEQQTNI
XP_016877428.1 51 129 0.084953164556962 pro-neuregulin-4, membrane-bound isoform isoform X1 129 0 18 56 1 Homo sapiens XP_016877428.1 0 RefSeq PGSSIQTKSNLFEAFVALAVLVTLIIGAFYFLCRKGHFQRASSVQYDINLVETSSTSAHHSIHWGLGMYPPWRRGDYCT
XP_016882908.1 234 560 0.2920874617737 sialic acid-binding Ig-like lectin 5 isoform X1 560 0 18 304 1 Homo sapiens XP_016882908.1 1 RefSeq YAPQTITIFRNGIALEILQNTSYLPVLEGQALRLLCDAPSNPPAHLSWFQGSPALNATPISNTGILELRRVRSAEEGGFTCRAQHPLGFLQIFLNLSVYSLPQLLGPSCSWEAEGLHCRCSFRARPAPSLCWRLEEKPLEGNSSQGSFKVNSSSAGPWANSSLILHGGLSSDLKVSCKAWNIYGSQSGSVLLLQGRSNLGTGVVPAALGGAGVMALLCICLCLIFFLICTVLVSFLRVKARRKQAAGRPEKMDDEDPIMGTITSGSRKKPWPDSPGDQASPPGDAPPLEEQKELHYASLSFSEMKSREPKDQEAPSTTEYSEIKTSK
XP_235497.6 399 928 0.657228867924528 MKL/myocardin-like protein 1 isoform X6 928 0 18 530 0 Rattus norvegicus XP_235497.6 1 RefSeq TTSVLSKAGEVVVAFPAALLSTGSALVTAGLAPAEMVVATVTSNGMVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDAFGEMVTSPLTQLTLQASPLQIVKEEGARAASCCLSPGARAELEGLDKDQMLQEKDKQIEELTRMLQQKQQLVELLRLQLEQQKRAQQPAPASSPVKRESSFSSCQLSCQPQGAARAFGPGLVVPTTNHGDAQAPAPESPPVVVKQEAGPPEPDLAPASQLLLGSQGTSFLKKVSPPTLVTDSTGTHLILTVTNKSADGPGLPTGSPQQPLSQPGSPAPGPPAQMDLEHPPQPSFATPTSLLKKEPPGYEETVTQQPKQQENGSSSQHMDDLFDILIQSGEISADFKEPPSLPGKEKSPPAEAYGPPLTPQPSPLSELPQAAPPPGSPTLPGRLEDFLESSTGLPLLTSGHEGPEPLSLIDDLHSQMLSSSAILDHPPSPMDTSELHFAPEPSSGMGLDLAVGHLDSMDWLELSSGGPVLSLAPLSTTAPSLFSMDFLDGHDLQLHWDSCL
XP_748685.1 1 235 0.226545531914894 PF13302.7:Acetyltransf_3:13:158,PF00583.25:Acetyltransf_1:64:157 FsC-acetyl coenzyme A-N(2)-transacetylase; Siderophore biosynthesis protein H; EC 2.3.1.- 235 146 18 235 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WF30 1 SwissProt MTIKAQPTLHTARLELVPLGHEHREFTMKLDMDPEVMKMVAFGRPFTEDEAIQVHTWLMNCATSVPGFGTWVGFAEGEFVGWWVLAPVPTTENPKSFRTDRTEYGFRVSPKFWGQGYAKEGAREMVRYAFEELGLAEVIGETMTINMASRAVMAGCGLTHVETFFNKYDTPPPGIEEGEVRYSITREEWLRMQKPSMTRSRWFPAFASWLPRLLLSRLWSYIFQGRRLAAGAASP
XP_963801.1 203 579 0.0866 PF00083.24:Sugar_tr:3:348 MFS lactose permease 579 346 18 198 8 Neurospora crassa OR74A XP_963801.1 1 RefSeq RCTGFYNCGWFGGSIPAACITYGCYFIKSNWSWRIPLILQAFTCLIVMSSVFFLPESPRFLFANGRDAEAVAFLVKYHGNGDPNSKLVLLETEEMRDGIRTDGVDKVWWDYRPLFMTHSGRWRMAQVLMISIFGQFSGNGLGYFNTVIFKNIGVTSTSQQLAYNILNSVISAIGALTAVSMTDRMPRRAVLIIGTFMCAAALATNSGLSATLDKQTQRGTQINLNQGMNEQDAKDNAYLHVDSNYAKGALAAYFLFNVIFSFTYTPLQGVIPTEALETTIRGKGLALSGFIVNAMGFINQFAGPIALHNIGYKYIFVFVGWDLIETVAWYFFGVESQGRTLEQLEWVYDQPNPVKASLKVEKVVVQADGHVSEAIVA
ecocyc::G7900-MONOMER 1 442 0.274535972850679 PF13006.7:Nterm_IS4:19:109,PF01609.21:DDE_Tnp_1:128:352 KpLE2 phage-like element; IS4 putative transposase 442 316 18 442 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7900-MONOMER 1 ecocyc MHIGQALDLVSRYDSLRNPLTSLGDYLDPELISRCLAESGTVTLRKRRLPLEMMVWCIVGMALERKEPLHQIVNRLDIMLPGNRPFVAPSAVIQARQRLGSEAVRRVFTKTAQLWHNATPHPHWCGLTLLAIDGVFWRTPDTPENDAAFPRQTHAGNPALYPQVKMVCQMELTSHLLTAAAFGTMKNSENELAEQLIEQTGDNTLTLMDKGYYSLGLLNAWSLAGEHRHWMIPLRKGAQYEEIRKLGKGDHLVKLKTSPQARKKWPGLGNEVTARLLTVTRKGKVCHLLTSMTDAMRFPGGEMGDLYSHRWEIELGYREIKQTMQRSRLTLRSKKPELVEQELWGVLLAYNLVRYQMIKMAEHLKGYWPNQLSFSESCGMVMRMLMTLQGASPGRIPELMRDLASMGQLVKLPTRRERAFPRVVKERPWKYPTAPKKSQSVA
CharProtDB::CH_122110 61 821 0.351462023653088 regulatory protein alcR 821 0 17 761 0 Emericella nidulans CharProtDB::CH_122110 1 CharProtDB AKGAAPRARTKKARTATTTSEPSTSAATIPTPESDNHDAPPVINSHDALPSWTQGLLSHPGDLFDFSHSAIPANAEDAANVQSDAPFPWDLAIPGDFSMGQQLEKPLSPLSFQAVLLPPHSPNTDDLIRELEEQTTDPDSVTDTNSVQQVAQDGSLWSDRQSPLLPENSLCMASDSTARRYARSTMTKNLMRIYHDSMENALSCWLTEHNCPYSDQISYLPPKQRAEWGPNWSNRMCIRVCRLDRVSTSLRGRALSAEEDKAAARALHLAIVAFASQWTQHAQRGAGLNVPADIAADERSIRRNAWNEARHALQHTTGIPSFRVIFANIIFSLTQSVLDDDEQHGMGARLDKLLENDGAPVFLETANRQLYTFRHKFARMQRRGKAFNRLPGGSVASTFAGIFETPTPSSESPQLDPVVASEEHRSTLSLMFWLGIMFDTLSAAMYQRPLVVSDEDSQISSASPPRRGAETPINLDCWEPPRQVPSNQEKSDVWGDLFLRTSDSLPDHESHTQISQPAARWPCTYEQAAAALSSATPVKVLLYRRVTQLQTLLYRGASPARLEAAIQRTLYVYNHWTAKYQPFMQDCVANHELLPSRIQSWYVILDGHWHLAAMLLADVLESIDRDSYSDINHIDLVTKLRLDNALAVSALARSSLRGQELDPGKASPMYRHFHDSLTEVAFLVEPWTVVLIHSFAKAAYILLDCLDLDGQGNALAGYLQLRQNCNYCIRALQFLGRKSDMAALVAKDLERGLNGKVDSFL
CharProtDB::CH_122768 331 883 0.638172513562387 PF05792.13:Candida_ALS:35:66,PF05792.13:Candida_ALS:71:102,PF05792.13:Candida_ALS:108:139,PF05792.13:Candida_ALS:144:175,PF05792.13:Candida_ALS:180:211,PF05792.13:Candida_ALS:216:247,PF05792.13:Candida_ALS:252:283,PF05792.13:Candida_ALS:288:319,PF05792.13:Candida_ALS:324:355,PF05792.13:Candida_ALS:360:391,PF05792.13:Candida_ALS:396:427,PF05792.13:Candida_ALS:432:463,PF05792.13:Candida_ALS:468:499,PF05792.13:Candida_ALS:504:533 adhesin, agglutinin-like ALS3 protein 883 446 17 553 0 Candida albicans CharProtDB::CH_122768 1 CharProtDB TRTVTDSTTAVTTLPFDPNRDKTKTIEILKPIPTTTITTSYVGVTTSYSTKTAPIGETATVIVDIPYHTTTTVTSKWTGTITSTTTHTNPTDSIDTVIVQVPSPNPTVTTTEYWSQSFATTTTITGPPGNTDTVLIREPPNHTVTTTEYWSESYTTTSTFTAPPGGTDSVIIKEPPNPTVTTTEYWSESYTTTTTVTAPPGGTDTVIIREPPNHTVTTTEYWSQSYTTTTTVIAPPGGTDSVIIREPPNPTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSYTTTTTVIAPPGGTDSVIIKEPPNPTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSYATTTTITAPPGETDTVLIREPPNHTVTTTEYWSQSFATTTTVTAPPGGTDTVIIREPPNHTVTTTEYWSQSFATTTTVTAPPGGTDTVLIREPPNPTVTTTEYWSQPYTTTTTVIAPPGGTDTVIIYDTMSSSEISSFSRPHYTNHT
CharProtDB::CH_122805 1 534 0.4005 PF02200.16:STE:45:154 STE-like transcription factor 656 110 17 534 0 Candida albicans CharProtDB::CH_122805 1 CharProtDB MSITKTYNGDPTSLVPTQSVKESLRLIEDLKFFLATAPANWQENQVIRRYYLNHDEGFVSCVYWNNLYFITGTDIVRCIVYKFEHFGRKIIDRKKFEEGIFSDLRNLKCGADAILEPPRSEFLEFLFKNSCLRTQKKQKVFFWFNVPHDKLMADALERDLKKEKMGQRPTTMAHREPALSFHYDESSSLYTQLGKHMETQKRINDAATSSTSNTATTLTDTGVSSGLNNTTSGGGSDSATSTHNNNEASTKPSNGSEKSSPEYTTTARGRDEFGFLNEATPSQYKANSDYEDDFPLDYINQTTQNSEDYITLDANYQAGSYANMIEDNYDSFLDATLFIPPSLGVPTGTAATATTSNQVAFNDEYLIEQAQPIRTPLPPISSSTISGLLQPKSAAKFFSLQSANGGEEFFPAYQNDPSTANAGFVPPISAKYATQFATRQVATPTYIKAIPQTGAAAATGNGGQPQQYYDQATGNAFYPAEIPVSYNVVHPESEYWTNNSGAVATTAAATAPMYDASGFPIPINQSYMVMNEHE
NP_000397.1 1 205 0.0874921951219512 PF00001.21:7tm_1:73:185 Gonadotropin-releasing hormone receptor; GnRH receptor; GnRH-R 328 113 17 116 4 Homo sapiens (Human) SwissProt::P30968 0 SwissProt MANSASPEQNQNHCSAINNSIPLMQGNLPTLTLSGKIRVTVTFFLFLLSATFNASFLLKLQKWTQKKEKGKKLSRMKLLLKHLTLANLLETLIVMPLDGMWNITVQWYAGELLCKVLSYLKLFSMYAPAFMMVVISLDRSLAITRPLALKSNSKVGQSMVGLAWILSSVFAGPQLYIFRMIHLADSSGQTKVFSQCVTHCSFSQW
NP_001001806.1 223 484 0.58051603053435 mRNA decay activator protein ZFP36L2; Butyrate response factor 2; TPA-induced sequence 11d; Zinc finger protein 36, C3H1 type-like 2; ZFP36-like 2 484 0 17 262 0 Mus musculus (Mouse) SwissProt::P23949 1 SwissProt RRPAPSGGGGASGDLRAFGARDALHLGFAREPRPKLHHSLSFSGFPSGHHQPPGGLESPLLLDSPTSRTPPPPSSSASSCSSSASSCSSASAASTPSGAPTCCATAAAAALLYGPGGAEDLLSPGAPCASCSSSGANNAFAFGPELSSLITPLAIQTHNFAAAAAAAYYRNQQQGLTGPAPPPAQPPAAPAPPSPPFGFQLPRRLSESPVFDAPPSPPDSLSDRDSYLSGSLSSGSLSGSESPSLDPGRRLPIFSRLSISDD
NP_001010833.2 140 1502 0.837625311812179 mediator of DNA damage checkpoint protein 1 1708 0 17 1363 0 Mus musculus NP_001010833.2 1 RefSeq VPRSLLTIEKTPRIRIESQNSRVLLAADSEEEGDFPSGRCVANGQRNTASPSATVVPESDEEVSSPAPSVPGPSSPFGLGSDTDEEQGQQPGVEESSLADSSGAAGEAEQPEANGTTAGIQAQPTEHKLKDTKVKKEAGRAGVSDGSVLERSPTLGEDSDTEVDEDHKPGFADSETDVEEERIPVTPPVAPVKKNQVLLAVGIGDPEAPGVAHLQDCLAGSGTDVEDKTALDVPLERNHTPMVINSDTDEEEEEEEEEVSAALTLAHLKERGIGLWSRDPGAEEVKSQPQVLVEQSQSASGRDSDTDVEEESSGRKREIIPDSPMDVDEALTVTQPESQPPRRPNDADEYMDMSSPGSHLVVNQASFAVVGKTRAQVEEEVPGPSVILGEKHQVPLEGAQPPEEAWETAVQEGSSSPEAAASVRPSQQPVAEDAGTECATAVSEQESTLEVRSQSGSPAAPVEQVVIHTDTSGDPTLPQREGAQTPTGREREAHVGRTKSAKECCDAEPEDLCLPATQCFVEGESQHPEAVQSLENEPTQLFPCTLPQEPGPSHLSLQTPGADTLDVPWEVLATQPFCLREQSETSELHEAHGSQPSLPREPPGHQHLVHTSPVHTELLRIEGREIQTVEKAMGIPKEMADRMTPEREPLEREIRGRTENSERDVIGEELIQGTKDREPKKVLARDSQRKEADKDLEGNRESLEVEIEMSKDSQKRERKVEKPEPKREWEPADLEVTPDRGVTEEGSHDQKGQIASLTLKPGVGVKDLEGLASAPIITGSQADGGKGDPLSPGRQQRGRLSCQTTPAGKASRGDPEPPDHCLFSSVPEASTQSLLTSQSQKQSTPQPLFSTSSSEIPLPESLHTKPNVRPRRSSRMTPSPHSSAALKPNTTCPTNQPAASRPTSRPTRGRANRSSTRTPELIVPVDPELQPSTSTEQPVIPKLTSQVTEGRVQMPEPLLTGPEIQSPTSTEQSVTPDRKPRATRGRPSKSPNKTPEPLISTGPELQPPTSIEQPVIPKPTSRVTRGRPRKSSVRTPESVVSTGPELQPLTSIEQPVIPEPRATRGRPSKSSIKTPESVVPTGPELQPLTSAKQPVTPNLTSRASRGRSSKSIRTPEPVVQTGPEFHPSTSTEQPDTREPSSQARTRRSAVKTPEASVPTTPELQPFTSKKQPAPKPTALVTQGRTYKPSTEDCESVGPVAPDFEPSTSTDHLVTPKVTDQSLTLQSSPLSASPVSSTPDLKPPVPIAQPVTPEPIPQANHQRKRRAAGKQGSRTVPLGHKSYSALSEPEPQSSASQSSGASEADSPRQKRPRRQASQKTVVIKEEPVETEVKEEPQETAIPTPEKRKRDHAEEVTQGKPTRSR
NP_001015055.1 1 563 0.39540781527531 PF08174.11:Anillin:117:270,PF00169.29:PH:319:411 Rhotekin 563 247 17 563 0 Homo sapiens (Human) SwissProt::Q9BST9 1 SwissProt MFSRNHRSRVTVARGSALEMEFKRGRFRLSLFSDLPEDTELQRKLDHEIRMREGACKLLAACSQREQALEATKSLLVCNSRILSYMGELQRRKEAQVLGKTSRRPSDSGPPAERSPCRGRVCISDLRIPLMWKDTEYFKNKGDLHRWAVFLLLQLGEHIQDTEMILVDRTLTDISFQSNVLFAEAGPDFELRLELYGACVEEEGALTGGPKRLATKLSSSLGRSSGRRVRASLDSAGGSGSSPILLPTPVVGGPRYHLLAHTTLTLAAVQDGFRTHDLTLASHEENPAWLPLYGSVCCRLAAQPLCMTQPTASGTLRVQQAGEMQNWAQVHGVLKGTNLFCYRQPEDADTGEEPLLTIAVNKETRVRAGELDQALGRPFTLSISNQYGDDEVTHTLQTESREALQSWMEALWQLFFDMSQWKQCCDEIMKIETPAPRKPPQALAKQGSLYHEMAIEPLDDIAAVTDILTQREGARLETPPPWLAMFTDQPALPNPCSPASVAPAPDWTHPLPWGRPRTFSLDAVPPDHSPRARSVAPLPPQRSPRTRGLCSKGQPRTWLQSPV
NP_001022880.2 369 1110 0.618225202156334 Sex-determining transformer protein 1; Hermaphrodization of XO animals protein 2 1110 0 17 742 0 Caenorhabditis elegans SwissProt::P34708 1 SwissProt KAKKSRPANYSNRRRPDHRLAPPTGAMSHPYLATPNSGASVVAHSSVHQQNFINMALAQHHHNAQRAQQLMAATGNVMPMMDPASAAAAAQAQAHHQAQAQMLQTHMMQQAQIQAAAQMQAQVQHQAAMQAHAMQQAQMVLQNNLLGAQSLLSPFSPLLPPSRAPNVMAMLQTPPTPTSVAPMFDIMTSRAPMAPVVSAPTAPAPLVPAPVPASPVFDELREQMREVEPLQQQQQQEPMDQDLQDIRVDGDSDDEDEEEPRTPSGALLLPRGGNNGDGGFGGSGSSRASSGSGTMELSAAPISQNGSRASGSGERGMRSFLIADILQLAADFQNERLLSDVLDLAIFDTRDVRSLHNIYQVYIRAHKAIPITRRPLDWNETHQLHNLYHDPRFNRAEHQDSPAIRDRDTRFWRTIAEANTMRQRQIEPVPLDDDDEGYFDEMVHRVQNGRLNEQFMEGFESDDDDGFEDEDDVPGLGIAVYRGRRRVRREALKQANLDIQEAETAGRNVGGFGDEEDRNNRGHDQDRSFLDHYYPPMVVVVETESPQIVRDQEMMRQFEEAKKNVETDEIKKRAEAMQFGTSSSHHHTKTLLIQRALFDKTSSVRRSLLQFITISVDQEELRQSCHATSAPQGAHVVHNVVDEFDSIMRAQEDSNNRILLSLDIPAPSAVTGVSGSITHADNSALQLQQEQPTSSFSSWFPEDDPIYALPPPPPPPAPPRRRRSADNKDDSENIPKKPRHQF
NP_001027580.1 1 382 0.650501047120418 Transcription factor kayak, isoforms D/sro; AP-1; Fos-related antigen; Dfos; dFra 722 0 17 382 0 Drosophila melanogaster (Fruit fly) SwissProt::A8MPH9 1 SwissProt MIALKATEMQHNNNALQQQQQLQHQLLQQHQQQHQQQLQQQLNSPDNNYIWATTHNANISRNNAMLQLQQQQLRAPWITDCNKQHHINNNNSMNVNYNQQLTQQPQQQQQQTQYMQHNYNNYTQQQQQQHLVPATTSQSNSHFYQCNQQQQQQQFLAPTTTTAAVVVAAAHQQHQTQQQHQSQQQQQHQRQDYASLQMGRQLGNFETGQSVLTLTTPTLTPTTTRNIEDTLGHLLSDTQTDRVAGCAGFAVPKVLPNAIDVLGMGIPTGVSSLPLQQTFDLSLGQGSESEDSNASYNDTQMNEEQDTTDTSSAHTDSTSYQAGHIMAGSVNGGGVNNFSNVLAAVSSSRGSASVGSSNANTSNTPARRGGGRRPNRSTNMTP
NP_001034707.1 1 354 0.632661581920903 PF00170.21:bZIP_1:217:264 CREB/ATF bZIP transcription factor; Host cell factor-binding transcription factor Zhangfei; HCF-binding transcription factor Zhangfei 354 48 17 354 0 Homo sapiens (Human) SwissProt::Q9NS37 1 SwissProt MRHSLTKLLAASGSNSPTRSESPEPAATCSLPSDLTRAAAGEEETAAAGSPGRKQQFGDEGELEAGRGSRGGVAVRAPSPEEMEEEAIASLPGEETEDMDFLSGLELADLLDPRQPDWHLDPGLSSPGPLSSSGGGSDSGGLWRGDDDDEAAAAEMQRFSDLLQRLLNGIGGCSSSSDSGSAEKRRRKSPGGGGGGGSGNDNNQAATKSPRKAAAAAARLNRLKKKEYVMGLESRVRGLAAENQELRAENRELGKRVQALQEESRYLRAVLANETGLARLLSRLSGVGLRLTTSLFRDSPAGDHDYALPVGKQKQDLLEEDDSAGGVCLHVDKDKVSVEFCSACARKASSSLKM
NP_001036253.1 1 390 0.699734871794872 ventral nervous system defective, isoform B 577 0 17 390 0 Drosophila melanogaster NP_001036253.1 1 RefSeq MSNYNPNCGYFEDCSYYTNNVFQQDYCMQTDYEYNKHVYDLFDAKVPSSQRSGFHISDILNLEGSELKNAAAAAAAAAHHGSDLSHHSASESTSGHRGQGSHTSPSALSPTPAGVSADEHHNGSGTGGGAGEADHHSTTEHHAPPSHPQQQHPHHQQHHHPHLLLPQQHHQQAVAPLPLAHHQSGEAQSHAHANAAAAHLLASHNAAAAAAVAAGQYLPNLPKNFPGSFGDEMSSYHHMAQTMLQHSGRSAWIKENELYGTQQPASPDSTSPVTSEVSYTYIGSNCQTSPALSGDYKSYSRSADSDALSVGDALHTLHGSSGNGSAGGAPTAHALHNNNNNTTNNNNHSLKAEGINGAGSGHDDSLNEDGIEEDIDDVDDADGSGGGDAN
NP_001077742.1 310 1138 0.140560796139928 PF00931.22:NB-ARC:8:113 LRR and NB-ARC domains-containing disease resistance protein 1138 106 17 829 0 Arabidopsis thaliana NP_001077742.1 1 RefSeq VAPTNTKYFNFKPECLKTDDSWKLFQRIAFPINDASEFEIDEEMEKLGEKMIEHCGGLPLAIKVLGGMLAEKYTSHDWRRLSENIGSHLVGGRTNFNDDNNNSCNYVLSLSFEELPSYLKHCFLYLAHFPEDYEIKVENLSYYWAAEEIFQPRHYDGEIIRDVGDVYIEELVRRNMVISERDVKTSRFETCHLHDMMREVCLLKAKEENFLQITSNPPSTANFQSTVTSRRLVYQYPTTLHVEKDINNPKLRSLVVVTLGSWNMAGSSFTRLELLRVLDLVQAKLKGGKLASCIGKLIHLRYLSLEYAEVTHIPYSLGNLKLLIYLNLHISLSSRSNFVPNVLMGMQELRYLALPSLIERKTKLELSNLVKLETLENFSTKNSSLEDLRGMVRLRTLTIELIEETSLETLAASIGGLKYLEKLEIDDLGSKMRTKEAGIVFDFVHLKRLRLELYMPRLSKEQHFPSHLTTLYLQHCRLEEDPMPILEKLLQLKELELGHKSFSGKKMVCSSCGFPQLQKLSISGLKEWEDWKVEESSMPLLLTLNIFDCRKLKQLPDEHLPSHLTAISLKKCGLEDPIPTLERLVHLKELSLSELCGRIMVCTGGGFPQLHKLDLSELDGLEEWIVEDGSMPRLHTLEIRRCLKLKKLPNGFPQLQNLHLTEVEEWEEGMIVKQGSMPLLHTLYIWHCPKLPGEQHFPSHLTTVFLLGMYVEEDPMRILEKLLHLKNVSLFQSFSGKRMVCSGGGFPQLQKLSIREIEWEEWIVEQGSMPLLHTLYIGVCPNLKELPDGLRFIYSLKNLIVSKRWKKRLSEGGEDYYKVQHIPSVEFDD
NP_001079265.1 1 296 0.409418243243243 PF00688.18:TGFb_propeptide:50:185 Nodal homolog; Nodal-related protein 1; Xnr-1; Xnr1; nr-1 406 136 17 296 0 Xenopus laevis (African clawed frog) SwissProt::Q91619 1 SwissProt MAFLTAVLYLGFACISQGLPTWPDRVESRNPLFGSRVALNLPSLLDGNRHHRDMRYPLYMMQLYQNLVTGNDTGLANRPNTATKEYDTVLSLFAKKCTESENRWTLSFDMSAVSRSNELKLAELRILLPHTEPSHNITMDMYHSRDGEDNLYLGSFNANPPSTKGSPWKVFNVTKILQPYFKERRDIDSEHLKAKERAERGSGMSNAEFIDAPGPSQQYNPHQTSVPTYLNTKGVMLVLFTKVKSSANHIGFPSLIKTAESSKYVDIEKASRVPGIRRHRRNRNENHHLSIGSIPS
NP_001093640.1 1 747 0.404016599732263 PF09731.9:Mitofilin:44:735 MICOS complex subunit MIC60 isoform 3 747 692 17 747 0 Homo sapiens NP_001093640.1 1 RefSeq MLRACQLSGVTAAAQSCLCGKFVLRPLRPCRRYSTSGSSGLTTGKIAGAGLLFVGGGIGGTILYAKWDSHFRESVEKTIPYSDKLFEMVLGPAAYNVPLPKKSIQSGPLKISSVSEVMKESKQPASQLQKQKGDTPASATAGDTLSVPAPAVQPEESLKTDHPEIGEGKPTPALSEEASSSSIRERPPEEVAARLAQQEKQEQVKIESLAKSLEDALRQTASVTLQAIAAQNAAVQAVNAHSNILKAAMDNSEIAGEKKSAQWRTVEGALKERRKAVDEAADALLKAKEELEKMKSVIENAKKKEVAGAKPHITAAEGKLHNMIVDLDNVVKKVQAAQSEAKVVSQYHELVVQARDDFKRELDSITPEVLPGWKGMSVSDLADKLSTDDLNSLIAHAHRRIDQLNRELAEQKATEKQHITLALEKQKLEEKRAFDSAVAKALEHHRSEIQAEQDRKIEEVRDAMENEMRTQLRRQAAAHTDHLRDVLRVQEQELKSEFEQNLSEKLSEQELQFRRLSQEQVDNFTLDINTAYARLRGIEQAVQSHAVAEEEARKAHQLWLSVEALKYSMKTSSAETPTIPLGSAVEAIKANCSDNEFTQALTAAIPPESLTRGVYSEETLRARFYAVQKLARRVAMIDETRNSLYQYFLSYLQSLLLFPPQQLKPPPELCPEDINTFKLLSYASYCIEHGDLELAAKFVNQLKGESRRVAQDWLKEARMTLETKQIVEILTAYASAVGIGTTQVQPE
NP_001124334.1 1 352 0.633469034090908 PF15696.5:RAD51_interact:311:349 RAD51-associated protein 1; RAD51-interacting protein 352 39 17 352 0 Homo sapiens (Human) SwissProt::Q96B01 1 SwissProt MVRPVRHKKPVNYSQFDHSDSDDDFVSATVPLNKKSRTAPKELKQDKPKPNLNNLRKEEIPVQEKTPKKRLPEGTFSIPASAVPCTKMALDDKLYQRDLEVALALSVKELPTVTTNVQNSQDKSIEKHGSSKIETMNKSPHISNCSVASDYLDLDKITVEDDVGGVQGKRKAASKAAAQQRKILLEGSDGDSANDTEPDFAPGEDSEDDSDFCESEDNDEDFSMRKSKVKEIKKKEVKVKSPVEKKEKKSKSKCNALVTSVDSAPAAVKSESQSLPKKVSLSSDTTRKPLEIRSPSAESKKPKWVPPAASGGSRSSSSPLVVVSVKSPNQSLRLGLSRLARVKPLHPNATST
NP_001124487.1 69 842 0.639850129198965 PF12171.8:zf-C2H2_jaz:562:586 cip1-interacting zinc finger protein isoform 2 842 25 17 774 0 Homo sapiens NP_001124487.1 1 RefSeq LNLQGTNSASLLNGSMLQRALLLQQLQGLDQFAMPPATYDTAGLTMPTATLGNLRGYGMASPGLAAPSLTPPQLATPNLQQFFPQATRQSLLGPPPVGVPMNPSQFNLSGRNPQKQARTSSSTTPNRKDSSSQTMPVEDKSDPPEGSEEAAEPRMDTPEDQDLPPCPEDIAKEKRTPAPEPEPCEASELPAKRLRSSEEPTEKEPPGQLQVKAQPQARMTVPKQTQTPDLLPEALEAQVLPRFQPRVLQVQAQVQSQTQPRIPSTDTQVQPKLQKQAQTQTSPEHLVLQQKQVQPQLQQEAEPQKQVQPQVHTQAQPSVQPQEHPPAQVSVQPPEQTHEQPHTQPQVSLLAPEQTPVVVHVCGLEMPPDAVEAGGGMEKTLPEPVGTQVSMEEIQNESACGLDVGECENRAREMPGVWGAGGSLKVTILQSSDSRAFSTVPLTPVPRPSDSVSSTPAATSTPSKQALQFFCYICKASCSSQQEFQDHMSEPQHQQRLGEIQHMSQACLLSLLPVPRDVLETEDEEPPPRRWCNTCQLYYMGDLIQHRRTQDHKIAKQSLRPFCTVCNRYFKTPRKFVEHVKSQGHKDKAKELKSLEKEIAGQDEDHFITVDAVGCFEGDEEEEEDDEDEEEIEVEEELCKQVRSRDISREEWKGSETYSPNTAYGVDFLVPVMGYICRICHKFYHSNSGAQLSHCKSLGHFENLQKYKAAKNPSPTTRPVSRRCAINARNALTALFTSSGRPPSQPNTQDKTPSKVTARPSQPPLPRRSTRLKT
NP_001129965.1 1 543 0.0926395948434622 PF13347.6:MFS_2:48:509 Sodium-dependent lysophosphatidylcholine symporter 1; NLS1; Sodium-dependent LPC symporter 1; Major facilitator superfamily domain-containing protein 2A 543 462 17 301 11 Homo sapiens (Human) SwissProt::Q8NA29 1 SwissProt MAKGEGAESGSAAGLLPTSILQSTERPAQVKKEPKKKKQQLSVCNKLCYALGGAPYQVTGCALGFFLQIYLLDVAQKDEEVVFCFSSFQVGPFSASIILFVGRAWDAITDPLVGLCISKSPWTCLGRLMPWIIFSTPLAVIAYFLIWFVPDFPHGQTYWYLLFYCLFETMVTCFHVPYSALTMFISTEQTERDSATAYRMTVEVLGTVLGTAIQGQIVGQADTPCFQDLNSSTVASQSANHTHGTTSHRETQKAYLLAAGVIVCIYIICAVILILGVREQREPYEAQQSEPIAYFRGLRLVMSHGPYIKLITGFLFTSLAFMLVEGNFVLFCTYTLGFRNEFQNLLLAIMLSATLTIPIWQWFLTRFGKKTAVYVGISSAVPFLILVALMESNLIITYAVAVAAGISVAAAFLLPWSMLPDVIDDFHLKQPHFHGTEPIFFSFYVFFTKFASGVSLGISTLSLDFAGYQTRGCSQPERVKFTLNMLVTMAPIVLILLGLLLFKMYPIDEERRRQNKKALQALRDEASSSGCSETDSTELASIL
NP_001171906.1 1 231 0.128445021645022 PF03981.12:Ubiq_cyt_C_chap:68:203 ubiquinol-cytochrome-c reductase complex assembly factor 1 isoform c 231 136 17 231 0 Homo sapiens NP_001171906.1 1 RefSeq MALLVRVLRNQTSISQWVPVCSRLIPVSPTQGQGDRALSRTSQKIKIAALRMYTSCVEKTDFEEFFLRCQMPDTFNSWFLITLLHVWMCLVRMKQEGRSGKYMCRIIVHFMWEDVQQRGRVMGVNPYILKKNMILMTNHFYAAILGYDEGILSDDHGLAAALWRTFFNRKCEDPRHLELLVEYVRKQIQYLDSMNGEDLLLTGEVSWRPLVEKNPQSILKPHSPTYNDEGL
NP_001177395.1 1 815 0.535858527607362 PF15268.6:Dapper:39:815 dapper homolog 1 isoform 1 815 777 17 815 0 Mus musculus NP_001177395.1 1 RefSeq MKPDAAREPEPLSPGRGAEAEGRWRERGEADTERQRTRERQEATLAGLAELGYLRQRQELLVRGALRCSGTVGTVAPRSGELRGDAAQRSRLEEKFLEENILLLRRQLNCLRRRDAGLLNQLQELDKQISDLRLDVEKTSEEHLETDSRPSSGFYELSDGASGSLSNSSNSVFSECLSSCHSSTCFCSPLEAALTISDGCPKSADLIGWLECKGGPCEDQASGTVCSSPSTPQFNSLDVIADVNPKYQCDLVSKNGNDVYRYPSPLHAVAVQSPMFLLCLTGNTLREEEGLGSHASDICIGSELNATKTDNSLPSPSSLWSASHPASSKKMDGYILSLVQKKTHPVRTNKPRTSVNADPTKGLLRNGSVCVRAPSGVPPGSSVNFKNTKQMCLPAGGITSLENGPFSPPKQRSKDSKTDQLESKRLALPESCSAGAAMEPQSKHVPKAAKAASQELTRCQAGLGESMKESNQASAVSPKTSPGRGPVAPAESKALQLPKKMSQKNSLQAVPALDRPALDFKSEGSSQSLEEGHLVKAQFIPGQQAAARPHRAHRNPGVARSATLKARGQAAMEHGLPTVREKPRAAGKKCRFPDDSDTNKKFRKTSAKGRRSGGLQDAGLPGRALGTGGHRAGSRAHAHGREPVVAKPKHKRTDYRRWKSSAEVSYEEALRRARRARREHGAAYRVAVALPYASPYAYVPSDSEYSAECESLFHSTVVDTSEDEQSNYTTNCFGDSESSVSEGDFVGESTTTSDSEESGGLIWSQFVQTLPIQTVTAPDLHTRPTKTFVKIKASHNLKKKILRFRSGSLKLMTTV
NP_001234021.1 1 229 0.13550480349345 PF00295.17:Glyco_hydro_28:103:229 Polygalacturonase-2; PG; PG-2A; PG-2B; Pectinase; EC 3.2.1.15 457 127 17 229 0 Solanum lycopersicum (Tomato) (Lycopersicon esculentum) SwissProt::P05117 1 SwissProt MVIQRNSILLLIIIFASSISTCRSNVIDDNLFKQVYDNILEQEFAHDFQAYLSYLSKNIESNNNIDKVDKNGIKVINVLSFGAKGDGKTYDNIAFEQAWNEACSSRTPVQFVVPKNKNYLLKQITFSGPCRSSISVKIFGSLEASSKISDYKDRRLWIAFDSVQNLVVGGGGTINGNGQVWWPSSCKINKSLPCRDAPTALTFWNCKNLKVNNLKSKNAQQIHIKFESC
NP_001242976.1 1 141 0.147965957446809 protein C19orf12 isoform 2 141 0 17 118 1 Homo sapiens NP_001242976.1 1 RefSeq MTIMVEDIMKLLCSLSGERKMKAAVKHSGKGALVTGAMAFVGGLVGGPPGLAVGGAVGGLLGAWMTSGQFKPVPQILMELPPAEQQRLFNEAAAIIRHLEWTDAVQLTALVMGSEALQQQLLAMLVNYVTKELRAEIQYDD
NP_001243301.1 342 867 0.593346007604562 Transcription factor E2F8; E2F-8 867 0 17 526 0 Homo sapiens (Human) SwissProt::A0AVK6 1 SwissProt AFKWTGPEISPNTSGSSPVIHFTPSDLEVRRSSKENCAKNLFSTRGKPNFTRHPSLIKLVKSIESDRRKINSAPSSPIKTNKAESSQNSAPFPSKMAQLAAICKMQLEEQSSESRQKVKVQLARSGPCKPVAPLDPPVNAEMELTAPSLIQPLGMVPLIPSPLSSAVPLILPQAPSGPSYAIYLQPTQAHQSVTPPQGLSPTVCTTHSSKATGSKDSTDATTEKAANDTSKASASTRPGSLLPAPERQGAKSRTREPAGERGSKRASMLEDSGSKKKFKEDLKGLENVSATLFPSGYLIPLTQCSSLGAESILSGKENSSALSPNHRIYSSPIAGVIPVTSSELTAVNFPSFHVTPLKLMVSPTSVAAVPVGNSPALASSHPVPIQNPSSAIVNFTLQHLGLISPNVQLSASPGSGIVPVSPRIESVNVAPENAGTQQGRATNYDSPVPGQSQPNGQSVAVTGAQQPVPVTPKGSQLVAESFFRTPGGPTKPTSSSCMDFEGANKTSLGTLFVPQRKLEVSTEDVH
NP_001258042.1 1 89 0.136339325842697 PF14993.6:Neuropeptide_S:24:88 Neuropeptide S 89 65 17 66 1 Rattus norvegicus (Rat) SwissProt::P0C0P7 1 SwissProt MIGSLKLNLILALSLSVVHVIWSYPVLSSKVPGKPDYFLILLSTCPARLEGSDGLAFLKPILEKTSMKRSFRNGVGSGVKKTSFRRAKQ
NP_001260903.1 1 1574 0.454442566709022 PF07926.12:TPR_MLP1_2:1031:1158 Nucleoprotein TPR; Megator; Nuclear envelope antigen Bx34 2346 128 17 1574 0 Drosophila melanogaster (Fruit fly) SwissProt::A1Z8P9 1 SwissProt MDLSGPQTLNNILQPDELKLVPEDVQKKLSEYINNFSDEYCKNRAAANRLAEAEQKKEELENKMEDYLVKFTSFELNVNELRTHLDQMSSERVNLMDTIAKGEQTISQLRKEKASVVEERDSMMKVIERQQAELERLKQDLHTYQQQLSSAIAAKCEAIARVDEIQSKEVALELKENRMESERDMLHKEILLISGDLNKSNAELQNIRREHTINTMQLQSCLKEKTESLKLMQEQYEQAVKTIGELTSKIEMQNDTAFKQNQATEEYVGKLKKELDAKEKLFEIFKSTESDHLIQREELLQGISEIKRLLEEAEEQCAQLTEQMETMKQKHSAELDEQNKKIQAMEQELASANDLLKQARESNLESAICQLAPSAAVASRLIRSDLSLTELYSMYAKSSEELEMRNCEIEQLKLQLKSIIAEISESAPILEKQNSDYQKMKETNSELLREHDELLQNKLCLERELERALSTLNHNQNENKKLKQTHTDLSRQVCMLLDELNCIRAGVKHVRIQPTRQLPTSESLISDNLVTFSSIEELVDRNTYLLNMSRELTELLEASEKNQDKMLLEQSKNHIRKLDARFAELEDLLTQKNNTVTTLLSKCDRYKKLYFAAQKKLGQNTVDLDDSNLEPNDSALDTSEQPAANFEESRKLEKRVRQLEQQLEGEVKKYASLKENYDYYTSEKRKNDALAQEQFDSMRKEVRELTSSNCKLMNTTEFQKEQIELLHKNIGTYKQQVTTLEERTKNYEKTIIKHEQTVHLLKDEMMAAHRKHAAADAEAQSLRQENRILRDTSSRLQIEKETYHREQQSQSLLLNSLEFIKTNLERSEMEGRQRLEQRLDDTVRELAAQRRHFQEEEEKFRESINEFKRQAETAIKLKDEEKQLADKWQAELTSVREELAEKVNKVNELSKKLQEVLTPTLNDNPITAANKRAREFELKLDQATVEIESLTKELAKTREHGEQFYKMSQSAESEIKRLHELHGELVAKQEEEIKKLRSSEAELKTRISDLEAEAMLSNVTEQSKTVNQSGQLKSAQDDLKSLLEKLTEANCTIRTLRSENTSLVESLNAAEVKYANGMIQHSADIQELTRYKAEFFKANDELNQLKSGRESLQAAYDELLRSNAEAQKLLDKEREESEKRVADLHALNSNLHDQIEALASKLAVLASQSQNPNSSLNESAMDGDQSLNASGLTAAEEGRNNEQLLKIIKFLRKEKDLFAAKLDILKAENARLISEHAIQQKKVDELNGYLNQERAKSQTDVVSANKHEEVLRKIETLNAITDSNRILREERNALTLRVAELTDRISSVEKELFPLQCSNKELTSKIEEINVENTSLRTEAIKWRQRANALVEKSNRNPEEFKRLQAEREHLAKLLTAEKELNKKQSDELTVLKQRMNTEIPMLNKQMQILDEARKKQVDEFTNLKQNNTRQTQDIMELKNRLLQKEEELLKANEELETKDKTIADKETKELQLRKLAKRYKDFYIGLQSQGGGTESAAELEKVRSELEEVNNQLRALKDEHEKITKECDEVKKRTEPETDTSAIRQEYKAKLDKLVVDLTVARTDLVNQETTFA
NP_001276495.1 360 727 0.018079347826087 PF00001.21:7tm_1:46:305 relaxin receptor 2 isoform 3 precursor 727 260 17 210 7 Mus musculus NP_001276495.1 1 RefSeq FRYCSYVPHVRICMPSTDGISSSEDLLANGILRVSVWVIAFITCVGNFLVIAVRSLIKAENTTHAMSIKILCCADCLMGVYLFSVGVFDIKYRGQYQKYALLWMESVPCRLLGFLATLSTEVSVLLLTFLTLEKFLVIVFPFSNLRLGKRQTAVALASIWVVGFLIAAVPFTREDYFGNFYGKNGVCFPLHYDQAEDFGSRGYSLGIFLGVNLLAFLVIVISYVTMFCSIHKTALQTAEVRSHIGKEVAVANRFFFIVFSDAICWIPVFVVKILSLLQVEIPGTITSWIVVFFLPVNSALNPILYTLTTSFFKDKLKQLLHKHRRKPIFKVKKKSLSASIVWTDESSLKLGVLSKIALGDSIMKPVSP
NP_001277136.1 1 379 0.647083905013193 mRNA-decapping enzyme 1A isoform e 423 0 17 379 0 Homo sapiens NP_001277136.1 1 RefSeq MADVVEEETRRSQQAARDKQSPSQANGCSDHRPIDILEMLSRAKDEYERSAPSGHKHLTVEELFGTSLPKEQPAVVGLDSEEMERLPGDASQKEPNSFLPFPFEQLGGAPQSETLGVPSAAHHSVQPEITTPVLITPASITQSNEKHAPTYTIPLSPVLSPTLPAEAPTAQVPPSLPRNSTMMQAVKTTPRQRSPLLNQPVPELSHASLIANQSPFRAPLNVTNTAGTSLPSVDLLQKLRLTPQHDQIQTQPLGKGAMVASFSPAAGQLATPESFIEPPSKTAAARVAASASLSNMVLAPLQSMQQNQDPEVFVQPKVLSSAIPVAGAPLVTATTTAVSSVLLAPSVFQQTVTRSSDLERKASSPSPLTIGTPESQRKP
NP_001278523.1 1 914 0.321701203501094 nephrocystin-4 isoform c 914 0 17 914 0 Homo sapiens NP_001278523.1 1 RefSeq MHPRSLSVAPKWSGGLPGNHPRPLRQEFPLEAGISHLEADLSQTSLVLETSIAEQLQELPFTPLHAPIVVGTQTRSSAGQPSRASMVLLQSSGFPEILDANKQPAEAVSATEPVTFNPQKEESDCLQSNEMVLQFLAFSRVAQDCRGTSWPKTVYFTFQFYRFPPATTPRLQLVQLDEAGQPSSGALTHILVPVSRDGTFDAGSPGFQLRYMVGPGFLKPGERRCFARYLAVQTLQIDVWDGDSLLLIGSAAVQMKHLLRQGRPAVQASHELEVVATEYEQDNMVVSGDMLGFGRVKPIGVHSVVKGRLHLTLANVGHPCEQKVRGCSTLPPSRSRVISNDGASRFSGGSLLTTGSSRRKHVVQAQKLADVDSELAAMLLTHARQGKGPQDVSRESDATRRRKLERMRSVRLQEAGGDLGRRGTSVLAQQSVRTQHLRDLQVIAAYRERTKAESIASLLSLAITTEHTLHATLGVAEFFEFVLKNPHNTQHTVTVEIDNPELSVIVDSQEWRDFKGAAGLHTPVEEDMFHLRGSLAPQLYLRPHETAHVPFKFQSFSAGQLAMVQASPGLSNEKGMDAVSPWKSSAVPTKHAKVLFRASGGKPIAVLCLTVELQPHVVDQVFRFYHPELSFLKKAIRLPPWHTFPGAPVGMLGEDPPVHVRCSDPNVICETQNVGPGEPRDIFLKVASGPSPEIKDFFVIIYSDRWLATPTQTWQVYLHSLQRVDVSCVAGQLTRLSLVLRGTQTVRKVRAFTSHPQELKTDPKGVFVLPPRGVQDLHVGVRPLRAGSRFVHLNLVDVDCHQLVASWLVCLCCRQPLISKAFEIMLAAGEGKGVNKRITYTNPYPSRRTFHLHSDHPELLRFREDSFQVGGGETYTIGLQFAPSQRVGEEEILIYINDHEDKNEEAFCVKVIYQ
NP_001284639.1 1 151 0.19796821192053 PF05507.11:MAGP:6:116 microfibrillar-associated protein 5 isoform c precursor 151 111 17 151 0 Homo sapiens NP_001284639.1 1 RefSeq MSLLGPKVLLFLAAFIITSDDVTQATPETFTEDPNLVNDPATDETVLAVLADIAPSTDDLECWDEKFTCTRLYSVHRPVKQCIHQLCFTSLRRMYIVNKEICSRLVCKEHEAMKDELCRQMAGLPPRRLRRSNYFRLPPCENVDLQRPNGL
NP_001290360.1 1 422 0.60123009478673 PF05111.12:Amelin:5:422 ameloblastin isoform 1 precursor 422 418 17 422 0 Mus musculus NP_001290360.1 1 RefSeq MSASKIPLFKMKGLILFLSLVKMSLAVPAFPQQPGAQGMAPPGMASLSLETMRQLGSLQGLNALSQYSRLGFGKALNSLWLHGLLPPHNSFPWIGPREHETQQYEYSLPVHPPPLPSQPSLQPHQPGLKPFLQPTAATGVQVTPQKPGPQPPMHPGQLPLQEGELIAPDEPQVAPSENPPTPEVPIMDFADPQFPTVFQIARSISRGPMAHNKASAFYPGMFYMSYGANQLNAPARIGFMSSEEMPGERGSPMAYGTLFPRFGGFRQTLRRLNQNSPKGGDFTVEVDSPVSVTKGPEKGEGPEGSPLQEANPGKRENPALLSQMAPGAHAGLLAFPNDHIPSMARGPAGQRLLGVTPAAADPLITPELAEVYETYGADVTTPLGDGEATMDITMSPDTQQPLLPGNKVHQPQVHNAWRFQEP
NP_001300696.1 125 1977 0.337176848354022 PF00168.30:C2:130:224,PF00168.30:C2:294:398,PF00168.30:C2:836:926,PF00168.30:C2:1350:1440,PF00168.30:C2:1671:1716,PF08150.12:FerB:717:792,PF16165.5:Ferlin_C:1757:1849,PF08151.12:FerI:235:285 otoferlin isoform 4 1977 648 17 1830 1 Mus musculus NP_001300696.1 1 RefSeq VGPWDDGDFLGDESLQEEKDSQETDGLLPGSRPSTRISGEKSFRRAGRSVFSAMKLGKTRSHKEEPQRQDEPAVLEMEDLDHLAIQLGDGLDPDSVSLASVTALTSNVSNKRSKPDIKMEPSAGRPMDYQVSITVIEARQLVGLNMDPVVCVEVGDDKKYTSMKESTNCPYYNEYFVFDFHVSPDVMFDKIIKISVIHSKNLLRSGTLVGSFKMDVGTVYSQPEHQFHHKWAILSDPDDISAGLKGYVKCDVAVVGKGDNIKTPHKANETDEDDIEGNLLLPEGVPPERQWARFYVKIYRAEGLPRMNTSLMANVKKAFIGENKDLVDPYVQVFFAGQKGKTSVQKSSYEPLWNEQVVFTDLFPPLCKRMKVQIRDSDKVNDVAIGTHFIDLRKISNDGDKGFLPTLGPAWVNMYGSTRNYTLLDEHQDLNEGLGEGVSFRARLMLGLAVEILDTSNPELTSSTEVQVEQATPVSESCTGRMEEFFLFGAFLEASMIDRKNGDKPITFEVTIGNYGNEVDGMSRPLRPRPRKEPGDEEEVDLIQNSSDDEGDEAGDLASVSSTPPMRPQITDRNYFHLPYLERKPCIYIKSWWPDQRRRLYNANIMDHIADKLEEGLNDVQEMIKTEKSYPERRLRGVLEELSCGCHRFLSLSDKDQGRSSRTRLDRERLKSCMRELESMGQQAKSLRAQVKRHTVRDKLRSCQNFLQKLRFLADEPQHSIPDVFIWMMSNNKRIAYARVPSKDLLFSIVEEELGKDCAKVKTLFLKLPGKRGFGSAGWTVQAKLELYLWLGLSKQRKDFLCGLPCGFEEVKAAQGLGLHSFPPISLVYTKKQAFQLRAHMYQARSLFAADSSGLSDPFARVFFINQSQCTEVLNETLCPTWDQMLVFDNLELYGEAHELRDDPPIIVIEIYDQDSMGKADFMGRTFAKPLVKMADEAYCPPRFPPQLEYYQIYRGSATAGDLLAAFELLQIGPSGKADLPPINGPVDMDRGPIMPVPVGIRPVLSKYRVEVLFWGLRDLKRVNLAQVDRPRVDIECAGKGVQSSLIHNYKKNPNFNTLVKWFEVDLPENELLHPPLNIRVVDCRAFGRYTLVGSHAVSSLRRFIYRPPDRSAPNWNTTGEVVVSMEPEEPVKKLETMVKLDATSDAVVKVDVAEDEKERKKKKKKGPSEEPEEEEPDESMLDWWSKYFASIDTMKEQLRQHETSGTDLEEKEEMESAEGLKGPMKSKEKSRAAKEEKKKKNQSPGPGQGSEAPEKKKAKIDELKVYPKELESEFDSFEDWLHTFNLLRGKTGDDEDGSTEEERIVGRFKGSLCVYKVPLPEDVSREAGYDPTYGMFQGIPSNDPINVLVRIYVVRATDLHPADINGKADPYIAIKLGKTDIRDKENYISKQLNPVFGKSFDIEASFPMESMLTVAVYDWDLVGTDDLIGETKIDLENRFYSKHRATCGIAQTYSIHGYNIWRDPMKPSQILTRLCKEGKVDGPHFGPHGRVRVANRVFTGPSEIEDENGQRKPTDEHVALSALRHWEDIPRVGCRLVPEHVETRPLLNPDKPGIEQGRLELWVDMFPMDMPAPGTPLDISPRKPKKYELRVIVWNTDEVVLEDDDFFTGEKSSDIFVRGWLKGQQEDKQDTDVHYHSLTGEGNFNWRYLFPFDYLAAEEKIVMSKKESMFSWDETEYKIPARLTLQIWDADHFSADDFLGAIELDLNRFPRGAKTAKQCTMEMATGEVDVPLVSIFKQKRVKGWWPLLARNENDEFELTGKVEAELHLLTAEEAEKNPVGLARNEPDPLEKPNRPDTAFVWFLNPLKSIKYLICTRYKWLIIKIVLALLGLLMLALFLYSLPGYMVKKLLGA
NP_001307844.1 171 394 0.478859375 GA-binding protein subunit beta-1 isoform 5 394 0 17 224 0 Homo sapiens NP_001307844.1 1 RefSeq PDTVTIHAATPQFIIGPGGVVNLTGLVSSENSSKATDETGVSAVQFGNSSTSVLATLAALAEASAPLSNSSETPVATEEVVTAESVDGAIQQVVSSGGQQVITIVTDGIQLGNLHSIPTSGIGQPIIVTMPDGQQVLTVPATDIAEETVISEEPPAKRQCIEIIENRVESAEIEEREALQKQLDEANREAQKYRQQLLKKEQEAEAYRQKLEAMTRLQTNKEAV
NP_001311322.1 1 232 0.603718534482758 PF04814.13:HNF-1_N:25:226 homeobox-containing protein 1 isoform 8 404 202 17 232 0 Homo sapiens NP_001311322.1 1 RefSeq MLSSFPVVLLETMSHYTDEPRFTIEQIDLLQRLRRTGMTKHEILHALETLDRLDQEHSDKFGRRSSYGGSSYGNSTNNVPASSSTATASTQTQHSGMSPSPSNSYDTSPQPCTTNQNGRENNERLSTSNGKMSPTRYHANSMGQRSYSFEASEEDLDVDDKVEELMRRDSSVIKEEIKAFLANRRISQAVVAQVTGISQSRISHWLLQQGSDLSEQKKRAFYRWYQLEKTNP
NP_001320628.1 1 643 0.580965629860031 Homeodomain-like superfamily protein 643 0 17 643 0 Arabidopsis thaliana NP_001320628.1 1 RefSeq MEELGNELKNILGQRLLFRSEVMHKSSSQSHPYGNQTSLPYRTGHEVTLSSLPRKIRFGKAHSFWFTFQLEKEAEVKGIPVCQALDIEIPPPRPKRKPNTPYPRKPGNNGTSSSQVSSAKDAKLVSSASSSQLNQAFLDLEKMPFSEKTSTGKENQDENCSGVSTVNKYPLPTKQVSGDIETSKTSTVDNAVQDVPKKNKDKDGNDGTTVHSMQNYPWHFHADIVNGNIAKCPQNHPSGMVSQDFMFHPMREETHGHANLQATTASATTTASHQAFPACHSQDDYRSFLQISSTFSNLIMSTLLQNPAAHAAATFAASVWPYASVGNSGDSSTPMSSSPPSITAIAAATVAAATAWWASHGLLPVCAPAPITCVPFSTVAVPTPAMTEMDTVENTQPFEKQNTALQDQNLASKSPASSSDDSDETGVTKLNADSKTNDDKIEEVVVTAAVHDSNTAQKKNLVDRSSCGSNTPSGSDAETDALDKMEKDKEDVKETDENQPDVIELNNRKIKMRDNNSNNNATTDSWKEVSEEGRIAFQALFARERLPQSFSPPQVAENVNRKQSDTSMPLAPNFKSQDSCAADQEGVVMIGVGTCKSLKTRQTGFKPYKRCSMEVKESQVGNINNQSDEKVCKRLRLEGEAST
NP_001323287.1 1 645 0.187474418604651 PF01590.26:GAF:190:346 Ethylene response sensor 2; AtERS2; Protein ERS2; EC 2.7.11.- 645 157 17 556 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93825 1 SwissProt MLKTLLVQWLVFFFFFLIGSVVTAAEDDGSLSLCNCDDEDSLFSYETILNSQKVGDFLIAIAYFSIPIELVYFVSRTNVPSPYNWVVCEFIAFIVLCGMTHLLAGFTYGPHWPWVMTAVTVFKMLTGIVSFLTALSLVTLLPLLLKAKVREFMLSKKTRELDREVGIIMKQTETSLHVRMLTTKIRTSLDRHTILYTTLVELSKTLGLKNCAVWIPNEIKTEMNLTHELRPRIDDENENEHFGGYAGFSIPISESDVVRIKRSEEVNMLSPGSVLASVTSRGKSGPTVGIRVPMLRVCNFKGGTPEAIHMCYAILVCVLPLRQPQAWTYQELEIVKVVADQVAVAISHAVILEESQLMREKLAEQNRALQVARENALRANQAKAAFEQMMSDAMRCPVRSILGLLPLILQDGKLPENQTVIVDAMRRTSELLVQLVNNAGDINNGTIRAAETHYFSLHSVVKESACVARCLCMANGFGFSAEVYRALPDYVVGDDRKVFQAILHMLGVLMNRKIKGNVTFWVFPESGNSDVSERKDIQEAVWRHCYSKEYMEVRFGFEVTAEGEESSSSSSGSNLEEEEENPSLNACQNIVKYMQGNIRVVEDGLGLVKSVSVVFRFQLRRSMMSRGGGYSGETFRTSTPPSTSH
NP_001323798.1 151 307 0.0461114649681529 PF00487.24:FA_desaturase:13:126 Delta-9 acyl-lipid desaturase 2; EC 1.14.19.- 307 114 17 111 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SID2 1 SwissProt YDSAYLVSKCGRRANVEDLKRQWFYRFLQKTVLFHILGLGFFLFYLGGMSFVTWGMGVGAALEVHVTCLINSLCHIWGTRTWKTNDTSRNVWWLSVFSFGESWHNNHHAFESSARQGLEWWQIDISWYIVRFFEIIGLATDVKVPTEAQRRRMAIVR
NP_001325502.1 159 364 0.440949514563107 Integrase-type DNA-binding superfamily protein 364 0 17 206 0 Arabidopsis thaliana NP_001325502.1 1 RefSeq DISNYIDRLKKKGVFPFPVNQANHQEGILVEAKQEVETREAKEEPREEVKQQYVEEPPQEEEEKEEEKAEQQEAEIVGYSEEAAVVNCCIDSSTIMEMDRCGDNNELAWNFCMMDTGFSPFLTDQNLANENPIEYPELFNELAFEDNIDFMFDDGKHECLNLENLDCCVVGRESPPSSSSPLSCLSTDSASSTTTTTTSVSCNYLV
NP_002138.1 1 173 0.644816184971098 homeobox protein Hox-B5 269 0 17 173 0 Homo sapiens NP_002138.1 1 RefSeq MSSYFVNSFSGRYPNGPDYQLLNYGSGSSLSGSYRDPAAMHTGSYGYNYNGMDLSVNRSSASSSHFGAVGESSRAFPAPAQEPRFRQAASSCSLSSPESLPCTNGDSHGAKPSASSPSDQATSASSSANFTEIDEASASSEPEEAASQLSSPSLARAQPEPMATSTAAPEGQT
NP_002840.2 1 360 0.228767222222222 Receptor-type tyrosine-protein phosphatase R; R-PTP-R; Ch-1PTPase; NC-PTPCOM1; Protein-tyrosine phosphatase PCPTP1; EC 3.1.3.48 657 0 17 337 1 Homo sapiens (Human) SwissProt::Q15256 1 SwissProt MRRAVCFPALCLLLNLHAAGCFSGNNDHFLAINQKKSGKPVFIYKHSQDIEKSLDIAPQKIYRHSYHSSSEAQVSKRHQIVNSAFPRPAYDPSLNLLAMDGQDLEVENLPIPAANVIVVTLQMDVNKLNITLLRIFRQGVAAALGLLPQQVHINRLIGKKNSIELFVSPINRKTGISDALPSEEVLRSLNINVLHQSLSQFGITEVSPEKNVLQGQHEADKIWSKEGFYAVVIFLSIFVIIVTCLMILYRLKERFQLSLRQDKEKNQEIHLSPITLQPALSEAKTVHSMVQPEQAPKVLNVVVDPQGRGAPEIKATTATSVCPSPFKMKPIGLQERRGSNVSLTLDMSSLGNIEPFVSIP
NP_004264.2 1 479 0.220323590814197 PF00685.27:Sulfotransfer_1:133:452,PF13469.6:Sulfotransfer_3:294:394 Carbohydrate sulfotransferase 3; Chondroitin 6-O-sulfotransferase 1; C6ST-1; Chondroitin 6-sulfotransferase; Galactose/N-acetylglucosamine/N-acetylglucosamine 6-O-sulfotransferase 0; GST-0; EC 2.8.2.17 479 320 17 461 1 Homo sapiens (Human) SwissProt::Q7LGC8 1 SwissProt MEKGLTLPQDCRDFVHSLKMRSKYALFLVFVVIVFVFIEKENKIISRVSDKLKQIPQALADANSTDPALILAENASLLSLSELDSAFSQLQSRLRNLSLQLGVEPAMEAAGEEEEEQRKEEEPPRPAVAGPRRHVLLMATTRTGSSFVGEFFNQQGNIFYLFEPLWHIERTVSFEPGGANAAGSALVYRDVLKQLFLCDLYVLEHFITPLPEDHLTQFMFRRGSSRSLCEDPVCTPFVKKVFEKYHCKNRRCGPLNVTLAAEACRRKEHMALKAVRIRQLEFLQPLAEDPRLDLRVIQLVRDPRAVLASRMVAFAGKYKTWKKWLDDEGQDGLREEEVQRLRGNCESIRLSAELGLRQPAWLRGRYMLVRYEDVARGPLQKAREMYRFAGIPLTPQVEDWIQKNTQAAHDGSGIYSTQKNSSEQFEKWRFSMPFKLAQVVQAACGPAMRLFGYKLARDAAALTNRSVSLLEERGTFWVT
NP_004865.1 1 357 0.735542857142857 BAG family molecular chaperone regulator 4; BAG-4; Bcl-2-associated athanogene 4; Silencer of death domains 457 0 17 357 0 Homo sapiens (Human) SwissProt::O95429 1 SwissProt MSALRRSGYGPSDGPSYGRYYGPGGGDVPVHPPPPLYPLRPEPPQPPISWRVRGGGPAETTWLGEGGGGDGYYPSGGAWPEPGRAGGSHQEQPPYPSYNSNYWNSTARSRAPYPSTYPVRPELQGQSLNSYTNGAYGPTYPPGPGANTASYSGAYYAPGYTQTSYSTEVPSTYRSSGNSPTPVSRWIYPQQDCQTEAPPLRGQVPGYPPSQNPGMTLPHYPYGDGNRSVPQSGPTVRPQEDAWASPGAYGMGGRYPWPSSAPSAPPGNLYMTESTSPWPSSGSPQSPPSPPVQQPKDSSYPYSQSDQSMNRHNFPCSVHQYESSGTVNNDDSDLLDSQVQYSAEPQLYGNATSDHPN 1
NP_006412.2 892 1849 0.151912630480167 PF09324.10:DUF1981:329:410 Brefeldin A-inhibited guanine nucleotide-exchange protein 1; Brefeldin A-inhibited GEP 1; ADP-ribosylation factor guanine nucleotide-exchange factor 1; p200 ARF guanine nucleotide exchange factor; p200 ARF-GEP1 1849 82 17 958 0 Homo sapiens (Human) SwissProt::Q9Y6D6 1 SwissProt IPTKSSKQNVASEKQRRLLYNLEMEQMAKTAKALMEAVSHVQAPFTSATHLEHVRPMFKLAWTPFLAAFSVGLQDCDDTEVASLCLEGIRCAIRIACIFSIQLERDAYVQALARFTLLTVSSGITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKPRYISGTVRGREGSLTGTKDQAPDEFVGLGLVGGNVDWKQIASIQESIGETSSQSVVVAVDRIFTGSTRLDGNAIVDFVRWLCAVSMDELLSTTHPRMFSLQKIVEISYYNMGRIRLQWSRIWEVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKRNRSPTIRDMVVRCIAQMVNSQAANIRSGWKNIFSVFHLAASDQDESIVELAFQTTGHIVTLVFEKHFPATIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRHCAKYVSDRPQAFKEYTSDDMNVAPEDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKTYGHTYEKHWWQDLFRIVFRIFDNMKLPEQQTEKAEWMTTTCNHALYAICDVFTQYLEVLSDVLLDDIFAQLYWCVQQDNEQLARSGTNCLENVVILNGEKFTLEIWDKTCNCTLDIFKTTIPHALLTWRPNSGETAPPPPSPVSEKPLDTISQKSVDIHDSIQPRSVDNRPQAPLVSASAVNEEVSKIKSTAKFPEQKLFAALLIKCVVQLELIQTIDNIVFFPATSKKEDAENLAAAQRDAVDFDVRVDTQDQGMYRFLTSQQLFKLLDCLLESHRFAKAFNSNNEQRTALWKAGFKGKSKPNLLKQETSSLACGLRILFRMYMDESRVSAWEEVQQRLLNVCSEALSYFLTLTSESHREAWTNLLLLFLTKVLKISDNRFKAHASFYYPLLCEIMQFDLIPELRAVLRRFFLRIGVVFQISQPPEQELGINKQ
NP_006758.2 1 662 0.220837915407855 PF13415.6:Kelch_3:78:126,PF13415.6:Kelch_3:128:183,PF13415.6:Kelch_3:238:292,PF13418.6:Kelch_4:68:115,PF13418.6:Kelch_4:117:169,PF13418.6:Kelch_4:237:279,PF13418.6:Kelch_4:284:327,PF01344.25:Kelch_1:67:107,PF01344.25:Kelch_1:117:164,PF01344.25:Kelch_1:227:270,PF01344.25:Kelch_1:283:326,PF13854.6:Kelch_5:115:143,PF13964.6:Kelch_6:68:105,PF13964.6:Kelch_6:284:326,PF07646.15:Kelch_2:117:164,PF07646.15:Kelch_2:283:326,PF00651.31:BTB:436:561 Leucine-zipper-like transcriptional regulator 1; LZTR-1 840 344 17 662 0 Homo sapiens (Human) SwissProt::Q8N653 1 SwissProt MAGPGSTGGQIGAAALAGGARSKVAPSVDFDHSCSDSVEYLTLNFGPFETVHRWRRLPPCDEFVGARRSKHTVVAYKDAIYVFGGDNGKTMLNDLLRFDVKDCSWCRAFTTGTPPAPRYHHSAVVYGSSMFVFGGYTGDIYSNSNLKNKNDLFEYKFATGQWTEWKIEGRLPVARSAHGATVYSDKLWIFAGYDGNARLNDMWTIGLQDRELTCWEEVAQSGEIPPSCCNFPVAVCRDKMFVFSGQSGAKITNNLFQFEFKDKTWTRIPTEHLLRGSPPPPQRRYGHTMVAFDRHLYVFGGAADNTLPNELHCYDVDFQTWEVVQPSSDSEVGGAEVPERACASEEVPTLTYEERVGFKKSRDVFGLDFGTTSAKQPTQPASELPSGRLFHAAAVISDAMYIFGGTVDNNIRSGEMYRFQFSCYPKCTLHEDYGRLWESRQFCDVEFVLGEKEECVQGHVAIVTARSRWLRRKITQARERLAQKLEQEAAPVPREAPGVAAGGARPPLLHVAIREAEARPFEVLMQFLYTDKIKYPRKGHVEDVLLIMDVYKLALSFQLCRLEQLCRQYIEASVDLQNVLVVCESAARLQLSQLKEHCLNFVVKESHFNQVIMMKEFERLSSPLIVEIVRRKQQPPPRTPLDQPVDIGTSLIQDMKAYLEGA
NP_008844.1 1 180 0.352907222222222 PF13920.6:zf-C3HC4_3:26:72,PF13639.6:zf-RING_2:26:68,PF00097.25:zf-C3HC4:27:67,PF13923.6:zf-C3HC4_2:26:67,PF14634.6:zf-RING_5:26:68,PF13445.6:zf-RING_UBOX:27:63,PF14447.6:Prok-RING_4:27:74 E3 ubiquitin-protein ligase RNF5; Protein G16; RING finger protein 5; RING-type E3 ubiquitin transferase RNF5; Ram1 homolog; HsRma1; EC 2.3.2.27 180 49 17 137 2 Homo sapiens (Human) SwissProt::Q99942 1 SwissProt MAAAEEEDGGPEGPNRERGGAGATFECNICLETAREAVVSVCGHLYCWPCLHQWLETRPERQECPVCKAGISREKVVPLYGRGSQKPQDPRLKTPPRPQGQRPAPESRGGFQPFGDTGGFHFSFGVGAFPFGFFTTVFNAHEPFRRGTGVDLGQGHPASSWQDSLFLFLAIFFFFWLLSI
NP_009384.1 1 325 0.0476781538461538 Outer spore wall protein LDS1; Lipid droplets in sporulation protein 1 325 0 17 213 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P31379 1 SwissProt MSFTGSLALAGIGGLVYKFGGGQSYEKLPYVNIPFNQYLDKVYKKHFSKVMSRTRYVLMNFFKDAFTGGAFMYPFKGFLEFNTNKSSYSTTMLGILSSYLIMFALVSFVYWATITPMYTAFLIVLGPIGLFIAIFHSFLQANVFTLLFMRLSHFNNHLVEVCLEKNGLEENLSEVKPIKYYAPINSIYFWAYYFPFKLVKYMLGLSVLFVLLVISFFPLIGPILFHILISPFITQIYFTKVLRLQNFDNIQRRENIYLHAGQYASFGFLAGLIESVPILAGFAISTNTIGSVLFNLDHPMVPENLVETQAEIEAAPQDINQQPNQ
NP_009449.1 1 1117 0.502031692032229 Protein ECM21; Extracellular mutant protein 21 1117 0 17 1117 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38167 1 SwissProt MPFITSRPVAKNSSHSLSETDLNQSKGQPFQPSPTKKLGSMQQRRRSSTIRHALSSLLGGANVHSPAVLNNTTKGGNNNGNIRSSNTDAQLLGKKQNKQPPPNARRHSTTAIQGSISDSATTTPRSSTSDTNRRTSGRLSVDQEPRISGGRYSQIEEDSTVLDFDDDHNSSAVVSSDLSSTSLTRLANSKKFNEQFLIEYLTARGLLGPKTVLSNEYLKISISTSGESVFLPTISSNDDEYLSRLNGLNDGTDDAEADFFMDGIDQQEGNTPSLATTAAATESGGSINENRDTLLRENNSGDHPGSGSELNTRSVEIDSSMVSYSIAVIVSVKKPTRFTDMQLELCSRVKVFWNTGVPPTKTFNEEFYNAASMKWNLNDENFDLFVPLSISPDDQMIENNSNDRQMRLFKNIPTEERLYLDKTKTKASLLNAIDVNKTHLYQPGDYVFLVPVVFSNHIPETIYLPSARVSYRLRLATKAINRKGFYRQDSNSPQPIVSPDSSSSLSSTTSSLKLTETESAQAHRRISNTLFSKVKNHLHMSSHQLKNEESGEEDIFAEYPIKVIRTPPPVAVSTANKPIYINRVWTDSLSYEISFAQKYVSLNSEVPIKIKLAPICKNVCVKRIHVSITERVTFVSKGYEYEYDQTDPVAKDPYNPYYLDFASKRRKERSVSLFEIRTKEKGTRALREEIVENSFNDNLLSYSPFDDDSDSKGNPKERLGITEPIIIETKLKFPKYEDLDKRTAKIIPPYGIDAYTSIPNPEHAVANGPSHRRPSVIGFLSGHKGSKSHEENEKPVYDPKFHQTIIKSNSGLPVKTHTRLNTPKRGLYLDSLHFSNVYCRHKLEIMLRISKPDPECPSKLRHYEVLIDTPIFLVSEQCNSGNMELPTYDMATMEGKGNQVPLSMNSDFFGNTCPPPPTFEEAISVPASPIVSPMGSPNIMASYDPDLLSIQQLNLSRTTSVSGPSGYSDDAGVPNVNRNSISNANAMNGSISNSAFVSGNSGQGVARARATSVNDRSRFNNLDKLLSTPSPVNRSHNSSPTNGLSQANGTVRIPNATTENSKDKQNEFFKKGYTLANVKDDEEQEGIVSSSSADSLLSHGNEPPRYDEIVPLMSDEE
NP_009898.1 109 346 0.567371008403362 PF00788.23:RA:138:218 Protein STE50 346 81 17 238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25344 1 SwissProt DKTQEDMITVLKNLYTTTSAKLQEFQSQYTRLRMDVLDVMKTSSSSSPINTHGVSTTVPSSNNTIIPSSDGVSLSQTDYFDTVHNRQSPSRRESPVTVFRQPSLSHSKSLHKDSKNKVPQISTNQSHPSAVSTANTPGPSPNEALKQLRASKEDSCERILKNAMKRHNLADQDWRQYVLVICYGDQERLLELNEKPVIIFKNLKQQGLHPAIMLRRRGDFEEVAMMNGSDNVTPGGRL
NP_010108.1 1 295 0.657685423728813 PF12223.8:DUF3602:11:77 Protein PAR32; Protein phosphorylated after rapamycin 32 295 67 17 295 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12515 1 SwissProt MATFNPQNEMENQARVQEYKVSTGRGGAGNIHKSMSKPSPVLLPLKSNSKTVANNNNNGSNQEKVPRFAIGRGGAGNIFHDPHLTRSAQQLDSNDNINYNDVINDIDDYISPITSDMVDEGGSNPVTNTRSRISATRSHQSLHATTSSPNNNAPIVVGRGGAGNIFFNKKKVASNGGNEEDEIRGGNIEDEDTINANEDNLFVVTSNGNALAAIKSTSKKPKNKLKGKSAPEKFAIGRGGAGNIISPKSSRNTINHNLNDDDEDKFNLKDDNGKEKKKKKKKKSGFFSSLKTMFN
NP_010194.1 1 484 0.24154132231405 PF10332.9:DUF2418:230:314 Nuclear rim protein 1 484 85 17 438 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12066 1 SwissProt MGSNDLINEAYDDSEVVGEERESKSAWMKRWYQLLTSPLDLQLVINEKLEMINWDAYAKSLAKPLGNFLTILFFIIRLLQDNLIKPNYYKLNVKSGAFDLSKSNKLKEFDYLWEISSSFQNNNQFYAFQSWYFVTLRFLNNLFRFTIFILLSLNLYVSCKFMFGYFKTYNLFHLKKEFNSPNLTKHNLKDLSKEYYEDIYKQSLWSMLKHFFRGSRDDGPHVNQNEDEIFFQLRKWIPTNFMINLFVSFSPTAIVFLSFSDVSFTSAIAIVFHQYILDYIITKRFQRSVDDDLILSSAALQEYEDKHIMARINQCSNIDTLSSAMGTRSKTPRIFTTHSLCGEEIREVYNYEKREFEALPKMTESVPGSRETRIKDYGGISQVSDHQSHPIGFHYSPRMSPYYRDKVLDNNLAQSSSNENLEKGGAYLPNQDQNRPSKSLSPLRKTPLSARQKRFEGSEFNVLNKNDINSILRSPKKKKNYHKR
NP_010365.3 101 992 0.13840067264574 PF00637.20:Clathrin:654:798 Vacuolar protein sorting-associated protein 41; Vacuolar morphogenesis protein 2 992 145 17 892 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38959 1 SwissProt LKYTRISQLPKNFFQRDSISSCLFGDTFFAFGTHSGILHLTTCAFEPIKTIKCHRSSILCINTDGKYFATGSIDGTVIIGSMDDPQNITQYDFKRPINSVALHSNFQASRMFVSGGMAGDVVLSQRNWLGNRIDIVLNKKKKKKTRKDDLSSDMKGPIMGIYTMGDLILWMDDDGITFCDVPTRSQLLNIPFPSRIFNVQDVRPDLFRPHVHFLESDRVVIGWGSNIWLFKVSFTKDSNSIKSGDSNSQSNNMSHFNPTTNIGSLLSSAASSFRGTPDKKVELECHFTVSMLITGLASFKDDQLLCLGFDIDIEEEATIDEDMKEGKNFSKRPENLLAKGNAPELKIVDLFNGDEIYNDEVIMKNYEKLSINDYHLGKHIDKTTPEYYLISSNDAIRVQELSLKDHFDWFMERKQYYKAWKIGKYVIGSEERFSIGLKFLNSLVTKKDWGTLVDHLNIIFEETLNSLDSNSYDVTQNVLKEWADIIEILITSGNIVEIAPLIPKKPALRKSVYDDVLHYFLANDMINKFHEYITKWDLKLFSVEDFEEELETRIEAASEPTASSKEEGSNITYRTELVHLYLKENKYTKAIPHLLKAKDLRALTIIKIQNLLPQYLDQIVDIILLPYKGEISHISKLSIFEIQTIFNKPIDLLFENRHTISVARIYEIFEHDCPKSFKKILFCYLIKFLDTDDSFMISPYENQLIELYSEYDRQSLLPFLQKHNNYNVESAIEVCSSKLGLYNELIYLWGKIGETKKALSLIIDELKNPQLAIDFVKNWGDSELWEFMINYSLDKPNFTKAILTCSDETSEIYLKVIRGMSDDLQIDNLQDIIKHIVQENSLSLEVRDNILVIINDETKKFANEFLKIRSQGKLFQVDESDIEINDDLNGVL
NP_010422.1 1 752 0.282454920212766 PF11957.8:efThoc1:100:623 THO complex subunit HPR1; Hyperrecombination protein 1 752 524 17 752 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17629 1 SwissProt MSNTEELIQNSIGFLQKTFKALPVSFDSIRHEPLPSSMLHASVLNFEWEPLEKNISAIHDRDSLIDIILKRFIIDSMTNAIEDEEENNLEKGLLNSCIGLDFVYNSRFNRSNPASWGNTFFELFSTIIDLLNSPSTFLKFWPYAESRIEWFKMNTSVEPVSLGESNLISYKQPLYEKLRHWNDILAKLENNDILNTVKHYNMKYKLENFLSELLPINEESNFNRSASISALQESDNEWNRSARERESNRSSDVIFAADYNFVFYHLIICPIEFAFSDLEYKNDVDRSLSPLLDAILEIEENFYSKIKMNNRTRYSLEEALNTEYYANYDVMTPKLPVYMKHSNAMKMDRNEFWANLQNIKESDDYTLRPTIMDISLSNTTCLYKQLTQEDDDYYRKQFILQLCFTTNLIRNLISSDETRNFYKSCYLRENPLSDIDFENLDEVNKKRGLNLCSYICDNRVLKFYKIKDPDFYRVIRKLMSSDEKFTTAKIDGFKEFQNFRISKEKIPPPAFDETFKKFTFIKMGNKLINNVWKIPTGLDKIEQEVKKPEGVYEAAQAKWESKISSETSGGEAKDEIIRQWQTLRFLRSRYLFDFDKVNEKTGVDGLFEEPRKVEALDDSFKEKLLYKINQEHRKKLQDAREYKIGKERKKRALEEEASFPEREQKIKSQRINSASQTEGDELKSEQTQPKGEISEENTKIKSSEVSSQDPDSGVAGEFAPQNTTAQLENPKTEDNNAATSNISNGSSTQDMK
NP_010463.1 1 181 0.157337569060773 PF05328.12:CybS:36:167 Succinate dehydrogenase [ubiquinone] cytochrome b small subunit, mitochondrial; CybS; Succinate-ubiquinone reductase membrane anchor subunit 181 132 17 140 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P37298 1 SwissProt MMLPRSMKFMTGRRIFHTATVRAFQSTAKKSLTIPFLPVLPQKPGGVRGTPNDAYVPPPENKLEGSYHWYMEKIFALSVVPLATTAMLTTGPLSTAADSFFSVMLLGYCYMEFNSCITDYISERVYGVWHKYAMYMLGLGSAVSLFGIYKLETENDGVVGLVKSLWDSSEKDNSQKIEAKK
NP_010710.4 1 504 0.675281150793651 SNF1 protein kinase subunit beta-1; SNF1-interacting protein 1 815 0 17 504 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32578 1 SwissProt MGNSPSTQDPSHSTKKEHGHHFHDAFNKDRQGSITSQLFNNRKSTHKRRASHTSEHNGAIPPRMQLLASHDPSTDCDGRMSSDTTIDKGPSHLFKKDYSLSSAADVNDTTLANLTLSDDHDVGAPEEQVKSPSFLSPGPSMATVKRTKSDLDDLSTLNYTMVDETTENERNDKPHHERHRSSIIALKKNLLESSATASPSPTRSSSVHSASLPALTKTDSIDIPVRQPYSKKPSIHAYQYQYLNNDETFSENSQMDKEGNSDSVDAEAGVLQSEDMVLNQSLLQNALKKDMQRLSRVNSSNSMYTAERISHANNNGNIENNTRNKGNAGGSNDDFTAPISATAKMMMKLYGDKTLMERDLNKHHNKTKKAQNKKIRSVSNSRRSSFASLHSLQSRKSILTNGLNLQPLHPLHPIINDNESQYSAPQHREISHHSNSMSSMSSISSTNSTENTLVVLKWKDDGTVAATTEVFIVSTDIASALKEQRELTLDENASLDSEKQLNPR
NP_011048.1 126 493 0.619270923913043 ADP-ribosylation factor GTPase-activating protein GLO3; ARF GAP GLO3 493 0 17 368 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38682 1 SwissProt IHLDKKVQKDMELYPSELVLNGQDSSDSPLDTDSDASRSTSKENSVDDFFSNWQKPSSNSSSKLNVNTGSLAPKNNTTGSTPKTTVTKTRSSILTASRKKPVLNSQDKKKHSILSSSRKPTRLTAKKVDKSQAEDLFDQFKKEAQQEKEDEFTNSSSSTKIRQNDYDSQFMNNSKGNNNNSIDDINTQPDEFNDFLNDTSNSFDTTRKEQQDTLTPKFAKLGFGMTMNDANDLAKQQKESQKIAQGPRYTGRIAERYGTQKAISSDQLFGRGSFDEAANREAHDKLKTFDNATSISSSSYFGEDKEVDEFGNPINSSGSGAGNFDGRNSNNGFIDFNASADDELQMLRDVVEQGAEKLGSYLRDYLRK
NP_011582.1 1 586 0.304044709897611 PF02752.22:Arrestin_C:332:474 Arrestin-related trafficking adapter 5 586 143 17 586 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53244 1 SwissProt MFSLSSLSSSGGHSEQKERERISYFDIRINSPYKDIILIQGSPLELSSIPLSGNLVISVKNEIVVKKISLRLVGRFKLEFLQVGRYKKNSSSLASLVKEKRKIFECYWDNLLVSSKGDVLVGGENAENQHNSSSGRSTSNQDMDTSGNAIFLSKRSLSSPVFNKIIRRKTHSSHRKILELPENGVTGTPFEGLRENARSRSSSSNTLNNNSHSYSNRDGSGSSYLFLMKRGNYELPFNTMLPPEVCETIEGLQSGSILYSFEAIIDGRQLWDTDLSVHTSPHGPIGSTSTSGNGMRTKNKIIIKKFKYLRILRTLSMDNLAMQEEISVGNTWRDKLQYETSIPSRAVPIGSTTPVKIKIFPFEKNIRLDRIEMALIQYYAMKDSSAQIYDDEIAVMKITHLADFGPLTDKLDVDCPFTIPDNLKQITQDCCLQDNLIRVMHKLQVRILLQRQVDGEYKNLEIKAQLPMLLFISPHLPMKGRLVLFDKHDGKIHFRPGELVPLFLTTYPAQGLTPGVELNSTTTAHLALPQPPPNYHESTNDHLMPALQPLGADSVVLTVPSYEQAQAQASASSYVTGSVPAYCDDD
NP_011686.1 1 1138 0.309705799648506 PF02666.15:PS_Dcarbxylase:871:1079,PF00168.30:C2:18:86,PF00168.30:C2:499:601 Phosphatidylserine decarboxylase proenzyme 2; EC 4.1.1.65 1138 381 17 1138 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53037 1 SwissProt MRIIKGRKRGKNKKPTLILKIHVIQAENIEALKTFNCNPVCFVTTNTFYSQKTNKLKNSNTHWNQTLRIKLPRNPTSEWLRIIVYDALPTGAPPTTPSRPRTTTANTSSSTLSNSGLSSHSHSSRNLNVTSKGNQTSTSINSVSSSATPAPSHSSSSLSTTGPGSTHKNRINSYLYLGEAKISLLDLFKRKDTTTSYKFSIEAQRYHLYDMKGGKDQDSLNCNFLVGDILLGFKLECNVKRTPTFQAFNAWRNELNTYLGRIDRNKARMRSSSSLPPPLEDMLSNSSAVSGNEIRREKPYSDTDLAHDEEVNAEDEIDAEESIEDMNSSGSICTERRYDIDNDTIFDSISEVVSLNDEELDILNDFEEADHPNVPDINVHDIDEDTRISLSSMITALDEYDIVEPEDVAKLPAVSENDITSVDDEESENQQESDEEFDIYNEDEREDSDFQSKEYIGSRLLHLQRGKHNKSYANYLYRRAKSNFFISKKEHAMGVVFMHIGAIKNLPALRNRLSKTNYEMDPFIVISFGRRVFKTSWRKHTLNPEFNEYAAFEVFPHETNFAFSIKVVDKDSFSFNDDVAKCELAWFDMLQQQQHENEWIPYEIPLDLTVEPAHAPKQPVLYSSFKYVSYPFLKKSFWKEAVDTSVNLERLDIIQVMLYLERLGSFTMADSFELFQHFNKSAWAGQSITRSQLVEGLQSWRKSTNFKRIWTCPRCMRSCKPTRNARRSKLVLENDLITHFAICTFSKEHKTLKPSYVSSAFASKRWFSKVLIKLTYGKYALGSNNANILVQDRDTGIIIEEKISAHVKLGMRIIYNGKSPESKKFRSLLKTLSIRQGKKFDSTASAKQIEPFIKFHSLDLSQCRDKDFKTFNEFFYRKLKPGSRLPESNNKEILFSPADSRCTVFPTIQESKEIWVKGRKFSIKKLANNYNPETFNDNNCSIGIFRLAPQDYHRFHSPCNGTIGKPVYVDGEYYTVNPMAVRSELDVFGENIRVIIPIDSPQFGKLLYIPIGAMMVGSILLTCKENDVVESGQELGYFKFGGSTIIIIIPHNNFMFDSDLVKNSSERIETLVKVGMSIGHTSNVNELKRIRIKVDDPKKIERIKRTISVSDENAKSTGNVTWEYHTLREMMNKDFAGL
NP_011694.3 1 722 0.598993351800554 PF06741.13:LsmAD:226:297,PF14438.6:SM-ATX:50:131 PAB1-binding protein 1 722 154 17 722 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53297 1 SwissProt MKGNFRKRDSSTNSRKGGNSDSNYTNGGVPNQNNSSMFYENPEITRNFDDRQDYLLANSIGSDVTVTVTSGVKYTGLLVSCNLESTNGIDVVLRFPRVADSGVSDSVDDLAKTLGETLLIHGEDVAELELKNIDLSLDEKWENSKAQETTPARTNIEKERVNGESNEVTKFRTDVDISGSGREIKERKLEKWTPEEGAEHFDINKGKALEDDSASWDQFAVNEKKFGVKSTFDEHLYTTKINKDDPNYSKRLQEAERIAKEIESQGTSGNIHIAEDRGIIIDDSGLDEEDLYSGVDRRGDELLAALKSNSKPNSNKGNRYVPPTLRQQPHHMDPAIISSSNSNKNENAVSTDTSTPAAAGAPEGKPPQKTSKNKKSLSSKEAQIEELKKFSEKFKVPYDIPKDMLEVLKRSSSTLKSNSSLPPKPISKTPSAKTVSPTTQISAGKSESRRSGSNISQGQSSTGHTTRSSTSLRRRNHGSFFGAKNPHTNDAKRVLFGKSFNMFIKSKEAHDEKKKGDDASENMEPFFIEKPYFTAPTWLNTIEESYKTFFPDEDTAIQEAQTRFQQRQLNSMGNAVPGMNPAMGMNMGGMMGFPMGGPSASPNPMMNGFAAGSMGMYMPFQPQPMFYHPSMPQMMPVMGSNGAEEGGGNISPHVPAGFMAAGPGAPMGAFGYPGGIPFQGMMGSGPSGMPANGSAMHSHGHSRNYHQTSHHGHHNSSTSGHK
NP_011773.3 1 366 0.152629781420765 PF00153.27:Mito_carr:13:148,PF00153.27:Mito_carr:156:251,PF00153.27:Mito_carr:265:362 Mitochondrial carrier protein MTM1; Manganese trafficking factor for mitochondrial SOD2 366 330 17 366 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53320 1 SwissProt MSDRNTSNSLTLKERMLSAGAGSVLTSLILTPMDVVRIRLQQQQMIPDCSCDGAAEVPNAVSSGSKMKTFTNVGGQNLNNAKIFWESACFQELHCKNSSLKFNGTLEAFTKIASVEGITSLWRGISLTLLMAIPANMVYFSGYEYIRDVSPIASTYPTLNPLFCGAIARVFAATSIAPLELVKTKLQSIPRSSKSTKTWMMVKDLLNETRQEMKMVGPSRALFKGLEITLWRDVPFSAIYWSSYELCKERLWLDSTRFASKDANWVHFINSFASGCISGMIAAICTHPFDVGKTRWQISMMNNSDPKGGNRSRNMFKFLETIWRTEGLAALYTGLAARVIKIRPSCAIMISSYEISKKVFGNKLHQ
NP_012032.1 1 129 0.0944837209302326 PF03650.13:MPC:10:115 Mitochondrial pyruvate carrier 2; MPC2 129 106 17 129 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38857 1 SwissProt MSTSSVRFAFRRFWQSETGPKTVHFWAPTLKWGLVFAGFSDMKRPVEKISGAQNLSLLSTALIWTRWSFVIKPRNILLASVNSFLCLTAGYQLGRIANYRIRNGDSISQLCSYILSGADESKKEITTGR
NP_012051.3 1 228 0.0561074561403509 PF04148.13:Erv26:2:206 Protein SVP26; Sed5 compartment vesicle protein of 26 kDa 228 205 17 139 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38869 1 SwissProt MLLELISYAGTVSGFLFLTLSIASGLYYISELVEEHTEPTRRFLTRAIYGIILILILLLLLDGFPFKLTLFSIACYIVYYQNLKSFPFISLTSPTFLLSCVCVVLNHYFWFKYFNDTEVPPQFKFDPNYIPRRRASFAEVASFFGICVWFIPFALFVSLSAGDYVLPTTSEQHMAKKNDDITTNNQPKFRKRAVGLARVVINSVRKYIYSLARVFGYEIEPDFDRLAV
NP_012117.3 1 1679 0.42479755807028 Protein MLP2; Myosin-like protein 2 1679 0 17 1679 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40457 1 SwissProt MEDKISEFLNVPFESLQGVTYPVLRKLYKKIAKFERSEEEVTKLNVLVDEIKSQYYSRISKLKQLLDESSEQKNTAKEELNGLKDQLNEERSRYRREIDALKKQLHVSHEAMREVNDEKRVKEEYDIWQSRDQGNDSLNDDLNKENKLLRRKLMEMENILQRCKSNAISLQLKYDTSVQEKELMLQSKKLIEEKLSSFSKKTLTEEVTKSSHVENLEEKLYQMQSNYESVFTYNKFLLNQNKQLSQSVEEKVLEMKNLKDTASVEKAEFSKEMTLQKNMNDLLRSQLTSLEKDCSLRAIEKNDDNSCRNPEHTDVIDELIDTKLRLEKSKNECQRLQNIVMDCTKEEEATMTTSAVSPTVGKLFSDIKVLKRQLIKERNQKFQLQNQLEDFILELEHKTPELISFKERTKSLEHELKRSTELLETVSLTKRKQEREITSLRQKINGCEANIHSLVKQRLDLARQVKLLLLNTSAIQETASPLSQDELISLRKILESSNIVNENDSQAIITERLVEFSNVNELQEKNVELLNCIRILADKLENYEGKQDKTLQKVENQTIKEAKDAIIELENINAKMETRINILLRERDSYKLLASTEENKANTNSVTSMEAAREKKIRELEAELSSTKVENSAIIQNLRKELLIYKKSQCKKKTTLEDFENFKGLAKEKERMLEEAIDHLKAELEKQKSWVPSYIHVEKERASTELSQSRIKIKSLEYEISKLKKETASFIPTKESLTRDFEQCCKEKKELQMRLKESEISHNENKMDFSSKEGQYKAKIKELENNLERLRSDLQSKIQEIESIRSCKDSQLKWAQNTIDDTEMKMKSLLTELSNKETTIEKLSSEIENLDKELRKTKFQYKFLDQNSDASTLEPTLRKELEQIQVQLKDANSQIQAYEEIISSNENALIELKNELAKTKENYDAKIELEKKEKWAREEDLSRLRGELGEIRALQPKLKEGALHFVQQSEKLRNEVERIQKMIEKIEKMSTIVQLCKKKEMSQYQSTMKENKDLSELVIRLEKDAADCQAELTKTKSSLYSAQDLLDKHERKWMEEKADYERELISNIEQTESLRVENSVLIEKVDDTAANNGDKDHLKLVSLFSNLRHERNSLETKLTTCKRELAFVKQKNDSLEKTINDLQRTQTLSEKEYQCSAVIIDEFKDITKEVTQVNILKENNAILQKSLKNVTEKNREIYKQLNDRQEEISRLQRDLIQTKEQVSINSNKILVYESEMEQCKQRYQDLSQQQKDAQKKDIEKLTNEISDLKGKLSSAENANADLENKFNRLKKQAHEKLDASKKQQAALTNELNELKAIKDKLEQDLHFENAKVIDLDTKLKAHELQSEDVSRDHEKDTYRTLMEEIESLKKELQIFKTANSSSDAFEKLKVNMEKEKDRIIDERTKEFEKKLQETLNKSTSSEAEYSKDIETLKKEWLKEYEDETLRRIKEAEENLKKRIRLPSEERIQKIISKRKEELEEEFRKKLKENAGSLTFLDNKGSGEDAEEELWNSPSKGNSERPSAVAGFINQKNLKPQEQLKNVKNDVSFNDSQSMVTNKENNIVDSSAAGNKAIPTFSFGKPFFSSNTSSLQSFQNPFTASQSNINTNAPLRTLNIQPEVAVKAAINFSNVTDLTNNSTDGAKITEIGSTSKRPIESGTSSDPDTKKVKESPANDQASNE
NP_012972.3 1 283 0.31566148409894 PF17316.2:PET10:17:268 Protein PET10; Petite colonies protein 10 283 252 17 283 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36139 1 SwissProt MSESSISSSKPSVELPQATWSHLQRYPALSKFIKYAESLPPVERLISFNLVVLGSVNQWVSESSSSPRLVKQVVAAGKEGAFKLDELVNLLVFKEGVDGLLYNWKSHSNTPGIWLVWFFVDYVANISNTLLREFLIKPLHLQGSTASKEIGSSGEENKVTDASSLPHVAELSSTTRGMSQEIQSKVKSNYIDPTKDLAKEKYDAIVKPTTDKLQSVYIDPTKTKLNETYQRFTTVYENNLSKSESVPKAIVSTGLDLGNATIEKLKASREDQTNSKPAAVSTN
NP_013243.1 1 476 0.189596218487395 PF01619.18:Pro_dh:126:454 Proline dehydrogenase, mitochondrial; Proline oxidase; EC 1.5.5.2 476 329 17 476 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P09368 1 SwissProt MIASKSSLLVTKSRIPSLCFPLIKRSYVSKTPTHSNTAANLMVETPAANANGNSVMAPPNSINFLQTLPKKELFQLGFIGIATLNSFFLNTIIKLFPYIPIPVIKFFVSSLYCGGENFKEVIECGKRLQKRGISNMMLSLTIENSEGTKSLSSTPVDQIVKETISSVHNILLPNIIGQLESKPINDIAPGYIALKPSALVDNPHEVLYNFSNPAYKAQRDQLIENCSKITKEIFELNQSLLKKYPERKAPFMVSTIDAEKYDLQENGVYELQRILFQKFNPTSSKLISCVGTWQLYLRDSGDHILHELKLAQENGYKLGLKLVRGAYIHSEKNRNQIIFGDKTGTDENYDRIITQVVNDLIINGEDSYFGHLVVASHNYQSQMLVTNLLKSTQDNSYAKSNIVLGQLLGMADNVTYDLITNHGAKNIIKYVPWGPPLETKDYLLRRLQENGDAVRSDNGWPLIKAIAKSIPKRVGL
NP_013498.3 1 482 0.46058153526971 PF14634.6:zf-RING_5:54:92 Chromosome stability protein 9; Molecular zipper protein 3 482 39 17 482 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06032 1 SwissProt MGGYLAIVFIPQTNTKSMREKKQKCLKQVRRLSLISPKKYIMPDSIFEQPFVYCGVCHRRTSHGDPLRLTSCAHILCSQHSPLTSKVCPICRSSDISIINLVESKQLPTDIRIFFEPLPPLLESLYNVSQFQLNGLSKQCQYYQNHCLKLREKCARQQQLLYQAKIELDSMAILKKRIQELESVLNHNNVSSMSVGVLPTRNSHQNHYQPPPTVDLTVDDNSLEEFEAKSFIKKLKKNSSLRNSSKNNNGTVTPSTSGRVNKNQPLFMETLNNPNRNSIPPPGMNPNANSNLPNISTIAESTNLNRFSFSPVRVAKGFDGKLPNLDILTNNGSVSSKNISRLSSASLQPSSPLSSSSNRLILPNSNLKELHHSNTPLTSTSTQFPSALEKLKITRKRNNTISGSNRITHNLSSHVRSSGLAFSSSSNSLQQSKLPKSNILKRSNSTQQLTNTHLKSDNHLPPRSSNTVLGSSKKNNKFRRIR
NP_014144.1 63 153 0.072421978021978 PF00098.23:zf-CCHC:4:20,PF00098.23:zf-CCHC:31:47,PF00098.23:zf-CCHC:55:70,PF00098.23:zf-CCHC:74:90,PF14392.6:zf-CCHC_4:5:19,PF14392.6:zf-CCHC_4:32:46,PF14392.6:zf-CCHC_4:55:70,PF14392.6:zf-CCHC_4:73:90,PF13917.6:zf-CCHC_3:3:29,PF13917.6:zf-CCHC_3:55:71 Zinc finger protein GIS2 153 79 17 91 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53849 1 SwissProt TVQRCFNCNQTGHISRECPEPKKTSRFSKVSCYKCGGPNHMAKDCMKEDGISGLKCYTCGQAGHMSRDCQNDRLCYNCNETGHISKDCPKA
NP_014692.1 1 354 0.0546994350282486 PF04479.13:RTA1:37:282 phospholipid-translocating ATPase RSB1 354 246 17 199 7 Saccharomyces cerevisiae S288C NP_014692.1 1 RefSeq MVPNLRFNITMIVIWGILLTIHVVQLLMRQYWFSIAFICTGILEVLGFIGRTWSHSNVADMDAFLLNMICLTIAPVFTMGGIYYQLAKLIEVYGHRFSLLPSPMAYSFIFICSDIVSLVVQAVGGGLCGVAVTDGTSTTTGNHVFIAGLAIQVASMAIFLMLWFHFLFRIYISVRWEHINSRPISLSLLKISQTEVDYLYREKFHFLRLEPKRWVFHYFNLAITVAVLTIFTRCCYRLAELVVGWDGYLITHEWYFIILDALMMAIATVTLTIFHPGFAFKGRSTSIPITPGHVDPETLPHTDDVEDILDTSDSKQFDIEKEEFQASMKYPISTFKQFMSKIANLFSSKKKAKL
NP_014797.1 1 587 0.289488245315162 PF07738.13:Sad1_UNC:212:329 Uncharacterized protein SLP1; SUN-like protein 1 587 118 17 551 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12232 1 SwissProt MANRLLIYGLILWVSIIGSFALDRNKTAQNAKIGLHDTTVITTGSTTNVQKEHSSPLSTGSLRTHDFRQASKVDIRQADIRENGERKEQDALTQPATPRNPGDSSNSFLSFDEWKKVKSKEHSSGPERHLSRVREPVDPSCYKEKECIGEELEIDLGFLTNKNEWSEREENQKGFNEEKDIEKVYKKKFNYASLDCAATIVKSNPEAIGATSTLIESKDKYLLNPCSAPQQFIVIELCEDILVEEIEIANYEFFSSTFKRFRVSVSDRIPMVKNEWTILGEFEARNSRELQKFQIHNPQIWASYLKIEILSHYEDEFYCPISLIKVYGKSMMDEFKIDQLKAQEDKEQSIGTNNINNLNEQNIQDRCNNIETRLETPNTSNLSDLAGALSCTSKLIPLKFDEFFKVLNASFCPSKQMISSSSSSAVPVIPEESIFKNIMKRLSQLETNSSLTVSYIEEQSKLLSKSFEQLEMAHEAKFSHLVTIFNETMMSNLDLLNNFANQLKDQSLRILEEQKLENDKFTNRHLLHLERLEKEVSFQRRIVYASFFAFVGLISYLLITRELYFEDFEESKNGAIEKADIVQQAIR
NP_015054.1 1 613 0.317011256117455 PF08580.10:KAR9:83:417 Karyogamy protein KAR9; Cortical protein KAR9 644 335 17 613 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32526 1 SwissProt MDNDGPRSMTIGDDFQENFCERLERIHNTLHSINDCNSLNESTTSISETLLVQFYDDLENVASVIPDLVNKKRLGKDDILLFMDWLLLKKYMLYQFISDVHNIEEGFAHLLDLLEDEFSKDDQDSDKYNRFSPMFDVIEESTQIKTQLEPWLTNLKELLDTSLEFNEISKDHMDTLHKIINSNISYCLEIQEERFASPIRHTPSFTLEQLVKLLGTHTETTEPKVPKFSPAEDILSRKFLNLKKNIPPIEKSLTDILPQRIVQFGHRNITNITTLQTILQKKYELIMKDYRFMNSEFRELKVELIDKRWNILFINLNHELLYILDEIERLQSKLLTTKYTKDITIRLERQLERKSKTVSKTFNIIYRALEFSLLDAGVASKTNELAQRWLNIKPTADKILIKSSASNKIATSKKKIPKPKSLGFGRPNSVIGTITQDFQERVAINEGDSNKTPENSTTVALKGKKLGKALLQKMNIKPATSPNSSNAINPFFDPESPNKGKLILSSVPPLPYDETDETTLRVSRGENEKSPDSFITSRHENKVQITETPLMAKNKSVLDIEKDKWNHYRSLPSRIPIYKDKVVKVTVENTPIAKVFQTPPTKITTPNSQVWVP
NP_031928.2 69 175 0.448283177570094 endothelin-2 preproprotein 175 0 17 107 0 Mus musculus NP_031928.2 1 RefSeq TAGQTAPYGLGNPPRRRRRSLPKRCECSTAGDSACATFCHRRHWPEAVVAPSSQAPAAVLKTGKMWTAEGDLLRKLRDISATKLRFARLQPEVTRKAIPAYSRWRKR
NP_033187.1 1 387 0.274786563307494 PF05510.13:Sarcoglycan_2:11:349 Alpha-sarcoglycan; Alpha-SG; 50 kDa dystrophin-associated glycoprotein; 50DAG; Adhalin 387 339 17 364 1 Mus musculus (Mouse) SwissProt::P82350 1 SwissProt MAAAVTWIPLLAGLLAGLRDTKAQQTTLHLLVGRVFVHPLEHATFLRLPEHVAVPPTVRLTYHAHLQGHPDLPRWLHYTQRSPYNPGFLYGSPTPEDRGYQVIEVTAYNRDSFDTTRQRLLLLIGDPEGPRLPYQAEFLVRSHDVEEVLPTTPANRFLTALGGLWEPGELQLLNITSALDRGGRVPLPIEGRKEGVYIKVGSATPFSTCLKMVASPDSYARCAQGQPPLLSCYDTLAPHFRVDWCNVSLVDKSVPEPLDEVPTPGDGILEHDPFFCPPTEATDRDFLTDALVTLLVPLLVALLLTLLLAYIMCFRREGRLKRDMATSDIQMFHHCSIHGNTEELRQMAASREVPRPLSTLPMFNVRTGERLPPRVDSAQMPLILDQH
NP_035879.1 300 794 0.566908686868687 Zinc finger protein 148; Beta enolase repressor factor 1; G-rich box-binding protein; Transcription factor BFCOL1; Transcription factor ZBP-89; Zinc finger DNA-binding protein 89 794 0 17 495 0 Mus musculus (Mouse) SwissProt::Q61624 1 SwissProt DSGFSTSPKDNSLPKKKRQKTEKKSSGMDKESVLDKSDLKKDKNDYLPLYSSSTKVKDEYMVAEYAVEMPHSSVGGSHLEDASGEIHPPKLVLKKINSKRSLKQPLEQSQTISPLSSYEDSKVSKYAFELVDKQALLDSEGSADIDQVDNLQEGPSKPVHSSTNYDDAMQFLKKKRYLQAASNNSREYALNVGTIASQPSVTQAAVASVIDESTTASILDSQALNVEIKSNHDKNVIPDEVLQTLLDHYSHKPNGQHEISFSVADTEVTSSISINSSDVPEVTQSENVGSSSQASSSDKANMLQEYSKFLQQALDRTSQNDAYLNSPSLNFVTDNQTLPNPPAFSSIDKQVYAAMPINSFRSGMNSPLRTTPDKSHFGLIVGDSQHPFPFSGDETNHASATSTADFLDQVTSQKKAEAQPVHQAYQMSSFEQPFRAPYHGSRAGIATQFSTANGQVNLRGPGTSAEFSEFPLVNVNDNRAGMTSSPDATTGQTFG
NP_036441.2 732 1779 0.718477576335878 SH3 and multiple ankyrin repeat domains protein 2 isoform 1 1849 0 17 1048 0 Homo sapiens NP_036441.2 1 RefSeq KAPPPPKRAPTTALTLRSKSMTSELEELVDKASVRKKKDKPEEIVPASKPSRAAENMAVEPRVATIKQRPSSRCFPAGSDMNSVYERQGIAVMTPTVPGSPKAPFLGIPRGTMRRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTTYNCPKSPTPRVYGTIKPAFNQNSAAKVSPATRSDTVATMMREKGMYFRRELDRYSLDSEDLYSRNAGPQANFRNKRGQMPENPYSEVGKIASKAVYVPAKPARRKGMLVKQSNVEDSPEKTCSIPIPTIIVKEPSTSSSGKSSQGSSMEIDPQAPEPPSQLRPDESLTVSSPFAAAIAGAVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRTRPSMFPEEGDFADEDSAEQLSSPMPSATPREPENHFVGGAEASAPGEAGRPLNSTSKAQGPESSPAVPSASSGTAGPGNYVHPLTGRLLDPSSPLALALSARDRAMKESQQGPKGEAPKADLNKPLYIDTKMRPSLDAGFPTVTRQNTRGPLRRQETENKYETDLGRDRKGDDKKNMLIDIMDTSQQKSAGLLMVHTVDATKLDNALQEEDEKAEVEMKPDSSPSEVPEGVSETEGALQISAAPEPTTVPGRTIVAVGSMEEAVILPFRIPPPPLASVDLDEDFIFTEPLPPPLEFANSFDIPDDRAASVPALSDLVKQKKSDTPQSPSLNSSQPTNSADSKKPASLSNCLPASFLPPPESFDAVADSGIEEVDSRSSSDHHLETTSTISTVSSISTLSSEGGENVDTCTVYADGQAFMVDKPPVPPKPKMKPIIHKSNALYQDALVEEDVDSFVIPPPAPPPPPGSAQPGMAKVLQPRTSKLWGDVTEIKSPILSGPKANVISELNSILQQMNREKLAKPGEGLDSPMGAKSASLAPRSPEIMSTISGTRSTTVTFTVRPGTSQPITLQSRPPDYESRTSGTRRAPSPVVSPTEMNKETLPAPLSAATASPSPALSDVFSLPSQPPSGDLFGLNPAGRSRSPSPSILQQPISNKPFT
NP_037375.2 1 468 0.511149145299145 PF15467.6:SGIII:17:468 Secretogranin-3; Secretogranin III; SgIII 468 452 17 468 0 Homo sapiens (Human) SwissProt::Q8WXD2 1 SwissProt MGFLGTGTWILVLVLPIQAFPKPGGSQDKSLHNRELSAERPLNEQIAEAEEDKIKKTYPPENKPGQSNYSFVDNLNLLKAITEKEKIEKERQSIRSSPLDNKLNVEDVDSTKNRKLIDDYDSTKSGLDHKFQDDPDGLHQLDGTPLTAEDIVHKIAARIYEENDRAVFDKIVSKLLNLGLITESQAHTLEDEVAEVLQKLISKEANNYEEDPNKPTSWTENQAGKIPEKVTPMAAIQDGLAKGENDETVSNTLTLTNGLERRTKTYSEDNFEELQYFPNFYALLKSIDSEKEAKEKETLITIMKTLIDFVKMMVKYGTISPEEGVSYLENLDEMIALQTKNKLEKNATDNISKLFPAPSEKSHEETDSTKEEAAKMEKEYGSLKDSTKDDNSNPGGKTDEPKGKTEAYLEAIRKNIEWLKKHDKKGNKEDYDLSKMRDFINKQADAYVEKGILDKEEAEAIKRIYSSL
NP_038751.2 1 503 0.592194433399602 PF06365.12:CD34_antigen:301:503 Podocalyxin; Podocalyxin-like protein 1; PC; PCLP-1 503 203 17 480 1 Mus musculus (Mouse) SwissProt::Q9R0M4 1 SwissProt MPPTTALSALLLLLLSPASHSHNGNETSTSAIKSSTVQSHQSATTSTEVTTGHPVASTLASTQPSNPTPFTTSTQSPSMPTSTPNPTSNQSGGNLTSSVSEVDKTKTSSPSSTAFTSSSGQTASSGGKSGDSFTTAPTTTLGLINVSSQPTDLNTTSKLLSTPTTDNTTSPQQPVDSSPSTASHPVGQHTPAAVPSSSGSTPSTDNSTLTWKPTTHKPLGTSEATQPLTSQTPGITTLPVSTLQQSMASTVGTTTEEFTHLISNGTPVAPPGPSTPSPIWAFGNYQLNCEPPIRPDEELLILNLTRASLCERSPLDEKEKLVELLCHSVKASFKPAEDLCTLHVAPILDNQAVAVKRIIIETKLSPKAVYELLKDRWDDLTEAGVSDMKLGKEGPPEVNEDRFSLPLIITIVCMASFLLLVAALYGCCHQRISQRKDQQRLTEELQTVENGYHDNPTLEVMETPSEMQEKKVVNLNGELGDSWIVPLDNLTKDDLDEEEDTHL
NP_038897.4 1 416 0.275158653846154 PF00020.18:TNFR_c6:75:114 tumor necrosis factor receptor superfamily member 19 precursor 416 40 17 393 1 Mus musculus NP_038897.4 1 RefSeq MALKVLPLHRTVLFAAILFLLHLACKVSCETGDCRQQEFKDRSGNCVLCKQCGPGMELSKECGFGYGEDAQCVPCRPHRFKEDWGFQKCKPCADCALVNRFQRANCSHTSDAVCGDCLPGFYRKTKLVGFQDMECVPCGDPPPPYEPHCTSKVNLVKISSTVSSPRDTALAAVICSALATVLLALLILCVIYCKRQFMEKKPSWSLRSQDIQYNGSELSCFDQPRLRHCAHRACCQYHRDSAPMYGPVHLIPSLCCEEARSSARAVLGCGLRSPTTLQERNPASVGDTMPAFFGSVSRSICAEFSDAWPLMQNPLGGDSSLCDSYPELTGEDTNSLNPENESAASLDSSGGQDLAGTAALESSGNVSESTDSPRHGDTGTVWEQTLAQDAQRTPSQGGWEDRENLNLAMPTAFQDA
NP_047411.1 1 200 0.039569 PF00951.18:Arteri_Gl:28:197 GP5 glycosylated envelope protein 200 170 17 154 2 Porcine reproductive and respiratory syndrome virus NP_047411.1 1 RefSeq MLEKCLTAGCCSRLLSLWCIVPFCFAVLANASNSSSSHLQLIYNLTLCELNGTDWLANRFDWAVESFVIFPVLTHIVSYGALTTSHFLDTVALVTVSTAGFVHGRYVLSSIYAVCALAALTCFVIRFAKNCMSWRYACTRYTNFLLDTKGRLYRWRSPVIIEKRGKVEVEGHLIDLKRVVLDGSVATPITRVSAEQWGRP
NP_055394.2 1 309 0.0766614886731392 PF02535.22:Zip:6:306 zinc transporter ZIP2 isoform a 309 301 17 128 8 Homo sapiens NP_055394.2 0 RefSeq MEQLLGIKLGCLFALLALTLGCGLTPICFKWFQIDAARGHHRLVLRLLGCISAGVFLGAGFMHMTAEALEEIESQIQKFMVQNRSASERNSSGDADSAHMEYPYGELIISLGFFFVFFLESLALQCCPGAAGGSTVQDEEWGGAHIFELHSHGHLPSPSKGPLRALVLLLSLSFHSVFEGLAVGLQPTVAATVQLCLAVLAHKGLVVFGVGMRLVHLGTSSRWAVFSILLLALMSPLGLAVGLAVTGGDSEGGRGLAQAVLEGVAAGTFLYVTFLEILPRELASPEAPLAKWSCVAAGFAFMAFIALWA
NP_055482.2 1 201 0.658058208955224 PF15245.6:VGLL4:7:200 transcription cofactor vestigial-like protein 4 isoform b 290 194 17 201 0 Homo sapiens NP_055482.2 1 RefSeq METPLDVLSRAASLVHADDEKREAALRGEPRIQTLPVASALSSHRTGPPPISPSKRKFSMEPGDEDLDCDNDHVSKMSRIFNPHLNKTANGDCRRDPRERSRSPIERAVAPTMSLHGSHLYTSLPSLGLEQPLALTKNSLDASRPAGLSPTLTPGERQQNRPSVITCASAGARNCNLSHCPIAHSGCAAPGPASYRRPPSA
NP_055664.3 1 468 0.255579487179488 PF00083.24:Sugar_tr:193:384,PF07690.16:MFS_1:174:467 Synaptic vesicle glycoprotein 2A 742 294 17 310 7 Homo sapiens (Human) SwissProt::Q7L0J3 1 SwissProt MEEGFRDRAAFIRGAKDIAKEVKKHAAKKVVKGLDRVQDEYSRRSYSRFEEEDDDDDFPAPSDGYYRGEGTQDEEEGGASSDATEGHDEDDEIYEGEYQGIPRAESGGKGERMADGAPLAGVRGGLSDGEGPPGGRGEAQRRKEREELAQQYEAILRECGHGRFQWTLYFVLGLALMADGVEVFVVGFVLPSAEKDMCLSDSNKGMLGLIVYLGMMVGAFLWGGLADRLGRRQCLLISLSVNSVFAFFSSFVQGYGTFLFCRLLSGVGIGGSIPIVFSYFSEFLAQEKRGEHLSWLCMFWMIGGVYAAAMAWAIIPHYGWSFQMGSAYQFHSWRVFVLVCAFPSVFAIGALTTQPESPRFFLENGKHDEAWMVLKQVHDTNMRAKGHPERVFSVTHIKTIHQEDELIEIQSDTGTWYQRWGVRALSLGGQVWGNFLSCFGPEYRRITLMMMGVWFTMSFSYYGLTVWF
NP_055753.3 236 919 0.527110233918129 PF00010.26:HLH:485:535 MLX-interacting protein; Class E basic helix-loop-helix protein 36; bHLHe36; Transcriptional activator MondoA 919 51 17 684 0 Homo sapiens (Human) SwissProt::Q9HAP2 1 SwissProt HKDEDLSSLVQDDDMLYWHKHGDGWKTPVPMEEDPLLDTDMLMSEFSDTLFSTLSSHQPVAWPNPREIAHLGNADMIQPGLIPLQPNLDFMDTFEPFQDLFSSSRSIFGSMLPASASAPVPDPNNPPAQESILPTTALPTVSLPDSLIAPPTAPSLAHMDEQGCEHTSRTEDPFIQPTDFGPSEPPLSVPQPFLPVFTMPLLSPSPAPPPISPVLPLVPPPATALNPPAPPTFHQPQKFAGVNKAPSVITHTASATLTHDAPATTFSQSQGLVITTHHPAPSAAPCGLALSPVTRPPQPRLTFVHPKPVSLTGGRPKQPHKIVPAPKPEPVSLVLKNARIAPAAFSGQPQAVIMTSGPLKREGMLASTVSQSNVVIAPAAIARAPGVPEFHSSILVTDLGHGTSSPPAPVSRLFPSTAQDPLGKGEQVPLHGGSPQVTVTGPSRDCPNSGQASPCASEQSPSPQSPQNNCSGKSDPKNVAALKNRQMKHISAEQKRRFNIKMCFDMLNSLISNNSKLTSHAITLQKTVEYITKLQQERGQMQEEARRLREEIEELNATIISCQQLLPATGVPVTRRQFDHMKDMFDEYVKTRTLQNWKFWIFSIIIKPLFESFKGMVSTSSLEELHRTALSWLDQHCSLPILRPMVLSTLRQLSTSTSILTDPAQLPEQASKAVTRIGKRLGES
NP_056562.1 1 681 0.188925550660793 PF01384.20:PHO4:43:667 Sodium-dependent phosphate transporter 1; Gibbon ape leukemia virus receptor 1; GLVR-1; Leukemia virus receptor 1 homolog; Phosphate transporter 1; PiT-1; Solute carrier family 20 member 1 681 625 17 503 8 Mus musculus (Mouse) SwissProt::Q61609 1 SwissProt MESTVATITSTLAAVTASAPPKYDNLWMLILGFIIAFVLAFSVGANDVANSFGTAVGSGVVTLKQACILASIFETVGSALLGAKVSETIRNGLIDVELYNETQDLLMAGSVSAMFGSAVWQLVASFLKLPISGTHCIVGATIGFSLVANGQKGVKWSELIKIVMSWFVSPLLSGIMSGILFFLVRAFILRKADPVPNGLRALPIFYACTIGINLFSIMYTGAPLLGFDKLPLWGTILISVGCAVFCALIVWFFVCPRMKRKIEREVKSSPSESPLMEKKSNLKEDHEETKMAPGDVEHRNPVSEVVCATGPLRAVVEERTVSFKLGDLEEAPERERLPMDLKEETSIDSTINGAVQLPNGNLVQFSQTVSNQINSSGHYQYHTVHKDSGLYKELLHKLHLAKVGDCMGDSGDKPLRRNNSYTSYTMAICGMPLDSFRAKEGEQKGDEMETLTWPNADTKKRIRMDSYTSYCNAVSDLHSESEMDMSVKAEMGLGDRKGSSGSLEEWYDQDKPEVSLLFQFLQILTACFGSFAHGGNDVSNAIGPLVALYLVYKQEASTKAATPIWLLLYGGVGICMGLWVWGRRVIQTMGKDLTPITPSSGFSIELASALTVVIASNIGLPISTTHCKVGSVVSVGWLRSKKAVDWRLFRNIFMAWFVTVPISGVISAAIMAVFKYIILPV
NP_057016.1 1 141 0.304978014184397 PF05348.11:UMP1:26:138 Proteasome maturation protein; Proteassemblin; Protein UMP1 homolog; hUMP1; Voltage-gated K channel beta subunit 4.1 141 113 17 141 0 Homo sapiens (Human) SwissProt::Q9Y244 1 SwissProt MNARGLGSELKDSIPVTELSASGPFESHDLLRKGFSCVKNELLPSHPLELSEKNFQLNQDKMNFSTLRNIQGLFAPLKLQMEFKAVQQVQRLPFLSSSNLSLDVLRGNDETIGFEDILNDPSQSEVMGEPHLMVEYKLGLL
NP_060102.1 1 580 0.482445862068966 PF11952.8:XTBD:19:124 CDKN2A-interacting protein; Collaborator of ARF 580 106 17 580 0 Homo sapiens (Human) SwissProt::Q9NXV6 1 SwissProt MAQEVSEYLSQNPRVAAWVEALRCDGETDKHWRHRRDFLLRNAGDLAPAGGAASASTDEAADAESGTRNRQLQQLISFSMAWANHVFLGCRYPQKVMDKILSMAEGIKVTDAPTYTTRDELVAKVKKRGISSSNEGVEEPSKKRVIEGKNSSAVEQDHAKTSAKTERASAQQENSSTCIGSAIKSESGNSARSSGISSQNSSTSDGDRSVSSQSSSSVSSQVTTAGSGKASEAEAPDKHGSASFVSLLKSSVNSHMTQSTDSRQQSGSPKKSALEGSSASASQSSSEIEVPLLGSSGSSEVELPLLSSKPSSETASSGLTSKTSSEASVSSSVAKNSSSSGTSLLTPKSSSSTNTSLLTSKSTSQVAASLLASKSSSQTSGSLVSKSTSLASVSQLASKSSSQTSTSQLPSKSTSQSSESSVKFSCKLTNEDVKQKQPFFNRLYKTVAWKLVAVGGFSPNVNHGELLNAAIEALKATLDVFFVPLKELADLPQNKSSQESIVCELRCKSVYLGTGCGKSKENAKAVASREALKLFLKKKVVVKICKRKYRGSEIEDLVLLDEESRPVNLPPALKHPQELL
NP_060294.1 52 278 0.0805 E3 ubiquitin-protein ligase MARCH5; Membrane-associated RING finger protein 5; Membrane-associated RING-CH protein V; MARCH-V; Mitochondrial ubiquitin ligase; MITOL; RING finger protein 153; RING-type E3 ubiquitin transferase MARCH5; EC 2.3.2.27 278 0 17 142 4 Homo sapiens (Human) SwissProt::Q9NX47 0 SwissProt DEKQRGNSTARVACPQCNAEYLIVFPKLGPVVYVLDLADRLISKACPFAAAGIMVGSIYWTAVTYGAVTVMQVVGHKEGLDVMERADPLFLLIGLPTIPVMLILGKMIRWEDYVLRLWRKYSNKLQILNSIFPGIGCPVPRIPAEANPLADHVSATRILCGALVFPTIATIVGKLMFSSVNSNLQRTILGGIAFVAIKGAFKVYFKQQQYLRQAHRKILNYPEQEEA
NP_060959.2 1 2025 0.553207703703704 histone-lysine N-methyltransferase ASH1L isoform 2 2964 0 17 2025 0 Homo sapiens NP_060959.2 1 RefSeq MDPRNTAMLGLGSDSEGFSRKSPSAISTGTLVSKREVELEKNTKEEEDLRKRNRERNIEAGKDDGLTDAQQQFSVKETNFSEGNLKLKIGLQAKRTKKPPKNLENYVCRPAIKTTIKHPRKALKSGKMTDEKNEHCPSKRDPSKLYKKADDVAAIECQSEEVIRLHSQGENNPLSKKLSPVHSEMADYINATPSTLLGSRDPDLKDRALLNGGTSVTEKLAQLIATCPPSKSSKTKPKKLGTGTTAGLVSKDLIRKAGVGSVAGIIHKDLIKKPTISTAVGLVTKDPGKKPVFNAAVGLVNKDSVKKLGTGTTAVFINKNLGKKPGTITTVGLLSKDSGKKLGIGIVPGLVHKESGKKLGLGTVVGLVNKDLGKKLGSTVGLVAKDCAKKIVASSAMGLVNKDIGKKLMSCPLAGLISKDAINLKAEALLPTQEPLKASCSTNINNQESQELSESLKDSATSKTFEKNVVRQNKESILEKFSVRKEIINLEKEMFNEGTCIQQDSFSSSEKGSYETSKHEKQPPVYCTSPDFKMGGASDVSTAKSPFSAVGESNLPSPSPTVSVNPLTRSPPETSSQLAPNPLLLSSTTELIEEISESVGKNQFTSESTHLNVGHRSVGHSISIECKGIDKEVNDSKTTHIDIPRISSSLGKKPSLTSESSIHTITPSVVNFTSLFSNKPFLKLGAVSASDKHCQVAESLSTSLQSKPLKKRKGRKPRWTKVVARSTCRSPKGLELERSELFKNVSCSSLSNSNSEPAKFMKNIGPPSFVDHDFLKRRLPKLSKSTAPSLALLADSEKPSHKSFATHKLSSSMCVSSDLLSDIYKPKRGRPKSKEMPQLEGPPKRTLKIPASKVFSLQSKEEQEPPILQPEIEIPSFKQGLSVSPFPKKRGRPKRQMRSPVKMKPPVLSVAPFVATESPSKLESESDNHRSSSDFFESEDQLQDPDDLDDSHRPSVCSMSDLEMEPDKKITKRNNGQLMKTIIRKINKMKTLKRKKLLNQILSSSVESSNKGKVQSKLHNTVSSLAATFGSKLGQQINVSKKGTIYIGKRRGRKPKTVLNGILSGSPTSLAVLEQTAQQAAGSALGQILPPLLPSSASSSEILPSPICSQSSGTSGGQSPVSSDAGFVEPSSVPYLHLHSRQGSMIQTLAMKKASKGRRRLSPPTLLPNSPSHLSELTSLKEATPSPISESHSDETIPSDSGIGTDNNSTSDRAEKFCGQKKRRHSFEHVSLIPPETSTVLSSLKEKHKHKCKRRNHDYLSYDKMKRQKRKRKKKYPQLRNRQDPDFIAELEELISRLSEIRITHRSHHFIPRDLLPTIFRINFNSFYTHPSFPLDPLHYIRKPDLKKKRGRPPKMREAMAEMPFMHSLSFPLSSTGFYPSYGMPYSPSPLTAAPIGLGYYGRYPPTLYPPPPSPSFTTPLPPPSYMHAGHLLLNPAKYHKKKHKLLRQEAFLTTSRTPLLSMSTYPSVPPEMAYGWMVEHKHRHRHKHREHRSSEQPQVSMDTGSSRSVLESLKRYRFGKDAVGERYKHKEKHRCHMSCPHLSPSKSLINREEQWVHREPSESSPLALGLQTPLQIDCSESSPSLSLGGFTPNSEPASSDEHTNLFTSAIGSCRVSNPNSSGRKKLTDSPGLFSAQDTSLNRLHRKESLPSNERAVQTLAGSQPTSDKPSQRPSESTNCSPTRKRSSSESTSSTVNGVPSRSPRLVASGDDSVDSLLQRMVQNEDQEPMEKSIDAVIATASAPPSSSPGRSHSKDRTLGKPDSLLVPAVTSDSCNNSISLLSEKLTSSCSPHHIKRSVVEAMQRQARKMCNYDKILATKKNLDHVNKILKAKKLQRQARTGNNFVKRRPGRPRKCPLQAVVSMQAFQAAQFVNPELNRDEEGAALHLSPDTVTDVIEAVVQSVNLNPEHKKGLKRKGWLLEEQTRKKQKPLPEEEEQENNKSFNEAPVEIPSPSETPAKPSEPESTLQPVLSLIPREKKPPRPPKKKYQKAGLYSDVYKTTDPKSRLIQLKKEKLEYTPGEHEY
NP_062819.1 1 109 0.118923853211009 Protein reprimo 109 0 17 86 1 Homo sapiens (Human) SwissProt::Q9NS64 1 SwissProt MNPALGNQTDVAGLFLANSSEALERAVRCCTQASVVTDDGFAEGGPDERSLYIMRVVQIAVMCVLSLTVVFGIFFLGCNLLIKSEGMINFLVKDRRPSKEVEAVVVGPY
NP_064364.1 1 161 0.225250310559006 PF10601.9:zf-LITAF-like:90:159 Lipopolysaccharide-induced tumor necrosis factor-alpha factor homolog; LPS-induced TNF-alpha factor homolog; Estrogen-enhanced transcript protein; mEET; LITAF-like protein; NEDD4 WW domain-binding protein 3 161 70 17 161 0 Mus musculus (Mouse) SwissProt::Q9JLJ0 1 SwissProt MSAPGPYQAAAGPSVVPTAPPTYEETVGVNSYYPTPPAPMPGPATGLITGPDGKGMNPPSYYTQPVPVPNANAIAVQTVYVQQPVSFYDRPVQMCCPSCSKMIVTQLSYNAGALTWLSCGSLCLLGCVAGCCFIPFCVDALQDVDHYCPNCKALLGTYKRL
NP_065068.1 1 521 0.271792706333973 PF06638.11:Strabismus:24:521 Vang-like protein 2; Loop-tail protein 1 homolog; Strabismus 1; Van Gogh-like protein 2 521 498 17 429 4 Homo sapiens (Human) SwissProt::Q9ULK5 1 SwissProt MDTESQYSGYSYKSGHSRSSRKHRDRRDRHRSKSRDGGRGDKSVTIQAPGEPLLDNESTRGDERDDNWGETTTVVTGTSEHSISHDDLTRIAKDMEDSVPLDCSRHLGVAAGATLALLSFLTPLAFLLLPPLLWREELEPCGTACEGLFISVAFKLLILLLGSWALFFRRPKASLPRVFVLRALLMVLVFLLVVSYWLFYGVRILDARERSYQGVVQFAVSLVDALLFVHYLAVVLLELRQLQPQFTLKVVRSTDGASRFYNVGHLSIQRVAVWILEKYYHDFPVYNPALLNLPKSVLAKKVSGFKVYSLGEENSTNNSTGQSRAVIAAAARRRDNSHNEYYYEEAEHERRVRKRRARLVVAVEEAFTHIKRLQEEEQKNPREVMDPREAAQAIFASMARAMQKYLRTTKQQPYHTMESILQHLEFCITHDMTPKAFLERYLAAGPTIQYHKERWLAKQWTLVSEEPVTNGLKDGIVFLLKRQDFSLVVSTKKVPFFKLSEEFVDPKSHKFVMRLQSETSV
NP_068373.1 1 445 0.128541797752809 PF00487.24:FA_desaturase:159:416,PF00173.28:Cyt-b5:25:97 Fatty acid desaturase 3; Delta(13) fatty acid desaturase; Delta(13) desaturase; EC 1.14.19.- 445 331 17 376 3 Homo sapiens (Human) SwissProt::Q9Y5Q0 1 SwissProt MGGVGEPGPREGPAQPGAPLPTFCWEQIRAHDQPGDKWLVIERRVYDISRWAQRHPGGSRLIGHHGAEDATDAFRAFHQDLNFVRKFLQPLLIGELAPEEPSQDGPLNAQLVEDFRALHQAAEDMKLFDASPTFFAFLLGHILAMEVLAWLLIYLLGPGWVPSALAAFILAISQAQSWCLQHDLGHASIFKKSWWNHVAQKFVMGQLKGFSAHWWNFRHFQHHAKPNIFHKDPDVTVAPVFLLGESSVEYGKKKRRYLPYNQQHLYFFLIGPPLLTLVNFEVENLAYMLVCMQWADLLWAASFYARFFLSYLPFYGVPGVLLFFVAVRVLESHWFVWITQMNHIPKEIGHEKHRDWVSSQLAATCNVEPSLFTNWFSGHLNFQIEHHLFPRMPRHNYSRVAPLVKSLCAKHGLSYEVKPFLTALVDIVRSLKKSGDIWLDAYLHQ
NP_071526.1 1 1909 0.607830434782609 PF00193.17:Xlink:154:247,PF00193.17:Xlink:254:349,PF00193.17:Xlink:488:581,PF00193.17:Xlink:589:683,PF07686.17:V-set:35:150 aggrecan core protein precursor 2162 495 17 1909 0 Rattus norvegicus NP_071526.1 1 RefSeq MTTLLLVFVTLRVIAAVISEEVPDHDNSLSVSIPQPSPLKALLGTSLTIPCYFIDPMHPVTTAPSTAPLTPRIKWSRVSKEKEVVLLVATEGQVRVNSIYQDKVSLPNYPAIPSDATLEIQNLRSNDSGIYRCEVMHGIEDSEATLEVIVKGIVFHYRAISTRYTLDFDRAQRACLQNSAIIATPEQLQAAYEDGFHQCDAGWLADQTVRYPIHTPREGCYGDKDEFPGVRTYGIRDTNETYDVYCFAEEMEGEVFYATSPEKFTFQEAANECRRLGARLATTGQLYLAWQGGMDMCSAGWLADRSVRYPISKARPNCGGNLLGVRTVYLHANQTGYPDPSSRYDAICYTGEDFVDIPENFFGVGGEEDITIQTVTWPDLELPLPRNITEGEARGNVILTAKPIFDMSPTVSEPGEALTLAPEVGTTVFPEAGERTEKTTRPWGFPEEATRGPDSATAFASEDLVVRVTISPGAVEVPGQPRLPGGVVFHYRPGSTRYSLTFEEAQQACIRTGAAIASPEQLQAAYEAGYEQCDAGWLQDQTVRYPIVSPRTPCVGDKDSSPGVRTYGVRPSSETYDVYCYVDKLEGEVFFATQMEQFTFQEAQAFCAAQNATLASTGQLYAAWSQGLDKCYAGWLADGTLRYPIVNPRPACGGDKPGVRTVYLYPNQTGLPDPLSKHHAFCFRGVSVVPSPGGTPTSPSDIEDWIVTRVEPGVDAVPLEPETTEVPYFTTEPEKQTEWEPAYTPVGTSPLPGIPPTWLPTVPAAEEHTESPSASQEPSASQVPSTSEEPYTPSLAVPSGTELPSSGDTSGAPDLSGDFTGSTDTSGRLDSSGEPSGGSESGLPSGDLDSSGLGPTVSSGLPVESGSASGDGEIPWSSTPTVDRLPSGGESLEGSASASGTGDLSGLPSGGEITETSASGTEEISGLPSGGDDLETSTSGIDGASVLPTGRGGLETSASGVEDLSGLPSGEEGSETSTSGIEDISVLPTGESPETSASGVGDLSGLPSGGESLETSASGVEDVTQLPTERGGLETSASGIEDITVLPTGRENLETSASGVEDVSGLPSGKEGLETSASGIEDISVFPTEAEGLETSASGGYVSGIPSGEDGTETSTSGVEGVSGLPSGGEGLETSASGVEDLGLPTRDSLETSASGVDVTGYPSGREDTETSVPGVGDDLSGLPSGQEGLETSASGAEDLGGLPSGKEDLVGSASGALDFGKLPSGTLGSGQTPEASGLPSGFSGEYSGVDIGSGPSSGLPDFSGLPSGFPTVSLVDSTLVEVITATTASELEGRGTISVSGSGEESGPPLSELDSSADISGLPSGTELSGQTSGSLDVSGETSGFFDVSGQPFGSSGTGEGTSGIPEVSGQAVRSPDTTEISELSGLSSGQPDVSGEGSGILFGSGQSSGITSVSGETSGISDLSGQPSGFPVLSGTTPGTPDLASGAMSGSGDSSGITFVDTSLIEVTPTTFREEEGLGSVELSGLPSGETDLSGTSGMVDVSGQSSGAIDSSGLISPTPEFSGLPSGVAEVSGEVSGVETGSSLSSGAFDGSGLVSGFPTVSLVDRTLVESITLAPTAQEAGEGPSSILEFSGAHSGTPDISGDLSGSLDQSTWQPGWTEASTEPPSSPYFSGDFSSTTDASGESITAPTGSGETSGLPEVTLITSELVEGVTEPTVSQELGHGPSMTYTPRLFEASGEASASGDLGGPVTIFPGSGVEASVPEGSSDPSAYPEAGVGVSAAPEASSQLSEFPDLHGITSASRETDLEMTTPGTEVSSNPWTFQEGTREGSAAPEVSGESSTTSDIDAGTSGVPFATPMTSGDRTEISGEWSDHTSEVNVTVSTTVPESRWAQSTQHPTETLQEIGSPNPSYSGEETQTAETAKSLTDTPTLASPEGSGETESTAA
NP_075368.1 1 188 0.0390260638297872 PF03208.19:PRA1:4:150 PRA1 family protein 3; ADP-ribosylation factor-like protein 6-interacting protein 5; ARL-6-interacting protein 5; Aip-5; Addicsin; GTRAP3-18; Glutamate transporter EAAC1-interacting protein; Prenylated Rab acceptor protein 2; Protein JWa 188 147 17 101 4 Mus musculus (Mouse) SwissProt::Q8R5J9 0 SwissProt MDVNLAPLRAWDDFFPGSDRFARPDFRDISKWNNRVVSNLLYYQTNYLVVAAMMISVVGFLSPFNMILGGVIVVLVFMGFVWAAHNKDILRRMKKQYPTAFVMVVMLASYFLISMFGGVMVFVFGITLPLLLMFIHASLRLRNLKNKLENKMEGIGLKKTPMGIILDALEQQEDNINKFADYISKARE
NP_077271.1 1 251 0.055595219123506 PF04511.15:DER1:11:204 Derlin-1; Degradation in endoplasmic reticulum protein 1; DERtrin-1; Der1-like protein 1 251 194 17 146 5 Homo sapiens (Human) SwissProt::Q9BUN8 1 SwissProt MSDIGDWFRSIPAITRYWFAATVAVPLVGKLGLISPAYLFLWPEAFLYRFQIWRPITATFYFPVGPGTGFLYLVNLYFLYQYSTRLETGAFDGRPADYLFMLLFNWICIVITGLAMDMQLLMIPLIMSVLYVWAQLNRDMIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVGHLYFFLMFRYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRHNWGQGFRLGDQ
NP_078951.2 50 834 0.587046369426751 Partner and localizer of BRCA2 1186 0 17 785 0 Homo sapiens (Human) SwissProt::Q86YC2 1 SwissProt KTVEEQDCLSQQDLSPQLKHSEPKNKICVYDKLHIKTHLDEETGEKTSITLDVGPESFNPGDGPGGLPIQRTDDTQEHFPHRVSDPSGEQKQKLPSRRKKQQKRTFISQERDCVFGTDSLRLSGKRLKEQEEISSKNPARSPVTEIRTHLLSLKSELPDSPEPVTEINEDSVLIPPTAQPEKGVDTFLRRPNFTRATTVPLQTLSDSGSSQHLEHIPPKGSSELTTHDLKNIRFTSPVSLEAQGKKMTVSTDNLLVNKAISKSGQLPTSSNLEANISCSLNELTYNNLPANENQNLKEQNQTEKSLKSPSDTLDGRNENLQESEILSQPKSLSLEATSPLSAEKHSCTVPEGLLFPAEYYVRTTRSMSNCQRKVAVEAVIQSHLDVKKKGFKNKNKDASKNLNLSNEETDQSEIRMSGTCTGQPSSRTSQKLLSLTKVSSPAGPTEDNDLSRKAVAQAPGRRYTGKRKSACTPASDHCEPLLPTSSLSIVNRSKEEVTSHKYQHEKLFIQVKGKKSRHQKEDSLSWSNSAYLSLDDDAFTAPFHRDGMLSLKQLLSFLSITDFQLPDEDFGPLKLEKVKSCSEKPVEPFESKMFGERHLKEGSCIFPEELSPKRMDTEMEDLEEDLIVLPGKSHPKRPNSQSQHTKTGLSSSILLYTPLNTVAPDDNDRPTTDMCSPAFPILGTTPAFGPQGSYEKASTEVAGRTCCTPQLAHLKDSVCLASDTKQFDSSGSPAKPHTTLQVSGRQGQPTCDCDSVPPGTPPPIESFTFKENQLCRNTCQELHKH
NP_084002.2 1 693 0.720824098124099 Triadin 693 0 17 670 1 Mus musculus (Mouse) SwissProt::E9Q9K5 1 SwissProt MTEITAEGNASTTTTVIDNKNGCIPKSPGKVLKRSVTEDIVTTFSSPAAWLLVIALIITWSAVAIVMFDLVDYKNFSASSIAKIGSDPLKLVNDAVEETTDWIYGFFSLLSDIISSEGDEDDEDADEDIDKGEIEEPPLKRKEIHQEKAEKEEKPEKKIQTKASHREREKGKEKLKGEKPEKTATHKEKLEKKERPETKMMAKEDKKIKTKEKTEEKAKKEMKVGKQEKVKPTAAKAKETPKTPPKARKKDDKEMPAVHEQKDQYAFCRYMIDMFVHGDLKPGQSPVMPPPSLTPSKPALSTTALEEKEKEEKKKMEKKDTSDTKKKEKEVKKKSEETTIDGKGKEPGKPPETKQMTAKLTTQAAARKDEKKEESKKMRKPTEEQPKGKKQEKKEKHIEPAKTPKKEHPGPSEKLKKAKAEQAKEEIAAASTKKALHGKKEEKAKTVEQEVKKEKSGKSSSDLKDKEVKKEKSGKSSSDLKDKEPQLKNEEKSKPQVKKEAKLASSDKGQTRKQNITRPEQVIPHVKPEKAEHQEKGHPSIKKDKPKPSSKGAPEVPDSGKKKIEKSEKESKVPTREENLQVYNVTKAEKPGKIPKDSKEAPASKKDKEDSKEAPTSKKDKEDSKDVPHSKKDKEVTDDVSSPKKQTRPISFFQCVYLNGYNGYGFQFPVTPVQQPGENPGKTNSPGQKQQEQ
NP_085048.1 1 221 0.224339366515837 PF10176.9:DUF2370:63:164 NEDD4 family-interacting protein 1; Breast cancer-associated protein SGA-1M; NEDD4 WW domain-binding protein 5; Putative MAPK-activating protein PM13; Putative NF-kappa-B-activating protein 164; Putative NFKB and MAPK-activating protein 221 102 17 155 3 Homo sapiens (Human) SwissProt::Q9BT67 1 SwissProt MALALAALAAVEPACGSRYQQLQNEEESGEPEQAAGDAPPPYSSISAESAAYFDYKDESGFPKPPSYNVATTLPSYDEAERTKAEATIPLVPGRDEDFVGRDDFDDADQLRIGNDGIFMLTFFMAFLFNWIGFFLSFCLTTSAAGRYGAISGFGLSLIKWILIVRFSTYFPGYFDGQYWLWWVFLVLGFLLFLRGFINYAKVRKMPETFSNLPRTRVLFIY
NP_112280.1 39 335 0.704287878787879 cyclic AMP-dependent transcription factor ATF-2 487 0 17 297 0 Rattus norvegicus NP_112280.1 1 RefSeq PARNDSVIVADQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPLPHPESTTNDEKEIPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRAQSEESRPQSLQQPATSTTETPASPAHTTPQTQNTSGRRRRAANEDPDE
NP_116674.2 900 2278 0.302652719361856 PF01504.18:PIP5K:1140:1306,PF01504.18:PIP5K:1307:1366,PF00118.24:Cpn60_TCP1:6:134 1-phosphatidylinositol 3-phosphate 5-kinase FAB1; Phosphatidylinositol 3-phosphate 5-kinase; Type III PIP kinase; PIPkin-III; EC 2.7.1.150 2278 356 17 1379 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34756 1 SwissProt HFLSIESVFRQEREYLDKLVSRLKSLHPDIIYVGANVSGYALELLNDSGIVVQFNMKPQVIERIAKLTEADIAISVDKLATNIKMGECETFEVKSYIYGNISKTYTFLRGCNPELGGTILLRGDSLENLRKIKQVSEFMVYAIFSLKLESSFFNDNFIQLSTDVYLKRAESKKLQVFEGYFADFLIKFNNRILTVSPTVDFPIPFLLEKARGLEKKLIERINQYESESDLDRQTQLNMLQGLESTITKKHLGNLIKFLHEMEIENLELEFQKRSRQWEVSYSSSQNLLGTGSHQSITVLYSMVSTKTATPCVGPQIVTIDYFWDSDISIGQFIENVVGTARYPCQQGCNGLYLDHYRSYVHGSGKVDVLIEKFQTRLPKLKDIILTWSYCKKCGTSTPILQISEKTWNHSFGKYLEVMFWSYKDSVTGIGKCPHDFTKDHVKYFGYNDLVVRLEYSDLEVHELITPPRKIKWKPHIDIKLKVELYYKILEKINNFYGSVLSRLERIKLDSMTKDKVLSGQAKIIELKSNATEEQKLMLQDLDTFYADSPCDQHLPLNLVIKSLYDKAVNWNSTFAIFAKSYLPSETDISRITAKQLKKLFYDSSRKDSEDKKSLHDEKAKTRKPEKNELPLEGLKDVEKPKIDSKNTTENRDRTNEPQNAVTITTFKDDTPIIPTSGTSHLTVTPSASSVSSSLTPQTEERPPISRSGTGISMTHDKSTRPNIRKMSSDSSLCGLASLANEYSKNNKVSKLATFFDQMHFDALSKEFELERERERLQLNKDKYQAIRLQTSTPIVEIYKNVKDAVDEPLHSRSSGNNLSSANVKTLEAPVGEHSRANNCNPPNLDQNLETELENSISQWGENILNPSGKTTASTHLNSKPVVKETSENPKSIVRESDNSKSEPLPPVITTTTVNKVESTPQPEKSLLMKTLSNFWADRSAYLWKPLVYPTCPSEHIFTDSDVIIREDEPSSLIAFCLSTSDYRNKMMNLNVQQQQQQQTAEAAPAKTGGNSGGTTQTGDPSVNISPSVSTTSHNKGRDSEISSLVTTKEGLLNTPPIEGARDRTPQESQTHSQANLDTLQELEKIMTKKTATHLRYQFEEGLTVMSCKIFFTEHFDVFRKICDCQENFIQSLSRCVKWDSNGGKSGSGFLKTLDDRFIIKELSHAELEAFIKFAPSYFEYMAQAMFHDLPTTLAKVFGFYQIQVKSSISSSKSYKMDVIIMENLFYEKKTTRIFDLKGSMRNRHVEQTGKANEVLLDENMVEYIYESPIHVREYDKKLLRASVWNDTLFLAKMNVMDYSLVIGIDNEGYTLTVGIIDFIRTFTWDKKLESWVKEKGLVGGASVIKQPTVVTPRQYKKRFREAMERYILMVPDPWYREGN
NP_116694.1 1 124 0.705016129032258 PF10471.9:ANAPC_CDC26:1:85 Anaphase-promoting complex subunit CDC26; Cell division control protein 26 124 85 17 124 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P14724 1 SwissProt MIRRAPTTLQLSHDDVTSLIDDLNEQKLKQQLNIEKTKYFQGKNGGSLHSNTDFQDTSQNIEDNNNDNDNDIDEDDDMSSYNDKAASVAHTRVLNSLHLSTDSNTAHETSNANDNHNPFYIREE
NP_172233.1 1 387 0.536272351421188 Scarecrow-like protein 14; AtSCL14; GRAS family protein 2; AtGRAS-2 769 0 17 387 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XE58 1 SwissProt MGSYPDGFPGSMDELDFNKDFDLPPSSNQTLGLANGFYLDDLDFSSLDPPEAYPSQNNNNNNINNKAVAGDLLSSSSDDADFSDSVLKYISQVLMEEDMEEKPCMFHDALALQAAEKSLYEALGEKYPSSSSASSVDHPERLASDSPDGSCSGGAFSDYASTTTTTSSDSHWSVDGLENRPSWLHTPMPSNFVFQSTSRSNSVTGGGGGGNSAVYGSGFGDDLVSNMFKDDELAMQFKKGVEEASKFLPKSSQLFIDVDSYIPMNSGSKENGSEVFVKTEKKDETEHHHHHSYAPPPNRLTGKKSHWRDEDEDFVEERSNKQSAVYVEESELSEMFDKILVCGPGKPVCILNQNFPTESAKVVTAQSNGAKIRGKKSTSTSHSNDSK
NP_172432.1 1 282 0.259297163120567 PF04669.13:Polysacc_synt_4:79:263 Glucuronoxylan 4-O-methyltransferase 1; EC 2.1.1.112 282 185 17 262 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NMK1 1 SwissProt MRPKANQNHKLKVLLVFLLATLILIFIVRSTLTSSQEHQTPQETRSTRCSGACNKLPRSLAQALIHYSTSVITPQQTLKEIAVSSRVLGKKSPCNFLVFGLGHDSLMWSSLNYGGRTVFLEEDEAWIKQIKRRFPMLESYHVTYDSKVNQADNLIEVGKGPECTAIGDPRYSMCQLALKGLPAEIYETGWDLIMVDAPTGYYDEAPGRMTAIYTAGMMARNRKQGGETDVFVHDVNREIEDKFSKAFLCEGYMKKQEGRLRHFIIPSYRDGSESESNRPFCP
NP_172591.1 40 192 0.478218954248366 PF00804.25:Syntaxin:2:152 syntaxin of plants 125 298 151 17 153 0 Arabidopsis thaliana NP_172591.1 1 RefSeq DDMKGVEALYKKLQDSNEECKTVHNAKKVKELRAKMDGDVAMVLKRVKIIKQKLEALEKANANSRNVPGCGPGSSTDRTRSSVVSGLGKKLKDLMDSFQGLRARMNNEYKETVERRYFTITGEKADEQTIDNLIASGESENFLQKAIQEQGRG
NP_178025.1 1 140 0.199148571428571 PF04725.12:PsbR:42:139 Photosystem II 10 kDa polypeptide (EC 1.10.3.9) 140 98 17 117 1 Arabidopsis thaliana metacyc::AT1G79040-MONOMER 1 metacyc MAASVMLSSVTLKPAGFTVEKTAARGLPSLTRARPSFKIVASGVKKIKTDKPFGINGSMDLRDGVDASGRKGKGYGVYKYVDKYGANVDGYSPIYNENEWSASGDVYKGGVTGLAIWAVTLAGILAGGALLVYNTSALAQ 1
NP_178079.2 203 535 0.172828528528529 PF00999.21:Na_H_Exchanger:8:235 Sodium/hydrogen exchanger 6; Na(+)/H(+) exchanger 6; NHE-6 535 228 17 203 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWU6 1 SwissProt TMSLVRSHSSGQNFFMVIVRFLETFVGSMSAGVGVGFTSALLFKYAGLDVDNLQNLECCLFVLFPYFSYMLAEGLSLSGIVSILFTGIVMKHYTYSNLSANSQRFVSAFFHLISSLAETFVFIYMGFDIAMEKHSWSHLGFIFFSILFIVIARAANVFGCGYLVNLARPAHRKIPMTHQKALWYSGLRGAMAFALALQSVHDLPEGHGQTIFTATTAIVVLTVLLIGGSTGTMLEALEVVGDSHDTSLGDGFEVVNSRYMTSYDDEDTPPGSGFRTKLREFHKSAASFTELDRNYLTPFFTSNNGDYDDEGNMEQHHEERIPFTRRGNLNNRG
NP_180997.1 1 376 0.165727127659574 PF00067.22:p450:76:372 Cytochrome P450 710A1; C-22 sterol desaturase; EC 1.14.19.41 495 297 17 353 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64697 1 SwissProt MVFSVSIFASLAPYLISAFLLFLLVEQLSYLFKKRNIPGPFFVPPIIGNAVALVRDPTSFWDKQSSTANISGLSANYLIGKFIVYIRDTELSHQIFSNVRPDAFHLIGHPFGKKLFGDHNLIYMFGEDHKSVRRQLAPNFTPKALSTYSALQQLVILRHLRQWEGSTSGGSRPVSLRQLVRELNLETSQTVFVGPYLDKEAKNRFRTDYNLFNLGSMALPIDLPGFAFGEARRAVKRLGETLGICAGKSKARMAAGEEPACLIDFWMQAIVAENPQPPHSGDEEIGGLLFDFLFAAQDASTSSLLWAVTLLDSEPEVLNRVREEVAKIWSPESNALITVDQLAEMKYTRSVAREVIRYRPPATMVPHVAAIDFPLT
NP_181011.2 1 527 0.569833965844402 Protein PLASTID TRANSCRIPTIONALLY ACTIVE 12, chloroplastic; pTAC12; Plastid-encoded RNA polymerase-associated protein 5; PEP-associated protein 5; Protein HEMERA 527 0 17 527 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IHY7 1 SwissProt MASISTTTWLYRGQVCTDSGKSSNCIVQRRVKCGFPLKTLHAGITSRDRSLRHCIKCKKEDGDGDVSEGSKKSEEGFEYVTVERHPYHSYMDSTSGKLEPASGARASIPGEDYWPEGTSSRVRAARAPQPAGESSSFPSYGKNPGSRRKKNRKATEENVTVETNDEVSDSEDSSEEEENDSSDGFVTYKNEFEREEEETGFELDKKLGRPHPFIDPTKKKQIEKTLTSDESWWNWRKPEKEQWSRWQRRRPDVETVFLKAMAETGQVKLYGEEPTLTETSLYRARRHLFKEERLQAERERLAKEGPMAFYSEWVKAWKRDTSREAVQKHFEETGEDENTQLIEMFSHQTDREYRIMMGTDIRIKRDPLAMRMREDQIKQIWGGDPVYPTINYIQDPNAVMDFRGPDFHEPTPNMLSYLKENGKVISREMHEALLTKEKTEQLEVPDMDDAMAQAVDIGENDDDEDDADVEKDDEKVPRNWSVLKETPELRTAKPKPKKEGRMSLDEAVDDAENLTDFLMDFEEETDP
NP_181137.2 84 411 0.186717073170732 PF04078.13:Rcd1:31:177 E3 ubiquitin-protein ligase PUB23; Plant U-box protein 23; RING-type E3 ubiquitin transferase PUB23; U-box domain-containing protein 23; EC 2.3.2.27 411 147 17 328 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84TG3 1 SwissProt ASYGVERIPTPRPPICKSEIEKLIRDSASSHENQVKCLKRLRQIVSENATNKRCLEAAGVPEFLANIVSNDSENGSLTDEALNLLYHLETSETVLKNLLNNKKDNNIVKSLTKIMQRGMYESRVYATLLLKNILEVADPMQSMTLKPEVFTEVVQILDDRISQKATKAAMHILVNICPWGRNRHKAVEAGVISVIIELLMDESFTSERRGPEMAMVVLDLLCQCAEGRAEFLNHGAAIAVVCKKILRVSQTASDRAVRVLLSVGRFCATPALLHEMLQLGVVAKLCLVLQVSCGGKTKEKAKELLKLHARVWKDSPCLPKNMILAYPC
NP_181725.1 1 257 0.688889883268482 PF13912.6:zf-C2H2_6:94:119 Zinc finger protein 8 257 26 17 257 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93751 1 SwissProt MDETNGRRETHDFMNVNVESFSQLPFIRRTPPKEKAAIIRLFGQELVGDNSDNLSAEPSDHQTTTKNDESSENIKDKDKEKDKDKDKDNNNNRRFECHYCFRNFPTSQALGGHQNAHKRERQHAKRGSMTSYLHHHQPHDPHHIYGFLNNHHHRHYPSWTTEARSYYGGGGHQTPSYYSRNTLAPPSSNPPTINGSPLGLWRVPPSTSTNTIQGVYSSSPASAFRSHEQETNKEPNNWPYRLMKPNVQDHVSLDLHL
NP_191096.1 1 310 0.0771987096774194 PF02544.16:Steroid_dh:159:309 Very-long-chain enoyl-CoA reductase; Enoyl-CoA reductase; AtECR; Protein ECERIFERUM 10; Synaptic glycoprotein SC2-like protein; EC 1.3.1.93 310 151 17 180 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2U2 1 SwissProt MKVTVVSRSGREVLKAPLDLPDSATVADLQEAFHKRAKKFYPSRQRLTLPVTPGSKDKPVVLNSKKSLKEYCDGNNNSLTVVFKDLGAQVSYRTLFFFEYLGPLLIYPVFYYFPVYKFLGYGEDCVIHPVQTYAMYYWCFHYFKRILETFFVHRFSHATSPIGNVFRNCAYYWSFGAYIAYYVNHPLYTPVSDLQMKIGFGFGLVCQVANFYCHILLKNLRDPSGAGGYQIPRGFLFNIVTCANYTTEIYQWLGFNIATQTIAGYVFLAVAALIMTNWALGKHSRLRKIFDGKDGKPKYPRRWVILPPFL 1
NP_193839.4 73 732 0.597256212121211 PF13771.6:zf-HC5HC2H:530:589,PF13832.6:zf-HC5HC2H_2:528:589 Protein BREAST CANCER SUSCEPTIBILITY 1 homolog; AtBRCA1 941 62 17 660 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RXD4 1 SwissProt SIYKNMEDASGIKLFVSQNNPSPSDKEKQVRDASVEKASDKNRQGSRKGRASKRNEYGKTKEIDVDAPGPIVMKPSSQTKKRVQLLQNLSAESLTKPTESVETAEKPKDYTENTVIRLDEHPSLNKEGNLSPFFWLRDEDDGENSSQRTESDQLLGTTPVNVPSFSDLMDSDHESPSKEDEQQKPNPGDMFDSEMFEWTQRPCSPEILPSPVKAKVLGRDEIDLTQKKLPKVKVASSKCKNRKAGSARNTVARRSIGVSQEDNMESSAAATISEQQDSRGTSGTIIRNDVNTDENVKAKRATRSKAQSTRVQSDLNVSNEADGKQGTKRKRSSIKSSPAHPIAGPNELSLGTEIVGKGDQDQAHGPSDTHPEKRSPTEKPSLKKRGRKSNASSSLKDLSGKTQKKTSEKKLKLDSHMISSKATQPHGNGILTAGLNQGGDKQDSRNNRKSTVGKDDHTMQVIEKCSTINKSSSGGSAHLRRCNGSLTKKFTCAFCQCSEDTEASGEMTHYYRGEPVSADFNGGSKVIHVHKNCAEWAPNVYFNDLTIVNLDVELTRSRRISCSCCGLKGAALGCYNKSCKNSFHVTCAKLIPECRWDNVKFVMLCPLDASIKLPCEEANSKDRKCKRTPKEPLHSQPKQVSGKANIRELHIKQFHGFSKK
NP_194155.1 1 259 0.634518146718147 Probable WRKY transcription factor 7; WRKY DNA-binding protein 7 353 0 17 259 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9STX0 1 SwissProt MTVELMMSSYSGGGGGGDGFPAIAAAAKMEDTALREAASAGIHGVEEFLKLIGQSQQPTEKSQTEITAVTDVAVNSFKKVISLLGRSRTGHARFRRAPASTQTPFKQTPVVEEEVEVEEKKPETSSVLTKQKTEQYHGGGSAFRVYCPTPIHRRPPLSHNNNNNQNQTKNGSSSSSPPMLANGAPSTINFAPSPPVSATNSFMSSHRCDTDSTHMSSGFEFTNPSQLSGSRGKPPLSSASLKRRCNSSPSSRCHCSKKR
NP_196024.1 1 825 0.31124096969697 PF11815.8:DUF3336:95:225,PF01734.22:Patatin:232:425 Triacylglycerol lipase SDP1; Protein SUGAR-DEPENDENT 1; EC 3.1.1.3 825 325 17 825 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZA6 1 SwissProt MDISNEASVDPFSIGPSSIMGRTIAFRVLFCRSMSQLRRDLFRFLLHWFLRFKLTVSPFVSWFHPRNPQGILAVVTIIAFVLKRYTNVKIKAEMAYRRKFWRNMMRTALTYEEWAHAAKMLEKETPKMNESDLYDEELVKNKLQELRHRRQEGSLRDIMFCMRADLVRNLGNMCNSELHKGRLQVPRHIKEYIDEVSTQLRMVCNSDSEELSLEEKLSFMHETRHAFGRTALLLSGGASLGAFHVGVVRTLVEHKLLPRIIAGSSVGSIICAVVASRSWPELQSFFENSLHSLQFFDQLGGVFSIVKRVMTQGALHDIRQLQCMLRNLTSNLTFQEAYDMTGRILGITVCSPRKHEPPRCLNYLTSPHVVIWSAVTASCAFPGLFEAQELMAKDRSGEIVPYHPPFNLDPEVGTKSSSGRRWRDGSLEVDLPMMQLKELFNVNHFIVSQANPHIAPLLRLKDLVRAYGGRFAAKLAHLVEMEVKHRCNQVLELGFPLGGLAKLFAQEWEGDVTVVMPATLAQYSKIIQNPTHVELQKAANQGRRCTWEKLSAIKSNCGIELALDDSVAILNHMRRLKKSAERAATATSSSHHGLASTTRFNASRRIPSWNVLARENSTGSLDDLVTDNNLHASSGRNLSDSETESVELSSWTRTGGPLMRTASANKFIDFVQSLDIDIALVRGFSSSPNSPAVPPGGSFTPSPRSIAAHSDIESNSNSNNLGTSTSSITVTEGDLLQPERTSNGFVLNVVKRENLGMPSIGNQNTELPESVQLDIPEKEMDCSSVSEHEEDDNDNEEEHNGSSLVTVSSEDSGLQEPVSGSVIDA
NP_197187.1 1 1123 0.177796794300979 PF12009.8:Telomerase_RBD:452:589 Telomerase reverse transcriptase; AtTERT; EC 2.7.7.49 1123 138 17 1123 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SPU7 1 SwissProt MPRKPRHRVPEILWRLFGNRARNLNDAIVDLIPNRNIQPEQCRCRGQGCLGCSSDKPAFLLRSDDPIHYRKLLHRCFVVLHEQTPPLLDFSPTSWWSQREIVERIIEMMQSGCDCQNVICARYDKYDQSSPILELLTSSSWEFLLKRVGHDVMVYLLQQTSIFLPLLGKKHQQVSGPPLCIKHKRTLSVHENKRKRDDNVQPPTKRQWLSSAVDDCPKDDSATITPIVGEDVDQHREKKTTKRSRIYLKRRRKQRKVNFKKVDCNAPCITPSTNGKVSTGNDEMNLHIGINGSLTDFVKQAKQVKRNKNFKFGLSETYSVIPPNHILKTLRPNCSDSKLLMNHIFGEVNVWSTTPSHGKGNCPSGSICLYHSLLKSLKNLIGKTKSSHLKMLLDKHCPVLLLQEDALKSGTTSQSSRRQKADKLPHGSSSSQTGKPKCPSVEERKLYCTNDQVVSFIWAICRYIVPESLLGTTHQMRVLRKNIAWFVSRRRNEKCTVNQFLHKVKPSDFPFFARKELCCMVNGHELQSESIRSTQQMLCTKWISWLFLEIVKKLVHFNFYATESQGGRLNIYYYRKRSWERLISKEISKALDGYVLVDDAEAESSRKKLSKFRFLPKANGVRMVLDFSSSSRSQSLRDTHAVLKDIQLKEPDVLGSSVFDHDDFYRNLCPYLIHLRSQSGELPPLYFVVADVFKAFDSVDQGKLLHVIQSFLKDEYILNRCRLVCCGKRSNWVNKILVSSDKNSNFSRFTSTVPYNALQSIVVDKGENHRVRKKDLMVWIGNMLKNNMLQLDKSFYVQIAGIPQGHRLSSLLCCFYYGHLERTLIYPFLEEASKDVSSKECSREEELIIPTSYKLLRFIDDYLFVSTSRDQASSFYHRLKHGFKDYNCFMNETKFCINFEDKEEHRCSSNRMFVGDNGVPFVRWTGLLINSRTFEVQVDYTRYLSGHISSTFSVAWQNKPVRNLRQKLCYFLVPKCHPILFDSNINSGEIVRLNIYQIFLLAAMKFHCYVYEVSRFWKLHPQTLFKFITISVRYMFRLINRRVRRINTGSSFRPVLKLYKEEVIWLGLDAYIQVLKKKNSRYRMLLIYLKSALSKHSLSQQLSSELRYATDRSNSSSLWKLNY
NP_197989.2 1 98 0.477088775510204 WRKY DNA-binding protein 50 173 0 17 98 0 Arabidopsis thaliana NP_197989.2 1 RefSeq MNDADTNLGSSFSDDTHSVFEFPELDLSDEWMDDDLVSAVSGMNQSYGYQTSDVAGALFSGSSSCFSHPESPSTKTYVAATATASADNQNKKEKKKIK
NP_200350.2 1 1297 0.391621434078642 Protein ENHANCED DOWNY MILDEW 2 1297 0 17 1297 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4K3G5 1 SwissProt MTFVDDDEEEDFSVPQSASNYYFEDDDKEPVSFARLPIQWSVEEKVDGSGLGFYLRGRSDNGLLPLHKLVKAWRYDLSNFQPEISVLTKDNIWIKLEEPRKSYGELIRTVLVTLHSIQFLRRNPQASEKALWEKLTRSLRSYDVKPSQNDLVDHIGLIAEAAKRDRNLANSKFILAFLTKKPTKRRLPDEDNAKDDFIVGDEDTYVASDEDELDDEDDDFFESVCAICDNGGEILCCEGSCLRSFHATKKDGEDSLCDSLGFNKMQVEAIQKYFCPNCEHKIHQCFICKNLGSSDNSSGAAEVFQCVSATCGYFYHPHCVTRRLRLGNKEESEALERQIIAGEYTCPLHKCSVCENGEVKTDSNLQFAVCRRCPKSYHRKCLPREISFEDIEDEDILTRAWDGLLHNRVLIYCQEHEIDEELLTPVRDHVKFPFTEEQKVFVKEQRRILESHVGRDKARLKVKDPALQDTCGKASKNSFRSSFPSSKDGFSTKKHGLVSSVPDHSRKRKDIDPSIKHKMVPQKSQKMMEDSREAGKNKLGVKEARDAGKSKISLGERLFSYTQEPNPVKPGRVIPVDSKHNKTDSIASKEPGSEIPTLDNDSQRRLLAVMKKATEEITMGTILKKFKIQSTMSTHSTRNVVDKTITMGKVEGSVQAIRTALKKLEEGGNIEDAKAVCEPEVLSQILKWKDKLKVYLAPFLHGARYTSFGRHFTNPEKLQQIVDRLHWYADDGDMIVDFCCGSNDFSCLMNAKLEETGKKCLYKNYDLFPAKNNFNFERKDWMTVSKDELEPGSKLIMGLNPPFGVNASLANKFITKALEFRPKILILIVPPETERLDKKKSSYVLIWEDKTFLSGNSFYLPGSVNEEDKQLEDWNLVPPPLSLWSRSDFAAKHKKIAEKHCHLSRDVGSSKLKIVEEEANASLHPLGASDGMCDDIPMEKDELEVAECVNKILVSEKIDTVETVARVHQSDHLSRRSQLKKEGKTKDYSGRKLGKSMDSNNVDWKSNDMEEDQGELSRAPESIKVKIPEMTSDWQSPVRSSPDDIYAVCTSISTTTPQRSHEAVEASLPAITRTKSNLGKNIREHGCKVQGTGKPEVSRDRPSSVRTSREDIYTVRPSPENTGQKPFEAFEPSYGASLSHFDDGLAAKYGGFGGGYRMPDPPFLPDQFPLRNGPNEMFDFRGYSDLDRGIGQREYPQQYGGHLDPMLAPPPPPNLMDNAFPLQQRYAPHFDQMNYQRMSSFPPQPPLQPSGHNLLNPHDFPLPPPPPSDFEMSPRGFAPGPNPNYPYMSRSGGWIND
NP_200885.1 1 527 0.120065275142315 PF07690.16:MFS_1:69:425 High affinity nitrate transporter 2.4; AtNRT2:4 527 357 17 279 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJH8 1 SwissProt MADGFGEPGSSMHGVTGREQSYAFSVESPAVPSDSSAKFSLPVDTEHKAKVFKLLSFEAPHMRTFHLAWISFFTCFISTFAAAPLVPIIRDNLNLTRQDVGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVGGAGGYITVRFMIGFCLATFVSCQYWMSTMFNGQIIGLVNGTAAGWGNMGGGVTQLLMPMVYEIIRRLGSTSFTAWRMAFFVPGWMHIIMGILVLTLGQDLPDGNRSTLEKKGAVTKDKFSKVLWYAITNYRTWVFVLLYGYSMGVELTTDNVIAEYFFDRFHLKLHTAGIIAASFGMANFFARPIGGWASDIAARRFGMRGRLWTLWIIQTLGGFFCLWLGRATTLPTAVVFMILFSLGAQAACGATFAIIPFISRRSLGIISGLTGAGGNFGSGLTQLVFFSTSTFSTEQGLTWMGVMIMACTLPVTLVHFPQWGSMFLPSTEDEVKSTEEYYYMKEWTETEKRKGMHEGSLKFAVNSRSERGRRVASAPSPPPEHV
NP_201524.1 1 492 0.24565406504065 PF03360.16:Glyco_transf_43:173:417 Probable beta-1,4-xylosyltransferase IRX14H; Protein IRREGULAR XYLEM 14 homolog; Xylan xylosyltransferase IRX14H; EC 2.4.2.- 492 245 17 449 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FH90 1 SwissProt MKLSVFRLSYWNRRGSSFRSSPSLDPSFDGKSPSSVFWFVIHGLCCLISLILGFRFSHLVLFFLFSTSVTNLYTTPFLFAGNGGVSQLLRLKPLETATNSTVKKNSRVVVGRHGIRIRPWPHPNPIEVLRAHQLLVRVQKEQKSMYGVRSPRTVIVVTPTYVRTFQALHLTGVMHSLMLVPYDLVWIVVEAGGITNETASFIAKSGLKTIHLGFDQKMPNTWEDRHKLETKMRLHALRVVREKKLDGIVMFADDSNMHSMELFDEIQTVKWFGALSVGILAHSGNADELSSILKNEQGKNKEKPSMPIQGPSCNSSEKLVGWHIFNTQPYAKKTAVYIDEKAPVMPSKMEWSGFVLNSRLLWKESLDDKPAWVKDLSLLDDGYAEIESPLSLVKDPSMVEPLGSCGRRVLLWWLRVEARADSKFPPGWIIKSPLEITVPSKRTPWPDSSSELPAAAIKEAKSNSKPRVSKSKSYKEKQEPKAFDGVKVSATS
NP_216486.1 1 377 0.292387267904509 PF02470.20:MlaD:40:111 Mce family lipoprotein LprM 377 72 17 377 0 Mycobacterium tuberculosis H37Rv NP_216486.1 1 RefSeq MRIGLTLVMIAAVVASCGWRGLNSLPLPGTQGNGPGSFAVQAQLPDVNNIQPNSRVRVADVTVGHVTKIERQGWHALVTMRLDGDVDLPANATAKIGTTSLLGSYHIELAPPKGEARQGKLRDGSLIALSHGSAYPSTEQTLAALSLVLNGGGLGQVQDITEALSTAFAGREHDLRGLIGQLDTFTAYLNNQSGDIIAATDSLNRLVGKFADQQPVFDRALATIPDALAVLADERDTLVEAAEQLSKFSALTVDSVNKTTANLVTELRQLGPVLESLANSGPALTRSLSLLATFPFPNETFQNFQRGEYANLTAIVDLTLSRIDQGLLTGTRWECHLTQLELQWGRTIGQFPSPCTAGYRGTPGNPLTIAYRWDQGP
NP_217390.1 1 357 0.148950980392157 PF02683.15:DsbD:122:339 integral membrane C-type cytochrome biogenesis protein DipZ 695 218 17 242 5 Mycobacterium tuberculosis H37Rv NP_217390.1 1 RefSeq MVESRRAAAAASAYASRCGIAPATSQRSLATPPTISVPSGEGRCRCHVARGAGRDPRRRLRRRRWCGRCGYHSHLTGGEFDVNRLCQQRSRERSCQLVAVPADPRPKRQRITDVLTLALVGFLGGLITGISPCILPVLPVIFFSGAQSVDAAQVAKPEGAVAVRRKRALSATLRPYRVIGGLVLSFGMVTLLGSALLSVLHLPQDAIRWAALVALVAIGAGLIFPRFEQLLEKPFSRIPQKQIVTRSNGFGLGLALGVLYVPCAGPILAAIVVAGATATIGLGTVVLTATFALGAALPLLFFALAGQRIAERVGAFRRRQREIRIATGSVTILLAVALVFDLPAALQRAIPDYTASL
NP_218061.1 1 294 0.199727210884354 PF02771.16:Acyl-CoA_dh_N:20:99,PF00441.24:Acyl-CoA_dh_1:204:270 Acyl-CoA dehydrogenase FadE28; ACAD; 3-oxo-23,24-bisnorchol-4-en-22-oyl-CoA dehydrogenase alpha subunit; 3-oxo-4-pregnene-20-carboxyl-CoA dehydrogenase alpha subunit; EC 1.3.99.- 339 147 17 294 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P71857 1 SwissProt MDFDPTAEQQAVADVVTSVLERDISWEALVCGGVTALPVPERLGGDGVGLFEVGALLTEVGRHGAVTPALATLGLGVVPLLELASAEQQDRFLAGVAKGGVLTAALNEPGAALPDRPATSFVGGRLSGTKVGVGYAEQADWMLVTADNAVVVVSPTADGVRMVRTPTSNGSDEYVMTMDGVAVADCDILADVAAHRVNQLALAVMGAYADGLVAGALRLTADYVANRKQFGKPLSTFQTVAAQLAEVYIASRTIDLVAKSVIWRLAEDLDAGDDLGVLGYWVTSQAPPAMQICH
NP_219874.1 1 575 0.0999434782608696 hypothetical protein 575 0 17 350 10 Chlamydia trachomatis D/UW-3/CX NP_219874.1 1 RefSeq MVSRVPGSSDPVDVNALSNLSPVQNQNSGVSVSAISLPNETVSKSGKTLKYSTELIAGIVVLGVALAIVAIALTILAPGVPQSIVLAIAFSGISVGGVTTLRSLINGIKTVVAPRMTFRQRAKSAALLGAGLTGAGLVLKLGSSYIPGGYGSALGKFGDISYNRGSGALFAGFAHYLYVRFFQSKKAASGEALTPEEMLIEGAKIRKLANGLVLLGVGFACLGIALAVVGTLAVTGGAATALIVLAPPLISLGISLVISNMLHTTLGQWRAFARAQQDQDLLVDTKLKNISQADFSYRVDNNIEVVVDPRESNLPSIERLSQGEIDAALSLTKKQQRILILSGLLLLAGVTCTLLAGFGGLPAVQVLLLFSIGGAVSSSAVPMVVSGMVHVAHQLKARLQISLARRREARLKARMIREMDNRRWGESRVGLLSKKEQEETWKLVGKPVIFQTEQAIREYVNGATKEERFQSILVATIILLAGLGVLSLTLIPGLAPISGGILAIGGALLGISITMYLQRFIQWLYEQLIKLRDYIQNRQSVIVQGASACDFDAEDIIVDLVAESFEVDGDSFVES
NP_251353.1 1 85 0.00946117647058823 psl and pyoverdine operon regulator PpyR 85 0 17 39 2 Pseudomonas aeruginosa PAO1 NP_251353.1 0 RefSeq MNALFDCPRRVLRIGHGLLAAGLALLVAGVIAAYFLDRYLNMPALVFSHALVILGPTLLKIGYVMRLSALFRMRRPGWEVCCASA
NP_414919.1 1 192 0.0952140625 PF05230.11:MASE2:40:128 diguanylate cyclase DgcC (EC 2.7.7.65) 371 89 17 85 5 Escherichia coli K-12 substr. MG1655 ecocyc::EG11257-MONOMER 0 ecocyc MFPKIMNDENFFKKAAAHGEEPPLTPQNEHQRSGLRFARRVRLPRAVGLAGMFLPIASTLVSHPPPGWWWLVLVGWAFVWPHLAWQIASRAVDPLSREIYNLKTDAVLAGMWVGVMGVNVLPSTAMLMIMCLNLMGAGGPRLFVAGLVLMVVSCLVTLELTGITVSFNSAPLEWWLSLPIIVIYPLLFGWVS
NP_416243.1 135 366 0.0528254310344827 PF00375.18:SDF:16:231 cystine/sulfocysteine:cation symporter 463 216 17 163 3 Escherichia coli K-12 substr. MG1655 ecocyc::G6934-MONOMER 1 ecocyc EGLVQGGAETARLNAIESNYVGKVSDLSVPQLVLSFIPKNPFADLTGANPTSIISVVIFAAFLGVAALKLLKDDAPKGERVLAAIDTLQSWVMKLVRLVMQLTPYGVLALMTKVVAGSNLQDIIKLGSFVVASYLGLLIMFAVHGILLGINGVSPLKYFRKVWPVLTFAFTSRSSAASIPLNVEAQTRRLGVPESIASFAASFGATIGQNGCAGLYPAMLAVMVAPTVGINP
NP_416687.1 191 396 0.0156189320388349 multidrug efflux pump Bcr 396 0 17 74 6 Escherichia coli K-12 substr. MG1655 ecocyc::BCR-MONOMER 0 ecocyc PERRQPFHIRTTIGNFAALFRHKRVLSYMLASGFSFAGMFSFLSAGPFVYIEINHVAPENFGYYFALNIVFLFVMTIFNSRFVRRIGALNMFRSGLWIQFIMAAWMVISALLGLGFWSLVVGVAAFVGCVSMVSSNAMAVILDEFPHMAGTASSLAGTFRFGIGAIVGALLSLATFNSAWPMIWSIAFCATSSILFCLYASRPKKR
NP_417276.1 1 429 0.042862703962704 serine:H+ symporter SdaC 429 0 17 191 11 Escherichia coli K-12 substr. MG1655 ecocyc::SDAC-MONOMER 0 ecocyc METTQTSTIASKDSRSAWRKTDTMWMLGLYGTAIGAGVLFLPINAGVGGMIPLIIMAILAFPMTFFAHRGLTRFVLSGKNPGEDITEVVEEHFGIGAGKLITLLYFFAIYPILLVYSVAITNTVESFMSHQLGMTPPPRAILSLILIVGMMTIVRFGEQMIVKAMSILVFPFVGVLMLLALYLIPQWNGAALETLSLDTASATGNGLWMTLWLAIPVMVFSFNHSPIISSFAVAKREEYGDMAEQKCSKILAFAHIMMVLTVMFFVFSCVLSLTPADLAAAKEQNISILSYLANHFNAPVIAWMAPIIAIIAITKSFLGHYLGAREGFNGMVIKSLRGKGKSIEINKLNRITALFMLVTTWIVATLNPSILGMIETLGGPIIAMILFLMPMYAIQKVPAMRKYSGHISNVFVVVMGLIAISAIFYSLFS
NP_417964.1 1 176 0.047775 PF00196.19:GerE:115:168 putative DNA-binding transcriptional regulator DctR 176 54 17 176 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11889-MONOMER 1 ecocyc MFLIITRDTMFFTAMKNILSKGNVVHIQNEEEIDVMLHQNAFVIIDTLMNNVFHSNFLTQIERLKPVHVIIFSPFNIKRCLGKVPVTFVPRTITIIDFVALINGSYCSVPEAAVSLSRKQHQVLSCIANQMTTEDILEKLKISLKTFYCHKHNIMMILNLKRINELVRHQHIDYLV
NP_443721.1 1 120 0.0337758333333333 clarin-1 isoform c 120 0 17 74 2 Homo sapiens NP_443721.1 0 RefSeq MQALQQQPVFPDLLKAIPVSIHVNVILFSAILIVLTMVGTAFFMYNAFGKPFETLHGPLGLYLLSFISGSCGCLVMILFASEVKIHHLSEKIANYKEGTYVYKTQSEKYTTSFWLTKGHS
NP_476575.1 154 691 0.616877509293681 Heat shock factor protein 691 0 17 538 0 Drosophila melanogaster P22813 1 SwissProt/TReMBL NGDDKGVLKPEAMSKILTDVKVMRGRQDNLDSRFSAMKQENEVLWREIASLRQKHAKQQQIVNKLIQFLITIVQPSRNMSGVKRHVQLMINNTPEIDRARTTSETESESGGGPVIHELREELLDEVMNPSPAGYTAASHYDQESVSPPAVERPRSNMSISSHNVDYSNQSVEDLLLQGNGTAGGNILVGGAASPMAQSVSQSPAQHDVYTVTEAPDSHVQEVPNSPPYYEEQNVLTTPMVREQEQQKRQQLKENNKLRRQAGDVILDAGDILVDSSSPKAQRTSIQHSTQPDVMVQPMIIKSEPENSSGLMDLMTPANDLYSVNFISEDMPTDIFEDALLPDGVEEAAKLDQQQKFGQSTVSSGKFASNFDVPTNSTLLDANQASTSKAAAKAQASEEEGMAVAKYSGAENGNNRDTNNSQLLRMASVDELHGHLESMQDELETLKDLLRGDGVAIDQNMLMGLFNDSDLMDNYGLSFPNDSISSEKKAPSGSELISYQPMYDLSDILDTDDGNNDQEASRRQMQTQSSVLNTPRHEL
NP_477092.1 394 1201 0.526558292079209 Kinesin-like protein costa; Kinesin-like protein costal2 1201 0 17 808 0 Drosophila melanogaster (Fruit fly) SwissProt::O16844 1 SwissProt FVIMNTYSDDNTMIVQPAEPVPESNSSAGPLSQAGPGDNFGLQFAASQWSKLVTNAEGLFSKLIDSKLITEVEKEQIEEWLFLKQECEECLSSTEAMRQQKQLVPILEAEEPEDVNSEAANSESPNSDNENDTDNESHRPDLDDKIESLMEEFRDKTDALILEKHAEYLSKHPKAVMQSQDREIEAQPPEENGDDRKVSIGSRRRSVQPGASLSTAELAMLNRVASQQPPPPIDPESVVDPLESSSGEGIRQAALAAAAATAPIEQLQKKLRKLVAEIEGKQRQLREIEETIQVKQNIIAELVKNSDTRSHAKQRFHKKRAKLEAECDKAKKQLGKALVQGRDQSEIERWTTIIGHLERRLEDLSSMKHIAGESGQKVKKLQQSVGESRKQADDLQKKLRKECKLRCQMEAELAKLRESRETGKELVKAQGSPEQQGRQLKAVQARITHLNHILREKSDNLEEQPGPEQQETLRHEIRNLRGTRDLLLEERCHLDRKLKRDKVLTQKEERKLLECDEAIEAIDAAIEFKNEMITGHRSIDTSDRIQREKGEQMLMARLNRLSTEEMRTLLYKYFTKVIDLRDSSRKLELQLVQLERERDAWEWKERVLSNAVRQARLEGERNAVLLQRQHEMKLTLMLRHMAEETSASSASYGERALAPACVAPPVQASSDFDYDHFYKGGGNPSKALIKAPKPMPTGSALDKYKDKEQRSGRNIFAKFHVLTRYASAAAAGSSGSTAEESTALIESTTTATATTTSTTTTGAVGKVKDKALVSFRPEQLKRLMPAPTATKVTRQKNKIIIQDASRRN
NP_477139.1 23 351 0.31810547112462 PF02019.18:WIF:2:133 Tyrosine-protein kinase Drl; Protein derailed; EC 2.7.10.1 610 132 17 306 1 Drosophila melanogaster (Fruit fly) SwissProt::Q27324 1 SwissProt NIFLNLHEVLRLIGVSAELYYVREGAINDYALNFAVPVPANISDVTFTWQSLVDHPLPYSINIATSDTEVLPRPILNISRIGDVPVEPQTWGIALKCSGTRNAEVTVTINVEVILDRATNNNTNLIFKRKKICLREEQDSAHEEYDDDDLDLLQTARKGHGGDIHYVDRNDEHVVANGHQAPEKQRPVVTESPVGRGNSGGSKRDFDPMLRENLVPPASGLVTLIVGGILALVLVSTLILIAYCAKGPSKRHPSNGVHLIKTSSFQRLPTISSTAHNSIYVCPSTITPTYATLTRPFREYEHEPEEFNRRLQELTVQKCRVRLSCLVQE
NP_524433.1 1 284 0.577083450704226 Muscle-specific homeobox protein tinman; Msh-2; NK-4 416 0 17 284 0 Drosophila melanogaster (Fruit fly) SwissProt::P22711 1 SwissProt MLQHHQQQAQSGGYYDHYTQSPSPGSLTNADALNTTPFSVKDILNMVNQTEAYEGSYGHIDGAATASALFAAGEYQNPHQYLNHQQHQQSELPIPQQQLHHQHLDDGATTSSSLSPLLPPPPHQLYGGYQDYGMPAHMFQHHHGHPHQSFQHSASAYNMSASQFYAGASATAYQTPATYNYNYAGSGEVYGGATPSAVGIKSEYIPTPYVTPSPTLDLNSSAEVDSLQAPTQKLCVNPLSQRLMETASNSSSLRSIYGSDEGAKKKDNSQVTSSRSELRKNSIS
NP_536332.1 520 1038 0.710512716763004 bone morphogenetic protein receptor type-2 precursor 1038 0 17 519 0 Rattus norvegicus NP_536332.1 1 RefSeq PMSTAMQNERNLSHNRRVPKIGPYPDYSSSSYIEDSIHHTDSIVKNISSEHSMSSTPLTIGEKNRNSINYERQQAQARIPSPETSVTSLSTNTTTTNTSGLTPSTGMTTISEMPYPDETHLHATNVAQSLGPTPVCLQLTEEDLETNKLDPKEVDKNLKESSDENLMEHSLKQFSGPDPLSSTSSSLLYPLIKLAVEVTGQQDFTQAANGQACLIPDVPPAQLYPLPKQQNLPKRPTSLPLNTKNSTKEPRLKFGNKHKSNLKQVETGVAKMNTINAAEPHVVTVTMNGVAGRSHNVNSHAATTQYANGVVPSGQAANIVAHRAQEMLQNQFNGEDTRLNINSSPDEHEPLLRREQQAGHDEGVLDRLVDRRERPLEGGRTNSNNNNSNPCSEQDVPTQGVTSTAADPGPAKPRRAQRPNSLDLSATNILDGSSMQIGESTQDGKSGSGEKIKRRVKTPYSLKRWRPSTWVISTEPLDCEVNNNGSDRAVHSKSSTAVYLAEGGTATTMVSKDIGMNCL
NP_565536.1 125 311 0.526804812834225 PF02183.18:HALZ:1:34 Homeobox-leucine zipper protein ATHB-6; HD-ZIP protein ATHB-6; Homeodomain transcription factor ATHB-6 311 34 17 187 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46668 1 SwissProt VLKTQYDSLRHNFDSLRRDNESLLQEISKLKTKLNGGGGEEEEEENNAAVTTESDISVKEEEVSLPEKITEAPSSPPQFLEHSDGLNYRSFTDLRDLLPLKAAASSFAAAAGSSDSSDSSALLNEESSSNVTVAAPVTVPGGNFFQFVKMEQTEDHEDFLSGEEACEFFSDEQPPSLHWYSTVDHWN
NP_566009.1 1 409 0.310146699266504 PF01344.25:Kelch_1:141:185,PF01344.25:Kelch_1:193:234,PF13964.6:Kelch_6:189:238,PF13418.6:Kelch_4:189:234,PF00646.33:F-box:20:59 Galactose oxidase/kelch repeat superfamily protein 409 135 17 409 0 Arabidopsis thaliana NP_566009.1 1 RefSeq MTMEVSKKKGGDFQQCHELIPGLPSELALECLVRVPFQFQSAMRSVCRSWRSLLSDSSFIQERRRCGKTELLLCLVQPLTPPIPASKSVDETLMVDEKKSEDESHPRVFCTPRFGLSVYNAAMSTWHRVAFPEEEQIPLFCECVVLQDAGKILLIGGWDPETLQPTRDVYVLEFAGRKWRRGAPMKESRSFFACASVSPTKVYVAGGHDDQKNALRSAEVYDVEKDEWSSVTPMTEGRDECQGFAVGMGLRFCVLSGYGTESQGRFRSDGEIYDPATDSWSRIDNVWRFPDTSPRGRTAGDFRSSSTLWCFTDTDLQSERRWETNDDSRNLKLDLQSIQLPMTGSSVFAGSLGGESVVMIGGKRESEGEGEGGVMMKMTTEKKMGKWSHHVHIPCDFSTLPFSHASIYV
NP_566478.1 1 188 0.301660106382979 Protein FLUORESCENT IN BLUE LIGHT, chloroplastic 316 0 17 165 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q940U6 1 SwissProt MAALIRCCSSFSHTSGGQPPPRDKSRAPEIGKFATSIGYSVVRKPGDHPPFSKIIHSSSQPKERQGKGILQTPFASVGSLDKFSAFEGIGRLKLPVMAVLLTNSLQMATPLEALAAEICEPESSMFSMPILLLVALIGATVGGLLARQRKGELQRLNEQLRQINAALRRQAKIESYAPSLSYAPVGAR
NP_566563.1 147 309 0.360755828220859 ubiquitin-conjugating enzyme 32 309 0 17 145 1 Arabidopsis thaliana NP_566563.1 1 RefSeq RETPPKYGSPERQKIIDEIHQYILSKATVVPKPLPLECSQAPSIVSEAHSQVEPQEAITVVEERSIATTDTIVDDQIIEETAEAVNTAASVVPAAAPLPAVEVVVKASVSGEQRMARRAAQKPVDDRLFTWAAVGLTIAIMVLLLKKFIKSNGYSTGFMDDQS
NP_566728.1 1 284 0.381177112676056 PF04755.12:PAP_fibrillin:87:281 Plastid-lipid-associated protein 6, chloroplastic; Fibrillin-4; Fibrillin-6; Harpin-binding protein 1; HrBP1; Plastoglobulin 30.4; AtPGL30.4 284 195 17 284 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LW57 1 SwissProt MATSSTFSSLLPSPPALLSDHRSPPPSIRYSFSPLTTPKSSRLGFTVPEKRNLAANSSLVEVSIGGESDPPPSSSGSGGDDKQIALLKLKLLSVVSGLNRGLVASVDDLERAEVAAKELETAGGPVDLTDDLDKLQGKWRLLYSSAFSSRSLGGSRPGLPTGRLIPVTLGQVFQRIDVFSKDFDNIAEVELGAPWPFPPLEATATLAHKFELLGTCKIKITFEKTTVKTSGNLSQIPPFDIPRLPDSFRPSSNPGTGDFEVTYVDDTMRITRGDRGELRVFVIA
NP_567183.2 200 802 0.318719568822554 PF01852.19:START:125:344 Homeobox-leucine zipper protein ANTHOCYANINLESS 2; HD-ZIP protein ANL2; Homeodomain protein AHDP; Homeodomain transcription factor ANL2 802 220 17 603 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WV12 1 SwissProt LLRQENDKLRAENMSIREAMRNPICTNCGGPAMLGDVSLEEHHLRIENARLKDELDRVCNLTGKFLGHHHNHHYNSSLELAVGTNNNGGHFAFPPDFGGGGGCLPPQQQQSTVINGIDQKSVLLELALTAMDELVKLAQSEEPLWVKSLDGERDELNQDEYMRTFSSTKPTGLATEASRTSGMVIINSLALVETLMDSNRWTEMFPCNVARATTTDVISGGMAGTINGALQLMNAELQVLSPLVPVRNVNFLRFCKQHAEGVWAVVDVSIDPVRENSGGAPVIRRLPSGCVVQDVSNGYSKVTWVEHAEYDENQIHQLYRPLLRSGLGFGSQRWLATLQRQCECLAILISSSVTSHDNTSITPGGRKSMLKLAQRMTFNFCSGISAPSVHNWSKLTVGNVDPDVRVMTRKSVDDPGEPPGIVLSAATSVWLPAAPQRLYDFLRNERMRCEWDILSNGGPMQEMAHITKGQDQGVSLLRSNAMNANQSSMLILQETCIDASGALVVYAPVDIPAMHVVMNGGDSSYVALLPSGFAVLPDGGIDGGGSGDGDQRPVGGGSLLTVAFQILVNNLPTAKLTVESVETVNNLISCTVQKIRAALQCES
NP_567694.2 1 673 0.0781763744427936 PF03169.15:OPT:46:657 Metal-nicotianamine transporter YSL1; Protein YELLOW STRIPE LIKE 1; AtYSL1 673 612 17 332 16 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6R3L0 0 SwissProt MEIEQRRIMKREGEEEEDNNQLSLQEEEPDTEEEMSGRTIEPWTKQITVRGVFVSIVIGVVFSVIAQKLNLTTGIVPNLNSSAALLAFVFVQTWTKILKKSGFVAKPFTRQENTMIQTSAVACYGIAVGGGFASYLLGLNHKTYVLSGVNLEGNSPKSVKEPGLGWMTAYLFVVCFIGLFVLIPLRKVMIVDLKLTYPSGLATAVLINGFHTQGDAQAKKQVRGFMKYFSFSFLWGFFQWFFSGIEDCGFAQFPTFGLKAWKQTFFFDFSMTFVGAGMICSHLVNLSLLLGAILSYGLMWPLLDKLKGSWFPDNLDEHNMKSIYGYKVFLSVALILGDGLYTFVKILFVTIANVNARLKNKPNDLDDVGHKKQRKDLKEDENFLRDKIPMWFAVSGYLTFAAVSTVVVPLIFPQLKWYYVIVAYIFAPSLAFCNAYGAGLTDINMAYNYGKIGLFVIAAVTGRENGVVAGLAGCGLIKSVVSVSCILMQDFKTAHYTMTSPKAMFASQMIGTVVGCIVTPLSFFLFYKAFDIGNPNGEFKAPYALIYRNMAILGVQGFSALPLHCLQMCYGFFGFAVLVNVVRDLTPAKIGRFMPLPTAMAVPFLVGAYFAIDMCVGTLIVFVWEKMNRKKAEFMVPAVASGLICGEGLWTLPAAVLALAGVKPPICMKFLAS
NP_567799.1 1 237 0.183148523206751 PF01342.21:SAND:60:97 Protein ULTRAPETALA 1 237 38 17 237 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GZA8 1 SwissProt MANNEGEMQCGSMLFKQEELQEMSGVNVGGDYVEVMCGCTSHRYGDAVARLRVFPTGDLEITCECTPGCDEDKLTPAAFEKHSGRETARKWKNNVWVIIGGEKVPLSKTVLLKYYNESSKKCSRSNRSQGAKVCHRDEFVGCNDCGKERRFRLRSRDECRLHHNAMGDPNWKCSDFPYDKITCEEEEERGSRKVYRGCTRSPSCKGCTSCVCFGCELCRFSECTCQTCVDFTSNVKA
NP_571339.1 281 1027 0.475600401606425 PF08447.12:PAS_3:27:105,PF14598.6:PAS_11:12:114 aryl hydrocarbon receptor 2 1027 103 17 747 0 Danio rerio NP_571339.1 1 RefSeq SILEIRSKTLLFQTKHKLDFTPMGIDTRGKVVLGYTEIELCMRGSGYQFIHAADMMYCADNHIRMIKTGESGLTVFRLLSKGGTWIWVQANARLVYKAGRPDFIIARQRALTNEEGEEHLRQRKLQLPFNCATGEGVLYEVGPTLDVAEIQNQSKGQKMLNPPSLDPDSLLGSMLKQDHSLYSQNNDPNSQFTIDKAFGDSHALLNVPGNTWQPSTPNTVPGIKEEAVVKDMLETLQQIIEVGNNCNSLEDFNLDRMELKEWENTLCRMNYNNDMEINEIITEDIFSYVEDVLFKENGIQPLKDLGPFSEMSEGLSELELQNNLAANQEFSCQAGVHGGSPGQGGFIGMNIQEGMDTSSPGRGTVKLSHMGPQMLPGNNFIQSFGLDQTTQKMAGNSNILFRPSLAMQQSQQPNQVNLGLQGVVQENGIVPCGQRNLLTGNQPHPNTMTLPLQSPLVQGTSTQPMGFRNQNSLPQQPSISQNPQWVPSSNSVVDNRMNSCAHNVSGPQDAGLHSGPFANLQGQFSLHTQNAANAGPPGWQQSQPTPQGVPTSFSGGHQPGFISQVTDFQRGTLNQMMPHTNGQGIGSGFLPKESASAIYPQQGDFINNSPHKTSTSCMFMNNAQPSVNGMQYGSADLVSGMSSCQGTKGLLTQSPTQASCYFQRGPSETIVGTAVIPQEDNNISPMACQLPLGLPPDNIISQQYLSCNTQTQVANRPLKETEMFHFPMLTNGTTYFPDNNQSNCCDY
NP_571950.1 196 485 0.646425862068966 transcription factor SOX-10 485 0 17 290 0 Danio rerio NP_571950.1 1 RefSeq HSEGEVSHSQSHYKSLHLEVAHGGAAGSPLGDGHHPHATGQSHSPPTPPTTPKTELQGGKSGEGKREGGASRSGLGVGADGSSASSSASGKPHIDFGNVDIGEISHDVMANMEPFDVNEFDQYLPPNGHPQASATASAGSAAPSYTYGISSALAAASGHSTAWLSKQQLPSQQHLGADGGKTQIKSETHFPGDTAASGSHVTYTPLTLPHYSSAFPSLASRAQFAEYAEHQASGSYYAHSSQTSGLYSAFSYMGPSQRPLYTAIPDPGSVPQSHSPTHWEQPVYTTLSRP
NP_588140.1 1 894 0.415633445190157 PF08311.12:Mad3_BUB1_I:34:158 Checkpoint serine/threonine-protein kinase bub1; EC 2.7.11.1 1044 125 17 894 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O94751 1 SwissProt MSDWRLTENVLDQNIPETKPRESKTRLEEIQRLALFQEELDIIEELDDPVDVWYRCIEWLLETRFLGMETVNKMLDDAIQYLERCRFALNDVRHLLIQLAKIKQSYETPDELQQAAKQFYQLASKGIGLELALFYEEYGSLLIRMQRWKEASEVFHAAVSREARPLVRLLRNAAEFSRAYDLHNAHPSIHDAPYSSPFPPPRIVLGSKPVSSSTLPSKPKSFQVFSDASSSRDSQNASDLPQAKSLESEANTPNLPLLYDKSSGKRVEYSAFNFLALYENGEERSMEECRAQRYLSSIQPNTAASFPKVVPKNEISVHHDSSSSNVSPIYKNPVAEQSDTPTRSLPKNYAYVAKSTSPELKVFDTVMPVALSPKPAQKPPSPTIHTKAALADILDIFNQPLRSESLEKSSKSPISAQSSYLGTPLKNDENSSNSGATSLTGRSQEEHLDFIPSLTPSKNYPSKIYSPNKNLDFSHTASKAETYKNSNELENVKREQPFSELLPSTLQEETATGTTSTTFANAKRRPEDSNISPTNPKKLHTLPRSPQYSTVDSNSVLSPAMPKGYMFVNENQSMKHESSVSNPVATIPHENGKHDFGQLSPIEHKPFFPKNDDELPGPSGYLTMPYEEAMASLSNLPTLINPLDQSLRDLLFQVLRPSLLRDKDYHEHETSFALVEHIESFVSKIKPKAGGPGRRRSSNRHSLDGPEFHLFYPPNTNLSVISKLGQGAFAPVYLVKSKIETENGDVSQGGAENNESKLFALKIETPPSCFEFYLTRQAMTRLKGLRETNSILPVHQLHMFHDTSHLLMDYRPQGSILDLVNSMHNSTFSSSGMDEILVVFFSIEFLRIIEALHTHKIIHGDLKADNALLRLETVADSEWSPIYSPEGLYGWSFK
NP_599031.1 1 786 0.758055343511449 PF12510.8:Smoothelin:1:41,PF12510.8:Smoothelin:74:122,PF12510.8:Smoothelin:572:619 smoothelin isoform b 915 138 17 786 0 Homo sapiens NP_599031.1 1 RefSeq MADEALAGLDEGALRKLLEVTADLAERRRIRSAIRELQRQELEREEEALASKRFRAERQDNKENWLHSQQREAEQRAALARLAGQLESMNDVEELTALLRSAGEYEERKLIRAAIRRVRAQEIEAATLAGRLYSGRPNSGSREDSKGLAAHRLEQCEVPEREEQEQQAEVSKPTPTPEGTSQDVTTVTLLLRAPPGSTSSSPASPSSSPTPASPEPPLEPAEAQCLTAEVPGSPEPPPSPPKTTSPEPQESPTLPSTEGQVVNKLLSGPKETPAAQSPTRGPSDTKRADVAGPRPCQRSLSVLSPRQPAQNRESTPLASGPSSFQRAGSVRDRVHKFTSDSPMAARLQDGTPQAALSPLTPARLLGPSLTSTTPASSSSGSSSRGPSDTSSRFSKEQRGVAQPLAQLRSCPQEEGPRGRGLAARPLENRAGGPVARSEEPGAPLPVAVGTAEPGGSMKTTFTIEIKDGRGQASTGRVLLPTGNQRAELTLGLRAPPTLLSTSSGGKSTITRVNSPGTLARLGSVTHVTSFSHAPPSSRGGCSIKMEAEPAEPLAAAVEAANGAEQTRVNKAPEGRSPLSAEELMTIEDEGVLDKMLDQSTDFEERKLIRAALRELRQRKRDQRDKERERRLQEARGRPGEGRGNTATETTTRHSQRAADGSAVSTVTKTERLVHSNDGTRTARTTTVESSFVRRSENGSGSTMMQTKTFSSSSSSKKMGSIFDREDQASPRAGSLAALEKRQAEKKKELMKAQSLPKTSASQARKAMIEKLEKEGAAGSPGGPRAA
NP_609539.1 1 69 0.711071014492754 PF10195.9:Phospho_p8:3:60 uncharacterized protein 69 58 17 69 0 Drosophila melanogaster NP_609539.1 1 RefSeq MSEAHFDEYEHYNFDHDKHIFSGHSGKQRNKREANEHTNHFDPSGHSRKILTKLMNTNNNNKKAAACKN
NP_611544.1 1 158 0.269389240506329 PF12248.8:Methyltransf_FA:34:132 uncharacterized protein 296 99 17 158 0 Drosophila melanogaster NP_611544.1 1 RefSeq MPIEVNTPDKLEYQFFPASGGVFTFKVRSPKDAHLALTPAPEENGPIFEIFLGGWENTKSVIRKDRQKPEVAEVPTPGILDAGEFRGFWVRWYDNVITVGREGDAAAFLSYDAGSLFPVNFVGICTGWGASGTWLIDEPAPSAPVMGFAAPTGSGPGC
NP_611787.2 1 1201 0.548850707743547 Golgin-245 1489 0 17 1201 0 Drosophila melanogaster NP_611787.2 1 RefSeq MFANLKNKLIEEVKASPSKFQQFANAAQAAVSSSSSTTPNSETNTSNNENFFSITEEDTPQNSPYRIQKLPATSASALRGRSTQSLNGATSRTRKLSNSSMASDVSFRLPTYEAPAVYHLQSDLDETSSEFDDSASTARLDVITKDQLYDAYKKSLDRYHKYRCRYTDLAKKYKELERDSSKARSVLVETQDKALRRISELREQCTLEQQAKAHLEEALRVEMDDMSCKMQAYQTKLQLLGENPENITAALERSGQQLESEQLIDLEESIGKSPLSTNGSSGVSDLQRLLKERDEQLKSVTEKYEAVRKQEEENVLLLAQTKQAIHTELELKDTEVRKLQEKLKQLESQRESHNNEVKEQFKKLQATKQEVDAKLMATEHLLNTLKESYAIKEQQVVTLEAQLEAIRVENEQKVKDLQKQNEDRNTQASDSSEQLKKLQAAVQDAESQLLSKDQLLESLRSEQAAKEQQLKHLKEQLGKLKQENENYLDKLRENKKSSDSQTNEAQDQQKKLQAAKDEAESKLLATEELLHSLRNDYKAQEEKVALLEDKLKTLSKENDVNVEKLHHINEQREAQSTDSQQKINELRAAKDEAEAKLLSTEHSLNALQAALSAKEEQAASLEQSLNALKTESEHSLQDLRLHNDQLLEIVQRHQQNDWEAQLARAREELAAIQSQRELHALELEKSLEMERESVAALNSEKASQEEQHRLKLEQLQREIQILQDQHANSESETVAALKGQLEALSQDLATSQASLLAKEKELKASGNKLNKIKKQHEQHQAKSSDQSARLEALQSELADRLSHSRQVESEKEELQARVTGILEEIGTMQAQMQQVQDSHSELEREKRKLESRIESLQQEQVDSSAQDERTSAKLEEIQSENTKLAERNCLLEEQTNHLESQLQAKQDEIGKIQAKLQQVLDEHSKLQNAQELMDHDHRTLQDKCDAYEKDKLLTKHTLDCLQSASEELHRVKANLDRELKEQDQQLSELRERQREQEQQLKDQAERCAKLKAQNSESETQLQATISNLREQLDAYKQTEQGIQEKLQATNSSYTTQIATLEARWSAANSDVERLHEANDALQLEMEQLKIKHGQEREEVKESIAQKNRQVVELQEAMATRDRQLQEKIEASEKLAKFDEILIENEYLNKHTKQLEAELAESAELKEKLKSLQCELYVLQEKAEQHAVQMAEKETQSATATA
NP_620395.2 1 181 0.523956353591161 POU domain, class 4, transcription factor 3; Brain-specific homeobox/POU domain protein 3C; Brain-3C; Brn-3C; Brn-3.1 338 0 17 181 0 Mus musculus (Mouse) SwissProt::Q63955 1 SwissProt MMAMNAKQPFGMHPVLQEPKFSSLHSGSEAMRRVCLPAPQLQGNIFGSFDESLLARAEALAAVDIVSHGKNHPFKPDATYHTMSSVPCTSTSPTVPISHPAALTSHPHHAVHQGLEGDLLEHISPTLSVSGLGAPEHSVMPAQIHPHHLGAMGHLHQAMGMSHPHAVAPHSAMPACLSDVE
NP_629999.1 1 350 0.281404285714286 PF03704.17:BTAD:179:323,PF00486.28:Trans_reg_C:101:171 transcriptional regulator RedD 350 216 17 350 0 Streptomyces coelicolor A3(2) NP_629999.1 1 RefSeq MTGGGVLATMDPVRKLVRSQPKIGRHPVAAGQDGRDRHPIRSWECGERARTARTGRTVGRAADPSDHGPSLYNFGGCVEINILGPVSIDTSHSGGGIRAGKVRTLVATLAIDAGRAVSLADLVDELWGATPPDNVLNALQAHAARARKVLNERACPERAGGILRSVLGGYLLEIDPQCVDGNRFLRLVSQGAALLPADPTRAVELLETGLRLWRGPALIDAGEGRRCRGAAALFEERRLTALEDLISAMFLRGGEAQAIAMLQQLVAQYPLRERFCELLMVGLYRVGRQGDALESYRLARKRLDDELGVQPGALLRRRHAEILAQDPVLKVPSALWREPYAPADTSLLSA
NP_659403.4 1 2179 0.253579853143644 PF16184.5:Cadherin_3:313:391,PF16184.5:Cadherin_3:397:502,PF16184.5:Cadherin_3:504:616,PF16184.5:Cadherin_3:624:754,PF16184.5:Cadherin_3:765:869,PF16184.5:Cadherin_3:872:984,PF16184.5:Cadherin_3:1012:1128,PF16184.5:Cadherin_3:1132:1256,PF16184.5:Cadherin_3:1262:1374,PF16184.5:Cadherin_3:1381:1487,PF16184.5:Cadherin_3:1490:1598,PF16184.5:Cadherin_3:1612:1725,PF00059.21:Lectin_C:2072:2177,PF03160.14:Calx-beta:1742:1829 FRAS1-related extracellular matrix protein 1; Protein QBRICK 2179 1526 17 2156 1 Homo sapiens (Human) SwissProt::Q5H8C1 1 SwissProt MNSLSWGAANAVLLLLLLAWASPTFISINRGVRVMKGHSAFLSGDDLKFAIPKEKDACKVEVVMNEPITQRVGKLTPQVFDCHFLPNEVKYVHNGCPILDEDTVKLRLYRFTERDTFIETFILWVYLLEPDCNIIHMSNNVLEVPEFNGLSQAIDKNLLRFDYDRMASLECTVSLDTARTRLPAHGQMVLGEPRPEEPRGDQPHSFFPESQLRAKLKCPGGSCTPGLKKIGSLKVSCEEFLLMGLRYQHLDPPSPNIDYISIQLDLTDTRSKIVYKSESAWLPVYIRAGIPNQIPKAAFMAVFILEVDQFILTSLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLLDHTRPISSFTWKDLSDMQIAYQPPNSSHSERRHDEVELEVYDFFFERSAPMTVHISIRTADTNAPRVSWNTGLSLLEGQSRAITWEQFQVVDNDDIGAVRLVTVGGLQHGWLTLRGGKGFLFTVADLQAGVVRYHHDDSDSTKDFVVFRIFDGHHSIRHKFPINVLPKDDSPPFLITNVVIELEEGQTILIQGSMLRASDVDASDDYIFFNITKPPQAGEIMKKPGPGLIGYPVHGFLQRDLFNGIIYYRHFGGEIFEDSFQFVLWDSHEPPNLSVPQVATIHITPVDDQLPKEAPGVSRHLVVKETEVAYITKKQLHFIDSESYDRELVYTITTPPFFSFSHRHLDAGKLFMVDSIPKVVKNPTALELRSFTQHAVNYMKVAYMPPMQDIGPHCRDVQFTFSVSNQHGGTLHGICFNITILPVDNQVPEAFTNPLKVTEGGQSIISTEHILISDADTKLDNIDLSLRELPLHGRVELNGFPLNSGGTFSWGDLHTLKVRYQHDGTEVLQDDLLLEVTDGTNSAEFVLHVEVFPVNDEPPVLKADLMPVMNCSEGGEVVITSEYIFATDVDSDNLKLMFVIAREPQHGVVRRAGVTVDQFSQRDVISEAVTYKHTGGEIGLMPCFDTITLVVSDGEAGPFVNGCCYNGPNPSVPLHASFPVYDLNITVYPVDNQPPSIAIGPVFVVDEGCSTALTVNHLSATDPDTAADDLEFVLVSPPQFGYLENILPSVGFEKSNIGISIDSFQWKDMNAFHINYVQSRHLRIEPTADQFTVYVTDGKHHSLEIPFSIIINPTNDEAPDFVVQNITVCEGQMKELDSSIISAVDLDIPQDALLFSITQKPRHGLLIDRGFSKDFSENKQPANPHQKHAPVHSFSMELLKTGMRLTYMHDDSESLADDFTIQLSDGKHKILKTISVEVIPVNDEKPMLSKKAEIAMNMGETRIISSAILSAIDEDSPREKIYYVFERLPQNGQLQLKIGRDWVPLSPGMKCTQEEVDLNLLRYTHTGAMDSQNQDSFTFYLWDGNNRSPALDCQITIKDMEKGDIVILTKPLVVSKGDRGFLTTTTLLAVDGTDKPEELLYVITSPPRYGQIEYVHYPGVPITNFSQMDVVGQTVCYVHKSKVTVSSDRFRFIISNGLRTEHGVFEITLETVDRALPVVTRNKGLRLAQGAVGLLSPDLLQLTDPDTPAENLTFLLVQLPQHGQLYLWGTGLLQHNFTQQDVDSKNVAYRHSGGDSQTDCFTFMATDGTNQGFIVNGRVWEEPVLFTIQVDQLDKTAPRITLLHSPSQVGLLKNGCYGIYITSRVLKASDPDTEDDQIIFKILQGPKHGHLENTTTGEFIHEKFSQKDLNSKTILYIINPSLEVNSDTVEFQIMDPTGNSATPQILELKWSHIEWSQTEYEVCENVGLLPLEIIRRGYSMDSAFVGIKVNQVSAAVGKDFTVIPSKLIQFDPGMSTKMWNIAITYDGLEEDDEVFEVILNSPVNAVLGTKTKAAVKILDSKGGQCHPSYSSNQSKHSTWEKGIWHLLPPGSSSSTTSGSFHLERRPLPSSMQLAVIRGDTLRGFDSTDLSQRKLRTRGNGKTVRPSSVYRNGTDIIYNYHGIVSLKLEDDSFPTHKRKAKVSIISQPQKTIKVAELPQADKVESTTDSHFPRQDQLPSFPKNCTLELKGLFHFEEGIQKLYQCNGIAWKAWSPQTKDVEDKSCPAGWHQHSGYCHILITEQKGTWNAAAQACREQYLGNLVTVFSRQHMRWLWDIGGRKSFWIGLNDQVHAGHWEWIGGEPVAFTNGRRGPSQRSKLGKSCVLVQRQGKWQTKDCRRAKPHNYVCSRKL
NP_663623.1 1 311 0.0912755627009646 PF00854.21:PTR2:104:302 Solute carrier family 15 member 4; Peptide transporter 4; Peptide/histidine transporter 1; hPHT1 577 199 17 158 7 Homo sapiens (Human) SwissProt::Q8N697 1 SwissProt MEGSGGGAGERAPLLGARRAAAAAAAAGAFAGRRAACGAVLLTELLERAAFYGITSNLVLFLNGAPFCWEGAQASEALLLFMGLTYLGSPFGGWLADARLGRARAILLSLALYLLGMLAFPLLAAPATRAALCGSARLLNCTAPGPDAAARCCSPATFAGLVLVGLGVATVKANITPFGADQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFVTGYAIPTVCVGLAFVVFLCGQSVFITKPPDGSAFTDMFKILTYSCCSQKRSGERQSNGEGIGVFQQSSKQSLFDSCKMSHGGPFTEEK
NP_689991.1 1 518 0.0657776061776062 PF07690.16:MFS_1:42:359,PF00083.24:Sugar_tr:71:229 Major facilitator superfamily domain-containing protein 8; Ceroid-lipofuscinosis neuronal protein 7 518 318 17 248 12 Homo sapiens (Human) SwissProt::Q8NHS3 1 SwissProt MAGLRNESEQEPLLGDTPGSREWDILETEEHYKSRWRSIRILYLTMFLSSVGFSVVMMSIWPYLQKIDPTADTSFLGWVIASYSLGQMVASPIFGLWSNYRPRKEPLIVSILISVAANCLYAYLHIPASHNKYYMLVARGLLGIGAGNVAVVRSYTAGATSLQERTSSMANISMCQALGFILGPVFQTCFTFLGEKGVTWDVIKLQINMYTTPVLLSAFLGILNIILILAILREHRVDDSGRQCKSINFEEASTDEAQVPQGNIDQVAVVAINVLFFVTLFIFALFETIITPLTMDMYAWTQEQAVLYNGIILAALGVEAVVIFLGVKLLSKKIGERAILLGGLIVVWVGFFILLPWGNQFPKIQWEDLHNNSIPNTTFGEIIIGLWKSPMEDDNERPTGCSIEQAWCLYTPVIHLAQFLTSAVLIGLGYPVCNLMSYTLYSKILGPKPQGVYMGWLTASGSGARILGPMFISQVYAHWGPRWAFSLVCGIIVLTITLLGVVYKRLIALSVRYGRIQE
NP_703190.2 1 720 0.209874444444444 PF15037.6:IL17_R_N:71:459,PF08357.11:SEFIR:514:666 interleukin-17 receptor C isoform 1 precursor 720 542 17 700 1 Homo sapiens NP_703190.2 1 RefSeq MPVPWFLLSLALGRSPVVLSLERLVGPQDATHCSPGLSCRLWDSDILCLPGDIVPAPGPVLAPTHLQTELVLRCQKETDCDLCLRVAVHLAVHGHWEEPEDEEKFGGAADSGVEEPRNASLQAQVVLSFQAYPTARCVLLEVQVPAALVQFGQSVGSVVYDCFEAALGSEVRIWSYTQPRYEKELNHTQQLPDCRGLEVWNSIPSCWALPWLNVSADGDNVHLVLNVSEEQHFGLSLYWNQVQGPPKPRWHKNLTGPQIITLNHTDLVPCLCIQVWPLEPDSVRTNICPFREDPRAHQNLWQAARLQLLTLQSWLLDAPCSLPAEAALCWRAPGGDPCQPLVPPLSWENVTVDKVLEFPLLKGHPNLCVQVNSSEKLQLQECLWADSLGPLKDDVLLLETRGPQDNRSLCALEPSGCTSLPSKASTRAARLGEYLLQDLQSGQCLQLWDDDLGALWACPMDKYIHKRWALVWLACLLFAAALSLILLLKKDHAKGWLRLLKQDVRSGAAARGRAALLLYSADDSGFERLVGALASALCQLPLRVAVDLWSRRELSAQGPVAWFHAQRRQTLQEGGVVVLLFSPGAVALCSEWLQDGVSGPGAHGPHDAFRASLSCVLPDFLQGRAPGSYVGACFDRLLHPDAVPALFRTVPVFTLPSQLPDFLGALQQPRAPRSGRLQERAEQVSRALQPALDSYFHPPGTPAPGRGVGPGAGPGAGDGT
NP_732833.1 1 1142 0.627282399299474 Segmentation protein cap'n'collar 1383 0 17 1142 0 Drosophila melanogaster (Fruit fly) SwissProt::P20482 1 SwissProt MISNKKSYAMKMLQLALALSLLHYNPDYLLHRWDSQLELGTHGDGWELEMLRTVHRLDMDHNPYGNRKGLSPRIEDLLNFDDPSLGGMANGIGGCKLPPRFNGSTFVMNLHNTTGNSSVQTAALQDVQSTSAAATGGTMVVGTGGAPTSGGQTSGSALGEIHIDTASLDPGNANHSPLHPTSELDTFLTPHALQDQRSIWEQNLADLYDYNDLSLQTSPYANLPLKDGQPQPSNSSHLDLSLAALLHGFTGGSGAPLSTAALNDSTPHPRNLGSVTNNSAGRSDDGEESLYLGRLFGEDEDEDYEGELIGGVANACEVEGLTTDEPFGSNCFANEVEIGDDEEESEIAEVLYKQDVDLGFSLDQEAIINASYASGNSAATNVKSKPEDETKSSDPSISESSGFKDTDVNAENEASAASVDDIEKLKALEELQQDKDKNNENQLEDITNEWNGIPFTIDNETGEYIRLPLDELLNDVLKLSEFPLQDDLSNDPVASTSQAAAAFNENQAQRIVSETGEDLLSGEGISSKQNRNEAKNKDNDPEKADGDSFSVSDFEELQNSVGSPLFDLDEDAKKELDEMLQSAVPSYHHPHPHHGHPHAHPHSHHHASMHHAHAHHAAAAAAAHQRAVQQANYGGGVGVGVGVGVGVGSGTGSAFQRQPAAGGFHHGHHQGRMPRLNRSVSMERLQDFATYFSPIPSMVGGVSDMSPYPHHYPGYSYQASPSNGAPGTPGQHGQYGSGANATLQPPPPPPPPHHAAMLHHPNAALGDICPTGQPHYGHNLGSAVTSSMHLTNSSHEADGAAAAAAAYKVEHDLMYYGNTSSDINQTDGFINSIFTDEDLHLMDMNESFCRMVDNSTSNNSSVLGLPSSGHVSNGSGSSAQLGAGNPHGNQANGASGGVGSMSGSAVGAGATGMTADLLASGGAGAQGGADRLDASSDSAVSSMGSERVPSLSDGEWGEGSDSAQDYHQGKYGGPYDFSYNNNSRLSTATRQPPVAQKKHQLYGKRDPHKQTPSALPPTAPPAAATAVQSQSIKYEYDAGYASSGMASGGISEPGAMGPALSKDYHHHQPYGMGASGSAFSGDYTVRPSPRTSQDLVQLNHTYSLPQGSGSLPRPQARDKKPLVATKTASKGASAGNSSSVGG 1
NP_741621.1 1 420 0.417744047619048 PF01753.18:zf-MYND:39:79 Hypoxia-inducible factor prolyl hydroxylase; HIF-PH; Egg-laying defective protein 9; Hypoxia-inducible factor-proline dioxygenase; EC 1.14.11.29 723 41 17 420 0 Caenorhabditis elegans SwissProt::G5EBV0 1 SwissProt MSSAPNDDCEIDKGTPSTASLFTTLMLSQPSSSTAVLQCTYCGSSCTSSQLQTCLFCGTVAYCSKEHQQLDWLTHKMICKSLQTSGMVPSNLMPQAAPAVMAPIPPTVSFDDPALTTSLLLSLQNNPILNQTISNFPPTFSITSKTEPEPSIPIQIPQRISSTSTVPFSSEGSAFKPYRNTHVFNSISSESMSSMCTSHEASLEHMSSASLAMFPTSSTAQSDISRLAQVLSLAGDSPASLALVTTSVPSTASTATIPPPATTTSSATSSGKSETITVGKEKIIQTDDPDIQIIETEGGSKPTVSRTRKRPTPSNSADPKINYKDHNKNVVYSTTLQEHQKHLQNRGLALSIHQAMVLRLRYIAEHVIRSLNEFGWAVVDNFLGSDHYKFTAKEIERLYERGLFSPGQLMEAKHKDEFHI
NP_766187.1 1 492 0.419801016260163 PF10186.9:Atg14:43:383 Beclin 1-associated autophagy-related key regulator; Barkor; Autophagy-related protein 14-like protein; Atg14L 492 341 17 492 0 Mus musculus (Mouse) SwissProt::Q8CDJ3 1 SwissProt MASPSGKGSWTPEAPGFGPRALARDLVDSVDDAEGLYVAVERCPLCNTTRRRLTCAKCVQSGDFVYFDGRDRERFIDKKERLSQLKNKQEEFQKEVLKAMEGKRLTDQLRWKIMSCKMRIEQLKQTICKGNEEMKKNSEGLLKNKEKNQKLYSRAQRHQEKKEKIQRHNRKLGDLVEKKTIDLKSHYERLARLRRSHILELTSIIFPIDEVKTSGRDPADVSSETDSAMTSSMVSKLAEARRTTYLSGRWVCDDHNGDTSISITGPWISLPNNGDYSAYYNWVEEKKTTQGPDMEHNNPAYTISAALGYATQLVNIVSHILDINLPKKLCNSEFCGENLSKQKLTRAVRKLNANILYLCSSQHVNLDQLQPLHTLRNLMHLVSPRSEHLGRSGPFEVRADLEESMEFVDPGVAGESDASGDERVSDEETDLGTDWENLPSPRFCDIPSQPVEVSQSQSTQVSPPIASSSAGGMISSAAASVTSWFKAYTGHR
NP_814827.1 1 170 0.0977358823529412 hypothetical protein 170 0 17 147 1 Enterococcus faecalis V583 NP_814827.1 1 RefSeq MELQVTKKAKFFCLAMALLMTLGIFISAGTSVYASDQLEDSEVEAVAKGLEEMYANGVTEDNFKNYVKNNFAQQEISSVEEELNVNISDASTVVQARFNWNALGSCVANKIKDEFFAMISISAIVKAAQKKAWKELAVTVLRFAKANGLKTNAIIVAGQLALWAVQCGLS
NP_835183.1 1 225 0.0620977777777777 PF07281.12:INSIG:31:211 Insulin-induced gene 2 protein; INSIG-2 225 181 17 115 5 Mus musculus (Mouse) SwissProt::Q91WG1 0 SwissProt MAEGETESPRPKKCGPYISSVTSQSVNVVIRGVVLFFIGVFLALVLNLLQIQRNVTLFPPDVITSIFSSAWWVPPCCGTASAVIGLLYPCIDRHLGEPHKFKREWSSVMRCVAVFVGINHASAKVDFDNNFQFSLTLAALSVGLWWTFDRSRSGFGLGVGIAFLATVVTQLLVYNGVYQYTSPDFLYVRSWLPCIFFAGGITMGNIGRQLAMYECKVIAEKSHQE
NP_849188.4 1 1350 0.707823555555556 PF03607.17:DCX:52:109,PF03607.17:DCX:169:225 Retinitis pigmentosa 1-like 1 protein 2400 115 17 1350 0 Homo sapiens (Human) SwissProt::Q8IWN7 1 SwissProt MNSTPRNAQAPSHRECFLPSVARTPSVTKVTPAKKITFLKRGDPRFAGVRLAVHQRAFKTFSALMDELSQRVPLSFGVRSVTTPRGLHSLSALEQLEDGGCYLCSDKKPPKTPSGPGRPQERNPTAQQLRDVEGQREAPGTSSSRKSLKTPRRILLIKNMDPRLQQTVVLSHRNTRNLAAFLGKASDLLRFPVKQLYTTSGKKVDSLQALLHSPSVLVCAGHEAFRTPAMKNARRSEAETLSGLTSRNKNGSWGPKTKPSVIHSRSPPGSTPRLPERPGPSNPPVGPAPGRHPQDTPAQSGPLVAGDDMKKKVRMNEDGSLSVEMKVRFHLVGEDTLLWSRRMGRASALTAASGEDPVLGEVDPLCCVWEGYPWGFSEPGVWGPRPCRVGCREVFGRGGQPGPKYEIWTNPLHASQGERVAARKRWGLAQHVRCSGLWGHGTAGRERCSQDSASPASSTGLPEGSEPESSCCPRTPEDGVDSASPSAQIGAERKAGGSLGEDPGLCIDGAGLGGPEQGGRLTPRARSEEGASSDSSASTGSHEGSSEWGGRPQGCPGKARAETSQQEASEGGDPASPALSLSSLRSDDLQAETQGQGTEQATGAAVTREPLVLGLSCSWDSEGASSTPSTCTSSQQGQRRHRSRASAMSSPSSPGLGRVAPRGHPRHSHYRKDTHSPLDSSVTKQVPRPPERRRACQDGSVPRYSGSSSSTRTQASGNLRPPSSGSLPSQDLLGTSSATVTPAVHSDFVSGVSPHNAPSAGWAGDAGSRTCSPAPIPPHTSDSCSKSGAASLGEEARDTPQPSSPLVLQVGRPEQGAVGPHRSHCCSQPGTQPAQEAQRGPSPEASWLCGRYCPTPPRGRPCPQRRSSSCGSTGSSHQSTARGPGGSPQEGTRQPGPTPSPGPNSGASRRSSASQGAGSRGLSEEKTLRSGGGPQGQEEASGVSPSSLPRSSPEAVVREWLDNIPEEPILMTYELADETTGAAGGGLRGPEVDPGDDHSLEGLGEPAQAGQQSLEGDPGQDPEPEGALLGSSDTGPQSGEGVPQGAAPEGVSEAPAEAGADREAPAGCRVSLRALPGRVSASTQIMRALMGSKQGRPSSVPEVSRPMARRLSCSAGALITCLASLQLFEEDLGSPASKVRFKDSPRYQELLSISKDLWPGCDVGEDQLDSGLWELTWSQALPDLGSHAMTENFTPTSSSGVDISSGSGGSGESSVPCAMDGTLVTQGTELPLKTSNQRPDSRTYESPGDLENQQQCCFPTFLNARACACATNEDEAERDSEEQRASSNLEQLAENTVQEEVQLEETKEGTEGEGLQEEAVQLEETKTEEGLQEEGVQLEETKETEGEGQQ
NP_851353.1 76 202 0.383270866141732 endothelin-1 precursor 202 0 17 127 0 Bos taurus NP_851353.1 1 RefSeq TPEHVVPYGLGSPSRSKRSLKDFFPTKATVHRKRCQCASQTDKKCWNFCQAGKELRDQDSMEKAWNNQKRGKDCSKLGEKCLHQQLVAGRKTRRLEAISNSIKTSFRVAKLKAQLYRDKKVIYNRAH
NP_898970.1 1 147 0.328344217687075 PF14999.6:Shadoo:19:147 Shadow of prion protein; Protein shadoo 147 129 17 147 0 Mus musculus (Mouse) SwissProt::Q8BWU1 1 SwissProt MNWTAATCWALLLAAAFLCDSCSAKGGRGGARGSARGVRGGARGASRVRVRPAPRYGSSLRVAAAGAAAGAAAGVAAGLATGSGWRRTSGPGELGLEDDENGAMGGNGTDRGVYSYWAWTSGSGSVHSPRICLLLGGTLGALELLRP
NP_940879.1 1 119 0.3531 PF15211.6:CXCL17:23:111 C-X-C motif chemokine 17; 6-Cys CXCL17; Dendritic cell and monocyte chemokine-like protein; DMC; VEGF coregulated chemokine 1 119 89 17 119 0 Homo sapiens (Human) SwissProt::Q6UXB2 1 SwissProt MKVLISSLLLLLPLMLMSMVSSSLNPGVARGHRDRGQASRRWLQEGGQECECKDWFLRAPRRKFMTVSGLPKKQCPCDHFKGNVKKTRHQRHHRKPNKHSRACQQFLKQCQLRSFALPL
NP_940982.1 284 646 0.16069696969697 PF00501.28:AMP-binding:3:232,PF13193.6:AMP-binding_C:240:315 Long-chain fatty acid transport protein 1; FATP-1; Fatty acid transport protein 1; Solute carrier family 27 member 1; EC 6.2.1.- 646 306 17 340 1 Homo sapiens (Human) SwissProt::Q6PCB7 1 SwissProt VLYDCLPLYHSAGNIIGVGQCLIYGLTVVLRKKFSASRFWDDCIKYNCTVVQYIGEICRYLLKQPVREAERRHRVRLAVGNGLRPAIWEEFTERFGVRQIGEFYGATECNCSIANMDGKVGSCGFNSRILPHVYPIRLVKVNEDTMELLRDAQGLCIPCQAGEPGLLVGQINQQDPLRRFDGYVSESATSKKIAHSVFSKGDSAYLSGDVLVMDELGYMYFRDRSGDTFRWRGENVSTTEVEGVLSRLLGQTDVAVYGVAVPGVEGKAGMAAVADPHSLLDPNAIYQELQKVLAPYARPIFLRLLPQVDTTGTFKIQKTRLQREGFDPRQTSDRLFFLDLKQGHYLPLNEAVYTRICSGAFAL
NP_974292.1 1 330 0.433580909090909 PF14144.6:DOG1:128:203,PF00170.21:bZIP_1:46:86,PF07716.15:bZIP_2:43:88 Transcription factor TGA6; bZIP transcription factor 45; AtbZIP45 330 122 17 330 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39140 1 SwissProt MADTSSRTDVSTDGDTDHRDLGSDRGHMHAAASDSSDRSKDKLDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTGGNGALAFDAEHSRWLEEKNRQMNELRSALNAHAGDTELRIIVDGVMAHYEELFRIKSNAAKNDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLLANQLEPMTERQVMGINSLQQTSQQAEDALSQGMESLQQSLADTLSSGTLGSSSSDNVASYMGQMAMAMGQLGTLEGFIRQADNLRLQTLQQMLRVLTTRQSARALLAIHDYSSRLRALSSLWLARPRE
O14227 1 1215 0.143079259259259 PF05183.12:RdRP:459:1037 RNA-dependent RNA polymerase 1; Protein rdp1; EC 2.7.7.48 1215 579 17 1215 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O14227 1 SwissProt MAVSLNDFISVKLKRYSRESPWERLRVPYRNKKQKKWASVHNNEAQLHSANKRNDNCLIQRSSTWRLGDMITLVIKDIPVTWLSNEGGKLYNLWEPLHDYGTIEFMKINEPLNGQTSTTAIVQFAPPPKVPFWEPNGKINVKGVDLAVQIDITAHRSHISRQVFSKNSFRSDQLVKIPLSSFKLGQVYDERIVPLFGVDCGITVTESNLLVYFNFKKLCVLFDASFDKQIETFRLDFDFHSIIGDVGTDYYDDHISLVFRFRFSPLIFRKSKNATESRVQTFWTASHLWRRHYDILPFNVSPTTASPIELLNCHNAPIGRCNVLVLSFSIRDESDKDDIAFLLHNLEKFNLKSQLDKVVFHLVPDYKHRCSLINDKEIEEEIAYLLQACLSKNLLSEIDLPIILANLKKLSKERAKKFLRLILTSKTALINPSELDFTKSFVFYDLSSASSIHIKKLYVTPTTLRIVEDSLEAGNRVIRNFKDFANRFMRVQITDEYYKQKIRGGSDGFRNEKLYSRIQQLLTYGIKVGNQIYEFLAFGNSQLREHGAYFFASGSDLNAKQIREWMGDFSEINSVSKYAARMGQCFSTTKEINRFCVDISLQDDIVRNNHCFTDGVGMASLSVIRRLSLEVKNHDMFPSAFQFRMGGYKGVLSLAPPTKLEYHQGNLVFPRRSQDKFKSFHSTLEVIKISRFSNAHLNMQLITLLEGLGVEKTVFLELTRSQLSKMNESINSKQKSILMLRDNVDEYHSTLIIADFIQAGFLERDDAFTENLLNLYYEWVLRLIKEKQKVSVPKGAYLLGVADETGTLKGHYDDAVLSVPEIFIQITDTSTSFGSYSTGKLKTRVIVGLCIVARNPSLHPGDVRVCKAVRCDELMHLKNVIVFPTTGDRSIPAMCSGGDLDGDEYTVIWDQRLLPKIVNYPPLLESSPKKSIDFLEGKPLIDSVKEFFVNYIKYDSLGLISNAWKAWAHDHDNNPEGIFGNVCLELAEMHSKAVDFAKSGVACKMQAKYHPKRYPDFMQKTKTRSFRSETAVGKIFRYAARFQRESGRPATYNPIMNTVYDPCMKLPRFKTEYLNVAEEVKKHYDNDLRSIMARFDISTEYEVYTAFILFKDDLAKTVNEYGLREEVSFQFDLLKKKYTQEYLEKCALSNQSAFDSSEYEERINSAVAATYDVTYDQRVKSVGNGTTEVLISFPYLFSSRLCQLSRKAMLTANNF
O35913 1 661 0.0755158850226928 PF03137.20:OATP:21:596,PF07690.16:MFS_1:25:394,PF07648.15:Kazal_2:439:485 Solute carrier organic anion transporter family member 1A4 661 576 17 408 11 Rattus norvegicus O35913 1 SwissProt/TReMBL MGKSEKRVATHGVRCFAKIKMFLLALTCAYVSKSLSGTYMNSMLTQIERQFGIPTSIVGLINGSFEIGNLLLIIFVSYFGTKLHRPIMIGVGCAVMGLGCFLISLPHFLMGQYEYETILPTSNVSSNSFFCVENRSQTLNPTQDPSECVKEMKSLMWIYVLVGNIIRGIGETPIMPLGISYIEDFAKSENSPLYIGILETGMTIGPLIGLLLASSCANIYVDIESVNTDDLTITPTDTRWVGAWWIGFLVCAGVNILTSFPFFFFPKTLPKEGLQENVDGTENAKEKKHRKKAKEEKRGITKDFFVFMKSLSCNPIYMLFILISVLQFNAFINSFTFMPKYLEQQYGKSTAEVVFLMGLYMLPPICLGYLIGGLIMKKFKVTVKKAAHLAFWLCLSEYLLSFLSYVMTCDNFPVAGLTTSYEGVQHQLYVENKVLADCNTRCNCSTNTWDPVCGDNGLAYMSACLAGCEKSVGTGTNMVFQNCSCIQSSGNSSAVLGLCNKGPDCANKLQYFLIIAIFGCFIYSLAGIPGYMVLLRCIKSEEKSLGVGLHAFCIRILAGIPAPIYFGALIDRTCLHWGTLKCGEPGACRMYDINSFRRLYLGLPAALRGASFVPAFFILRLTRTFQFPGDIESSKTDHAEMKLTLKESECTEVLRSKVTED
O84155 1 810 0.214214938271605 PF01823.19:MACPF:411:619 Uncharacterized protein 810 209 17 810 0 Chlamydia trachomatis (strain D/UW-3/Cx) O84155 1 SwissProt/TReMBL MTKPSFLYVIQPFSVFNPRLGRFSTDSDTYIEEENRLASFIESLPLEIFDIPSFMETAISNSPYILSWETTKDGALFTILEPKLSACAATCLVAPSIQMKSDAELLEEIKQALLRSSHDGVKYRITRESFSPEKKTPKVALVDDDIELIRNVDFLGRAVDIVKLDPINILNTVSEENILDYSFTRETAQLSADGRFGIPPGTKLFPKPSFDVEISTSIFEETTSFTRSFSASVTFSVPDLAATMPLQSPPMVENGQKEICVIQKHLFPSYSPKLVDIVKRYKREAKILINKLAFGMLWRHRAKSQILTEGSVRLDLQGFTESKYNYQIQVGSHTIAAVLIDMDISKIQSKSEQAYAIRKIKSGFQRSLDDYHIYQIERKQTFSFSPKHRSLSSTSHSEDSDLDLSEAAAFSGSLTCEFVKKSTQHAKNTVTCSTAAHSLYTLKEDDSSNPSEKRLDSCFRNWIENKLSANSPDSWSAFIQKFGTHYIASATFGGIGFQVLKLSFEQVEDLHSKKISLETAAANSLLKGSVSSSTESGYSSYSSTSSSHTVFLGGTVLPSVHDERLDFKDWSESVHLEPVPIQVSLQPITNLLVPLHFPNIGAAELSNKRESLQQAIRVYLKEHKVDEQGERTTFTSGIDNPSSWFTLEAAHSPLIVSTPYIASWSTLPYLFPTLRERSSATPIVFYFCVDNNEHASQKILNQSYCFLGSLPIRQKIFGSEFASFPYLSFYGNAKEAYFDNTYYPTRCGWIVEKLNTTQDQFLRDGDEVRLKHVSSGKYLATTPLKDTHGTLTRTTNCEDAIFIIKKSSGY
O95670 1 118 0.624669491525424 PF03179.15:V-ATPase_G:3:106 V-type proton ATPase subunit G 2; V-ATPase subunit G 2; V-ATPase 13 kDa subunit 2; Vacuolar proton pump subunit G 2 118 104 17 118 0 Homo sapiens (Human) SwissProt::O95670 1 SwissProt MASQSQGIQQLLQAEKRAAEKVADARKRKARRLKQAKEEAQMEVEQYRREREHEFQSKQQAAMGSQGNLSAEVEQATRRQVQGMQSSQQRNRERVLAQLLGMVCDVRPQVHPNYRISA
P08435 1 116 0.374331896551724 PF03823.14:Neurokinin_B:1:54 Tachykinin-3 116 54 17 116 0 Rattus norvegicus P08435 1 SwissProt/TReMBL MRSAMLFAAVLALSLAWTFGAACEEPQEQGGRLSKDSDLSLLPPPLLRRLYDSRSISLEGLLKVLSKASVGPKETSLPQKRDMHDFFVGLMGKRNSQPDTPADVVEENTPSFGVLK
P17839 86 252 0.584641916167665 PF01486.17:K-box:17:102 Floral homeotic protein AGAMOUS 252 86 17 167 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P17839 1 SwissProt KKAISDNSNTGSVAEINAQYYQQESAKLRQQIISIQNSNRQLMGETIGSMSPKELRNLEGRLERSITRIRSKKNELLFSEIDYMQKREVDLHNDNQILRAKIAENERNNPSISLMPGGSNYEQLMPPPQTQSQPFDSRNYFQVAALQPNNHHYSSAGRQDQTALQLV
P20774 1 298 0.185820469798658 PF13855.6:LRR_8:127:179,PF00560.33:LRR_1:144:166 Mimecan; Osteoglycin; Osteoinductive factor; OIF 298 53 17 298 0 Homo sapiens (Human) SwissProt::P20774 1 SwissProt MKTLQSTLLLLLLVPLIKPAPPTQQDSRIIYDYGTDNFEESIFSQDYEDKYLDGKNIKEKETVIIPNEKSLQLQKDEAITPLPPKKENDEMPTCLLCVCLSGSVYCEEVDIDAVPPLPKESAYLYARFNKIKKLTAKDFADIPNLRRLDFTGNLIEDIEDGTFSKLSLLEELSLAENQLLKLPVLPPKLTLFNAKYNKIKSRGIKANAFKKLNNLTFLYLDHNALESVPLNLPESLRVIHLQFNNIASITDDTFCKANDTSYIRDRIEEIRLEGNPIVLGKHPNSFICLKRLPIGSYF
P23501 1 404 0.0768891089108912 PF01569.21:PAP2:114:236 Dihydrosphingosine 1-phosphate phosphatase YSR3; Long-chain base protein 2; Sphingolipid resistance protein 3; EC 3.1.3.- 404 123 17 295 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P23501 1 SwissProt MTIIQTVTELGVTEDTIKVQMAPSGGKHLLADPGNHPAEHFESQMSWLRFQTRQYLTRFTDNQSDFVHSLQKKHRTPFRDVYFKYTSLMGSHMFYVIVLPMPVWLGYRDLTRDMIYVLGYSIYLSGYLKDYWCLPRPKSPPVDRITLSEYTTKEYGAPSSHSANATAVSLLFFWRICLSDTLVWPTKLLLLSLVIFYYLTLVFGRVYCGMHGMLDLFSGAAVGAICFFIRIWVVHALRNFQIGEHLWFPLLSVAWGLFILFNHVRPIDECPCFEDSVAFIGVVSGLDCSDWLTERYGWNLVCSRYASCGSKVFLRPLVGVASVIVWKDVISKTAVYTLLIKLLRFHDDRSEKVHFHNETSEEEECLLYSGVSKVEIVGRFLIYAGIPTTVFLLCPVFFTWTNLR
P36104 154 329 0.0844806818181818 COMPASS component SWD2; Complex proteins associated with SET1 protein SWD2; Set1C component SWD2 329 0 17 176 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36104 1 SwissProt LVPNCIAYDPSGLVFALGNPENFEIGLYNLKKIQEGPFLIIKINDATFSQWNKLEFSNNGKYLLVGSSIGKHLIFDAFTGQQLFELIGTRAFPMREFLDSGSACFTPDGEFVLGTDYDGRIAIWNHSDSISNKVLRPQGFIPCVSHETCPRSIAFNPKYSMFVTADETVDFYVYDE
P39904 1 641 0.230844617784712 PF04129.12:Vps52:47:606 Vacuolar protein sorting-associated protein 52; Suppressor of actin mutation protein 2 641 560 17 641 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39904 1 SwissProt MDVLKEVLSLDQDKFDQLKETSRDKTNETDDPFENYLKDCKFKAPSNKDQSPFAKLKSLQETHSNNEAAINIIIPQLIDYLTEFTNRLSNYTQDLDFIKKKSNELQSLLEYNSTKLAHISPMVNDLMIPPELIDDIIKGKINESWQDNITFIADKEEIYNKYRSNNLDQDNKDAENSAMLAPKDFDKLCQLLDILKNVILERSKRLIISKIKTLRSHNPVPSQRIQNKLLKVQKIFPFIRDNNLSLALELRQAYCYTMKWYYREYFSRYIRSLTILQFQQIDSQFALGNGLSTTSVSGFNNSPSLFFSNYLTTSASNAFYNKLPVTDEKIDKYFQIKKRLNILTQEDNTVMVSQIAENNTTKNYIEIGFKNLNLAILDNCTVEYHFLKDFFAMNGDNFEEINGLLEQIFQPTFDEATTYTQQLIQYNYDIFGVLISIRVANQLQFESERRGIPSMFDSFLNGQLIQLWPRFQQLVDFQCESLRKAAITTNVAKYAGNSSTSNSSPLTSPHELTVQFGKFLSSFLTLAITHKQSIDERSEPLYNSIIRLRNDFETVMTKCSKKTKSPERFLATNYMYLYNNLQQLHLHLNINDSDAQNYNFDSAENVGTKVANDDDNDSSVPLIIRETENHFKTLVEAFTRN
P49710 1 421 0.707109738717339 PF02218.15:HS1_rep:82:117,PF02218.15:HS1_rep:119:154,PF02218.15:HS1_rep:156:191,PF02218.15:HS1_rep:193:216 Hematopoietic lineage cell-specific protein; Hematopoietic cell-specific LYN substrate 1; LckBP1 486 132 17 421 0 Mus musculus (Mouse) SwissProt::P49710 1 SwissProt MWKSVVGHDVSVSVETQGDDWDTDPDFVNDISEKEQRWGAKTIEGSGRTEHINIHQLRNKVSEEHDILKKKELESGPKASHGYGGRFGVERDRMDKSAVGHEYVADVEKHSSQTDAARGFGGKYGVERDRADKSAVGFDYKGEVEKHASQKDYSHGFGGRYGVEKDKRDKAALGYDYKGETEKHESQRDYAKGFGGQYGIQKDRVDKSAVGFNEMEAPTTAYKKTTPIEAASSGARGLKAKFESLAEEKRKREEEEKAQQMARQQQERKAVVKMSREVQQPSMPVEEPAAPAQLPKKISSEVWPPAESHLPPESQPVRSRREYPVPSLPTRQSPLQNHLEDNEEPPALPPRTPEGLQVVEEPVYEAAPELEPEPEPDYEPEPETEPDYEDVGELDRQDEDAEGDYEDVLEPEDTPSLSYQA
P53933 1 587 0.440654855195911 PF09949.9:DUF2183:330:425 Phosphatidate phosphatase APP1; PAP; Actin patch protein 1; EC 3.1.3.4 587 96 17 587 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53933 1 SwissProt MNSQGYDESSSSTAATSGPTSGDPRMGKKQRFMNLIRTTKDVYIPNLTSSISQKTMDGIRSTTNSFEGYNDLPMELPHNTTITYFPTYTTTNLVDPDGLSAPRKDFETTVRCAVSYPGNPTSRRNRWLLSLCKQYLRTGTAEADVAPVVPPHLEEDSGDLNDSQSSIESSLSSKSENRYSHMGIQEEDVLNERIQGFLSKKVPNTPVVVDLLPKDKLRGDTASFFGTTDSYGNLLIKAETDFLPSKINITLDTPIEGHADPISETFPANYVSPYGIGLISDIDDTIKHTGVTGDRRSMFRNVFIHDVQSWVIDGVPLWYKTLHDVADVDFFYVSNSPIQTFTLLKQYICANFPPGPIFLKQYSGNFFSTIMTSSANRKIQPIANILKDFPKKKFILVGDSGEHDLEAYTTTALQFPNQILAIYIRCCSNSMSDVPSHDEEVMNEVNNIIELQQRPMQMTKSTVRTRRRPPPPPIPSTQKPSLTEEQTESIRMSRRNKDENNAKRVAPPPLPNRQLPNLDANTYYVPSSQNDYGMYGAFMDKKADEWKRRVMDSIQKLSNQDTTLMFFSDPALSLEDSIRRIREKYSN
P53970 1 246 0.10770162601626 PF10294.9:Methyltransf_16:34:217 Protein-lysine N-methyltransferase EFM6; Elongation factor methyltransferase 6; EC 2.1.1.- 246 184 17 246 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53970 1 SwissProt MESIFGGFGDLVVPRPKEHLGQTDLSFGGKLLPALKICEDGGESGCGGKVWIAGELLCEYILEKSVDHLLSKTVNGTKQFKKVLELGSGTGLVGLCVGLLEKNTFHDGTKVYVTDIDKLIPLLKRNIELDEVQYEVLARELWWGEPLSADFSPQEGAMQANNVDLVLAADCVYLEEAFPLLEKTLLDLTHCINPPVILMAYKKRRKADKHFFNKIKRNFDVLEITDFSKFEHYLKERTHLFQLIRK
P92935 1 618 0.106043365695793 PF03219.14:TLC:92:571 ATP / ADP transporter 618 480 17 373 11 Arabidopsis thaliana metacyc::AT1G15500-MONOMER 1 metacyc MEGLIQTRGILSLPAKPIGVRRLLQPSHGLKQRLFTTNLPALSLSSNGHKKFQAFQQIPLGISVSHKERSRGFICKAEAAAAGGGNVFDEGDTAAMAVSPKIFGVEVTTLKKIVPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIVPFIVYFGAFGFVMYPLSNLIHPEALADKLLATLGPRFMGPLAIMRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNMRKNLGPGVDGWAVSLKAMMSIVVGMGLAICFLYWWVNRYVPLPTRSKKKKVKPQMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGIATFTMMLLSQYVFKKYGWGVAAKITPTVLLLTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILTFGSLANSTPYLGVILLGIVTAWLAAAKSLEGQFNTLMSEEELEREMERASSVKIPVVSQEDAPSGETTSQLSEKSTPTGI
Q00325 1 362 0.145160220994475 PF00153.27:Mito_carr:70:150,PF00153.27:Mito_carr:165:247,PF00153.27:Mito_carr:262:340 Phosphate carrier protein, mitochondrial; Phosphate transport protein; PTP; Solute carrier family 25 member 3 362 243 17 319 2 Homo sapiens (Human) SwissProt::Q00325 1 SwissProt MFSSVAHLARANPFNTPHLQLVHDGLGDLRSSSPGPTGQPRRPRNLAAAAVEEQYSCDYGSGRFFILCGLGGIISCGTTHTALVPLDLVKCRMQVDPQKYKGIFNGFSVTLKEDGVRGLAKGWAPTFLGYSMQGLCKFGFYEVFKVLYSNMLGEENTYLWRTSLYLAASASAEFFADIALAPMEAAKVRIQTQPGYANTLRDAAPKMYKEEGLKAFYKGVAPLWMRQIPYTMMKFACFERTVEALYKFVVPKPRSECSKPEQLVVTFVAGYIAGVFCAIVSHPADSVVSVLNKEKGSSASLVLKRLGFKGVWKGLFARIIMIGTLTALQWFIYDSVKVYFRLPRPPPPEMPESLKKKLGLTQ
Q02318 1 307 0.179487947882736 PF00067.22:p450:67:300 Sterol 26-hydroxylase, mitochondrial; 5-beta-cholestane-3-alpha,7-alpha,12-alpha-triol 26-hydroxylase; Cytochrome P-450C27/25; Cytochrome P450 27; Sterol 27-hydroxylase; Vitamin D(3) 25-hydroxylase; EC 1.14.15.15 531 234 17 307 0 Homo sapiens (Human) SwissProt::Q02318 1 SwissProt MAALGCARLRWALRGAGRGLCPHGARAKAAIPAALPSDKATGAPGAGPGVRRRQRSLEEIPRLGQLRFFFQLFVQGYALQLHQLQVLYKAKYGPMWMSYLGPQMHVNLASAPLLEQVMRQEGKYPVRNDMELWKEHRDQHDLTYGPFTTEGHHWYQLRQALNQRLLKPAEAALYTDAFNEVIDDFMTRLDQLRAESASGNQVSDMAQLFYYFALEAICYILFEKRIGCLQRSIPEDTVTFVRSIGLMFQNSLYATFLPKWTRPVLPFWKRYLDGWNAIFSFGKKLIDEKLEDMEAQLQAAGPDGIQV
Q03390 1 127 0.572840944881889 PF03357.21:Snf7:19:126,PF10359.9:Fmp27_WPPW:6:119 Vacuolar protein-sorting-associated protein 60; Charged multivesicular body protein 5 229 121 17 127 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03390 1 SwissProt MNRIFGYGNKKSHDQLLQESNQSMNQAQQSLSNRISQLDTQIAQLNFQLQNIQKNLQRSNNKQPSLRKQALKILNKRKQLENMKDSLDSQSWSMTQAQLTNDNLQNTMITINALKQTNNAMKAQYGK
Q03935 1 383 0.662160313315927 PF00170.21:bZIP_1:220:277 AP-1-like transcription factor YAP6 383 58 17 383 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03935 1 SwissProt MQNPPLIRPDMYNQGSSSMATYNASEKNLNEHPSPQIAQPSTSQKLPYRINPTTTNGDTDISVNSNPIQPPLPNLMHLSGPSDYRSMHQSPIHPSYIIPPHSNERKQSASYNRPQNAHVSIQPSVVFPPKSYSISYAPYQINPPLPNGLPNQSISLNKEYIAEEQLSTLPSRNTSVTTAPPSFQNSADTAKNSADNNDNNDNVTKPVPDKDTQLISSSGKTLRNTRRAAQNRTAQKAFRQRKEKYIKNLEQKSKIFDDLLAENNNFKSLNDSLRNDNNILIAQHEAIRNAITMLRSEYDVLCNENNMLKNENSIIKNEHNMSRNENENLKLENKRFHAEYIRMIEDIENTKRKEQEQRDEIEQLKKKIRSLEEIVGRHSDSAT
Q04360 1 479 0.44959770354906 PF05459.12:Herpes_UL69:259:475 mRNA export factor ICP27 homolog; Mta; ORF57 protein homolog; Protein SM 479 217 17 479 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::Q04360 1 SwissProt MVPSQRLSRTSSISSNEDPAESHILELEAVSDTNTDCDLDPMEGSEEHSTDGEISSSEEEDEDPTPAHAIPARPSSVVITPTSASFVIPRKKWDLQDKTVTLHRSPLCRDEDEKEETGNSSYTRGHKRRRGEVHGCTDESYGKRRHLPPGARAPRAPRAPRVPRAPRSPRAPRSNRATRGPRSESRGAGRSTRKQARQERSQRPLPNKPWFDMSLVKPVSKITFVTLPSPLASLTLEPIQDPFLQSMLAVAAHPEIGAWQKVQPRHELRRSYKTLREFFTKSTNKDTWLDARMQAIQNAGLCTLVAMLEETIFWLQEITYHGDLPLAPAEDILLACAMSLSKVILTKLKELAPCFLPNTRDYNFVKQLFYITCATARQNKVVETLSSSYVKQPLCLLAAYAAVAPAYINANCRRRHDEVEFLGHYIKNYNPGTLSSLLTEAVETHTRDCRSASCSRLVRAILSPGTGSLGLFFVPGLNQ
Q06413 96 473 0.698871164021164 PF12347.8:HJURP_C:2:59 Myocyte-specific enhancer factor 2C; Myocyte enhancer factor 2C 473 58 17 378 0 Homo sapiens (Human) SwissProt::Q06413 1 SwissProt CDSPDPDADDSVGHSPESEDKYRKINEDIDLMISRQRLCAVPPPNFEMPVSIPVSSHNSLVYSNPVSSLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNMQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVSEDVDLLLNQRINNSQSAQSLATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGACTSTHLSQSSNLSLPSTQSLNIKSEPVSPPRDRTTTPSRYPQHTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT
Q08960 1 423 0.285402127659575 PF00258.25:Flavodoxin_1:207:355 S-adenosyl-L-methionine-dependent tRNA 4-demethylwyosine synthase; tRNA wybutosine-synthesizing protein 1; EC 4.1.3.44 810 149 17 400 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08960 1 SwissProt MDGFRVAGALVVGALTAAYLYFGGRFSIALVIIVGYGIYCNEASGGSQDSQEKLDLNKQQKKPCCSDKKIADGGKKTGGCCSDKKNGGGKGGGCCSSKGGKKGGCCSSKGGKKGGCCSSKKNIGDNENTATEVEKAVNYPVTVDFTEVFRKPTKKRSSTPKVFSKNSSSNSRVGKKLSVSKKIGPDGLIKSALTISNETLLSSQIYVLYSSLQGAASKAAKSVYDKLKELDELTNEPKLLNLDDLSDFDDYFINVPVENALYVLVLPSYDIDCPLDYFLQTLEENANDFRVDSFPLRKLVGYTVLGLGDSESWPEKFCYQAKRADHWISRLGGRRIFPLGKVCMKTGGSAKIDEWTSLLAETLKDDEPIIYEYDENADSEEDEEEGNGSDELGDVEDIGGKGSNGKFSGADEIKQMVAKDSPT
Q12512 1 249 0.140990763052209 PF13933.6:HRXXH:11:248 Protein ZPS1 249 238 17 249 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) Q12512 1 SwissProt/TReMBL MKFSSGKSIIFATIASLALSAPVTYDTNSTAELQSPSSQEILGWSHATFPTIYQTCNETNARMLNAAFKDTAEITAYGKDRLLNYGVDDVYYKRWFGNGSIFTVMGVFEQLMEASKGAMLMRCDDIDGLCAANPNYYAGHHRQSAPAETVICDYFYTSKKPLSTICFEGTIVDVGPKHYAGIDMLHRYLHVPTMSMDGYVGEYAETLEEVVDYTQNNATYAVRNTDNYLYYLADVYSASVIPGGCLGNL
Q14684 181 758 0.675242906574393 Ribosomal RNA processing protein 1 homolog B; RRP1-like protein B 758 0 17 578 0 Homo sapiens (Human) SwissProt::Q14684 1 SwissProt GKELLADQNLKFIDPFCKIAAKTKDHTLVQTIARGVFEAIVDQSPFVPEETMEEQKTKVGDGDLSAEEIPENEVSLRRAVSKKKTALGKNHSRKDGLSDERGRDDCGTFEDTGPLLQFDYKAVADRLLEMTSRKNTPHFNRKRLSKLIKKFQDLSEGSSISQLSFAEDISADEDDQILSQGKHKKKGNKLLEKTNLEKEKGSRVFCVEEEDSESSLQKRRRKKKKKHHLQPENPGPGGAAPSLEQNRGREPEASGLKALKARVAEPGAEATSSTGEESGSEHPPAVPMHNKRKRPRKKSPRAHREMLESAVLPPEDMSQSGPSGSHPQGPRGSPTGGAQLLKRKRKLGVVPVNGSGLSTPAWPPLQQEGPPTGPAEGANSHTTLPQRRRLQKKKAGPGSLELCGLPSQKTASLKKRKKMRVMSNLVEHNGVLESEAGQPQALGSSGTCSSLKKQKLRAESDFVKFDTPFLPKPLFFRRAKSSTATHPPGPAVQLNKTPSSSKKVTFGLNRNMTAEFKKTDKSILVSPTGPSRVAFDPEQKPLHGVLKTPTSSPASSPLVAKKPLTTTPRRRPRAMDFF
Q15075 235 1207 0.51151798561151 Early endosome antigen 1; Endosome-associated protein p162; Zinc finger FYVE domain-containing protein 2 1411 0 17 973 0 Homo sapiens (Human) SwissProt::Q15075 1 SwissProt TLMDNMTLERERESEKLKDECKKLQSQYASSEATISQLRSELAKGPQEVAVYVQELQKLKSSVNELTQKNQTLTENLLKKEQDYTKLEEKHNEESVSKKNIQATLHQKDLDCQQLQSRLSASETSLHRIHVELSEKGEATQKLKEELSEVETKYQHLKAEFKQLQQQREEKEQHGLQLQSEINQLHSKLLETERQLGEAHGRLKEQRQLSSEKLMDKEQQVADLQLKLSRLEEQLKEKVTNSTELQHQLDKTKQQHQEQQALQQSTTAKLREAQNDLEQVLRQIGDKDQKIQNLEALLQKSKENISLLEKEREDLYAKIQAGEGETAVLNQLQEKNHTLQEQVTQLTEKLKNQSESHKQAQENLHDQVQEQKAHLRAAQDRVLSLETSVNELNSQLNESKEKVSQLDIQIKAKTELLLSAEAAKTAQRADLQNHLDTAQNALQDKQQELNKITTQLDQVTAKLQDKQEHCSQLESHLKEYKEKYLSLEQKTEELEGQIKKLEADSLEVKASKEQALQDLQQQRQLNTDLELRATELSKQLEMEKEIVSSTRLDLQKKSEALESIKQKLTKQEEEKKILKQDFETLSQETKIQHEELNNRIQTTVTELQKVKMEKEALMTELSTVKDKLSKVSDSLKNSKSEFEKENQKGKAAILDLEKTCKELKHQLQVQMENTLKEQKELKKSLEKEKEASHQLKLELNSMQEQLIQAQNTLKQNEKEEQQLQGNINELKQSSEQKKKQIEALQGELKIAVLQKTELENKLQQQLTQAAQELAAEKEKISVLQNNYEKSQETFKQLQSDFYGRESELLATRQDLKSVEEKLSLAQEDLISNRNQIGNQNKLIQELKTAKATLEQDSAKKEQQLQERCKALQDIQKEKSLKEKELVNEKSKLAEIEEIKCRQEKEITKLNEELKSHKLESIKEITNLKDAKQLLIQQKLELQGKADSLKAAVEQEKRNQQILKDQVKKEEEEL
Q1H583 1 391 0.208581585677749 PF00657.22:Lipase_GDSL:37:338 GDSL esterase/lipase 22; Extracellular lipase At1g54000; GDSL esterase/lipase At1g54000; EC 3.1.1.- 391 302 17 368 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q1H583 1 SwissProt MMANNCNLVSVLCVILVLTLFHNPITVAGQNSPVVALFTFGDSNFDAGNKQTLTKTLVAQGFWPYGKSRDDPNGKFSDGLITPDFLAKFMKIPLAIAPALQPNVNVSRGASFAVEGATLLGAPVESMTLNQQVKKFNQMKAANWNDDFVAKSVFMIYIGANDYLNFTKNNPTADASAQQAFVTSVTNKLKNDISALYSSGASKFVIQTLAPLGCLPIVRQEYNTGMDQCYEKLNDLAKQHNEKIGPMLNEMARNSPASAPFQFTVFDFYNAVLTRTQRNQNFRFFVTNASCCGVGSHDAYGCGLPNVHSKLCEYQRSFLFFDGRHNSEKAQEMFAHLLFGADTNVVQPMNVRELTVYPVDEPMREFWVPPTPATVHASDSSSSTSRGYEYY
Q8N307 1 709 0.750366149506347 Mucin-20; MUC-20 709 0 17 709 0 Homo sapiens (Human) SwissProt::Q8N307 1 SwissProt MGCLWGLALPLFFFCWEVGVSGSSAGPSTRRADTAMTTDDTEVPAMTLAPGHAALETQTLSAETSSRASTPAGPIPEAETRGAKRISPARETRSFTKTSPNFMVLIATSVETSAASGSPEGAGMTTVQTITGSDPREAIFDTLCTDDSSEEAKTLTMDILTLAHTSTEAKGLSSESSASSDSPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSRASESSASSDGLHPVITPSRASESSASSDGPHPVITPSRASESSASSDGPHPVITPSWSPGSDVTLLAEALVTVTNIEVINCSITEIETTTSSIPGASDTDLIPTEGVKASSTSDPPALPDSTEAKPHITEVTASAETLSTAGTTESAAPDATVGTPLPTNSATEREVTAPGATTLSGALVTVSRNPLEETSALSVETPSYVKVSGAAPVSIEAGSAVGKTTSFAGSSASSYSPSEAALKNFTPSETPTMDIATKGPFPTSRDPLPSVPPTTTNSSRGTNSTLAKITTSAKTTMKPPTATPTTARTRPTTDVSAGENGGFLLLRLSVASPEDLTDPRVAERLMQQLHRELHAHAPHFQVSLLRVRRG
SwissProt::P10069 1 311 0.586704823151125 C2H2 type master regulator of conidiophore development brlA; Bristle A protein 432 0 17 311 0 Emericella nidulans (strain FGSC A4 / ATCC 38163 / CBS 112.46 / NRRL 194 / M139) (Aspergillus nidulans) SwissProt::P10069 1 SwissProt MRNQSSLSDRLTVEVDCSSLGSNECPSMTSSFSPLESPTPTPTSIYSQGSLESPGWHGAGSLPNNTYERTPGSASMRSAFRLAGMASTESLGLPYGSMEGQERMPMPDFLSGYDENIEQLWMPSEAPKSYDHVAQGLAYHQGMHQYPTMARNTNNNYRHQAAAYLPESTTNPCLSRSIFHQPERVPSSMSSSMSMNNMLPWMNLGDSIAPQTIAPSQVGPVTPPPSYTDFPTSLSAFKQHSPTTPIRSCSLGTGSGADTPLSRLSGGPCEYMDDFQPSPVYRDGFQRPHRVASRKMLRRQTSKQNLMLENL
SwissProt::Q12437 1 285 0.189960701754386 PF13460.6:NAD_binding_10:8:220,PF05368.13:NmrA:6:87 Averufin oxidase A; Aflatoxin biosynthesis protein I; EC 1.-.-.- 285 215 17 267 1 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q12437 1 SwissProt MVTYALLGATGATGSSILRHLLQKSPDSLHIQVLVRSKVKLLQAFPDLETTRRPQVHVIQGMSTDSDALSECLRNASIVFMCVAQNGSPIGTTLCQDSARPIISVLQQQQQSEGASYQPCTIVQLRSASLNPALAAQVPAFVHRIVSFCLFANYADIKQACQYYSEAQKQGTLEYILVDPPTLHDANGTHPTGYRLISTEPQATALSYADLGAAMCEIAHRESEFHGRAVGVTATGRVRQTWGVLLRHLLEGGSSRLRETIAKEAVVVRVLCIFLVILACLMSSL
SwissProt::Q6UEF1 1 495 0.245616767676768 PF14027.6:Questin_oxidase:71:402 Oxidoreductase AflY; Aflatoxin biosynthesis protein Y; EC 1.-.-.- 495 332 17 495 0 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q6UEF1 1 SwissProt MGSHAPAVAGKPDPKKGPYQATPWNIQLSATDTPGFTHVGNLERRSADRASDLVMNNHSKFHTFHDEIVGFHNHISHHVLTLWALGATPDEMQAAYDFNKPFQLLTYYNDPSVNIKLRDPEFFRQGLGNFELYGDYVRFFQAEVAAKGTQTVLHDYLFKGDTLTEDLLARLFSGFLHPLINLGFALEFQQPFLAAECLASTCMHPPYPAEFLTATEQHVECNGRPRSLPILSIAEGMRLDPVVATAVGPEDGNNRIADALLKRALKELIPHLSYFQVEPTEHDLARKTAEILQASAYICGAAQHPRKVEALDFVMLHSLTAAVFFPTIIKQEWISIETRARLLEWKGRSDLITYAALGCPKLYPDRITGYQPKQAATGWSDVVQRARVYQDDGHACKVIRALMCAENVCQPFEGEEGFPLKKADFLTVAHMTMDSVERMSDPNWVRQTEKVKQMSAQGRGQHSQVSAIMLRWVRWCGTEGAWDDFPDLEELSPSA
SwissProt::Q6UEF2 1 266 0.176082330827068 PF13460.6:NAD_binding_10:8:208,PF05368.13:NmrA:5:80 Monooxygenase aflX; Aflatoxin biosynthesis protein X; EC 1.-.-.- 266 204 17 266 0 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q6UEF2 1 SwissProt MRRYAILGATGNTGQALLNVLLQSPDNQIHAYCRSASKLNRLRPEISQHRQVKVWEGSLEDVSLLSECIRGTRAVFMVVAIPDNMPHCTIAQDCTNAVLNTLKKLQAEGCQSLPKLIVLSSASLEDSLCADVPPLIHRVLNIAAGNLYSDLAKAEKILRAEKHWVSTTFVKPGGLVHDVQRGHTLSTKTAKTPVSFLDVAAGMVEIADMDDKTYDMMNVSVNAIGDGTAFPWKGVYYVLTGLLFHFFPWTYKYFGDSPMPKPRKDL
SwissProt::Q6UEF4 1 508 0.167002165354331 PF00067.22:p450:68:474 Cytochrome P450 monooxygenase aflV; Aflatoxin biosynthesis protein V; EC 1.-.-.- 508 407 17 485 1 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q6UEF4 1 SwissProt MTNTAPRELIRAIEHVPLTWWFLAVGGAWIVSKIIKILQTAYFSPLRKIPGPWYARLTSARLAWASFANNRIYYVQSLHDKYGSIVLIGPEEVDIADPVAAKQIHRMGSGFVKAPFYKLLSPGPVDNIFNFRDAKLHSTRRKLYAKGFTLNSLRQQWEPTIRNIVALTVERIRHDAQQGEAEILGWWTLMANETVCKLTFNGGHDTVRNGTKDPFVLMLERRMGDLAHLLQHFAPPLYYLGRLLGRAVPRLHDVFFSQETMFEAGKHVVAIARSARDAEGDRNLFVKALAAGDLESKIGGLNDTEIITDAGALLLAGSDPTALSLTYLIWCVLNRPKLQAELESEVAGLQGDITDAACADLPILNAVIYESLRLYGPAPGAMPRSPPPDGATLCGYYIPPSAVVVTQNWSLHGSPKVWKDPHTFDHTRWLPGSSLSEEAKISFNPFGQGARQCLGIHLGWMQLRLATALFFRRCPGAKLAPSTTPESMVMIDSFIAGMPKARRCAIQL
SwissProt::Q86500 1 2116 0.311328686200378 PF05407.12:Peptidase_C27:1111:1274,PF12601.8:Rubi_NSP_C:1275:1340,PF01661.21:Macro:837:951,PF00978.21:RdRP_2:1818:2053,PF01443.18:Viral_helicase1:1353:1576 Non-structural polyprotein p200; p200; EC 3.4.22.-; EC 2.7.7.48; EC 3.6.1.15; EC 3.6.4.13 2116 805 17 2116 0 Rubella virus (strain M33) (RUBV) SwissProt::Q86500 1 SwissProt MEKLLDEVLAPGGPYNLTVGSWVRDHVRSIVEGAWEVRDVVTAAQKRAIVAVIPRPVFTQMQVSDHPALHAISRYTRRHWIEWGPKEALHVLIDPSPGLLREVARVERRWVALCLHRTARKLATALAETASEAWHADYVCALRGAPSGPFYVHPEDVPHGGRAVADRCLLYYTPMQMCELMRTIDATLLVAVDLWPVALAAHVGDDWDDLGIAWHLDHDGGCPADCRGAGAGPTPGYTRPCTTRIYQVLPDTAHPGRLYRCGPRLWTRDCAVAELSWEVAQHCGHQARVRAVRCTLPIRHVRSLQPSARVRLPDLVHLAEVGWWRWFSLPRPVFQRMLSYCKTLSPDAYYSERVFKFKNALSHSITLAGNVLQEGWKGTCAEEDALCAYVAFRAWQSNARLAGIMKSAKRCAADSLSVAGWLDTIWDAIKRFFGSVPLAERMEEWEQDAAVAAFDRGPLEDGGRHLDTVQPPKSPPRPEIAATWIVHAASADRHCACAPRCDVPRERPSAPAGPPDDEALIPPWLFAERRALRCREWDFEALRARADTAAAPAPLAPRPARYPTVLYRHPAHHGPWLTLDEPGGADAALVLCDPLGQPLRGPERHYAAGAHMCAQARGLQAFVRVVPPPERPWADGGARAWAKFFRGCAWAQRLLGEPAVMHLPYTDGDVPKLIALALRTLAQQGAALALSVRDLPRGTAFEANAVTAAVRAGPGQLAATSPPPGDPPPPRRARRSQRHSDARGTPPPAPVRDPPRPQPSPPAPPRVGDPVPPTTAEPADRARHAELEVVYEPSGPPTSTKADPDSDIVESYARAAGPVHLRVRDIMDPPPGCKVVVNAANEGLLAGSGVCGAIFANATAALAADCRRLAPCPIGEAVATPGHGCGYTHIIHAVAPRRPRDPAALEEGEALLERAYRSIVALAAARRWARVACPLLGAGVYGWSAAESLRAALAATRAEPAERVSLHICHPDRATLTHASVLVGAGLAARRVSPPPTEPLASCPAGDPGRPAQRSASPPATPLGDATAPEPRGCQGCELCRYTRVTNDRAYVNLWLERDRGATSWAMRIPEVVVYGPEHLATHFPLNHYSVLKPAEVRPPRGMCGSDMWRCRGWQGMPQVRCTPSNAHAALCRTGVPPRVSTRGGELDPNTCWLRAAANVAQAARACGAYTSAGCPKCAYGRALSEARTHEDFAALSQWWSASHADASPDGTGDPLDPLMETVGCACSRVWVGSEHEAPPDHLLVSLHRAPNGPWGVVLEVRARPEGGNPTGHFVCAVGGGPRRVSDRPHLWLAVPLSRGGGTCAATDEGLAQAYYDDLEVRRLGDDAMARAALASIQRPRKGPYNIRVWNMAAGAGKTTRILAAFTREDLYVCPTNALLHEIQAKLRARDIDIKNAATYERALTKPLAAYRRIYIDEAFTLGGEYCAFVASQTTAEVICVGDRDQCGPHYANNCRTPVPDRWPTGRSRHTWRFPDCWAARLRAGLDYDIEGERTGTFACNLWDGRQVDLHLAFSRETVRRLHEAGIRAYTVREAQGMSVGTACIHVGRDGTDVALALTRDLAIVSLTRASDALYLHELEDGLLRAAGLSAFLDAGALAELKEVPAGIDRVVAVEQAPPPLPPADGIPEAQDVPPFCPRTLEELVFGRAGHPHYADLNRVTEGEREVRYMRISRHLLNKNHTEMPGTERVLSAVCAVRRYRAGEDGSTLRTAVARQHPRPFRQIPPPRVTAGVAQEWRMTYLRERIDLTDVYTQMGVAARELTDRYTRRYPEIFAGMCTAQSLSVPAFLKATLKCVDAALGPRDTEDCHAAQGKAGLEIRAWAKEWVQVMSPHFRAIQKIIMRALRPQFLVAAGHTEPEVDAWWQAHYTTNAIEVDFTEFDMNQTLATRDVELEISAALLGLPCAEDYRALRAGSYCTLRELGSTETGCERTSGEPATLLHNTTVAMCMAMRMVPKGVRWAGIFQGDDMVIFLPEGARNAALKWTPAEVGLFGFHIPVKHVSTPTPSFCGHVGTAAGLFHDVMHQAIKVLCRRFDPDVLEEQQVALLDRLRGVYAALPDTVAANAAYYDYSAERVLAIVRELTAYARGRGLDHPATIGALEEIQTPYARANLHDAD
SwissProt::Q8CI19 163 345 0.133949180327869 PF00341.17:PDGF:88:175 Platelet-derived growth factor C; PDGF-C; Fallotein; Spinal cord-derived growth factor; SCDGF; VEGF-E 345 88 17 183 0 Mus musculus (Mouse) SwissProt::Q8CI19 1 SwissProt IMPQVTETTSPSVLPPSSLSLDLLNNAVTAFSTLEELIRYLEPDRWQVDLDSLYKPTWQLLGKAFLYGKKSKVVNLNLLKEEVKLYSCTPRNFSVSIREELKRTDTIFWPGCLLVKRCGGNCACCLHNCNECQCVPRKVTKKYHEVLQLRPKTGVKGLHKSLTDVALEHHEECDCVCRGNAGG
SwissProt::Q8N6Q3 1 437 0.25885881006865 PF00021.21:UPAR_LY6:133:208,PF00021.21:UPAR_LY6:325:394 CD177 antigen; Human neutrophil alloantigen 2a; HNA-2a; NB1 glycoprotein; NB1 GP; Polycythemia rubra vera protein 1; PRV-1; CD177 antigen 437 146 17 437 0 Homo sapiens (Human) SwissProt::Q8N6Q3 1 SwissProt MSAVLLLALLGFILPLPGVQALLCQFGTVQHVWKVSDLPRQWTPKNTSCDSGLGCQDTLMLIESGPQVSLVLSKGCTEAKDQEPRVTEHRMGPGLSLISYTFVCRQEDFCNNLVNSLPLWAPQPPADPGSLRCPVCLSMEGCLEGTTEEICPKGTTHCYDGLLRLRGGGIFSNLRVQGCMPQPGCNLLNGTQEIGPVGMTENCNRKDFLTCHRGTTIMTHGNLAQEPTDWTTSNTEMCEVGQVCQETLLLLDVGLTSTLVGTKGCSTVGAQNSQKTTIHSAPPGVLVASYTHFCSSDLCNSASSSSVLLNSLPPQAAPVPGDRQCPTCVQPLGTCSSGSPRMTCPRGATHCYDGYIHLSGGGLSTKMSIQGCVAQPSSFLLNHTRQIGIFSAREKRDVQPPASQHEGGGAEGLESLTWGVGLALAPALWWGVVCPSC
SwissProt::Q96SD1 342 692 0.568937606837606 Protein artemis; DNA cross-link repair 1C protein; Protein A-SCID; SNM1 homolog C; hSNM1C; SNM1-like protein; EC 3.1.-.- 692 0 17 351 0 Homo sapiens (Human) SwissProt::Q96SD1 1 SwissProt IPVGTTMDKVVEILKPLCRSSQSTEPKYKPLGKLKRARTVHRDSEEEDDYLFDDPLPIPLRHKVPYPETFHPEVFSMTAVSEKQPEKLRQTPGCCRAECMQSSRFTNFVDCEESNSESEEEVGIPASLQGDLGSVLHLQKADGDVPQWEVFFKRNDEITDESLENFPSSTVAGGSQSPKLFSDSDGESTHISSQNSSQSTHITEQGSQGWDSQSDTVLLSSQERNSGDITSLDKADYRPTIKENIPASLMEQNVICPKDTYSDLKSRDKDVTIVPSTGEPTTLSSETHIPEEKSLLNLSTNADSQSSSDFEVPSTPEAELPKREHLQYLYEKLATGESIAVKKRKCSLLDT
VIMSS10078847 133 595 0.156909071274298 Prolycopene isomerase, chloroplastic; CrtISO; Carotenoid and chloroplast regulation protein 2; Carotenoid isomerase; EC 5.2.1.13 595 0 17 463 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M9Y8 1 SwissProt MFGFSDKGNLNLITQALKAVGRKMEVIPDPTTVHFHLPNNLSVRIHREYDDFIAELTSKFPHEKEGILGFYGDCWKIFNSLNSLELKSLEEPIYLFGQFFQKPLECLTLAYYLPQNAGAIARKYIKDPQLLSFIDAECFIVSTVNALQTPMINASMVLCDRHYGGINYPVGGVGGIAKSLAEGLVDQGSEIQYKANVKSIILDHGKAVGVRLADGREFFAKTIISNATRWDTFGKLLKGEKLPKEEENFQKVYVKAPSFLSIHMGVKAEVLPPDTDCHHFVLEDDWKNLEEPYGSIFLSIPTILDSSLAPDGRHILHIFTTSSIEDWEGLPPKEYEAKKEDVAARIIQRLEKKLFPGLSSSITFKEVGTPRTHRRFLARDKGTYGPMPRGTPKGLLGMPFNTTAIDGLYCVGDSCFPGQGVIAVAFSGVMCAHRVAADIGLEKKSRVLDVGLLGLLGWLRTLA
VIMSS10079519 113 262 0.131046666666667 PF01357.21:Pollen_allerg_1:56:134,PF03330.18:DPBB_1:2:45 expansin A7 262 123 17 150 0 Arabidopsis thaliana NP_172717.1 1 RefSeq LCPPNWYQDSNAGGWCNPPRTHFDMAKPAFMKLAYWRAGIIPVAYRRVPCQRSGGMRFQFQGNSYWLLIFVMNVGGAGDIKSMAVKGSRTNWISMSHNWGASYQAFSSLYGQSLSFRVTSYTTGETIYAWNVAPANWSGGKTYKSTANFR 1
VIMSS10080088 1 170 0.442276470588236 Nuclear transcription factor Y subunit A-8; AtNF-YA-8 328 0 17 170 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LNP6 1 SwissProt MDKKVSFTSSVAHSTPPYLSTSISWGLPTKSNGVTESLSLKVVDARPERLINTKNISFQDQDSSSTLSSAQSSNDVTSSGDDNPSRQISFLAHSDVCKGFEETQRKRFAIKSGSSTAGIADIHSSPSKANFSFHYADPHFGGLMPAAYLPQATIWNPQMTRVPLPFDLIE
VIMSS10080266 77 185 0.392084403669725 Integrase-type DNA-binding superfamily protein 185 0 17 109 0 Arabidopsis thaliana NP_173355.3 1 RefSeq GRNLSRSEIREAAARFANSAEDDSSGGAGYEIRQESASTSMDVDSEFLSMLPTVGSGNFASEFGLFPGFDDFSDEYSGDRFREQLSPTQDYYQLGEETYADGSMFLWNF
VIMSS10081117 1 467 0.184522698072805 PF00646.33:F-box:117:158 Galactose oxidase/kelch repeat superfamily protein 467 42 17 467 0 Arabidopsis thaliana NP_564278.1 1 RefSeq MEEELAMLRQLIGQLQELLHNGSPPPPSSSSSLSSSSPSFLVLHHPQYQNGWCLPCIEDTSADDCCDIVMAGGKRPGIFKMLETVKPPVKRTRKERTQGKSCTEVDEISGNMDQEIWQEFPQDLFEDVVSRLPMATFFQFRAVCRKWNALIDSDSFSRCFTELPQTIPWFYTITHENVNSGQVYDPSLKKWHHPIIPALPKKSIVLPMASAGGLVCFLDIGHRNFYVSNPLTKSFRELPARSFKVWSRVAVGMTLNGNSTSHGYKVLWVGCEGEYEVYDSLSNVWTKRGTIPSNIKLPVLLNFKSQPVAIHSTLYFMLTDPEGILSYDMVSGKWKQFIIPGPPDLSDHTLAACGERLMLVGLLTKNAATCVCIWELQKMTLLWKEVDRMPNIWCLEFYGKHIRMNCLGNKGCLILLSLRSRQMNRLITYNAVTREWTKVPGCTVPRGRKRLWIACGTAFHPSPTARA
VIMSS10081389 1 364 0.173120054945055 PF00657.22:Lipase_GDSL:33:342 GDSL esterase/lipase At1g29660; Apoplastic EDS1-dependent protein 3; Extracellular lipase At1g29660; EC 3.1.1.- 364 310 17 364 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C7N5 1 SwissProt MESYLRKWCLVSVWVLLLGLGFKVKAEPQVPCYFIFGDSLVDNGNNNRLRSIARADYFPYGIDFGGPTGRFSNGRTTVDVLTELLGFDNYIPAYSTVSGQEILQGVNYASAAAGIREETGAQLGQRITFSGQVENYKNTVAQVVEILGDEYTAADYLKRCIYSVGMGSNDYLNNYFMPQFYSTSRQYTPEQYADDLISRYRDQLNALYNYGARKFALVGIGAIGCSPNALAQGSQDGTTCVERINSANRIFNNRLISMVQQLNNAHSDASFTYINAYGAFQDIIANPSAYGFTNTNTACCGIGRNGGQLTCLPGEPPCLNRDEYVFWDAFHPSAAANTAIAKRSYNAQRSSDVYPIDISQLAQL 1
VIMSS10081454 110 324 0.609771162790698 Transcription factor TCP24 324 0 17 215 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C758 1 SwissProt LLNTNFDHLDQNQNQTKSACSSGTSESSLLSLSRTEIRGKARERARERTAKDRDKDLQNAHSSFTQLLTGGFDQQPSNRNWTGGSDCFNPVQLQIPNSSSQEPMNHPFSFVPDYNFGISSSSSAINGGYSSRGTLQSNSQSLFLNNNNNITQRSSISSSSSSSSPMDSQSISFFMATPPPLDHHNHQLPETFDGRLYLYYGEGNRSSDDKAKERR
VIMSS10081923 146 557 0.425351941747573 NAC domain-containing protein 17; ANAC017; Protein NTM1-like 7; Protein REGULATORS OF AOX1A 2 557 0 17 389 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XIC5 1 SwissProt DELGRCKNPQEYYALYKLFKKSGAGPKNGEQYGAPFQEEEWVDDDNEDVNAIAVAVPEQPVVRYEDARRVDERRLFNPVILQLEDIDELLNGIPNAPGVPQRCIPQVNSEEELQSTLVNNSAREFLPNGQQYNRPSSFDSLETAEVTSAPLVFEKEDFIEMDDLLLIPEFGASSTEKAAQFSNHGEFDDFNEFDQLFHDVSMSLDMEPIDQGTSANLSSLSDSANYTSDQKQQLLYQQFQDQTPENQLNNIMDPSTTLNQITSDIWFEDDQAILFDQQQSFSGAFASPSSGVMPDSTNPTMSVNAQGHEIQNGGGTTSQFSSALWALMDSIPSTPASACEGPLNRTFVRMSSFSRMRFNGKANGTPVSTTIAKKGIRNRGFLLLSIVGALCAIFWVLVATVRVSGRSLLLKD
VIMSS10082827 1 251 0.531327888446215 PF00046.29:Homeodomain:89:148 WUSCHEL related homeobox 4 251 60 17 251 0 Arabidopsis thaliana NP_175145.2 1 RefSeq MKVHEFSNGFSSSWDQHDSTSSLSLSCKRLRPLAPKLSGSPPSPPSSSSGVTSATFDLKNFIRPDQTGPTKFEHKRDPPHQLETHPGGTRWNPTQEQIGILEMLYKGGMRTPNAQQIEHITLQLGKYGKIEGKNVFYWFQNHKARERQKQKRNNLISLSCQSSFTTTGVFNPSVTMKTRTSSSLDIMREPMVEKEELVEENEYKRTCRSWGFENLEIENRRNKNSSTMATTFNKIIDNVTLELFPLHPEGR
VIMSS10084792 1 1482 0.132329487179487 ILITYHIA 2610 0 17 1482 0 Arabidopsis thaliana NP_176659.6 1 RefSeq MASPLESLLSISGSVSTSSTLIRLRIFRHDIPEILQNSDMTSDIAPVIVDMIFQTLAIYDDRASRKAVDDLIVKGLGNVTFMKTFAAMLVQVMEKQLKFCFDTVCYRLLIWSCLLLEKSQFATVSKNAFVRVASTQASLLRIIMESSFRMRRACKRFMFHLFSQSQAIYSLYMDEVKGSRIPYKDSPELLGLLLEFSCSSPALFEQSKAIFVDIYVKDVLNSREKQKPNLSNCFKPLLQRLSHEEFQTVILPAAVKMLKRNPEIVLESVGFLLANVNIDLSKYALELLPVILPQARHTDEDRRLGALSMVMCLSEKSSNPDTIEAMFASVKAIIGGSEGRLQSPHQRIGMLNAVQELASAPEGKYIGSLSRTICSFLIACYKDEGNEDVKLSILSAVASWASRSSVAIQPNLVSFIAAGLKEKEALRRGHLRCVRIICRNPDTISQISDLLSPLIQLVKTGFTKAVQRLDGIYALLIVSKIAACDIKAEDTMVKEKLWTLISQNEPSLVQITLASKLSSDDCVVCVDLLEVLLVEHSSRVLEAFSLKSLSQLLLFLLCHPSWNVRKTAYNSVTKIFLATSQLATTLLDEFSDFLSITGDQIVSSRTSDADNPADHQAPFVPSVEVLVKALIVISSAAVAGPPSSWIVRAIFCSHHPSIVGTGKRDAVWKRLQKCLKTCGFDVATFLSTNGESVCKSLLGPMGLTSAKTPEQQAAVYSLSTMMSLAPEDTFTVFKMHLQDLPDRLSHDMLSETDIKIFHTPEGMLLSEQGVYVAQTIGAKYTKQEPSSNHSLKKGLASRETANSGRRDTAKLTKKADKGKTAKEEARELMLKEEASTRENVHRIQKSLSLVLHALGEMGLANPVFCHSQLPFLATFLDPLLRSPIVSAAAFENLVKLARCTVQPLCNWALEISTALRLIAIDEVDTSFDFRPSVDKAGKTYEGLFERIVNGLSISCKSGPLPVDTFTFIFPILERILLSSKRTKLHDDVLQILYMHLDPMLPLPRLRMISVLYHVLGVVPAYQASVGPALNELCLGLQADDVANALYGVYSKDVHVRLACLNAVKCIPAVSKCSLPQNVKIATNIWIALHDPEKSVAESADDLWARYGHDLGTDYSGIFKALSHINLNVRLAAAEALADALHESPSSIQLSLSTLFSLYIRDATSGEDVFDAGWIGRQGIALALQSAADVLTTKDLPAVMTFLISRALADPNTDVRGKMINAGIMIIDKHGKENVSLLFPIFENYLNKEASDEEEYDLVREGVVIFTGALAKHLARDDPKVHNVVEKLLEVLNTPSESVQRAVSTCLSPLVLSKQEEAPALFLRLLDKLMKSDKYGERRGAAFGLAGVVMGFGISSLKKYGLIVTLQEALIDRNSAKRREGALLAFECLCEKLGKLFEPYVIKMLPLLLVSFSDQVGAVREAAECAARAMMSQLSAYGVKLVLPSLLKGLEDKAWRTKQSSVQLLGAMAFCAPQQLSQCLPRV
VIMSS10085077 146 351 0.511921359223301 TCP family transcription factor 351 0 17 206 0 Arabidopsis thaliana NP_001154454.1 1 RefSeq VQAKNLNNDDEDFGNIGGDVEQEEEKEEDDNGDKSFVYGLSPGYGEEEVVCEATKAGIRKKKSELRNISSKGLGAKARGKAKERTKEMMAYDNPETASDITQSEIMDPFKRSIVFNEGEDMTHLFYKEPIEEFDNQESILTNMTLPTKMGQSYNQNNGILMLVDQSSSSNYNTFLPQNLDYSYDQNPFHDQTLYVVTDKNFPKGFL
VIMSS10088636 1 335 0.470605671641791 PF00010.26:HLH:195:242 basic helix-loop-helix (bHLH) family protein (RefSeq) 335 48 17 335 0 Arabidopsis thaliana VIMSS10088636 1 MicrobesOnline MLEGLVSQESLSLNSMDMSVLERLKWVQQQQQQLQQVVSHSSNNSPELLQILQFHGSNNDELLESSFSQFQMLGSGFGPNYNMGFGPPHESISRTSSCHMEPVDTMEVLLKTGEETRAVALKNKRKPEVKTREEQKTEKKIKVEAETESSMKGKSNMGNTEASSDTSKETSKGASENQKLDYIHVRARRGQATDRHSLAERARREKISKKMKYLQDIVPGCNKVTGKAGMLDEIINYVQCLQRQVEFLSMKLAVLNPELELAVEDVSVKQAYFTNVVASKQSIMVDVPLFPLDQQGSLDLSAINPNQTTSIEAPSGSWETQSQSLYNTSSLGFHY
VIMSS10088844 201 1439 0.349506133979015 PF12348.8:CLASP_N:84:300,PF12348.8:CLASP_N:594:791 CLIP-associated protein; AtCLASP 1439 415 17 1239 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWY6 1 SwissProt HHLPSYMVKDINARLERIEPQLRSTDGRSAHHVVNEVKASSVNPKKSSPRAKAPTRENSLFGGDADITEKPIEPIKVYSEKELIREFEKIAATLVPEKDWSMRISAMRRVEGLVAGGATDYSCFRGLLKQLVGPLSTQLADRRSTIVKQACHLLCLLSKELLGDFEACAETFIPVLFKLVVITVLVIAESADNCIKTMLRNCKAARVLPRIAESAKHDRNAILRARCCEYALLTLEHWPDAPEIQRSVDLYEDLIRCCVADAMSEVRATARMCYRMFAKTWPDRSRRLFSSFDPVIQRLINEEDGGIHRRHASPSVRERHSQPSFSQTSAPSNLPGYGTSAIVAMDRSSNLSSGGSLSSGLLLSQSKDVNKGSERSLESVLQSSKQKVSAIESMLRGLHISDRQNPAALRSSSLDLGVDPPSSRDPPFHAVAPASNSHTSSAAAESTHSINKGSNRNGGLGLSDIITQIQASKDSGRSSYRGNLLSESHPTFSSLTAKRGSERNERSSLEESNDAREVRRFMAGHFDRQQMDTAYRDLTFRESNASHVPNFQRPLLRKNVGGRMSAGRRRSFDDSQLQIGDISNFVDGPASLNEALNDGLNSSSDWCARVAAFNFLQTLLQQGPKGAQEVIQSFEKVMKLFLRHLDDPHHKVAQAALSTLADLIPSCRKPFESYMERVLPHVFSRLIDPKEVVRQPCSSTLEIVSKTYSVDSLLPALLRSLDEQRSPKAKLAVIEFAINSFNRYAGNPEISGNSGILKLWLAKLTPLTRDKNTKLKEASITCIISVYNHYDSAGLLNYILSLSVEEQNSLRRALKQYTPRIEVDLLNYMQSKKEKQRIKSYDPSDAIGTSSEEGYAGASKKNIFLGRYSGGSIDSDSGRKWSSSQEPTMITGGVGQNVSSGTQEKLYQNVRTGISSASDLLNPKDSDYTFASAGQNSISRTSPNGSSENIEILDDLSPPHLEKNGLNLTSVDSLEGRHENEVSRELDLGHYMLTSIKVNTTPESGPSIPQILHMINGSDGSPSSSKKSGLQQLIEASVANEESVWTKYFNQILTVVLEVLDDEDFSIKELALSLISEMLKSQKDAMEDSVEIVIEKLLHVSKDTVPKVSTEAEQCLTTVLSQYDPFRCLSVIVPLLVTEDEKTLVACINCLTKLVGRLSQEELMDQLSSFLPAVFEAFGSQSADVRKTVVFCLVDIYIMLGKAFLPYLEGLNSTQVRLVTIYANRISQARNGAPIDADT
VIMSS10089543 1 149 0.236812080536913 PF01277.17:Oleosin:26:135 Oleosin family protein 149 110 17 103 2 Arabidopsis thaliana NP_180160.2 1 RefSeq MADHQQHQQQQQPIMRSLHESSPSTRQIVRFVTAATIGLSLLVLSGLTLTGTVIGLIVATPLMVLFSPVLVPAVITIGLLTMGFLFSGGCGVAAATALTWIYKYVTGKHPMGADKVDYARMRIAEKAKELGHYTHSQPQQTHQTTTTTH
VIMSS10091218 155 341 0.456664171122994 Dehydration-responsive element-binding protein 2C; Protein DREB2C 341 0 17 187 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LFR2 1 SwissProt EVCAREDTNASSGFGQVKLEDCSDEYVLLDSSQCIKEELKGKEEVREEHNLAVGFGIGQDSKRETLDAWLMGNGNEQEPLEFGVDETFDINELLGILNDNNVSGQETMQYQVDRHPNFSYQTQFPNSNLLGSLNPMEIAQPGVDYGCPYVQPSDMENYGIDLDHRRFNDLDIQDLDFGGDKDVHGST
VIMSS10093233 1 110 0.211558181818182 PF07107.11:WI12:1:110 Senescence associated gene 20; Protein WOUND-INDUCED 12; AtWI-12 110 110 17 110 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94AK6 1 SwissProt MRVLTGGVSPSSSSFEFVPLSVVSFGSTVIAEGCDAATSISWIHAWTVANGIITQVREYSNTSLTVTRIGNVVAGRRSAEIAPPSHCSSVWESQFSGRAGKPVPGLVLAI
VIMSS10093905 1 150 0.728906666666666 PF02309.16:AUX_IAA:38:132 Auxin-responsive protein IAA26; Indoleacetic acid-induced protein 26; Phytochrome-associated protein 1 269 95 17 150 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LAL2 1 SwissProt MEGCPRNREIGPKLLDLIPQGRKWYQEDKNNTDQEKKLELRLGPPGGDEEDHSAIKKKNTEIRNIKKETEDKSFHCFNGNHFSPSNKTTSVPHISQKRTAPGPVVGWPPVRSFRKNLASTSSSKLGNESSHGGQINKSDDGEKQVETKKE
VIMSS10094157 1 393 0.246891094147583 PGSIP1 (PLANT GLYCOGENIN-LIKE STARCH INITIATION PROTEIN 1); transferase, transferring glycosyl groups (RefSeq) 655 0 17 393 0 Arabidopsis thaliana VIMSS10094157 1 MicrobesOnline MANSPAAPAPTTTTGGDSRRRLSASIEAICKRRFRRNSKGGGRSDMVKPFNIINFSTQDKNSSCCCFTKFQIVKLLLFILLSATLFTIIYSPEAYHHSLSHSSSRRQDPRYFSDLDINWDDVTKTLENIEEGRTIGVLNFDSNEIQRWREVSKSKDNGDEEKVVVLNLDYADKNVTWDALYPEWIDEEQETEVPVCPNIPNIKVPTRRLDLIVVKLPCRKEGNWSRDVGRLHLQLAAATVAASAKGFFRGHVFFVSRCFPIPNLFRCKDLVSRRGDVWLYKPNLDTLRDKLQLPVGSCELSLPLGIQDRPSLGNPKREAYATILHSAHVYVCGAIAAAQSIRQSGSTRDLVILVDDNISGYHRSGLEAAGWQIRTIQRIRNPKAEKDAYNEWN
VIMSS10094983 1 310 0.0655654838709677 PF03798.16:TRAM_LAG1_CLN8:77:282 ceramide synthase 1 310 206 17 208 5 Arabidopsis thaliana metacyc::MONOMER-20773 1 metacyc MGLFESVKSIDWEQESFPTYQDLGFLPLFAVFFPTIRFLLDRFVFEKLASLVIYGRMSTNKSDNIKDRKKNSPKVRKFKESAWKCIYYLSAELLALSVTYNEPWFSNTLYFWIGPGDQIWPDQPMKMKLKFLYMFAAGFYTYSIFALVFWETRRSDFGVSMGHHITTLVLIVLSYICRLTRAGSVILALHDASDVFLEIGKMSKYCGAESLASISFVLFALSWVVLRLIYYPFWILWSTSYQIIMTVDKEKHPNGPILYYMFNTLLYFLLVLHIFWWVLIYRMLVKQVQDRGKLSEDVRSDSESDDEHED
VIMSS10096818 111 256 0.425097260273972 Transcription factor MYB48; Myb-related protein 48; AtMYB48 256 0 17 146 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LX82 1 SwissProt AQEKKRPVSPTSSFSNCSSSSVTTTTTNTQDTSCHSRKSSGEVSFYDTGGSRSTREMNQENEDVYSLDDIWREIDHSAVNIIKPVKDIYSEQSHCLSYPNLASPSWESSLDSIWNMDADKSKISSYFANDQFPFCFQHSRSPWSSG
VIMSS10097812 1 403 0.739205955334987 PF12498.8:bZIP_C:298:382,PF00170.21:bZIP_1:230:283,PF07716.15:bZIP_2:229:278,PF03131.17:bZIP_Maf:228:289 Basic leucine zipper 25; AtbZIP25; bZIP protein 25; Basic leucine zipper OPAQUE 2 homolog 4; Basic leucine zipper O2 homolog 4 403 147 17 403 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M1G6 1 SwissProt MHIVFSVDDLTESFWPVPAPAPSPGSSSTPSPTQNVADGMTRSQSEWAFHRLINELSGSDSSPTTNTIERSPPPVQSLSRLEETVDETEDVVEIQKPQNHRRLPVDDQGKNRNRAPSSDPVDSSAPVVVDPNQYHAILKSKLELACAAVARRVGTVKPEDSSASASNQKQAQGSIVAQTSPGASSVRFSPTTSTQKKPDVPARQTSISSRDDSDDDDLDGDADNGDPTDVKRARRMLSNRESARRSRRRKQEQMNEFDTQVGQLRAEHSTLINRLSDMNHKYDAAAVDNRILRADIETLRTKVKMAEETVKRVTGVNPLHWSRPNMGIPFSNTPSASSSIPPNSNHILKPANSSTNTSAGLAQNQRVETANFLPEQVNREGMQNPFAPDSNLYETLPHWNHKH
VIMSS10098433 1 870 0.782370919540228 EIF4G (EUKARYOTIC TRANSLATION INITIATION FACTOR 4G); translation initiation factor (RefSeq) 1723 0 17 870 0 Arabidopsis thaliana VIMSS10098433 1 MicrobesOnline MSYNQSRPDRSETQYRRTGRSTGNQQQQQQHRSSSAAGYGKGAGAPGSAPAPSTYPDNSSLSSNRSFKKPGNAQGGGQPRVNLPPVNHPNNHNNGPNAHSRSQGEPGVGGPTNPTESFNRNTGPIPKAPTSQSTVMSSKINETPNTAKASGDASQAFPLQFGSLGPDLMVPARTTSAPPNMDDQKRAQMQQSSLRTASNVPASVPKKDSSNKGADNQLMRKEGHNPSSEKADIQVPHIAPPSQTQKSPITNIRMPSVQTPYQHTQVPHPVHFGGPNMHMQTPVTATSFQMPMPMALSMGNTPQIPPQVFYQGHPPHPMHHQGMMHQAQGHGFATPMGAQIHPQLGHVGVGLSPQYPQQQGGKYGGARKTTPVKITHPDTHEELRLDRRGDPYSEGDSTALKPHSNPPPRSQPVSSFAPRPVNLVQPSYNSNTMIYPPVSVPLNNGPMSSAQAPRYHYPVIDGSQRVQLINQPAHTAPQLIRPAAPAHLSSDSTSSVKARNAQNVMSSALPVNAKVSVKPAGVSEKLGSPKDRSHGEVNISLSQKNVEACSLSSSQQPKPSFVSGVPNSSAPPAKSPVETVPLAKSSVETVPPVKSSVETAPVTTTEIRRAEMVSESISVEDQTCKVEPPHNLTENRGQTMPDSLVSDPETATVAAKENLSLPATNGFRKQLLKVSTTSDAPTSDSVDTSIDKSTEGSSHASSEISGSSPQEKDLKCDNRTASDKLDERSVISDAKHETLSGVLEKAQNEVDGATDVCPVSEKLAVTDDTSSDLPHSTHVLSSTVPLGHSETHKSAVETNTRRNTSTKGKKKIKEILQKADAAGTTSDLYMAYKGPEEKKESSNVVHDVSNQNLLPAIPQAVEAIVDTEPV
VIMSS10098666 1 127 0.365305511811024 PF02309.16:AUX_IAA:71:120 Auxin-responsive protein IAA30; Indoleacetic acid-induced protein 30 172 50 17 127 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M1R4 1 SwissProt MGRGRSSSSSSIESSCKSNPFGVSSSNTRNLSTDLRLGLSFGSSSGQYYNGGDNHEYDGVGAAEEMMIMEEEEQNECNSVGSFYVKVNMEGVPIGRKIDLLSLNGYHDLITTLDYMFNASILWAEEE
VIMSS10099520 1 1278 0.345982159624413 Mediator of RNA polymerase II transcription subunit 16; Protein SENSITIVE TO FREEZING 6 1278 0 17 1278 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4JGZ1 1 SwissProt MNQQNPEEEVSLVNNSGGGGIIEAPAIVEEKEEEGLQQKQEETIESTDPILVVVEEKLLEKSVDGEKEDDNSSSSNMEIDPVSPATVFCVKLKQPNSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSKANTPFWIPIHILIPERPTECAVFNVVADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPTQGSANLVHDATSWQCEHEWRQDIAVVTKWLTGASPYRWLSSKPSSGTNAKSTFEEKFLSQSSESSARWPNFLCVCSVFSSGSVQIHWSQWPSNQGSTAPKWFSTKKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNGLQATPKISTGSRVPPSLSSSSWTGFAPLAAYLFSWQEYLISEIKQGKKPSDQDSSDAISLSCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVQPVVLHQIFGNPTSNFGGQVPTQTVWVSRVDMSIPPTKDFKNHQVAAAGPSVDAPKEPDSGDEKANKVVFDPFDLPSDIRTLARIVYSAHGGEIAIAFLRGGVHIFSGPTFSPVENYQINVGSAIAAPAFSPTSCCSASVWHDAAKDCAMLKIIRVLPPALPRNQSKVDQSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVMDADFHSLPSTQHRQQYGPNLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVFEPWRVDGETITGINPEAMAVDPALVSSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAASAGTGSNRNNVTSPTQNASSPATPQVFPDKSLYLAVGQPTTTTTTTATTNSSGSSHVQAWMQGAIAKISSSNDGSNSTASPISGSPTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFLQRSSRFPQRNADVSSQKLQTGATSKLEEVNSAKPTPALNRIEDAQGFRGAQLGTGVKGIDENSARTTKMGSGNAGQGYTYEEVRVLFHILMDLCKRTSGLAHPLPGSQVGSGNIQVRLHYIDGNYTVLPEVVEAALGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNLFGGPGSEPEDMILTDDVSKLSNSLDLPDTNFSGICDGYNRVHSLWPRKRRMSERDAAFGSNTSVGLGAYLGIMGSRRDVVTATWKTGLEGVWYKCIRCLRQTSAFASPGATKQPNPNERETWWTSRWVYCCPMCGGTWVRVV
VIMSS10100178 1 496 0.0672298387096775 PF00916.20:Sulfate_transp:85:465 Sulfate transporter 1.1; AST101; High-affinity sulfate transporter 1; Hst1At 649 381 17 269 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SAY1 1 SwissProt MSGTINPPDGGGSGARNPPVVRQRVLAPPKAGLLKDIKSVVEETFFHDAPLRDFKGQTPAKKALLGIQAVFPIIGWAREYTLRKFRGDLIAGLTIASLCIPQDIGYAKLANVDPKYGLYSSFVPPLIYAGMGSSRDIAIGPVAVVSLLVGTLCQAVIDPKKNPEDYLRLVFTATFFAGIFQAGLGFLRLGFLIDFLSHAAVVGFMGGAAITIALQQLKGFLGIKTFTKKTDIVSVMHSVFKNAEHGWNWQTIVIGASFLTFLLVTKFIGKRNRKLFWVPAIAPLISVIISTFFVFIFRADKQGVQIVKHIDQGINPISVHKIFFSGKYFTEGIRIGGIAGMVALTEAVAIARTFAAMKDYQIDGNKEMIALGTMNVVGSMTSCYIATGSFSRSAVNFMAGVETAVSNIVMAIVVALTLEFITPLFKYTPNAILAAIIISAVLGLIDIDAAILIWRIDKLDFLACMGAFLGVIFISVEIGLLIAVVISFAKILLQVT
VIMSS10102074 1 775 0.0798957419354839 PF02705.16:K_trans:16:589 Potassium transporter 3; AtKT3; AtKUP4; AtPOT3; Tiny root hair 1 protein 775 574 17 467 14 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FE38 1 SwissProt MADRRNRCNQILLLAYQSFGLVFGDLSISPLYVYKCTFYGGLRHHQTEDTIFGAFSLIFWTITLLSLIKYMVFVLSADDNGEGGIFALYALLCRHARFSLLPNQQAADEEISTYYGPGDASRNLPSSAFKSLIERNKRSKTALLVLVLVGTSMVITIGVLTPAISVSSSIDGLVAKTSLKHSTVVMIACALLVGLFVLQHRGTNKVAFLFAPIMILWLLIIATAGVYNIVTWNPSVYKALSPYYIYVFFRDTGIDGWLSLGGILLCITGTEAIFAELGQFTATSIRFAFCCVVYPCLVLQYMGQAAFLSKNFSALPSSFYSSIPDPFFWPVLMMAMLAAMVASQAVIFATFSIVKQCYALGCFPRVKIVHKPRWVLGQIYIPEINWVVMILTLAVTICFRDTRHIAFAFGLACMTLAFVTTWLMPLIINFVWNRNIVFSVLFILFFGTIELIFVASALVKIPKGGWITLLLSLFFTFITYVWHYGSRKKYLCDQHNKVPMKSILSLGPSLGIIKVPGMGLIYTELASGVPATFKHFLTNLPAFYQVVVFVCCKTVPIPYVPQKERYLIGRIGPKTYRMYRCIIRAGYKDVNKDGDDFEDELVMSIAEFIQLESEGYGGSNTDRSIDGRLAVVKASNKFGTRLSRSISEANIAGSSRSQTTVTNSKSPALLKLRAEYEQELPRLSMRRMFQFRPMDTKFRQPQVKEELFDLVNAKDAEVAYIVGHGHVKAKRNSVFVKQLVVNVAYSFLRKNCRSPGVMLNIPHICLIKVGMNYYL
VIMSS10103357 1 107 0.221314018691589 PF02519.14:Auxin_inducible:17:106 Auxin-responsive protein SAUR50; Protein SMALL AUXIN UP RNA 50 107 90 17 107 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65695 1 SwissProt MAIMKKTSKLTQTAMLKQILKRCSSLGKKNGGGYDEDCLPLDVPKGHFPVYVGENRSRYIVPISFLTHPEFQSLLQRAEEEFGFDHDMGLTIPCDELVFQTLTSMIR
VIMSS10103665 1 240 0.3084175 PF03195.14:LOB:2:101 LOB domain-containing protein 39; ASYMMETRIC LEAVES 2-like protein 41; AS2-like protein 41 240 100 17 240 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZE8 1 SwissProt MSCNGCRVLRKGCSETCILRPCLQWIESAESQGHATVFVAKFFGRAGLMSFISSVPELQRPALFQSLLFEACGRTVNPVNGAVGMLWTRNWHVCQAAVETVLRGGTLRPISDLLESPSLMISCDESSEIWHQDVSRNQTHHCRFSTSRSTTEMKDSLVNRKRLKSDSDLDLQVNHGLTLTAPAVPVPFLPPSSFCKVVKGDRPGSPSEESVTTSCWENGMRGDNKQKRNKGEKKLLNLFV
VIMSS10104322 1 240 0.395518333333333 PF00010.26:HLH:66:115 Transcription factor bHLH101; Basic helix-loop-helix protein 101; AtbHLH101; bHLH 101; Transcription factor EN 10; bHLH transcription factor bHLH101 240 50 17 240 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FYE6 1 SwissProt MEYPWLQSQVHSFSPTLHFPSFLHPLDDSKSHNINLHHMSLSHSNNTNSNNNNYQEEDRGAVVLEKKLNHNASERDRRRKLNALYSSLRALLPLSDQKRKLSIPMTVARVVKYIPEQKQELQRLSRRKEELLKRISRKTHQEQLRNKAMMDSIDSSSSQRIAANWLTDTEIAVQIATSKWTSVSDMLLRLEENGLNVISVSSSVSSTARIFYTLHLQMRGDCKVRLEELINGMLLGLRQS
VIMSS10106108 1 136 0.539105882352941 PF06200.14:tify:55:85 Protein TIFY 3B; Jasmonate ZIM domain-containing protein 12 187 31 17 136 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5K8 1 SwissProt MTKVKDEPRASVEGGCGVADGDGGAAEIGGTGSVEKSINEVRSTEIQTAEPTVPPNQLTIFFGGSVTVFDGLPSEKVQEILRIAAKAMETKNSTSISPVSSPALNRAPSFSSTSNVASPAAQPFPIQPISFCRSTA
VIMSS10110327 1 355 0.31138676056338 PF06203.14:CCT:285:327,PF00643.24:zf-B_box:20:57,PF00643.24:zf-B_box:57:103 zinc finger (B-box type) family protein (RefSeq) 355 127 17 355 0 Arabidopsis thaliana VIMSS10110327 1 MicrobesOnline MGFGLESIKSISGGWGAAARSCDACKSVTAAVFCRVDSAFLCIACDTRIHSFTRHERVWVCEVCEQAPAAVTCKADAAALCVSCDADIHSANPLASRHERVPVETFFDSAETAVAKISASSTFGILGSSTTVDLTAVPVMADDLGLCPWLLPNDFNEPAKIEIGTENMKGSSDFMFSDFDRLIDFEFPNSFNHHQNNAGGDSLVPVQTKTEPLPLTNNDHCFDIDFCRSKLSAFTYPSQSVSHSVSTSSIEYGVVPDGNTNNSVNRSTITSSTTGGDHQASSMDREARVLRYREKRKNRKFEKTIRYASRKAYAESRPRIKGRFAKRTETENDDIFLSHVYASAAHAQYGVVPTF
VIMSS10110536 1 353 0.0861906515580736 PF02535.22:Zip:62:349 Zinc transporter 2; ZRT/IRT-like protein 2 353 288 17 158 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LTH9 1 SwissProt MALSSKTLKSTLFFLSIIFLCFSLILAHGGIDDGDEEEETNQPPPATGTTTVVNLRSKSLVLVKIYCIIILFFSTFLAGVSPYFYRWNESFLLLGTQFSGGIFLATALIHFLSDANETFRGLKHKEYPYAFMLAAAGYCLTMLADVAVAFVAAGSNNNHVGASVGESREDDDVAVKEEGRREIKSGVDVSQALIRTSGFGDTALLIFALCFHSIFEGIAIGLSDTKSDAWRNLWTISLHKVFAAVAMGIALLKLIPKRPFFLTVVYSFAFGISSPIGVGIGIGINATSQGAGGDWTYAISMGLACGVFVYVAVNHLISKGYKPREECYFDKPIYKFIAVFLGVALLSVVMIWD
VIMSS10110689 1 456 0.172235745614035 PF04833.15:COBRA:60:223 Protein COBRA; Cell expansion protein 456 164 17 438 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94KT8 1 SwissProt MESFFSRSTSIVSKLSFLALWIVFLISSSSFTSTEAYDALDPEGNITMKWDVMSWTPDGYVAVVTMFNFQKYRHIQSPGWTLGWKWAKKEVIWSMVGAQTTEQGDCSKYKGNIPHCCKKDPTVVDLLPGTPYNQQIANCCKGGVMNSWVQDPATAASSFQISVGAAGTTNKTVRVPRNFTLMGPGPGYTCGPAKIVRPTKFVTTDTRRTTQAMMTWNITCTYSQFLAQRTPTCCVSLSSFYNETIVGCPTCACGCQNNRTESGACLDPDTPHLASVVSPPTKKGTVLPPLVQCTRHMCPIRVHWHVKQNYKEYWRVKITITNFNYRLNYTQWNLVAQHPNLDNITQIFSFNYKSLTPYAGLNDTAMLWGVKFYNDFLSEAGPLGNVQSEILFRKDQSTFTFEKGWAFPRRIYFNGDNCVMPPPDSYPFLPNGGSRSQFSFVAAVLLPLLVFFFFSA
VIMSS10110847 1 203 0.185867980295567 PF04043.15:PMEI:43:194 Plant invertase/pectin methylesterase inhibitor superfamily protein 203 152 17 203 0 Arabidopsis thaliana NP_201042.2 1 RefSeq MGESFRLFNHHHFLTTFLIIIAMLKLVHTTTTTTTTTTTNTEFVKSSCTFTTYPRLCFSSLSTHASLIQTSPKLMAHAALNITLASAKVTSAMMVRLSNSRLKPKEVSAMRDCVEELGDTLEELRKSIGEMCQLSGSNYEVYISDIQTWVSAALTDVNTCTDGFEGEDMDGKVKVLVRGRILVIAHLTSNALALINHFASIHG
VIMSS10111098 242 487 0.425230487804878 Chromatin assembly factor 1 subunit FAS2; CAF-1 subunit FAS2; CAF-1 p60 homolog; Protein FASCIATA 2 487 0 17 246 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SXY1 1 SwissProt FLLIPAGSFKVSPTSEAVNATYVFSRKDLSRPALQLPGASKPVVVVRFCPVAFKLRGSSSEEGFFKLPYRLVFAIATLNSVYIYDTECVAPIAVLAGLHYAAITDITWSPNASYLALSSQDGYCTLVEFEDKELGEAVSISVGKKPVDGEEKKHDLEKGDELMTETTPDESKKQAELEQNEESKQPLPSKITTDGKEKEHIMQKTDDEVMTETRHEEENQPLQSKVNTPVSNKPARKRITPMAIDP
VIMSS10294 1 358 0.128396648044693 PF02915.17:Rubrerythrin:89:221 PNIL34 or AT103 phytochrome-regulated gene homologue (NCBI ptt file) 358 133 17 358 0 Synechocystis sp. PCC 6803 VIMSS10294 1 MicrobesOnline MVNTLEKPGFDEIRPGVKTPAKETILTPRFYTTDFDEMAKMDISPNEDELRAILEEFRVDYNRHHFVRNESFNKSWDHIDGEKRQLFVEFLERSCTAEFSGFLLYKELGRRLKNKNPLLAECFNLMSRDEARHAGFLNKAMSDFNLSLDLGFLTKSRKYTFFKPKFIFYATYLSEKIGYWRYITIYRHLEKNPNDCIYPIFEFFENWCQDENRHGDFFDAIMRAQPHTLNDWKAKLWCRFFLLSVFATMYLNDTQRADFYACLGLEARSYDKEVIEKTNETAGRVFPIILDVNNPEFYNRLETCVSNNEQLRAIDASGAPGVIKALRKLPIFASNGWQFIKLYLMKPIAVDQLAGAVR
VIMSS102941 1 238 0.189492016806723 PF00512.25:HisKA:123:182 HAMP domain-containing histidine kinase 351 60 17 192 2 Staphylococcus aureus WP_000244415.1 1 RefSeq MVLSIRSQIIIGVVSSILLTSTILAIAYILMWFNGHMTLTLTLTTIITSCLTLLICSIFINPLIQKIKQFNIKTKQFANGNYASNDKTFNSPKEIYELNQSFNKMASEITQQMNQIKSEQQEKTELIQNLAHDLKTPLASIISYSEGLRDGIITKDHEIKESYDILIKQANRLSTLFDDMTHIITLNTGKTYPPELIQLDQLLVSILQPYEQRIKHENRTLEVNFCSEIDAFYQYRTP
VIMSS10398 1 402 0.215897512437811 PF00672.25:HAMP:219:269,PF00989.25:PAS:284:351,PF08448.10:PAS_4:292:350,PF13188.7:PAS_8:284:340 histidine kinase (EC 2.7.13.3) 663 119 17 356 2 Synechocystis sp. BRENDA::P20169 1 BRENDA MGTSVSNPTAILQTMQGFLRKWWSEFNLQTRLMAAATLVVSLLMSGLTFWAVNTIQEDAQLVDTRFGRDVGLLLAANVAPMIADKNLTEVARFSSRFYENTSNIRYMIYADPSGKIFFGIPYSEETVQNSLTLERRIELPQIDPHNFDQPFVRQHHTPNGDVTDVFIPLQYQGKFLGVLAIGINPNPAAVNSSNLTRDVTIAVFISIWVMVILGAVFNALTITQPIKELLLGVKNIAAGNFKQRITLPFGGELGELIVNFNEMAERLERYEAQNIEELTAEKAKLDTLVSTIADGAMLVDTNLQLLLVNPTARRLFAWENKPIIGENLLENLPPEITAQLTQPLRELAADQGSLLFSPGHGPQEEEQDKTYAPEEFRISLTQPFPRTIRLMLTQVLDQNREN
VIMSS104499 1 351 0.164794301994302 PF12704.7:MacB_PCD:18:190,PF02687.21:FtsX:258:343 ABC transporter permease 351 259 17 259 4 Staphylococcus aureus subsp. aureus USA300_TCH1516 WP_000761395.1 1 RefSeq MKLAIKEIMFYKFRYILITLIILLLSIMVLFISGLAQGLGRENISLFEHFDNDEYVVQKMKEPQIEKSQLSDTQQNQIKKVIHQEPYKMNIQTLKLSNKEQDVITMNDVKQQRIQLKKGDYPKNAHEVAINDKLAADNIRVGDRLHFKNNSTSYRVSGILNDTMYAHSSIVLLNDNGFNALNKVNTAFYPVKNLTQQQRDELNKINDVQVVSEKDLTGNIASYQAEQAPLNMMIVSLFAITAIVLSAFFYVMTIQKISQIGILKAIGIKTRHLLSALVLQILTLTIIGVGIAVIIIVGLSFMMPVTMPFYLTTQNILLMVGIFILVAILGASLSFIKLFKVDPIEAIGGAE
VIMSS113073 1 139 0.113134532374101 PF01047.22:MarR:29:87,PF13463.6:HTH_27:30:94 ATL autolysin transcription regulator (NCBI) 139 66 17 139 0 Staphylococcus aureus subsp. aureus Mu50 VIMSS113073 1 MicrobesOnline MYKQLEKLITLTNNDLNLVNRRFGQRTDITSEQLELLRILFNYDRLSQYDLTMKISREQSIVSRWIKKLVLKGYITSQQSSEDLRCKELILTDQARTLISQINNARCELIEARCQCLSEVELDNLNQLLDKLNQRRISL
VIMSS114378 1 460 0.317482826086957 PF13248.6:zf-ribbon_3:1:25 Membrane-associated protein TcaA 460 25 17 440 1 Staphylococcus aureus (strain Mu3 / ATCC 700698) SwissProt::A7X5X6 1 SwissProt MKSCPKCGQQAQDDVQICTQCGHKFDSRQALYRKSTDEDIQTNNIKMRKMVPWAIGFFILILIIILFFLLRNFNSPEAQTKILVNAIENNDKQKVATLLSTKDNKVDSEEAKVYINYIKDEVGLKQFVSDLKNTVHKLNKSKTSVASYIQTRSGQNILRVSKNGTRYIFFDNMSFTAPTKQPIVKPKEKTKYEFKSGGKKKMVIAEANKVTPIGNFILGTYRIPAMKSTENGDFAGYLKFDFRQSNSETVDVTEDFEEANITVTLKGDTKLNDSSKKVTINDREMAFSSSKTYGPYPQNKDITISASGKAKGKTFTTQTKTIKASDLKYNTEITLNFDSEDIEDYVEKKEKEENSLKNKLIEFFAGYSLANNAAFNQSDFDFVSSYIKKGSSFYDDVKKRVSKGSLMMISSPQIIDAEKHGDKITATVRLINENGKQVDKEYELEQGSQDRLQLIKTSEK
VIMSS123683 1 181 0.142094475138122 HYPOTHETICAL TRANSMEMBRANE PROTEIN (NCBI ptt file) 181 0 17 158 1 Sinorhizobium meliloti 1021 VIMSS123683 1 MicrobesOnline MRSIIFAILVGLVGAALLHIVIILALPQFTGRDAYTRVLGLLEMDSFFPLTAEPGPTGLNNADPFLRTAVCSFSVADAPARFVGRGDVPFWSLAIFDSGSNEVFSMNDQTAVNGDLDLVVATPIQLVELRKSPPEALAQSIMIEMKDQEGYAVLRALAPLDSFEDLVRTFLAESRCEPFQR
VIMSS1243942 1 551 0.270820145190563 PF12097.8:DUF3573:6:403 hypothetical protein (NCBI) 551 398 17 551 0 Francisella tularensis subsp. holarctica VIMSS1243942 1 MicrobesOnline MVRKFKKTCLIVSSLLACSGLAYSEDSPQVVSQGGPLGATSIGDQNLGQPDPNASGASSTTQTTGSNLNDRELLLKLQQQVQQLQGQLQQLKAQGNGGGLQNTSNGSSQFTTYSSKVDGNKNPRTLGGNGESKDLSQALIGGQTSSDIMGNVNASNSIINLASEPLGGVFNQKGGIDVGGAPAITTQGQVTYLGSYSGNNSIPIGQISSNLFASTLLGQREKFDDYSVFFGGFIEADAQAWFGSAVTKAQSAGQLYSNGQNIYLTSANLYFLSNLGHYVTAQFDFDTNESGSFSLGNAFVIFGNLDTTPVFVSVGKYRPSVGSFGGGGPWTSGITANMFRPLRVTNAAINYRGDTSNANFTVFDAKNHATFSVAYFDAVSIPNIAQVGFNLGYMHDIRGANNRFNFIDKRVGEFNIDTAISFESIPFLPGNLNVGAGWATTTTQSTQFNGRSNAFAGAFTVQAAYTFKLFGSGQNINASYGHSYNADNIPMPLSAGGSFFLAASGIKDQILVSTQRSFFDDNVLIGPEYSWQSLYNGQRMNTLTLDLSVYI 1
VIMSS1289710 1 519 0.370449710982658 PF12072.8:DUF3552:6:204,PF01966.22:HD:336:427,PF08668.12:HDOD:334:394,PF00013.29:KH_1:212:268 Ribonuclease Y; RNase Y; Conserved virulence factor A; EC 3.1.-.- 519 350 17 496 1 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2FZ08 1 SwissProt MNLLSLLLILLGIILGVVGGYVVARNLLLQKQSQARQTAEDIVNQAHKEADNIKKEKLLEAKEENQILREQTEAELRERRSELQRQETRLLQKEENLERKSDLLDKKDEILEQKESKIEEKQQQVDAKESSVQTLIMKHEQELERISGLTQEEAINEQLQRVEEELSQDIAVLVKEKEKEAKEKVDKTAKELLATAVQRLAADHTSESTVSVVNLPNDEMKGRIIGREGRNIRTLETLTGIDLIIDDTPEAVILSGFDPIRREIARTALVNLVSDGRIHPGRIEDMVEKARKEVDDIIREAGEQATFEVNAHNMHPDLVKIVGRLNYRTSYGQNVLKHSIEVAHLASMLAAELGEDETLAKRAGLLHDVGKAIDHEVEGSHVEIGVELAKKYGENETVINAIHSHHGDVEPTSIISILVAAADALSAARPGARKETLENYIRRLERLETLSESYDGVEKAFAIQAGREIRVIVSPEEIDDLKSYRLARDIKNQIEDELQYPGHIKVTVVRETRAVEYAK
VIMSS1292208 1 146 0.38728904109589 PF10517.9:DM13:56:145 putative lipoprotein (NCBI) 146 90 17 146 0 Staphylococcus aureus subsp. aureus USA300_FPR3757 VIMSS1292208 1 MicrobesOnline MNTKYFLAAGAVITTLALGACGNSNSQDQGNKTEQKTKSEDSNVKTDKTKHLTGTFSSKNGETVEGKAEIKNGKLMLTNYKSSKGPDLYVYLTKNGDIKNGKEIAMVDYDKEKQTFDLKNVDLSKYDEVTIYCKKAHVIFGGAKLK
VIMSS15182 1 84 0.318865476190476 PF13991.6:BssS:11:79 regulator of biofilm formation 84 69 17 84 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6557-MONOMER 1 ecocyc MEKNNEVIQTHPLVGWDISTVDSYDALMLRLHYQTPNKSEQEGTEVGQTLWLTTDVARQFISILEAGIAKIESGDFQVNEYRRH
VIMSS15267 1 224 0.325179464285714 PF00717.23:Peptidase_S24:133:200 e14 prophage; putative repressor protein YmfK 224 68 17 224 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6589-MONOMER 1 ecocyc MKTIHDIRRSNARKLRDGVGGNSSFATMIDREPTQTSRFMGDGATKNIGDSMARHIEKCFDLPVGWLDQEHQTTNITKKPDVSITNKQITLVPVISWVQAGAWKEVGYSEVDLSTAETYPCPVPCGEMTYILRVIGDSMIDEYRPGDMIFVDPEVPACHGDDVIALMHDTGETTFKRLIEDGTQRYLKALNPNWPEPYIKINGNCSIIGTVIFSGKPRRYKIKA
VIMSS15901 1 644 0.232600621118012 PF08298.11:AAA_PrkA:20:380,PF06798.12:PrkA:382:636 protein kinase YeaG (EC 2.7.11.21; EC 2.7.11.22; EC 2.7.11.13; EC 2.7.11.1; EC 2.7.11.17; EC 2.7.11.12) 644 616 17 644 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6969-MONOMER 1 ecocyc MNIFDHYRQRYEAAKDEEFTLQEFLTTCRQDRSAYANAAERLLMAIGEPVMVDTAQEPRLSRLFSNRVIARYPAFEEFYGMEDAIEQIVSYLKHAAQGLEEKKQILYLLGPVGGGKSSLAERLKSLMQLVPIYVLSANGERSPVNDHPFCLFNPQEDAQILEKEYGIPRRYLGTIMSPWAAKRLHEFGGDITKFRVVKVWPSILQQIAIAKTEPGDENNQDISALVGKVDIRKLEHYAQNDPDAYGYSGALCRANQGIMEFVEMFKAPIKVLHPLLTATQEGNYNGTEGISALPFNGIILAHSNESEWVTFRNNKNNEAFLDRVYIVKVPYCLRISEEIKIYEKLLNHSELTHAPCAPGTLETLSRFSILSRLKEPENSSIYSKMRVYDGESLKDTDPKAKSYQEYRDYAGVDEGMNGLSTRFAFKILSRVFNFDHVEVAANPVHLFYVLEQQIEREQFPQEQAERYLEFLKGYLIPKYAEFIGKEIQTAYLESYSEYGQNIFDRYVTYADFWIQDQEYRDPDTGQLFDRESLNAELEKIEKPAGISNPKDFRNEIVNFVLRARANNSGRNPNWTSYEKLRTVIEKKMFSNTEELLPVISFNAKTSTDEQKKHDDFVDRMMEKGYTRKQVRLLCEWYLRVRKSS
VIMSS16455 1 120 0.00513583333333333 PF04138.14:GtrA:7:116 CPS-53 (KpLE1) prophage; putative bactoprenol-linked glucose translocase 120 110 17 39 4 Escherichia coli K-12 substr. MG1655 ecocyc::G7219-MONOMER 0 ecocyc MLKLFAKYTSIGVLNTLIHWVVFGVCIYVAHTNQALANFAGFVVAVSFSFFANAKFTFKASTTTMRYMLYVGFMGTLSATVGWAADRCALPPMITLVTFSAISLVCGFVYSKFIVFRDAK
VIMSS16810 1 116 0.0701741379310345 PF01155.19:HypA:1:113 hydrogenase 3 nickel incorporation protein HypA 116 113 17 116 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10483-MONOMER 1 ecocyc MHEITLCQRALELIEQQAAKHGAKRVTGVWLKIGAFSCVETSSLAFCFDLVCRGSVAEGCKLHLEEQEAECWCETCQQYVTLLTQRVRRCPQCHGDMLQIVADDGLQIRRIEIDQE
VIMSS16892 90 305 0.152727777777778 PF03466.20:LysR_substrate:3:203 DNA-binding transcriptional dual regulator GcvA 305 201 17 216 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD00339 1 ecocyc RSAKGALTVSLLPSFAIHWLVPRLSSFNSAYPGIDVRIQAVDRQEDKLADDVDVAIFYGRGNWPGLRVEKLYAEYLLPVCSPLLLTGEKPLKTPEDLAKHTLLHDASRRDWQTYTRQLGLNHINVQQGPIFSHSAMVLQAAIHGQGVALANNVMAQSEIEAGRLVCPFNDVLVSKNAFYLVCHDSQAELGKIAAFRQWILAKAAAEQEKFRFRYEQ
VIMSS17769 1 415 0.00879951807228915 PF03222.13:Trp_Tyr_perm:7:403 tryptophan:H+ symporter TnaB 415 397 17 162 11 Escherichia coli K-12 substr. MG1655 ecocyc::TNAB-MONOMER 0 ecocyc MTDQAEKKHSAFWGVMVIAGTVIGGGMFALPVDLAGAWFFWGAFILIIAWFSMLHSGLLLLEANLNYPVGSSFNTITKDLIGNTWNIISGITVAFVLYILTYAYISANGAIISETISMNLGYHANPRIVGICTAIFVASVLWLSSLAASRITSLFLGLKIISFVIVFGSFFFQVDYSILRDATSSTAGTSYFPYIFMALPVCLASFGFHGNIPSLIICYGKRKDKLIKSVVFGSLLALVIYLFWLYCTMGNIPRESFKAIISSGGNVDSLVKSFLGTKQHGIIEFCLLVFSNLAVASSFFGVTLGLFDYLADLFKIDNSHGGRFKTVLLTFLPPALLYLIFPNGFIYGIGGAGLCATIWAVIIPAVLAIKARKKFPNQMFTVWGGNLIPAIVILFGITVILCWFGNVFNVLPKFG
VIMSS18715 1 828 0.37353768115942 PF00669.20:Flagellin_N:9:139 flagellar hook-associated protein FlgL 828 131 17 828 0 Helicobacter pylori 26695 WP_001266636.1 1 RefSeq MRVTFGSKYNQMNNYQNALQNKINDANTQIASGLKIRYGYQNSDINNQNLKFQYEENTLDQGIDVAQNAYTSTLNTDKALQEFSKTMEAFKTKLIQSANDVHSETSRAAIANDLERLKEHMINVANTSIGGEFLFGGSKVDRPPIDSNGKYHGNGEDLNVLISSDNLVPYNISGQDLFLGTDKDKHKLITTNIKLFNQNKLHPDVMDALEHSSLPEEVFIKPSDTLRELIGDNDKDPTNDPKEFFYLQGVRPDGSSFKEKFALDKAYQNQESASKVSDLLDKIAHAYGNTSQNKVVDVSLNNWGQIEIKNLTPGSENLDFHLISSDGDFDDLDALRSSGKRVTEYIKSAFVTDRSLSQVKAVPNMYNPKVLEVPSVFVTKDNVLANKNTKLSEIFGDSVETLKINASRLDETSAIKIPNLPVYLDIPILLDVKNSTIKDLKDAIKKRFNNEVDVEIETNGRLRIIDNSSKESPISLALSALDAKGLEVAGIPTNNASEYQKTYFNKEGAKLESNVAQTAQNGAANGSTKLSEAAKGSLENSVFNMKLNDVNGLFLEAQMNLDNNGAFLSLPNGIKIPLYDPTSADIQASKPNEVTYRQLMDAMSIALNYSNTDPAIYQQISDNPTSKESKERFIGLLKQAKDNLSVNLNEEGKVIIQDNMHSNTKMQFMLFDKDANDFSQNALHSDKPSLKLNANNALIIDKPSVNFFDQLENTITSVRKGIYRPDALGDTYSSDMRNLGIQNGITLIDHLSDHIEKMIAKNGAHGKAFENIIRRNEVLKTQVQSIRGETTGTDMAETYNKFSNLTNNYNAVLASTNKINNLSLTKYL
VIMSS19022 1 477 0.234318658280923 PF02321.18:OEP:96:270,PF02321.18:OEP:286:464 hypothetical protein (NCBI ptt file) 477 354 17 477 0 Helicobacter pylori 26695 VIMSS19022 1 MicrobesOnline MNTIIRYASLWGLCAALTLAQTPSKTPDEIKQILNNYSHKNLKLIDPPTSSLEATPSFLSSPKETATTINQEIAKYHEKSDKAALGLYELLKGATTNLSLQAQELSVKQAMKNHTIAKAMFLPTLNASYNFKNEARDTPEYKHYNTQQLQAQVTLNVFNGFSDVNNVKEKSATYRSNVANLEYSRQSVYLQVVQQYYEYFNNLARMIALQKKLEQIKTDIKRVTKLYDKGLTTIDDLQSLKAQGNLSEYDILDMQFALEQNRLTLEYLTNLSVKNLKKTTIDAPNLQLRERQDLVSLREQISAIRYQNKQLNYYPKIDVFDSWLFWIQKPAYATGRFGNFYPGQQNTAGVTATLNIFDDIGLSLQKQSIMLGQLANEKNLAYKKLEQEKDEQLYRKSLDIARAKIESSKASLDAANLSFANIKRKYDANLVDFTTYLRGLTTRFDAEVAYNLALNNYEVQKANYIFNSGHKIDDYVH
VIMSS1935850 163 396 0.374013247863248 PF12484.8:PE_PPE_C:147:229 PPE FAMILY PROTEIN (NCBI) 396 83 17 234 0 Mycobacterium tuberculosis H37Rv VIMSS1935850 1 MicrobesOnline TEALLPFEDAPLITNPGGLLEQAVAVEEAIDTAAANQLMNNVPQALQQLAQPTKSIWPFDQLSELWKAISPHLSPLSNIVSMLNNHVSMTNSGVSMASTLHSMLKGFAPAAAQAVETAAQNGVQAMSSLGSQLGSSLGSSGLGAGVAANLGRAASVGSLSVPQAWAAANQAVTPAARALPLTSLTSAAQTAPGHMLGGLPLGQLTNSGGGFGGVSNALRMPPRAYVMPRVPAAG
VIMSS1937106 1 622 0.0488586816720257 PF02705.16:K_trans:14:544 K+:H+ symporter Kup 622 531 17 346 12 Escherichia coli K-12 substr. MG1655 ecocyc::KUP-MONOMER 1 ecocyc MSTDNKQSLPAITLAAIGVVYGDIGTSPLYTLRECLSGQFGFGVERDAVFGFLSLIFWLLIFVVSIKYLTFVMRADNAGEGGILTLMSLAGRNTSARTTSMLVIMGLIGGSFFYGEVVITPAISVMSAIEGLEIVAPQLDTWIVPLSIIVLTLLFMIQKHGTAMVGKLFAPIMLTWFLILAGLGLRSIIANPEVLHALNPMWAVHFFLEYKTVSFIALGAVVLSITGVEALYADMGHFGKFPIRLAWFTVVLPSLTLNYFGQGALLLKNPEAIKNPFFLLAPDWALIPLLIIAALATVIASQAVISGVFSLTRQAVRLGYLSPMRIIHTSEMESGQIYIPFVNWMLYVAVVIVIVSFEHSSNLAAAYGIAVTGTMVLTSILSTTVARQNWHWNKYFVALILIAFLCVDIPLFTANLDKLLSGGWLPLSLGTVMFIVMTTWKSERFRLLRRMHEHGNSLEAMIASLEKSPPVRVPGTAVYMSRAINVIPFALMHNLKHNKVLHERVILLTLRTEDAPYVHNVRRVQIEQLSPTFWRVVASYGWRETPNVEEVFHRCGLEGLSCRMMETSFFMSHESLILGKRPWYLRLRGKLYLLLQRNALRAPDQFEIPPNRVIELGTQVEI
VIMSS200602 1 196 0.395543367346939 PF09699.10:Paired_CXXCH_1:86:135,PF09699.10:Paired_CXXCH_1:149:183,PF14522.6:Cytochrome_C7:88:157,PF13435.6:Cytochrome_C554:46:97 periplasmic decaheme cytochrome c DmsE 318 138 17 196 0 Shewanella oneidensis MR-1 NP_717047.1 1 RefSeq MRWRKIKTLIMGASLFLILSPSVKAQEIPSTHETGEQIEKILTDKFAEGKYSTKGADSCLMCHRKNNTVMAIFDGVHGDINNSKSPMAGLQCEACHGPLGQHNKGGKEPMISFGSDSPLSAPSQNTVCLGCHQKTEQSGWHSSLHNMEEIACADCHKVHAAKDPVLQKQQVSQVCTSCHTRQKSDMNKRSSHPLKW
VIMSS2197741 1 408 0.366668382352941 PF10783.9:DUF2599:302:408 putative halovibrin (NCBI) 408 107 17 408 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2197741 1 MicrobesOnline MNGSRSTFLYLLAAAGLALTPAAQADRGNDVVARLNQLYNDTRQDCGGPSKPAFLCSGVLFRATWPSTDYMFYSISPKSQKSGGVSASYLRKDAKYRKLAYGLKSGFIFDTIFGNPKDHQDYAVLCSFPIDAATDDRAQQGCTDSRRTPNSVEKSCQDINVSTAEQWAANYRQNRGDHSRQCSFDVRDERNTAAGPAFYQSIRAMAQIADESFTTQNELRLAKWEENPPKSPSILAAFYTEDAGLEGARLNQIQWYQSVQAFLPIINMRLPQTRQQDAQFAYDGRKQAIYPTSEKNACERYVESATWVERDDPGFRKKIMTLEVVPTDCGRKIQDNQTNNFFNELVVDHYLDSEWKDNPDNRDSNIGSMRRQLVCHFNVARNKPEWNLEPSRPYTSNEDSIAKGCNNT
VIMSS2199619 1 114 0.282432456140351 PF04972.17:BON:45:107 hypothetical protein (NCBI) 114 63 17 114 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2199619 1 MicrobesOnline MKFSKLAIAAATATALSFSMANAFAAQPISLAANDTMQKTEEAVSDTWITSKVKSSLIANKNVSGVDIKVETNKGVVSLSGNVKSDAERDLAIETAKGIKGVKAVSADGLKSVE
VIMSS228 1 147 0.0992122448979592 hypothetical protein (NCBI ptt file) 147 0 17 101 2 Chlamydia trachomatis D/UW-3/CX VIMSS228 1 MicrobesOnline MSFVGDSVPLRSYMPEAPLVDSASKARVSCCSERIAVLALGILSILFIVTGAALFIGAGWTTLPMIDVVVTLVVFGSVMLGAVLTRISSYGGEPKKVSLDRFVLENERQGFLDKQRLADISKEEIALAKQQIEEEKEAILHSIFPND
VIMSS230 1 176 0.120599431818182 hypothetical protein (NCBI ptt file) 176 0 17 130 2 Chlamydia trachomatis D/UW-3/CX VIMSS230 1 MicrobesOnline MLAFFLRNRGAMFNISFCCNSSKPLRADHTETIGAQTTTSRKEQLLAIGALVLGVLAVLGGALLLLFSGSVVSLFAPILSLLAMTLGSACIGGSLVYMYGFSLKPTRLPSESSELAPEAVTPGLVLSYQELLYEAEEDLKEVEGLLAQKSKDLELAQKKIEQLQSGLKCVLEESLI
VIMSS27802 1 420 0.0889516666666667 PF04610.14:TrbL:142:325 putative (NCBI ptt file) 420 184 17 268 7 Helicobacter pylori J99 VIMSS27802 1 MicrobesOnline MAAPLLALPFLSNPLVLGALAVIGVGAYLYPNKQDSLVVQADGLYSEILGFFISFSSKILKGIGEPLANVIQPFGMVLGMLLILLYSFKRYQNNDLFEIKTFLMLFVFVGYLSLYHYAFKSDGSSSGNGRSSFAFQNHVTEIFDTPANLLNAGISNVVKEYQTNSAREHKNIDTHHSITNANISFHVRQILTSLNKLYEDFKINNGLSLKTLIAAVLLLVILGLELFLLFKVFCYVFMTYLEKIIYLSLVIFMLLLGFFQQTRGFLVSYVKKIISLTFYMPLLLLLVLFNSFALQYAIKVGGSNEIVAKFGIIVAIGISLTFIQKVPEMINAIFGTQGGLTDAKSFIYQGVQMASAGAGAIAGSLKSVGRSAFGRTLEAYKDAKSTINSTTANMRDMPGHPGVRVGVETIELPKSHRASK
VIMSS29561 1 149 0.457958389261745 PF03304.13:Mlp:30:145 Lipoprotein MlpF 149 116 17 149 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::Q9S0B7 1 SwissProt MKIINILFCLFLLLLNSCNSNDNDTLKNNAQQTKSRGKRDLTQKEATPEKPKSKEELLREKLSEDQKTHLDWLKEALGNDGEFDKFLGYDESKIKSALNHIKSELDKCTGDNSEQQKSTFKQTVQGFFSGGNIDNFANNAVSNCNNGGS
VIMSS31945 1 515 0.398797281553398 PF02470.20:MlaD:39:112 MCE-FAMILY PROTEIN MCE1F (NCBI) 515 74 17 497 1 Mycobacterium tuberculosis H37Rv VIMSS31945 1 MicrobesOnline MLTRFIRRQLILFAIVSVVAIVVLGWYYLRIPSLVGIGQYTLKADLPASGGLYPTANVTYRGITIGKVTAVEPTDQGARVTMSIASNYKIPVDASANVHSVSAVGEQYIDLVSTGAPGKYFSSGQTITKGTVPSEIGPALDNSNRGLAALPTEKIGLLLDETAQAVGGLGPALQRLVDSTQAIVGDFKTNIGDVNDIIENSGPILDSQVNTGDQIERWARKLNNLAAQTATRDQNVRSILSQAAPTADEVNAVFSGVRDSLPQTLANLEVVFDMLKRYHAGVEQLLVFLPQGAAIAQTVLTPTPGAAQLPLAPAINYPPPCLTGFLPASEWRSPADTSPRPLPSGTYCKIPQDAQLQVRGARNIPCVDVLGKRAATPKECRSKDPYVPLGTNPWFGDPNQILTCPAPGARCDQPVKPGLVIPAPSINTGLNPAPADQVQGTPPPVSDPLQRPGSGTVQCNGQQPNPCVYTPTSGPSAVYSPASGELVGPDGVKYAVANSSTTGDDGWKEMLAPAS
VIMSS31968 1 762 0.252012598425197 PF00384.22:Molybdopterin:61:430,PF01568.21:Molydop_binding:634:734,PF04879.16:Molybdop_Fe4S4:6:57 POSSIBLE OXIDOREDUCTASE (NCBI) 762 523 17 762 0 Mycobacterium tuberculosis H37Rv VIMSS31968 1 MicrobesOnline MTSSDWLPTACILCECNCGIVVQVDDRRLARIRGDKAHPGSAGYTCNKALRLDHYQNNRARLSSPMRRRADGTYEEIDWDTAIVEIAEGFKQIRDTHGGDKIFYYGGGGQGNHLGGAYSGAFLKALGSRYRSNALAQEKTGEAWVDFQLYGGHTRGEFENAEVSVFVGKNPWMSQSFPRARVVLNEIAKDPGRSMIVIDPVVTDTAKMADFHLRVQPGCDAWCLAALAAVLVQENLCNEAFLAAHVHGVDTVRAALQEVPVADYAQRCGVDEELLRAAARRIGTAASVSVFEDLGIQQAPNSTVCSYLNKLLWILTGNFAKKGGQHLHSSFAPLFSQVSGRTPVTGAPIIAGLIPGNVVPEEILTEHPDRFRAMIVERGNPAHSLADSAACRAAFQALELMVVVDVAMTETARLAHYVLPAASQFEKPEATFFNFEFPRNGFQLRRPLFPPLPGTLPEPEIWARLVRALGVVDEADLRPLREAAAQGRQAYTEAFLAAAATNPTVAKLTAYVLYETLGPTLPDGLAGAAALWGLAQKTAMAYPDAVRRAGHADGNALFDAILERPSGVTFTVHNYEDDFALISHPDHKIALEIPEMLAEIRSLTQTPSRLTTPQLPIVLSVGERRAYTANDIFRDPSWRKRDANGALRVSVEDAQALGLADGCLARITTAAGSAEATVEVTETMLAGHAALPNGFGLDYTGDDGRTVVAGVAPNALTSTRWRDPYAGTPWHKHVPAAIRRADAESPIWYPKWAILPARGVLA
VIMSS3223207 692 1693 0.239703992015967 PF01443.18:Viral_helicase1:283:493,PF00978.21:RdRP_2:678:869,PF12526.8:DUF3729:1:94,PF01661.21:Macro:113:204 Non-structural polyprotein pORF1; EC 2.1.1.-; EC 3.4.22.-; EC 3.6.4.-; EC 2.7.7.48 1693 589 17 1002 0 Hepatitis E virus genotype 1 (isolate Human/China/HeBei/1987) (HEV) SwissProt::Q81862 1 SwissProt NPFCGESTLYTRTWSEVDAVSSPAQPDLGFISEPSIPSRAATLTPAAPLPPPAPDPSPTPSAPARGEPAPGATARAPAITHQAARHRRLLFTYPDGSKVFAGSLFESTCTWLVNASNVDHRPGGGLCHAFYQRYPASFDAASFVMRDGAAAYTLTPRPIIHAVAPDYRLEHNPKMLEAAYRETCSRLGTAAYPLLGTGIYQVPIGPSFDAWERNHRPGDELYLPELAARWFEANRPTCPTLTITEDVARTANLAIELDSATDVGRACAGCRVTPGVVQYQFTAGVPGSGKSRSITQADVDVVVVPTRELRNAWRRRGFAAFTPHTAARVTQGRRVVIDEAPSLPPHLLLLHMQRAATVHLLGDPNQIPAIDFEHAGLVPAIRPDLAPTSWWHVTHRCPADVCELIRGAYPMIQTTSRVLRSLFWGEPAVGQKLVFTQAAKAANPGSVTVHEAQGATYTETTIIATADARGLIQSSRAHAIVALTRHTEKCVIIDAPGLLREVGISDAIVNNFFLAGGEIGHQRPSVIPRGNPDANVDTLAAFPPSCQISAFHQLAEELGHRPAPVAAVLPPCPELEQGLLYLPQELTTCDSVVTFELTDIVHCRMAAPSQRKAVLSTLVGHYGRRTKLYNASHSDVRDSLARFIPAIGHVQVTTCELYELVEAMVEKGQDGSAVLELDLCNRDVSRITFFQKDCNKFTTGETIAHGKVGQGISAWSKTFCALFGPWFRAIEKAILALLPQGVFYGDAFDDTVFSAAVAAARASMVFENDFSEFDSTQNNFSLGLECAIMVECGMPQWLIRLYHLIRSAWILQAPKESLRGFWKKHSGEPGTLLWNTVWNMAVITHCYDFRDLQVAAFKGDDSIVLCSEYRQSPGAAVLIAGCGLKLKVDFRPIGLYAGVVVAPGLGALPDVVRFAGRLTEKNWGPGPERAKQLRLAVSDFLRKLTNVAQMCVDVVSRVYGVSPGLVHNLIGMLQAVADGKAHFTESVKPVLDLTNSILCRVE
VIMSS32267 98 328 0.327131168831169 PF02541.16:Ppx-GppA:1:194 hypothetical protein 328 194 17 231 0 Mycobacterium tuberculosis H37Rv NP_215010.3 1 RefSeq RLTFLAVRRWYGWSAGRILNLDIGGGSLEVSSGVDEEPEIALSLPLGAGRLTREWLPDDPPGRRRVAMLRDWLDAELAEPSVTVLEAGSPDLAVATSKTFRSLARLTGAAPSMAGPRVKRTLTANGLRQLIAFISRMTAVDRAELEGVSADRAPQIVAGALVAEASMRALSIEAVEICPWALREGLILRKLDSEADGTALIESSSVHTSVRAVGGQPADRNAANRSRGSKP
VIMSS33508 1 165 0.0797218181818182 PF03595.17:SLAC1:1:117 HYPOTHETICAL MEMBRANE PROTEIN (NCBI) 165 117 17 96 3 Mycobacterium tuberculosis H37Rv VIMSS33508 0 MicrobesOnline MGATAITVLAGAHIVEMADAPMAIVTSGLVAGASVVFWAFGPWLIPPLVAASIWKHVVHRVPLRYEATLWSVVFPLGMYGVGAYRLGLAAHLPIVESIGEFEGWVALAVWTITFVAMLHHLAATIGRSGRSSHAIGAADDTHAIICRPPRSFDHQVRAFRRNQPM
VIMSS33584 1 140 0.0504735714285714 PF02308.16:MgtC:13:132 POSSIBLE Mg2+ TRANSPORT P-TYPE ATPASE C MGTC (NCBI) 234 120 17 48 4 Mycobacterium tuberculosis H37Rv VIMSS33584 0 MicrobesOnline MQTLTVADFALRLAVGVGCGAIIGLERQWRARMAGLRTNALVATGATLFVLYAVATEDSSPTRVASYVVSGIGFLGGGVILREGFNVRGLNTAATLWCSAAVGVLAASGHLVFTLIGTGTIVAVHLLGRPLGRLVDRDNA
VIMSS3372595 1 713 0.0614098176718093 PF00535.26:Glycos_transf_2:4:91,PF13704.6:Glyco_tranf_2_4:10:91,PF04733.14:Coatomer_E:646:706,PF13181.6:TPR_8:201:229,PF13181.6:TPR_8:271:299 glycosyltransferase 713 207 17 713 0 Clostridioides difficile WP_011860691.1 1 RefSeq MLLSIVMMVKNEENILEKTLKSLSVLRNSIESELIIVDTGSTDNTIRIAKQYTEKVYFHNWNDDFSSMRNISISYAKGEWLLILDADEVLIDYSNIIKFFESGLNKKFNSASVRLKNLYSFDKKLYGYCSVLRFFKNLDFKYCGKVHEQPMYKNPIFNNIADFEHYGYLFEDEEIRINKVKRNEKLLLEELKEDEKSPYTNYQIGKNLIILGKYQEALDYLEKSYKLYSKLEIVPGYLITNLAKVYLYLGKAKKCEKLCLKYINKDRNNIDIYYHIAQAQVDLGKYENSIDSYKRYIYLLDNYEMSTQANSLLSDTDAVGFRDEAIITLIKIYYKLEKYDLVISEYDNIEDVEKKKNVYFSLFMSLYKLNRFEDIKNYYREIPNSKVERDSFYKSMEDFINNIKDDEKELIYKSLCDIEGNYGLLNKIRINKHVSLDTCRKILNEEKDIVYASVIKIAFEEKVDLLDIFYNMEYVWIEKYLRYILALERSFNVSIYKYIISCPNTSEIEKIRVYKVISKILLESVNLSDKKYKELFYIYIMYSYKYIKYIYSNLSDCELIKFVCNDIDKFTLEFKHVLDGKRKINNPNEKLEYLHNMRILLNEYPFYNRIIKIFISELEESITESEEFKLLKDNFIIRIENMIENGKINDAKLLIEDYSKTFADDVKILNIKAILFMCEDKFEEADFALKKALSLDLENEDTIYNIEYLENLK
VIMSS3374008 1 347 0.0895115273775216 PF08448.10:PAS_4:246:347 sporulation-associated two-component sensor histidine kinase 618 102 17 347 0 Clostridioides difficile 630 YP_001088079.1 1 RefSeq MDTHNKYVNFIKNIPVPFLYCRIVKRQEDIEYRVEYISKGMGKVLQLEEGICDKNILDVLPVFKSKKYFKELFSNEVDCIKRYIPTLKNWINIKKQIIGDSYIILYFGKIVFDYRQIIDSFDKKEKVAYIKDEEGIYIDCSENLIPILNNNIKTTKDIFGKNDIEVWGENTGKLFRDDYREGVSSKKRFLQNLFEYEETFFMVEKYFLYDEDELLGTIGIVDNIIYSGYSNRNYNSKDLMKMIEHSIPENMFYKDVYGNYIGFNSGFLNLACMNKEELLGKNSYKISEEEALIDKIFESDKGVVENKKVVTFELNISMNDENKCIEITKRPFFDSYGSVIGIIGTAR
VIMSS33947 1 516 0.0491893410852714 Alpha-(1->6)-mannopyranosyltransferase A; MptA; Polyprenyl-1-monophosphorylmannose dependent alpha-(1->6)-mannopyranosyltransferase; PPM-dependent alpha-(1->6)-mannopyranosyltransferase; EC 2.4.1.- 516 0 17 240 12 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O53508 0 SwissProt MTTPSHAPAVDLATAKDAVVQHLSRLFEFTTGPQGGPARLGFAGAVLITAGGLGAGSVRQHDPLLESIHMSWLRFGHGLVLSSILLWTGVGVMLLAWLGLGRRVLAGEATEFTMRATTVIWLAPLLLSVPVFSRDTYSYLAQGALLRDGLDPYAVGPVGNPNALLDDVSPIWTITTAPYGPAFILVAKFVTVIVGNNVVAGTMLLRLCMLPGLALLVWATPRLASHLGTHGPTALWICVLNPLVLIHLMGGVHNEMLMVGLMTAGIALTVQGRNVAGIILITVAIAVKATAGIALPFLVWVWLRHLRERRGYRPVQAFLAAAAISLLIFVAVFAVLSAVAGVGLGWLTALAGSVKIINWLTVPTGAANVIHALGRGLFTVDFYTLLRITRLIGIVIIAVSLPLLWWRFRRDDRAALTGVAWSMLIVVLFVPAALPWYYSWPLAVAAPLAQARRAIAAIAGLSTWVMVIFKPDGSHGMYSWLHFWIATACALTAWYVLYRSPDRRGVQAATPVVNTP
VIMSS353630 1 622 0.171679581993569 DNA helicase (NCBI ptt file) 1124 0 17 622 0 Bacteroides thetaiotaomicron VPI-5482 VIMSS353630 1 MicrobesOnline MENEGRESYEILLAVCKADHLQLTIGYKQMRDLLERLCRLHMHNGSLQMTDLSARISFVAAKVGLSVAEQNRLHTFRLTSNAILNRQQEPTREHLLRDAKTLAFFIRKLFEEDIPQELYRLLPRTDATYIVAPPAHKQVQRMRVCFQYSDEQYLYVTPLDEIADEPLRVRYNIPQINEEFAETCQLLWRHAQLNLLDVAVDEAGILTPSFIVLEPDYLLDISSLAECYRDYGHHPANYFLSRLQPIENARPLLLGNIANLFLDEWIHAEGEVDYLKCMQKAFRRYPIELAACADLRDREKERQFFDDCKLHFDHIRETVNDTFHAAGYELDKTDAVLEPSYICEALGLQGRLDYMQRDMSSFIEMKSGKADEYAIRGKVEPKENNKVQMLLYQAVLQYSMGMDHRKVKAYLLYTRYPLLYPSRPSWAMVRRVIDLRNRIVADEYGIQLRNSLEYTAQKLEEIKASVLNERGLSGRFWETYLRPSIDNFQEKLKSLSTLEKSYFYALYNFITKELYTSKSGDVDYEGRTGAASLWLSTLAEKCESGEIIYDLRIKENHAADEHKSHLLLVPSGELQRTVADDAQHTLPNFRQGDAIVLYERNADTDNVTNKMVFKGNIDYLNE
VIMSS44025 1 339 0.127722418879056 PF02608.14:Bmp:29:328 basic membrane protein A 339 300 17 339 0 Borreliella burgdorferi B31 NP_212517.1 1 RefSeq MNKILLLILLESIVFLSCSGKGSLGSEIPKVSLIIDGTFDDKSFNESALNGVKKVKEEFKIELVLKESSSNSYLSDLEGLKDAGSDLIWLIGYRFSDVAKVAALQNPDMKYAIIDPIYSNDPIPANLVGMTFRAQEGAFLTGYIAAKLSKTGKIGFLGGIEGEIVDAFRYGYEAGAKYANKDIKISTQYIGSFADLEAGRSVATRMYSDEIDIIHHAAGLGGIGAIEVAKELGSGHYIIGVDEDQAYLAPDNVITSTTKDVGRALNIFTSNHLKTNTFEGGKLINYGLKEGVVGFVRNPKMISFELEKEIDNLSSKIINKEIIVPSNKESYEKFLKEFI
VIMSS44871 1 179 0.0878648044692737 B. burgdorferi predicted coding region BBA33 (NCBI ptt file) 179 0 17 179 0 Borrelia burgdorferi B31 VIMSS44871 1 MicrobesOnline MKRYIYVYIISVAVISCYLNDFSGMKENNCNKYDLSFFELSLAERENAILKIQRKFKSLTDKISSRISNYSEIKVGNFFSESSEQKINLLNKILEILKIQHGLVEKSSNTLSKLKMLSVGNYDVLDPQPELKLLNQKYSDIDEKLREICSCILSNSIDFNKVLNDLIYLKESALALIQK
VIMSS46474 1 309 0.12355145631068 PF11059.8:DUF2860:26:308 possible periplasmic protein (NCBI ptt file) 309 283 17 309 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46474 1 MicrobesOnline MKKYLFSCVLASILTQSATAVEFQEGFSGNLSIGVGARDIKSNISTLANSDYLSSHNADNSDSSFIPFIGAELYYGNLIDNDRIFIKNYNGRDISGIALGYERAYLERFSTSFSVISSLREKAYANPYAIGNREETDVDRYGFKISQLYESDFGKFTTSYLFSKNKYDKDTIAQSSLKREGYYHEIELNYNYSLLNLGLNYDYNDADGKAQSYSRYGFSIGTNLAFANDYIFTPNLNLSKYEAVGTDPIFHKKQDGNIVKLNLKVVKNQFLGYNGLYGFANYGIEKRNSDIGFYDETYQIVLTGIGYKF 5
VIMSS47193 1 649 0.125716640986133 PF01973.18:MAF_flag10:218:390 hypothetical protein Cj1318 (1318 family) (NCBI ptt file) 649 173 17 649 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47193 1 MicrobesOnline MTFTPTQKELFNKNIEALNNILLKESLKEIKSSKFELILGKDNLDINLKDTSIKNNGGGGYNENLLYQDPIKELQTMLNTYNDKYLLYPVLYFYGFGNGILFKALLQNKNHQHIVVFEKDIEIIWVIFHILDFSNELQNARLMVLENDKLQTQDYTELCSSKPFFQFSRIYFLELMSHYYERFHEDVLELNKKLAENFKNIILRNGNDPKDALQGIEQFVYNLPQMITHPSYKELLSKRKNLSDTAIIVSTGPSLTKQLPLLKKYASKATIFCADSSYPILAKHGIKPDYVCMLERTELTAEFFNHDFGEFDKDIVFICAGVVHPKAIEYLKGRNLVITQKVLAFPYYINLKDFSYAAVGLSVAHTLSYLATYLSHKNIIFIGQDLAYAENGNSHPDDYQNSANYESQMYEHILTEAYGGNGKVETHSIWLLFKNWFENEMIPNTRKMGITTYNCTEGGARIEGTIEKPFLWACENLLDKDLNKPFEKLEPLSLNKQNEFLLKAYYKVYQSIKHCRDFSKILSNDFNNIQNIYLNLNKKENDLNLAIRKIDEFKNKLENIKQMQDLYEILQPLRTQFELNLARIYVLNPKTKEDAFNKSILWIKEHLEFMELVYGHIKAQENALIKNILPLEEKLKERKLDKWMERVRR
VIMSS47199 1 373 0.126229758713137 hypothetical protein 373 0 17 373 0 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002344714.1 1 RefSeq MIYCDHCVMPNTRPGINFTKDKEGKNICSACINHKNKENIDYKARFKELEVLCDKYRRMNGKFEYDCAIAVSGGKDSHFQVHIMKEKLGMNPILFSVEDNFTMTEAGKKNLKNLSETFGCHIISLKPDIKTQKKVMLKTFEKYGKPTWFIDRLIYSYPFAMALKFNTPLLVYGENVSYEYGGSDTEETPSAKEIFLNGVASDLNINEFIDDEIKEENLQLFFNPNKDKLDKLNPIYLSYFVKWNSYSNYIFAKSRGFTDLEGEWDRTMCAENFDQVDSIGYILHAWMKYPKFGHACASDYAARFVRYGLLSRKEAIELVQKRDHKLDNKCVEDFCNFIGISKTTFWKIVEKHYNMDLFYKNDFGEFKLKNKLQ
VIMSS539149 1 271 0.286058671586716 CONSERVED HYPOTHETICAL PROTEIN (NCBI) 271 0 17 271 0 Mycobacterium bovis AF2122/97 VIMSS539149 1 MicrobesOnline MLPETNQDEVQPNAPVALVTVEIRHPTTDSLTESANRELKHLLINDLPIERQAQDVSWGMTAPGGAPTPVADRFVRYVNRDNTTAASLKNQAIVVETTAYRSFEAFTDVVMRVVDARAQVSSIVGLERIGLRFVLEIRVPAGVDGRITWSNWIDEQLLGPQRFTPGGLVLTEWQGAAVYRELQPGKSLIVRYGPGMGQALDPNYHLRRITPAQTGPFFLLDIDSFWTPSGGSIPEYNRDALVSTFQDLYGPAQVVFQEMITSRLKDELLRQ
VIMSS539556 1 154 0.513175974025974 Anti-sigma-E factor RseA; Regulator of SigE; Sigma-E anti-sigma factor RseA 154 0 17 154 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::L0T905 1 SwissProt MADPGSVGHVFRRAFSWLPAQFASQSDAPVGAPRQFRSTEHLSIEAIAAFVDGELRMNAHLRAAHHLSLCAQCAAEVDDQSRARAALRDSHPIRIPSTLLGLLSEIPRCPPEGPSKGSSGGSSQGPPDGAAAGFGDRFADGDGGNRGRQSRVRR
VIMSS55477 1 1181 0.178042421676545 PF14331.6:ImcF-related_N:203:460,PF06761.12:IcmF-related:510:824,PF06744.12:IcmF_C:931:1129 IcmF-related protein (NCBI ptt file) 1181 772 17 1116 3 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55477 1 MicrobesOnline MWKFIVGIVRRLKPTVVAALPILLFTTFILLNVAIWWAGPWLEVAGYKPLESIMARVVASSLFTLGCLAVWGIWQWRKLQAFKSEQKREEQLRQDPIKVYEQRQEVELNQVMLNMKQSLNKHNYLYALPWYLVLGLENAGKTSLINRSGQNFVFSSVMRASGQKSENPYSFDWWIGDESVLIDPDGELLTQGNRSEENDGALERRLWLHFVDWLDRTRSRRPLNGIVLALDVAHLATATASERKAYANLLRARLRELMETLSTRLPVYIALTKLDLLHGFEPFFKHYTKSQREEVLGFTFSMDSVDNLDSWLEEFASEYTQFVSRVNGMLPHAVAAPMTLEERNAIYSFTRQISGLKEILQQFFQEALASDQFSTSALVRGAYFTSVYQQGVPTNAFDDAASRRYGLSHAINTAQRAKNSTVYFTQKLFTHIIYPEAGLASDNFRVAKNKRRLMGLSFVACSVATLLLAGTWHRNYLNNVQHADTVLTKVNQYKEQFPTSRSLASQREVLDPLNKIREATLEFGFFRDKPQYISDFGLYQGHTIGPKVEETYLNLLETRFLPLLMADTIVALNQAETDEEKLAVLRVYRMLVDKSGRYQDYVMDYFAKYWQKSFSGQRQIQEELLGHLDYAMRHTDLTAERLNGDKGAEQVMRPYDKVIARAQVELGSMPNDQRVYRNLKLSAQTVLGPSVNLRSLIGPVFDVVFEERVLNSSSLFIPQMLTKRGFDDYFMPQSESVSELALIDSWVLGQSKTAQFSEADKQALREKIRDLYVADYTNTWRAALNEIDVKYFNDINDAVMVLENITSNLEPMQRLLRTLDDNTQLYSALPKDESALKELLKSPKYKVASMIETPFADLNGMLKPVGSKPAYMTEVLASVDELKSYLKSIQDAPDVGMAALDATKARVKLVNADPIYTLKRISSGLPKPLDSMMAKLADESWYVVKQEAIKHLEVRWTEDVYKTFQSKLAGRYPFNPASNKDVALADFEAFFAPNGTLDNFYNQQLKMFIDENISVASDDSAQSIIRKEVLDQIKQAQKIREAFFNRKGILDVSFSVEPLSLSNNKRRSVLNVDGQFLAYSHGPRENVELIWPNTLRDSAVSKVTLIPTQTNMSPRSLQIQGPWAFFRLLDQGDVVSASQTSVDFKFIVDGGEMIYRINAEADANPFTERLFKSFKLSKTLY
VIMSS56491 320 3535 0.357308830845772 PF05594.14:Fil_haemagg:48:91,PF05594.14:Fil_haemagg:88:141,PF05594.14:Fil_haemagg:152:221,PF05594.14:Fil_haemagg:216:273,PF05594.14:Fil_haemagg:270:325,PF05594.14:Fil_haemagg:299:360,PF05594.14:Fil_haemagg:396:450,PF05594.14:Fil_haemagg:441:504,PF05594.14:Fil_haemagg:503:567,PF05594.14:Fil_haemagg:550:627,PF05594.14:Fil_haemagg:661:735,PF05594.14:Fil_haemagg:725:772,PF05594.14:Fil_haemagg:775:861,PF05594.14:Fil_haemagg:814:882,PF05594.14:Fil_haemagg:929:977,PF05594.14:Fil_haemagg:959:1019,PF05594.14:Fil_haemagg:989:1060,PF05594.14:Fil_haemagg:1024:1113,PF05594.14:Fil_haemagg:1156:1231,PF05594.14:Fil_haemagg:1238:1299,PF05594.14:Fil_haemagg:1300:1359,PF05594.14:Fil_haemagg:1532:1583,PF05594.14:Fil_haemagg:1591:1664,PF05594.14:Fil_haemagg:1651:1681,PF05594.14:Fil_haemagg:1722:1776,PF05594.14:Fil_haemagg:1839:1866,PF05594.14:Fil_haemagg:2166:2212,PF05594.14:Fil_haemagg:2256:2295,PF05594.14:Fil_haemagg:2465:2501,PF04830.13:DUF637:2650:2817,PF13332.6:Fil_haemagg_2:2307:2374,PF13332.6:Fil_haemagg_2:2377:2518,PF13332.6:Fil_haemagg_2:2455:2518,PF18451.1:CdiA_C:3128:3205 probable hemagglutinin (NCBI) 3535 1907 17 3216 0 Pseudomonas aeruginosa PAO1 VIMSS56491 1 MicrobesOnline EIRSAEELVNRQSLAARERIVLEAAHIDNAGVIEAGVEPDERRNARGDLELRSGTLRNAGSLVASRALEAKASQALDNQGGSLKGATVRVDAGHLDNRGGKLLAEGELRVEASSLDNRQDGLLQSRDRAVVKTRGDLDNRGGQVIGLNDLEVGAATLDNGQQGLLGSQQSTRVSAQALVNRGDGEVSGKRVEARVGSLDNRGGKLIGDDLLVVASGAIDNRLGLFSAANRLDLRARSLDNSGKGTLSSRGGLEVSLGGLLDNRDEGNLLSQGAQRVTVGQLDNRAGGLLSSRSELNVHGASLDNRGGVLVADAGLSATGGAFDNRDGGSASGKAGVRVEVASLRNDQGGKLLSDGRLDLAANAVGNAGGRIAAKGDLQATLGSLAQQGGELVSEKTLKVAADTLDNSQSGLIAANGGIAIEARQVDNRAGEISSTSKVAVNAREQLDNRGGKVIGDSGLRLTVQRLLNQAKGVLAGRDGLSLDGGELFNGDGGRLDSQNSLSVSLGGVLDNQGGALVSEGSLTARAARLDNRGGTFSSAGALALTSQAALDNQGGRLLSDAGVTLQGASLDNSRSGVISAKGAVDIRTGVLDNSRNGGIGSNAGITLVAARLDNGQQGRVSAKGLLDANLKGLDQRGGGVLISETGVTLDLNGGTLVNRDGGLIATPGALLLRQLGAVDNGAGGEISSDRAFTLAAASLDNRGGRLIGAANLTLRIAQALDNSLAGVISGAAGLDIAAARLDNSAKGTLASRAGIDLRVDGALDNHAEGTVSGARLTLASASLDNSGKGLLSGNAGLSVATGALDNAEGGQLISQGVLDVSSADLDNRGGALSGKQSLRLSAANLDNRGGLLTSDGELELTAGRVDSADGGEISARGDLRLTVERLVQRQGRLVGERGVSLDLRGGDLDNQGGLISARGPLSIERLSVLDNRQGGEISSQQGFELLARRIDNGQQGRIISAGKLRLDADALGNAGAGLLSGWQGLTVTGGSLDNSAGGTLSSKDGELAISLGGALDNHGQGALVSKGAQRIDAASLDNAQGIVSGESDVTLSIAGKLDNGQGGLVSAQRALSFERDDTLLNNAGGRINGGSLLLKGASLDNSDGQLISQGRLDAILGGALVNTGAARLASGGDLLLRSASVDNRGGKLVSQGLLEISAGSLDNSASGTLASQAGMSLRLGGGALRNQQDGLIFSQAGALDVQAGSLDNRQGTLQAQGDNRLRIGGALDNQGGRLDSRAGNLDLQSGSLDNGAGGVLNSAKGWLKLVTGLFDNSAGVTQAQSLEIRAGQGVRNQQGHLSALGGDNRIVTADFDNQGGGLYASGLLSLDGQRFLNQGAAAGQGGKVGAGRIDFSLAGALANRFGQLESESELHLRAAAIDNSGGSLRALGRSGSTRLVAGGLNNAYGVLESANQDLDLQLGSLANAGGRILHTGNGTFGLDSGQVIRAGGELTTNGLLDIRASEWTNSSVLQAGRLNLDIGTFRQTAEGKLLAVQSFTGRGGDWSNDGLLASDGSFRLDLSGGYRGNGRATSLGDFALNAASLDLGNAASLAGGANVTLGAGNLLVNRGRITAAGDLVASAASLNNYGTLGGGGNLRLNAPALLNERGLLFSGADMTLRAGDITNLYGDVYSLGRLDIARDDAGNRAASLRNLSGVIESGKDFSLRASLIENRRAVLESKSGLYTAKMEQTACIEGVNAGDCSGKRNAIWTITQRDKTEVTASSAMGQLLAGGDFAIDGGTLNNLSSLIGSGGNLTANLEVLDNQGLETGELETIRVLRTARGGDIGGIDQKSRNFTNLYWYQSANFDPARAGEIPAALNAILSDWSFEYEFPSKGPTPISSGDQSYAAVIQAAGDVTVNASTRIDNGVTRPGYTFVGSGRQVGDSAVGGSGVSVVVPLTSQLPPDLARRQVNPVTLPGFSLPQGDNGLFRLSSRFAEDGNGSAALGAGADRTQGGSGVSVGQQGAGNAAGTWQGQGVRVDGLAGAANVQGQGGSTLGGSLPGVARVQGVPGNATPSASHKYLIETNPALTELKQFLNSDYLLSGLGMNPDDSKKRLGDGLYEQRLIRDAVVARTGQRYIDGLSSDEALFRYLMDNAIAYKDQLHLQLGVGLSAEQMAALTHDIVWLEEVEVNGEKVLAPVVYLAQAEGRLAPNGALIQGRDVKLVSGGDLHNVGTLRARNDLSATADNLDNSGLIEAGKRLDLLAGDSIRNRQGGVIAGRDVSLTALTGDVINERSVTRYDSALDGRTWERSFADSAARVEAANSLNVQAGRDIANLGGVLQSRGDLSLDAGRDVTVAAVEDRQGQTRWSTSRLQSVTQLGAEVSAGRDLNVSAGRDLTAVASTLEARRDIALSAGRDVTLAAAANEEHAYSKTRKVTYQEDKVAQQGTRVDAGGDLAINAGQDLRLIASQASAGDEAYLVAGDKLELLAANDSNYYLYDKKKKGDFGRKETRRDEVTDVKAVGSQISSGGDLTLLSGGDQTYQGAKLESGNDLAIVSGGAVTFEAVKDLHQESHEKSKGDLAWNSAKGKGQTDETLRQTQIVAQGNLAIKAVEGLKIDLKHIDQKTVSQTIDAMVQADPQLAWLKEAEQRGDVDWRMVQEVHDSWKYSNSGMGPATQIAVAIAAAAIGGMAAAGALSGAGVGASSFAMGAGVGAAGSLSGTAAVSLINNKGDLGKVLKDSFSSDSLKQIAIASLTGGLTAEYFDGILQTKTDPLTGKVTVDLSSLSGVGRFAANQAMQNATSTVLSQALGQGGSLNEALKSALYNSFAAAGFNFVGDIGQEYSLKPGDPSMVTMHALMGGLAAQVSGGDFATGAAAAGANEALVAKLDQAFKSLSPENREAMVTMGSQLVGVLAAAVRDPDVTGKALESAAWVAKNSTQYNFLNHQDVADLDNALQKCKSQGNCRQVEEEFKARSDENRRRLNGCVAVGNCAEIRAEIDAGSTALNELVARQETANPGGSDSDIAYGFLMGRNVVDWTTAGQLHLEQTANLWWNGNPQWQKEVGAYLDQTGFNPFGIGVPAMGGAAGKVTAKALMNALKAGELPKGEVAPGKANLPTIGALADAEAGMPYTHPVKLAAKATGTAGKIKIEAGAIPDANEVRAGQGLSGLGYDVTHQTTASAKGIQGQRTADLHVDGLGSIDVYTPKNLDPTKIVRAIEKKSNQAGGVLVQADLPSTDMSSIAARMWGKTNAQSIKTIFFQKPDGSLVRFDRPAGGG
VIMSS56527 1 1101 0.25323451407811 PF14331.6:ImcF-related_N:120:377,PF06761.12:IcmF-related:429:722,PF06744.12:IcmF_C:849:1049 hypothetical protein (NCBI) 1101 753 17 1078 1 Pseudomonas aeruginosa PAO1 VIMSS56527 1 MicrobesOnline MQSLAEVSAPDAASVATAEELATLKQRMDEALALLKRAKLGGSERRNLYELPWYVIIGPPGSGKTTALMNSGLDFPLAAQMGAGAIRGVGGTRNCDWWFTDEAVLLDTAGRYTTQDSHAQVDKAAWLGFLDLLKTQRKRRPIDGAFIAISLSDLLLGSDAERAAHAQAIRARIQELYQQLGVRFPIYVMLTKFDLVPGFMEFFDSLNREERAQVWGMTFALDDGKSAEGPLAVFDSEFALLEQRLTARLVERLQQERDPARRDLVYGFPQQFAALRECLGEFLNGVFKPNPYEERPLLRGLYFTSGTQEGSPIDRLIGSMAQSMNLDRQHLARQTGTGRSYFIERLFREVAFGERGLVGTNPKVERRRKWLTIGALSATALVVLAVTAVWIASYRANQSYIAAVDQRVDPLARGIESLSPAQRDVLAVLPQLNAVQNLAGDAPSWAEGYGLYQGDMLGEESASVYRKLLIAVFAPRLVTRIEEQLRSGGSSDFLYEGLKAYLMLGSPDHYDADFIKAWISLDWERNLPRDLSPEQRQALHAHLDALLERRPPSARLDQDLVEDLRRQLQQLPVAQRVYDRVKRQRLPKDVPDFRISDAAGRDAPLVFARKSGKPLTDPLSGFFTYRGYREVFLTASLSQAGTIAEEQWVLGRDLNDAGDAANLALDVRRLYFQDYLRQWDDLLADLTVVPITNVTQAADVLRILSGPTSPFRKLLEAVARETDLQKGDRLVAAQVKKAADGTVDKLKQRLGSLVGQEEEGAREQPRQVDSDPISAHFAELNSLVSKGEGGNEPAPIDSLLEDMNALYVQVSAMAGASGDSLLGDAKNQVAAAASRVALSAERQPPVVQGLVKNVVNSTTSSMMGSVRNQLNAAWISDVVSVYRQSLAGRYPIAAGSSRDATLEDFGHFFGAGGVMDSYFRQYLQPYVDTSASTWRWQPGAAQKLGINPGVLHTFQRAAAIRDAFFRSGGMQPTVRFELKPVTMDAAISQFILDLDGQQLTYDHGPSRPVAMQWPSANGLGVVRLTVTPPPSSGRSGLTLEGPWAWFRLLDQSDLERGNSPDRFTLRLRIDGSSIACELRASSAFNPFKSRVVSGFSLPERL
VIMSS56962 187 387 0.223200497512438 heme d1 biosynthesis protein NirJ (NCBI) 387 0 17 201 0 Pseudomonas aeruginosa PAO1 VIMSS56962 1 MicrobesOnline LMRELDVQKFYLSHLNYSGRGRRSRALDAHHRRTREALALLFERADQDIRQGRDSDFVTGNNDADAILLLDWLKRRRPQQLARLCELLLDWGGNASGEGIANIDNTGEVHPDSYWWHHSVGNIRHQRFADFWFERPDPLLLQLRQRPRPVVGRCSQCRWLDICNGNTRTRAWAGGELWGEDPGCYLSDQEIGLERIALHAV
VIMSS57068 1 108 0.28135462962963 PF04965.14:GPW_gp25:15:81 probable bacteriophage protein (NCBI) 108 67 17 108 0 Pseudomonas aeruginosa PAO1 VIMSS57068 1 MicrobesOnline MIGMDRRSGLPLSGLAHLKQSVEDILTTPLGSRRMRPEYGSKLRRMVDMPVSEGWKSAVQAEVARSLGRWEPRIGLSAVRVVAVVDGRVDLLLSGVFEGENINMEVSA
VIMSS57581 1 325 0.189507076923077 PF00535.26:Glycos_transf_2:31:173 rhamnosyltransferase 2 325 143 17 325 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) metacyc::MONOMER-15964 1 metacyc MDRIDMGVLVVLFNPGDDDLEHLGELAAAFPQLRFLAVDNSPHSDPQRNARLRGQGIAVLHHGNRQGIAGAFNQGLDALFRRGVQGVLLLDQDSRPGGAFLAAQWRNLQARNGQACLLGPRIFDRGDRRFLPAIHLDGLTLRQLSLDGLTTPQRTSFLISSGCLLTREAYQRLGHFDEELFIDHVDTEYSLRAQALDVPLYVDPRLVLEHRIGTRKTRRLGGLSLSAMNHAPLRRYYLARNGLLVLRRYARSSPLALLANLPTLTQGLAVLLLERDKLLKLRCLGWGLWDGLRGRGGALETNRPRLLKRLAGPAVASVASGKAKA
VIMSS57601 210 555 0.403843352601156 PF06958.12:Pyocin_S:206:345 pyocin S2 (NCBI) 689 140 17 346 0 Pseudomonas aeruginosa PAO1 VIMSS57601 1 MicrobesOnline ARKANVEKKVQSELDQAGNALPQLTNPTPEQWLERATQLVTQAIANKKKLQTANNALIAKAPNALEKQKATYNADLLVDEIASLQARLDKLNAETARRKEIARQAAIRAANTYAMPANGSVVATAAGRGLIQVAQGAASLAQAISDAIAVLGRVLASAPSVMAVGFASLTYSSRTAEQWQDQTPDSVRYALGMDAAKLGLPPSVNLNAVAKASGTVDLPMRLTNEARGNTTTLSVVSTDGVSVPKAVPVRMAAYNATTGLYEVTVPSTTAEAPPLILTWTPASPPGNQNPSSTTPVVPKPVPVYEGATLTPVKATPETYPGVITLPEDLIIGFPADSGIKPIYVMF
VIMSS58854 1 179 0.220799441340782 hypothetical protein (NCBI) 179 0 17 159 1 Pseudomonas aeruginosa PAO1 VIMSS58854 1 MicrobesOnline MSKAAVAQPVSPLRQFWLRWRFHLNVLLILIPLGFMPRYFADMALFSGSSGLGEREIGEVQVGPWSLKLAEWRIEPPHDEGPAGFMKDFNAALCQACIPQVKATYLRIGKPRSLRAAGVIFFGSPYRMGTSVPIPPRTRPDAELWITMEGWDGSMHQASIPLAEASPATVAWLNKRGKQ
VIMSS59841 1 715 0.137948251748252 PF12801.7:Fer4_5:496:542,PF12801.7:Fer4_5:597:643,PF04205.14:FMN_bind:85:172 regulatory protein NosR (NCBI) 715 182 17 585 6 Pseudomonas aeruginosa PAO1 VIMSS59841 1 MicrobesOnline MRALRFSAGSWRCVFAWMLLLVGLAAQGAELSELERLRIAQVFPAVERIGDPEGDYGVRRLSKGEETLGYAFQTLSVTDIPAYSGKPINLQVILDPQAVIRDAYVLEHHEPILLIGIPEEKLHAFSARYDGVRADQRVVVGRSSDPQAVTVDAVSGATVTVMVVNEIVMRAAHTVAVALGLIEDRGNVRPKPAQVRQQPAATASWSELLGNGAIRRLQLSRGQIDDAFKGSEAEGIGEADAAHRDEPFIDLYSALLNPPAVGRSLLGDNQYRELMASLKPGEYAFVVLGDGEYSFKGSGYVRGGIFDRVQLRQFGDIISFRDLDYQRLSDVYAEGMPEFREMAIFVARASQRFDPGSPWTLELLVRRQTGPVAGVFTSFELACQTPEEYLERPQPTAEELAALEEAARPLWLRVWYQKSFQVGVLCTALVLLLAILFLQDRLVRRPRLMQRLRTGYLAFTLVYLGWYSLGQLSVVNVLTFVHALFEGFRWELFLSDPLLFILWTFTAASLLLWGRGVFCGWLCPFGALQELLNELARKLRVPQFQVPFAVHERLWAIKYIILLVLFGLSLESLALAEQAAEVEPFKTAITLGFDRQWWFVAYAVALLVVNLFTRKVYCRYLCPLGAALAIPAKARLFDWLKRRAECGRPCQLCARECEIQAIHPDGRIEANECHYCLDCQMTYHDQDKCPPLVNKRKKRAKSAPADNARIPAENL
VIMSS636 1 838 0.243462291169451 PF04518.12:Effector_1:450:835 hypothetical protein 838 386 17 838 0 Chlamydia trachomatis D/UW-3/CX NP_220137.1 1 RefSeq MCSMNIFNKINSVSKDYTKIEELFLPTHKNKTFCMNQVMQFQKTEIERSAIQNVLSLLDLDNDVKGKYEQLVASLSSSAPTTTSQPSDESAVITYDPPSSNPLYNASKQAWVHNVLVGFLSVVNEAKTKATEIAGQQNPPQTDLKPLTDLFDSLTTLVDKANHRELSNEDLETFYLLPDQIFSAIQTFPFEGNQKVLFSNQLLDSFGEDSSVEQIFADIRIEGLQDTLNMVQSKLSATEFESFKELQMIIDTLREYVEPFNDEGFDTILQTSKDLSSAIINSSLSSNDKIELCRNIADLYRDQVLSIKNLDNVLNETIYINARNSSLFSNICSLVEFIMGSFAPIGLNETTIEVTNASIAGALQAVRAIDTRFHELTPEQKNLVNETVKKLDDFSGGNYIGAVWAYFTSATVISSKDSVTTDEVKQALTSQANTIVSDFSLAQTLKSTINKIVQENGKFKATVDGIERQYTIFGPPENDTSSNKATLNLILLNFGSIGFLPNITLAANNHAETSARAFFKFRALAQVESTKLDGTLQGSENFLQKINQLRTDLFSYQLLAQSYEIRSLPLPSAVASVLIDRYMPQEIDYLTQMKSDLYYSNFGSSVGNAMIEAIAQFVNGATYFNFASFAGQQPMTAMAQDTFPGSKETAETKLALEKQQAKLYLQYATQALKVVQEQMERVQNDKVITNEQRLRITDALKGYADNLNAISGSLVLLQVYLNPLSVGVRDGNQGGNDTGTDPKGTFHVFGGQDQWQARLEILEDALVSGLPSNIISGGLFPVQASVQSDQQAYADMGQNYQLEMQMHMTAMQQEWTVVATSLQILNQIYLGLTRKLAG
VIMSS6580212 95 468 0.461118983957219 Transcription factor ste11 468 0 17 374 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P36631 1 SwissProt KVSPSSGSFVASDYVVLQQIAQSSKTLKQTEPEKPVNEEETLAALLAPALSYPKSGKSNLIETSELSCLSSSPMIRSHTIPSLSFTDQVSTTISTLDKSEQAPSSLGIYYRSPSSGSPIGRTKSVCLANKARIVPKRSMSSDGCVDKSYQMSKTPSLEANLPQNSSNCSARRVPKFDSKGTVSEQSNSDSPELSADKVLSHCSPIDARPSTPSCPNASISPKTPNTGDHYGFDGAEYLGTPLSVGSTTAYLYGQETELLSTPYCHTSYPAMSRLNSSSGYTCVSSSSVTNSGHTENNTWRSDEQSKGFVDINSFSQSLFSNGNYEFAAHSQELDDLFSQITDFTSTDPIASSLKDANSLGPSLLEPWLPNSNLF
VIMSS6580881 1 552 0.276364673913043 PF00856.28:SET:37:335 Ribosomal lysine N-methyltransferase 3; EC 2.1.1.- 552 299 17 552 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38222 1 SwissProt MSVTFKDDVHRILKFVANCNGRFEDSKCDIRESPLGGLGVFAKTDIAEGESILTLNKSSIFSASNSSIANLLCDSSIDGMLALNIAFIYETTVFRNSSHWYPFLRTIRIRDDEGHLNLPPSFWHADAKRLLKGTSFDTLFDSLAPEEEIMEGFEIAVDLAHKWNDEFGLEIPKGFLDVSEENHEEDYNLKLEKFISVAYTLSSRGFEIDAYHETALVPIADLFNHHVSDPDLKFVSLYDVCDKCGEPDMCKHLIAEEYLEAENLDKNMPKVASMETRVIDEDLIKSLENDLEKEYSNVTANIEDDDGGIENPDECVDLVLKNDVAQGQEIFNSYGELSNVFLLARYGFTVPENQYDIVHLGPDFMKILKKEEKYQEKVKWWSQVGHGLFSAWYAQMRQEDEEDEDGQAKSDNLSDDIESEEEEEEEEGDDSLESWLSQLYIDSSGEPSPSTWALANLLTLTAVQWESLFSKKATPHISDSIVNEEKLPFLAKKDNPHSKKLLSNLLKEKQLPCIKGDNSSKITSATKSMLQNARTLVQSEHNILDRCLKRLS
VIMSS6580893 1 669 0.124150074738416 PF00501.28:AMP-binding:84:530 Very long-chain fatty acid transport protein 669 447 17 605 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38225 1 SwissProt MSPIQVVVFALSRIFLLLFRLIKLIITPIQKSLGYLFGNYFDELDRKYRYKEDWYIIPYFLKSVFCYIIDVRRHRFQNWYLFIKQVQQNGDHLAISYTRPMAEKGEFQLETFTYIETYNIVLRLSHILHFDYNVQAGDYVAIDCTNKPLFVFLWLSLWNIGAIPAFLNYNTKGTPLVHSLKISNITQVFIDPDASNPIRESEEEIKNALPDVKLNYLEEQDLMHELLNSQSPEFLQQDNVRTPLGLTDFKPSMLIYTSGTTGLPKSAIMSWRKSSVGCQVFGHVLHMTNESTVFTAMPLFHSTAALLGACAILSHGGCLALSHKFSASTFWKQVYLTGATHIQYVGEVCRYLLHTPISKYEKMHKVKVAYGNGLRPDIWQDFRKRFNIEVIGEFYAATEAPFATTTFQKGDFGIGACRNYGTIIQWFLSFQQTLVRMDPNDDSVIYRNSKGFCEVAPVGEPGEMLMRIFFPKKPETSFQGYLGNAKETKSKVVRDVFRRGDAWYRCGDLLKADEYGLWYFLDRMGDTFRWKSENVSTTEVEDQLTASNKEQYAQVLVVGIKVPKYEGRAGFAVIKLTDNSLDITAKTKLLNDSLSRLNLPSYAMPLFVKFVDEIKMTDNHKILKKVYREQKLPKGLDGNDTIFWLKNYKRYEVLTAADWEAIDAQTIKL
VIMSS6581026 1 148 0.539089189189189 PF05348.11:UMP1:25:146 Proteasome maturation factor UMP1 148 122 17 148 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38293 1 SwissProt MNIVPQDTFKSQVSTDQDKSVLSSAVPSLPDTLRQQEGGAVPLSTQLNDRHPLESTLKNWETTQRQRQMEQYRQIFGIAEPMKRTMEMEIVNRTDFNPLSTNGSIHRDILLNKECSIDWEDVYPGTGLQASTMVGDDVHSKIEKQLGI
VIMSS6581094 1 731 0.336289192886457 Protein RMD9-like, mitochondrial 731 0 17 731 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38330 1 SwissProt MIRLAQQTQVLKGKPPNQFVPHPTKNSLTHPMKFNGTIAMEHHEHNYAIPYTPATFNNPALATYQVSPANHFVPHFGGNIGANNNNHLAQNNSNNSNNHHNNNRNHHHNNNRNHHQNNHNHSKYNNSNQGNSISPDSPWFHKVCAFEDCVSQTLYMSQTPRRQNMKHHSEHPNSNANPLFWDSIGRAMGLYHDLLTTPELNSDRVSKLVHLLHNGLRANRNQLTRMNKKPDYDSQSFHKEMTNYLCKSLREISEDVLNGKVELNEYGAMHLITAFKELLLFEEAVDIWKAAINGQNTYTSNIFLNPRVVGVILPILYDNGVSYPEIQALYEKSSSMINYFHPNLSVGMIRASLSASENDMALKLFQKLCQESTEMKYGYLIETHLSFIGECKDLNVAQTFFDKALNDEMPYKIDLQVSYVKSFLRNIWSQTRDFNHIYQIWYKSSLHYGRNVNHGISSSLNDTFFDIFFENYAVDKMQGFQTLQNIIQTYNNIKHIDEPFFNIILAKCTVWHDRSILEYIDKSYEAYHIPKTIVAYRILLKSMGSVDDASNAEILQRWMDLIRKSDEIGQRFIANADWAALRDATVTWTQNDRDSKKSNMNSTQISRTATPSPSLTPMDTPAPEHLFNNPQNPMDFYSHPALQAATASGAFDEFAAEAASSSIPVDGRMVLYLKIVKRYSPYCRDSRQLARLTTGTAVKYSVLQEVLNQFQTLIVNDIPIPELHNLKPTCV
VIMSS6581161 1 458 0.0549644104803493 PF07690.16:MFS_1:3:191,PF06609.13:TRI12:22:359 Vacuolar basic amino acid transporter 3 458 357 17 211 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25594 1 SwissProt MNMLIVGRVVASVGGSGLQTLCFVIGCTMVGERSRPLVISILSCAFAVAAIVGPIIGGAFTTHVTWRWCFYINLPIGGLAIIMFLLTYKAENKGILQQIKDAIGTISSFTFSKFRHQVNFKRLMNGIIFKFDFFGFALCSAGLVLFLLGLTFGGNKYSWNSGQVIAYLVLGVLLFIFSLVYDFFLFDKFNPEPDNISYRPLLLRRLVAKPAIIIINMVTFLLCTGYNGQMIYSVQFFQLIFASSAWKAGLHLIPIVITNVIAAIASGVITKKLGLVKPLLIFGGVLGVIGAGLMTLMTNTSTKSTQIGVLLLPGFSLGFALQASLMSAQLQITKDRPEAAMDFIEVTAFNTFMKSLGTTLGGVLSTTVFSASFHNKVSRAHLEPYEGKTVDDMILYRLQNYDGSHSTIGNILSDSIKNVFWMDLGFYALGFLFCSFSSNKKLIIPKKDETPEDNLEDK
VIMSS6581164 1 175 0.186170285714286 PF04769.12:MATalpha_HMGbox:81:143 Mating-type protein ALPHA1; MATalpha1 protein; Alpha-1 activator 175 63 17 175 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P0CY06 1 SwissProt MFTSKPAFKIKNKASKSYRNTAVSKKLKEKRLAEHVRPSCFNIIRPLKKDIQIPVPSSRFLNKIQIHRIASGSQNTQFRQFNKTSIKSSKKYLNSFMAFRAYYSQFGSGVKQNVLSSLLAEEWHADKMQHGIWDYFAQQYNFINPGFGFVEWLTNNYAEVRGDGYWEDVFVHLAL
VIMSS6581259 1 512 0.104808203125 PF07690.16:MFS_1:42:414 Pantothenate transporter FEN2; Fenpropimorph resistance protein 2 512 373 17 249 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25621 1 SwissProt MMKESKSITQHEVERESVSSKRAIKKRLLLFKIDLFVLSFVCLQYWINYVDRVGFTNAYISGMKEDLKMVGNDLTVSNTVFMIGYIVGMVPNNLMLLCVPPRIWLSFCTFAWGLLTLGMYKVTSFKHICAIRFFQALFESCTFSGTHFVLGSWYKEDELPIRSAIFTGSGLVGSMFSGFMQTSIFTHLNGRNGLAGWRWLFIIDFCITLPIAIYGFIFFPGLPDQTSAVSKFSMTRYIFNEQELHYARRRLPARDESTRLDWSTIPRVLKRWHWWMFSLVWVLGGENLGFASNSTFALWLQNQKYTLAQRNNYPSGIFAVGIVSTLCSAVYMSKIPRARHWHVSVFISLVMVIVAVLIRADPLNPKVVFSAQYLGGVAYAGQAVFFSWANIICHADLQERAIVLASMNMFSGAVNAWWSILFFASDMVPKFERGCYALLATAISSGIVSVVIRSLQIKENLSKKQVPYIDANDMPGEDDDDDNQDNENDGDDESMEVELHNEEMAEISNPFR
VIMSS6581331 49 832 0.116385459183673 Regulator of drug sensitivity 1 832 0 17 784 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25611 1 SwissProt DLSANVAANDSDGFNSSHKLNFEQQPVLERTGLRYSLQVPEGVVNATLSIWNAEDMLVIVGLVTFLDYPFAAHSLAQHDQYIRALCASLYGMALVDFSNYANGIPCEDTSRSILGPLSFIEKAIFRRIEHSKQFRVQSAALGLLYNAFSMEEENFSTLLPSLIAEVEDVLMQKKDCEILLRCFYQNIYPFYPFMDISLFESDLTSLLLQDDNNRWKISTEVKNVRKKIETLSLLTIVMAMALMHSKLDANLLSMVKENASESARKLSLLCHKLLCLLDVFRYPNENTFTCLLYFYVSEHLDPESPDCVLSPTNLLTLHHLLNLSMTLGLQYEPSKYKRFKDPEVIRQRRILWLGVQSLLFQISLAEGDAGKSNSEYMEAYLTDFEEYIEASSEYEKSSASESNVQMNDIVWNKYKFHVILSKLMSDCTSVIQHPQLFHILGNIKRSEDFMAENFPTSSIYQPLHEKEPNAIKVGKSTVLDVMDIQKTEIFLTNIVGSMCFLNIFDVLSLHFEKKCVMHWEEYEKNYHFLTLKSFNAYLKLAGLISDYLENKFQGNILESRGYIIDKQICFMLVRIWMFQCRILLRFSYKQESQKKLASSSISTNDNEKEDEMIVILERLIKHIRNQMAHLVDLAKGKLQDSYFGAYQTVPMFRYVVYLIDVGGLVSVTNGFWDKISSDGEIPPKVQQAVRLKWGLDCNNSRRIKQKLISSQSLQSFNQVLLCQMEDAVLSSSFAIKANTAMSQNTAEEFFNISEEEALNQLLENNNFDAFWDLLGENLSDMPSL
VIMSS6581461 1 353 0.526411898016997 PF08574.10:Iwr1:152:220 RNA polymerase II nuclear localization protein IWR1; Interacting with RNA polymerase II protein 1 353 69 17 353 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07532 1 SwissProt MSTISTTTAPEFIRVKRRRDEDSVQALLIDEGKRVKKQKFIFKLSKTVSSESYQSEQESSTPLLKLAHEDHRHFVLEQRKKSRRDSDDEKSQQRLAAEGSTVDDDGLPPEINQMVNDYLKLNKGVEKTERKKPSRKYFTGDSAKIASLPSLDYVFDIYHLEKIHDDEVARYNNEKNIGFVKIIEHIDLALDEESDPNEARSDDEDSNDENYYQNDYPEDEDDDRSILFGSEGEDIAALGEEIVIGVNKSRFSSWNDDKIQGSNGYHDVEEEYGDLFNRLGGKSDVLKSINSSNFIDLDGQEGEIEISDNEDDSDEGDDIEYPRNEFFPTDVDDPLAHHRDRIFHQLQKKINRS
VIMSS6581646 1 527 0.123332637571157 PF14378.6:PAP2_3:180:311,PF01569.21:PAP2:436:483 Inositolphosphotransferase 1; Mannosyl diphosphorylinositol ceramide synthase; EC 2.-.-.- 527 180 17 349 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38954 1 SwissProt MNVIFSLASFVKNMYNASLNQRNLISLPFNFMLNFAPVFIWLSIFKRAGLIPIRLRPDIHSKFAFFADQFLFGDYWHELTVQLPDNTSKLFFWSFISSSAFLLVFLICIPFAIWYYIYYIKHVNYNLLEWFANIFHYPCKRKQRPIQKRFRTIFIPFALPLFTFVILNIDHFFAYQSDANFTKTKDLLAWFSYVILHLTAPILTAVYLYVFQPPGTLKCFSFALGLQNIAGVLTHLLVPMASPWFTHLYGIDDTEHVNYTQEGFAAGLIRVDSHLGTHLNTKGFHMSPIVFGAVPSLHSAIAFQCFLFLVSRSTSLKHRFSNAGGFTMHNNDSSTFKLSEEDSEDEGDNSIPPTIGPNDLEMEPLGTVEPVDISNERSSSPSSSFTVSSNERSTGGGDGSIINSNGNKKPLQFVHLYDEDTNFTNKWIFKIVNDGFIPKFWAILYIILQWWATMYLDHHYRFDLFVGVLYAMTSFIIINWFVLQPKVLKKWIHIRLGDKVDTRNEARTFGMRVFCGTKMEWFFDPLA
VIMSS6581751 1 702 0.589964957264957 PF10198.9:Ada3:506:649 Chromatin-remodeling complexes subunit NGG1; Transcriptional adapter 3 702 144 17 702 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32494 1 SwissProt MPRHGRRGKLPKGEKLPKKEGGDNTPSKLLSSMLKTLDLTFERDIGMLNGKSVRSIPNKKTLLELQSQLDSLNEILGTIARGDQETIEALRKIRDSKNEKQANDEKQETSNADGQHESSTATEETNIIDKGVQSPPKPPPSNEISGTIENDVESIKQAADNMAKEEINEDKDLQVHRDQPREKRPFDSETENRATENENTQRPDNKKQKIDVDKMENDPTVKNPKSEFVVSQTLPRAAAALGLFNEEGLESTGEDFLKKKYNVASYPTNDLKDLLPGELPDMDFSHPKPTNQIQFNTFLAFVENFFKDLSDDNLKFLKMKYIIPDSLQFDKTYDPEVNPFIIPKLGPLYTDVWFKDENDKNSAYKKPSPYSNDASTILPKKSANELDDNALESGSISCGPLLSRLLSAVLKDDNDKSELQSSKIIRDGGLPRTGGEDDIQSFRNNNNDTVDMTLSQENGPSVQTPDNDIDEEASFQAKLAENKGSNGGTTSTLPQQIGWITNGINLDYPTFEERLKRELKYVGIYMNLPKDENNPNSDDPDWVTGREDDEISAELRELQGTLKQVTKKNQKRKAQLIPLVERQLAWQEYSSILEDLDKQIDQAYVKRIRVPKKRKKHHTAASNNVNTGTTSQIAQQKAANSSLKSLLDKRQRWINKIGPLFDKPEIMKRIPNESVFKDMDQEEDEDEADVFAQNTNKDVELN
VIMSS6582454 1 540 0.0698559259259259 PF00083.24:Sugar_tr:48:490,PF07690.16:MFS_1:34:359 Putative transporter, member of the sugar porter family; YFL040W is not an essential gene (RefSeq) 540 457 17 274 12 Saccharomyces cerevisiae VIMSS6582454 1 MicrobesOnline MTAMKAIVWRLPKMPKIKITKTYEVTKITAILTLVGFIMGLEVPSLATFLTNKTFNEYFKYPTPLQQGLLMGSTPLGGIMGCFICCIMNDRFSRIYQFQSGIIIWNIVTLLNFCIWDILGLLICRMIKGMILGNFSILVASYANEVIPRGKRGSTMSYIQLCLTIGILVMHYLCIALSLWDSHFAFRIAWCIGIIPGLLFWMASYALPESYHWLVLHGKMSEAQEIQHNLAKKFNESQPRDAVPEMSKIELAGDFWIGVNDLDFSKKLPRGSFKPLILGMTLQLLVQFSGINIILGYITYICEIVGLEGNVKLFTSSIPYFINMVLSLLPITFIDYTSRKLITLLGGFPISGLLITIGALFVKYGQDTKPIDGNRSLVWSIGENPFVGGWILTLCFLIVGIFAMSLSSIPWVYTNEMLPSRVKVKGFAICVTFGWLGNFILTFLCPVMIERLKGTTFIIFGSLTFLISLSVLIWFPETKGMSIEDIDKFFEFESKEGTNLHGEKGIKTPDSNSNGGSTRSSQEGQLHKPIKLKSDEEMII
VIMSS6582458 1 755 0.278353907284768 PF14700.6:RPOL_N:415:731 DNA-directed RNA polymerase 1351 317 17 755 0 Saccharomyces cerevisiae S288C NP_116617.1 1 RefSeq MLRPAYKSLVKTSLLQRRLISSKGSKLFKPSPDSTSTILISEDPLVTGSSPTSSTTSGIISSNDFPLFNKNRKDAKSSISYQWKNPSELEFDPFNKSHASAVTSMTRTRDVMQLWSLLEACLQSNLMKRAFSILESLYLVPEHKQRFIEDYNMYLNSFSKNDPNFPILKMNEKLTNDLETSFKDVNYNDKTLAIMIHHALNFHSTTSSMLLKPIISAYLKMSVNGIREIFSCLDILTISDLNILMNDLKVITPSQLPNSVRPILESLTLSPTPVNNIENEEGLNKVEAENDSKLHKASNASSDSIKKPSLDPLREVSFHGSTEVLSKDAEKLIAVDTIGMRVIRHTLLGLSLTPEQKEQISKFKFDANDNVLKMKPTKNDDNNNSINFFEIYNSLPTLEEKKAFESALNIFNQDRQKVLENRATEAARERWKHDFEEAKARGDISIEKNLNVKLWKWYNEMLPLVKEEINHCRSLLSEKLSDKKGLNKVDTNRLGYGPYLTLIDPGKMCVITILELLKLNSTGGVIEGMRTARAVISVGKAIEMEFRSEQVLKSESQAFRDVNKKSPEFKKLVQNAKSVFRSSQIEQSKILWPQSIRARIGSVLISMLIQVAKVSVQGVDPVTKAKVHGEAPAFAHGYQYHNGSKLGVLKIHKTLIRQLNGERLIASVQPQLLPMLVEPKPWVNWRSGGYHYTQSTLLRTKDSPEQVAYLKAASDNGDIDRVYDGLNVLGRTPWTVNRKVFDVVSQVWNKGEGFL
VIMSS6582613 125 316 0.546882291666667 Vacuolar morphogenesis protein 7 316 0 17 192 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32912 1 SwissProt VSQEKSQQHLETADEVGWDEMIRDIKLDLDKESDGTPSVRGALRARTKLHKLRERLEQDVQKKSLPSTEVTRRAALLRSLLKECDDIGTANIAQDRGRLLGVATSDNSSTTEVQGRTNNDLQQGQMQMVRDQEQELVALHRIIQAQRGLALEMNEELQTQNELLTALEDDVDNTGRRLQIANKKARHFNNSA
VIMSS6582706 1 265 0.160848301886792 Uncharacterized protein YGL117W 265 0 17 265 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53133 1 SwissProt MQPISIKDVESDQGKVYIVNALKDLVCKCLLEFVDIQIESFMYPDDPKCFTRIFKGNKIVNEASDKDSKVRSYPSSLGVGHSALFPLIYIRQKTNSLRFLNDPKQLPTPLVDDMNAKFKGIIKVYENLIHLYHSYQTVDCNNMNQQKLLGDLVSRGNFMLDILHGYVTIASTIVRDSKDANILIDTVNRFIHDTILFHKRIIHNSNAYTEYHVMKRGMQRNQSEETLVELEFRILDVSDVNLDNEFDDFLQHRKTSLKITHRRVI
VIMSS6582729 1 577 0.217967937608319 PF00995.23:Sec1:33:571 Vacuolar protein sorting-associated protein 45 577 539 17 577 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38932 1 SwissProt MNLFDVADFYINKIVTSQSKLSVANVNEHQRIKVLLLDKNTTPTISLCATQSELLKHEIYLVERIENEQREVSRHLRCLVYVKPTEETLQHLLRELRNPRYGEYQIFFSNIVSKSQLERLAESDDLEAVTKVEEIFQDFFILNQDLFSFDLQPREFLSNKLVWSEGGLTKCTNSLVSVLLSLKIKPDIRYEGASKICERLAKEVSYEIGKNERTFFDFPVMDSTPVLLILDRNTDPITPLLQPWTYQSMINEYIGIKRNIVDLSKVPRIDKDLEKVTLSSKQDAFFRDTMYLNFGELGDKVKQYVTTYKDKTQTNSQINSIEDIKNFIEKYPEFRKLSGNVAKHMAIVGELDRQLKIKNIWEISEIEQNLSAHDANEEDFSDLIKLLQNEAVDKYYKLKLACIYSLNNQTSSDKIRQLVEILSQQLPPEDVNFFHKFKSLFSRQDKMTQSNHDKDDILTELARRFNSRMNSKSNTAENVYMQHIPEISSLLTDLSKNALFRDRFKEIDTQGHRVIGNQQSKDIPQDVILFVIGGVTYEEARLVHDFNGTMNNRMRVVLGGTSILSTKEYMDSIRSAK
VIMSS6583328 1 620 0.450501129032258 PH domain-containing protein YHR131C 850 0 17 620 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38835 1 SwissProt MALPIEGKLSMANNRIERLKSPSSSSTCSMDEVLITSSNNSSSICLETMRQLPREGVSGQINIIKETAASSSSHAALFIKQDLYEHIDPLPAYPPSYDLVNPNKEVRFPIFGDTAPCPKSSLPPLYAPAVYELTLISLKLERLSPYEISSNRSWRNFIIEINSTQLNFYHIDESLTKHIRNYSSGETKSEKEDRIHSDLVHRSDQSQHLHHRLFTLPTRSASEFKKADQERISYRVKRDRSRYLTDEALYKSFTLQNARFGIPTDYTKKSFVLRMSCESEQFLLRFSHIDDMIDWSMYLSIGISVSLDLEVREYPDYRIVPRRRRRRRRRRRRRRHTHRSESSMGSFSQRFIRSNSRPDLIQRYSTGSSTNNNTTIRERSNTFTAGLLDHYCTGLSKTPTEALISSAASGESSDNSTLGSTRSLSGCSASRSIASRSLKFKIKNFFRPKNSSRTEKLHRLRSNSSNLNSVIETEEDDEHHESSGGDHPEPGVPVNTTIKVERPMHRNRAISMPQRQSLRRAISEEVVPIKFPNSTVGESVHSPSPIEHLSVDGCEIMLQSQNAVMKEELRSVASNLVANERDEASIRPKPQSSSIYLSGLAPNGESATDLSQSSRSLCLT
VIMSS6583485 1 542 0.0718214022140222 PF07690.16:MFS_1:68:367,PF00083.24:Sugar_tr:70:242 Quinidine resistance protein 2 542 300 17 272 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40474 1 SwissProt MAGATSSIIRENDFEDELAESMQSYNRETADKLALTRTESVKPEPEITAPPHSRFSRSFKTVLIAQCAFTGFFSTIAGAIYYPVLSVIERKFDIDEELVNVTVVVYFVFQGLAPTFMGGFADSLGRRPVVLVAIVIYFGACIGLACAQTYAQIIVLRCLQAAGISPVIAINSGIMGDVTTRAERGGYVGYVAGFQVLGSAFGALIGAGLSSRWGWRAIFWFLAIGSGICFLASFLILPETKRNISGNGSVTPKSYLNRAPILVLPTVRKSLHLDNPDYETLELPTQLNLLAPFKILKAYEICILMLVAGLQFAMYTTHLTALSTALSKQYHLTVAKVGLCYLPSGICTLCSIVIAGRYLNWNYRRRLKYYQNWLGKKRSKLLEEHDNDLNLVQRIIENDPKYTFNIFKARLQPAFVTLLLSSSGFCAYGWCITVKAPLAAVLCMSGFASLFSNCILTFSTTLIVDLFPTKTSTATGCLNLFRCILSAVFIAALSKMVEKMKFGGVFTFLGALTSSSSILLFILLRKGKELAFKRKKQELGVN
VIMSS6583544 1 257 0.203704280155642 PF13847.6:Methyltransf_31:92:241,PF13649.6:Methyltransf_25:97:175,PF08241.12:Methyltransf_11:98:208,PF08242.12:Methyltransf_12:98:205,PF13679.6:Methyltransf_32:79:155,PF13489.6:Methyltransf_23:73:210,PF05175.14:MTS:81:167,PF02353.20:CMAS:89:222 Protein-lysine N-methyltransferase EFM4; Elongation factor methyltransferase 4; Secretion and early endocytosis protein 1; EC 2.1.1.- 257 169 17 257 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40516 1 SwissProt MKRSEKKSMSSALKNGIMERTQPEKVVQMQGTADLSTSKLGTKKYWDELYALELENFRRNPQDTGDCWFSDSDAEQKMIDFLVDNIGAYRISENASVVDLGTGNGHMLFELHQTEFQGKLVGIDYSEESVKLASNIAEATGVDNFISFQQADIFSGDWKPGKYDIVLDKGTLDAISLSGMKINGKLDVVDVYAGVVERILKKDGIFLITSCNFTQDELVKIIETDNLKMWKTIKYPVFQFGGVQGATICSVAFVKQN
VIMSS6583566 1 326 0.398937423312884 PF10455.9:BAR_2:28:297,PF03114.18:BAR:140:294 Protein GVP36; 36 kDa Golgi vesicle protein 326 270 17 326 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40531 1 SwissProt MSFNAFASSLSKKLQEISTSVSEKTQELPSLAQSTQRMVQERLGQVTDISQLPREYTELEDKVDTIKLIYNHFLGVTAIYENGSYDYPKYINESVNEFSRSVASKLTELTHATSASEAQNILVAPGPIKEPKTLNYALSKVALNSSECLNKMFPTEEQPLASALLQFSDVQAKIAQARIQQDTLIQTKFNKNLRERLSFEIGKADKCRKDVHSMRLRYDVARTNLANNKKPEKEASLRVQMETLEDQFAQVTEDATVCLQEVISHANFSEDLKELAKAQAEYFETSAGLMKEFLSNSFAEEPEAKPEVAEEEKPQTAISMNDEDDA
VIMSS6583941 1 935 0.207993368983957 PF04991.13:LicD:470:720 Putative protein of unknown function; non-essential gene with similarity to Mnn4, a putative membrane protein involved in glycosylation; transcription repressed by Rm101p (RefSeq) 935 251 17 912 1 Saccharomyces cerevisiae VIMSS6583941 1 MicrobesOnline MMLSLRRFSMYVLRSLRLHFKKIIITLLTIQLLFITIFVLGGRSSIIDGNWKSFMALFFKPLAYTNRNNNHASFDLRSKDNVAKLYEKMNFDTSGKWIDTYTLKNNLLTVKMGPEKGQVLDSVDELRYYDNDPRLVWSVLLDHLLESDSNEYAFSWYDWANFDSTNKLIALRHTNISCQFVCEGAFDKNVLEMVESEVQEPLFVTNRNKYDESLWYNRVRKVVDSNSVQQAIHDHCMNNDAYSNGTPFELPFIISEISERLRPEVYDLQAKNHLLYSNFTPLSLTVLDSDKDAYRINLKTTDSSKSNIVQTNLLQNYIKRHRNEMVNGDLIFNHTSMFEKFLHHGSTKKRKLDVEALDKTIYAGEYLELSPSDFQFNAKERIIELETRLRSEGLPSHDTHYLRSLKTSVNTSPALQQKYFAEASDITDATADGHHRDRRFFSIGHNLLNDPQEFEARLNSLIRNFQKFVKANGLISWLSHGTLYGYLYDGLKFPWDVDHDLQMPIKHLHYLSQYFNQSLILEDPREGNGRFLLDVGSAITVGVHGNGENNIDARFIDIDSGIYIDITGLSVSSDAAKQYMSKFVEEESSGESFSALIEDYKFDENDYFDEVDGREGLAKYTIHELMEWVNSHPDDFTDAEKNLVTKTYKKELAISRSDYAEKDLSPKQRYLVNEKYNLYNCRNQHFSSLNIISPLRNTMFSGVSAFVPNRPIATLNNEYKVPAKYGLLSFQGKVYLPEFRYWFSFADMKKFANLQLKEPKITRLESPLNDLKFSDISLLITNILKCGFHSVFASLFNSFDSTVYRLKELEIQYDPSLSEEEKSSLLKTLRRGMSKKIKSPEKDPIIYIYERKLWENVEKLLNASNIYNIASQVEKEKGKEFVERSQQVYERNFDGFRLPDGGNSKTVNDLNSKGLNLFGDNKKTSNNIFGSDQKY
VIMSS6583970 106 327 0.613116666666667 PF05182.13:Fip1:75:115 Pre-mRNA polyadenylation factor FIP1 327 41 17 222 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P45976 1 SwissProt ATSSSKDVISVATDVSNTITKTSDERLITEGEANQGVTATTVKATESDGNVPKAMTGSIDLDKEGIFDSVGITTIDPEVLKEKPWRQPGANLSDYFNYGFNEFTWMEYLHRQEKLQQDYNPRRILMGLLSLQQQGKLNSANDTDSNLGNIIDNNNNVNNANMSNLNSNMGNSMSGTPNPPAPPMHPSFPPLPMFGSFPPFPMPGMMPPMNQQPNQNQNQNSK
VIMSS6584030 384 1161 0.429994601542416 Cell wall protein DAN4; Delayed anaerobic protein 4 1161 0 17 778 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47179 1 SwissProt SVEPTRSSQVTSSAEPTTVSEFTSSVEPTRSSQVTSSAEPTTVSEFTSSVEPTRSSQVTSSAEPTTVSEFTSSVEPTRSSQVTSSAEPTTVSEFTSSVEPTRSSQVTSSAEPTTVSEFTSSVEPIRSSQVTSSAEPTTVSEVTSSVEPIRSSQVTTTEPVSSFGSTFSEITSSAEPLSFSKATTSAESISSNQITISSELIVSSVITSSSEIPSSIEVLTSSGISSSVEPTSLVGPSSDESISSTESLSATSTFTSAVVSSSKAADFFTRSTVSAKSDVSGNSSTQSTTFFATPSTPLAVSSTVVTSSTDSVSPNIPFSEISSSPESSTAITSTSTSFIAERTSSLYLSSSNMSSFTLSTFTVSQSIVSSFSMEPTSSVASFASSSPLLVSSRSNCSDARSSNTISSGLFSTIENVRNATSTFTNLSTDEIVITSCKSSCTNEDSVLTKTQVSTVETTITSCSGGICTTLMSPVTTINAKANTLTTTETSTVETTITTCPGGVCSTLTVPVTTITSEATTTATISCEDNEEDITSTETELLTLETTITSCSGGICTTLMSPVTTINAKANTLTTTETSTVETTITTCSGGVCSTLTVPVTTITSEATTTATISCEDNEEDVASTKTELLTMETTITSCSGGICTTLMSPVSSFNSKATTSNNAESTIPKAIKVSCSAGACTTLTTVDAGISMFTRTGLSITQTTVTNCSGGTCTMLTAPIATATSKVISPIPKASSATSIAHSSASYTVSINTNGAYNFDKDNIFGTAIVAVVALLLL
VIMSS6584099 1 919 0.0858648531011969 PF04987.14:PigN:442:874,PF00884.23:Sulfatase:225:312,PF01663.22:Phosphodiest:96:277 GPI ethanolamine phosphate transferase 1; Morphogenesis checkpoint-dependent protein 4; Supersecretion of u-PA protein 21; EC 2.-.-.- 919 650 17 630 14 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36051 1 SwissProt MWNKTRTTLLAVGVLFHLFYLWSIFDIYFISPLVHGMSPYQSTPTPPAKRLFLIVGDGLRADTTFDKVTHPVSGKTEFLAPFIRSLVMNNATYGISHTRMPTESRPGHVAMIAGFYEDVSAVTKGWKSNPVNFDSFFNQSTHTYSFGSPDILPMFKDGASDPNKVDTWMYDHTFEDFTQSSIELDAFVFRHLDQLFHNSTLNSTLDYEIRQDGNVFFLHLLGCDTAGHSYRPYSAEYYDNVKYIDDQIPILIDKVNKFFADDKTAFIFTADHGMSAFGSHGDGHPNNTRTPLVAWGAGLNKPVHNPFPVSDNYTENWELSSIKRNDVKQADIASLMSYLIGVNYPKNSVGELPIAYIDGKESDKLAALYNNARSILEQYLVKQDEVIDSQFFYKEYFKFVEKSHSHYLEEIETLIQRISEGENYLEQEAITLTEELMQITLEGLHYLTTYNWRFIRTIVTFGFVGWIFFSFIIFLKSFILENVIDDQKASPLSHAVFGSIGILLNWILFYQHSPFNFYMYLLFPLYFWSYIFTNRSVLRSGIKEFFKGTSPWKRVLITISIISVYEGIVYGFFHRWTFTLITNILAFYPFICGVRELSVNILWIITSVLLSTFTLFDAVKIEDLNQIHLAGLLIILSAFYALYKIHSRINSYTRAIFAIQISLVAAMLAVTHRSVISLQLRQGLPRESQVAGWIIFFVSLFVMPILHYRKPNNDYKVRLLIIYLTFAPSFIILTISFESLFYFLFTSYMVQWIEIENKIKEMKTQKDENWLQVLRVSVIGFFLLQVAFFGTGNVASISSFSLESVCRLLPIFDPFLMGALLMLKLIIPYGLLSTCLGILNLKLNFKDYTISSLIISMSDILSLNFFYLLRTEGSWLDIGITISNYCLAILSSLFMLILEVLGHVLLKNVIIQDKTKKTQ
VIMSS6584165 1 239 0.377956485355649 PF00399.19:PIR:194:211 Cell wall protein CWP1; Glycoprotein GP40 239 18 17 239 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P28319 1 SwissProt MKFSTALSVALFALAKMVIADSEEFGLVSIRSGSDLQYLSVYSDNGTLKLGSGSGSFEATITDDGKLKFDDDKYAVVNEDGSFKEGSESDAATGFSIKDGHLNYKSSSGFYAIKDGSSYIFSSKQSDDATGVAIRPTSKSGSVAADFSPSDSSSSSSASASSASASSSTKHSSSIESVETSTTVETSSASSPTASVISQITDGQIQAPNTVYEQTENAGAKAAVGMGAGALAVAAAYLL
VIMSS6584561 1 251 0.181825896414343 PF00117.28:GATase:104:212 Putative glutamine amidotransferase YLR126C; EC 2.4.2.- 251 109 17 251 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12288 1 SwissProt MTVKKIAILYTDEDNEWSKPWGNFVDMAIKLLEQTRKLECIAEDVEYEVFHVQKNVFPQLSDLQKDEYLGIYITGSKYDSFDNEIEWIMKLRSFLNEMLTSKTEYPPVAGICFGHQVIAAALGSSVGRNPKGFEGGVVSLKLNSVGQKLFGAQELNLSEVHSDCVFDVPEGYQNWASSEKCQNQGFYRQNRVLTFQGHPEFNSDVAQKGLLKSQDKLTLEEFNRYERQCQELDNNGIQAARNIWRLFLQKI
VIMSS6584709 1 321 0.0122420560747664 PF04161.13:Arv1:2:258 Protein ARV1 321 257 17 252 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06541 1 SwissProt MICITCMRPVDSLYTVYSNDHIQLTDCPYCQETVDKYVEIDNVLLFIDLLLLKAGAYRHLVFNALELHLSKYPKRKALNDCQCLRDYTQALLFNVKNWFCKYDRLNRLWLLLLSFEIYLTWVTEESKYIYYLNRNNNDGKLIMLSKKLPESFKWDSAIMRNTITSKVFTWSPPIQYLYFASYCILDVSLFHTFTQYFILKKLHWKHYSVSSKDVISYTILLSYGAKIFPILMLIWPYDTLISMSIIKWVANLYIIESLKIVTNLSYWNIIKIFISVSLLRYFMVKPILIVFVAKFNFSVIKNLIHQEFILLLQKSGTYLLL
VIMSS6584722 136 1502 0.427833430870519 Heme-responsive zinc finger transcription factor HAP1; CYP1 activatory protein; Heme activator protein 1 1502 0 17 1367 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P0CE41 1 SwissProt SSNSLKSYNTPESSNLFMGSDEHTTLVNANTGSASSASHMHQQQQQQQQQEQQQDFSRSANANANSSSLSISNKYDNDELDLTKDFDLLHIKSNGTIHLGATHWLSIMKGDPYLKLLWGHIFAMREKLNEWYYQKNSYSKLKSSKCPINHAQAPPSAAAAATRKCPVDHSAFSSGMVAPKEETPLPRKCPVDHTMFSSGMIPPREDTSSQKRCPVDHTMYSAGMMPPKDETPSPFSTKAMIDHNKHTMNPPQSKCPVDHRNYMKDYPSDMANSSSNPASRCPIDHSSMKNTAALPASTHNTIPHHQPQSGSHARSHPAQSRKHDSYMTESEVLATLCEMLPPKRVIALFIEKFFKHLYPAIPILDEQNFKNHVNQMLSLSSMNPTVNNFGMSMPSSSTLENQPITQINLPKLSDSCNLGILIIILRLTWLSIPSNSCEVDLGEESGSFLVPNESSNMSASALTSMAKEESLLLKHETPVEALELCQKYLIKFDELSSISNNNVNLTTVQFAIFYNFYMKSASNDLTTLTNTNNTGMANPGHDSESHQILLSNITQMAFSCGLHRDPDNFPQLNATIPATSQDVSNNGSKKANPSTNPTLNNNMSAATTNSSSRSGSADSRSGSNPVNKKENQVSIERFKHTWRKIWYYIVSMDVNQSLSLGSPRLLRNLRDFSDTKLPSASRIDYVRDIKELIIVKNFTLFFQIDLCIIAVLNHILNVSLARSVRKFELDSLINLLKNLTYGTENVNDVVSSLINKGLLPTSEGGSVDSNNDEIYGLPKLPDILNHGQHNQNLYADGRNTSSSDIDKKLDLPHESTTRALFFSKHMTIRMLLYLLNYILFTHYEPMGSEDPGTNILAKEYAQEALNFAMDGYRNCMIFFNNIRNTNSLFDYMNVILSYPCLDIGHRSLQFIVCLILRAKCGPLTGMRESSIITNGTSSGFNSSVEDEDVKVKQESSDELKKDDFMKDVNLDSGDSLAEILMSRMLLFQKLTKQLSKKYNYAIRMNKSTGFFVSLLDTPSKKSDSKSGGSSFMLGNWKHPKVSNMSGFLAGDKDQLQKCPVYQDALGFVSPTGANEGSAPMQGMSLQGSTARMGGTQLPPIRSYKPITYTSSNLRRMNETGEAEAKRRRFNDGYIDNNSNNDIPRGISPKPSNGLSSVQPLLSSFSMNQLNGGTIPTVPSLTNITSQMGALPSLDRITTNQINLPDPSRDEAFDNSIKQMTPMTSAFMNANTTIPSSTLNGNMNMNGAGTANTDTSANGSALSTLTSPQGSDLASNSATQYKPDLEDFLMQNSNFNGLMINPSSLVEVVGGYNDPNNLGRNDAVDFLPVDNVEIDGVGIKINYHLLTSIYVTSILSYTVLEDDANDEK
VIMSS6584754 1 355 0.218145915492958 PF13324.6:GCIP:52:327 Uncharacterized protein YLR287C 355 276 17 355 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05881 1 SwissProt MSTGSSDRKDDVKLLELLNSIDEQFLVPYKKPEDLRKISSTTKLQGSTPTKELDKLASVLKAHCTKIGIVCKPGTFDNNHKVVITEIQNFSRPLFYLLSLFPLFYNNKDCPKYFTDQLDESTLQLLDGLRDFIAELQERLKNDENASLDKERLTSVGKIFNACDSLSNCSKAGPYGILANILKDNVAIMDDTMNEIKEWLEEPDFSANSDDIFLDFEDSESESDSQKEEFDQEKVYENIKLFFDGFTRKIKLIKLLVSTFRKTLVSKDFTPKRNQAETLDSIHTYLKEIQLLLDEVVSTVQFEPKNFTNEEVKEEQAALVAVTKKVLIQMSKLYEGDPKRKKWIDTWEIKFNELF
VIMSS6584779 1 398 0.26988216080402 Autophagy-related protein 39 398 0 17 398 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06159 1 SwissProt MSEEDDHWNLVRLRRLRKGREGEEQSSKSEISLDSLHESSFAGEDDEDFDADVLSNTSSEESAQMNRIYDFRTSNEFSNAGVNIDQTGVPTISESFDTLSGSNVGGTVLPSMEGSKLKDSTIRNSSTLSDHIIDKSEGKSAKLKMWHVIMLSSLLSMTFSYLALEYSLTGDVLAGFKSQQSLRNNERKLLYGNIDFVDKKSYDSSSDSLSQWAPSGKYYVDFDNHIAYPLKDDDLMGWRRYKTDLVILWYTTKARMKDGWHKRINKINGGRIKLHLFLKNSFKSAQESLRVLHKEQKRRWKRLFVLLHNKYRQFSPHIKRYFDHSCQKAKQCWSGSRLQLRKLRFKSMKPFRVFQFKVRKDTNWFVKQLKRFGLKLQHSRMYKAMSECRKKNYFKCKH
VIMSS6585096 1 519 0.532336608863199 PF09073.10:BUD22:79:519 Bud site selection protein 22 519 441 17 519 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04347 1 SwissProt MPSESSVSIYKLDQLEYQYHYLTKSLQKFEPRYPKTAKLYNCIGKKNKKKIEKLLNSLELKTLDKELDESYSKLLNNKIHYYETHLSKCIKEQIQKISKKNSSKVKDAQKNKSPSIDIEKMLATQLSLDDLALFMTRFRLIKILHQRIKQKSKKIEGDTNNKTWLNNNDYSGYINDKTSKWNPSNIWNEVITKLPSCEKLNALIGQSKIVQNLTESFDLSICLIFGFDVSAMKAKKYGAREKTANANQTHSNIDYDTDDGNEKNAIDSKSNAIGAQTQSNKETTSDNEDLLIKEYEGMLGSSGDEGEGGGYLNPNINYNEVTDEEPSEASSDEDDSDERFSDSEENEPRRKKPKLHNLPELMAGYYSGNDTEEESDEDNKNVKGKKKKRDTAEDRTAREQMSNEPKRKNRRGQRARRKIWEKKYGSQAKHVQRELEKEMEDRKQRQIEYEARVAKREAKAASLEASRSREREDRRTETNNKKEKESASTGEEHPSWIAKRLAEEKLQKAKFEGKKIKFD
VIMSS6585468 1 533 0.183844277673546 PF08733.10:PalH:16:361 pH-response regulator protein palH/RIM21; Regulator of IME2 protein 21 533 346 17 398 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48565 1 SwissProt MNLWRHSPEELAAYNSCHPMKLGSGVLIQLPLYDNSAVYAEDITFRSFCCERVPVYVSTVLRNSSPYRYLDEVINDWQKFIQVSDYVGGSAEYAIYAVILSITSNFVITVFLTVICCINISGRAYKRILQLLRIASLLASLNLTIFITKVLRRLEKEHNVYGVVRAHSIMHIFSDDMTFVVLDFLATLMFQFCQVGIVIRLFQRAQEKRIIFFIGVILTITANILWVIPPFANHTTKHRNDWQILRPFVYLFRIAIATSYASIVIYHIWQKKKLWFKFNQMGLLTLLTILVVLLLPGFFLADVSNLWISELGEVFNTTCYVTSTVITWEWLDRLNVLERKEEAQSILGRPIFEEEQQDYRFAKYALRVQNALTRRESQDASTDRHDTSSNSEVCDLQTISRYDPEDQISVGRSIDRMHFNDRGTYKDVALKKLGYARDKILYFTDQIVQKSVGHNNSSSSKNEKTKQRKAMVRKRLGLDKPGIYIYSTKDVVFNSDEDDDENAEDEDDDEYEVGSEGNNNSSATFTSDHIGHI
VIMSS6585539 1 767 0.518099999999999 PF01585.23:G-patch:721:764,PF01424.22:R3H:597:654 Protein SQS1; Squelch of splicing suppression protein 1 767 102 17 767 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53866 1 SwissProt MAKRHSHYQGSRRRHARGSNSKKAGRGNAKGIQGRKIKKKPTPTNSWHNSSIPLGEGDLDDVGADFNPGRAFISPKTIEDYYFGRDAKSRSMKMGGLRPGNRYDSSTDLQAGRAAFRKRPMQFVKAKEVYDPSHNMIQKLRAKNETKNSEEIVEREADVFEEPGKMTSDVEYINNEDSENEDDDSQNSPSTDHSLSSNESKVEDGDLFFVDEEAQQSPDLTKIKRVCIEEIARPREVAIEFDPILTIGKVELSVSEGNESKEISVDVPNKGNKTYHPFAGYISNVLHGMHTSDSDNDELDYEIETENNSEPLYESSASSEVDQGFNYVGQRHNSRADNNLLPSPSPQLTEDIKCLSINGTKTFEGNNDNLPSPASEELEFGFKEEDFVINTNDIVVSNIRMGGVDNSYYLRCYRLLGDYDFHWIDQDLLTDFVVDELGLPEDRLPAYLNFIKNSLIPKIEPAEPTYSDIPISDSSDEGDSYEGDSYEDDEDMASSVVHSDIEEGLDDLIAYTLKHDTERFKTFETKSLETKGKGKKKKLLIDDALALDTETLETLQSKFSKRIETKAKKRKAKEDFIDQENRNSNDMLKKYPYGLHIQNIKDEFESFLSRNNDRLTFPPLDPHGNKTVMKIAKHYNMKSSKIGKANHTSVVVEKIKKTKWSSPNYSLIDQLMRQRPVFMRIDIRRPREEQAAFERTKTIRGKFHVKEGEIVGQNAPEIGNENIGRRMLEKLGWKSGEGLGIQGNKGISEPIFAKIKKNRSGLRHSES
VIMSS6585752 1 241 0.177078838174274 PF12710.7:HAD:6:188,PF06888.12:Put_Phosphatase:5:209 Uncharacterized phosphatase YNL010W; EC 3.1.3.- 241 205 17 241 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53981 1 SwissProt MVKAVIFTDFDGTVTLEDSNDYLTDTLGFGKEKRLKVFEGVLDDTKSFRQGFMEMLESIHTPFPECIKILEKKIRLDPGFKDTFEWAQENDVPVIVVSSGMKPIIKVLLTRLVGQESIHKIDIVSNEVEIDAHDQWKIIYKDESPFGHDKSRSIDAYKKKFESTLKAGEQRPVYFYCGDGVSDLSAAKECDLLFAKRGKDLVTYCKKQNVPFHEFDTFKDILASMKQVLAGEKTVAELMEN
VIMSS6585862 1 236 0.0632758474576271 PF05648.14:PEX11:12:233 Peroxisomal membrane protein PMP27; Peroxin-11 236 222 17 236 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12462 1 SwissProt MVCDTLVYHPSVTRFVKFLDGSAGREKVLRLLQYLARFLAVQNSSLLARQLQAQFTTVRKFLRFLKPLNHLQAAAKFYDNKLASDNVVRVCNVLKNIFFAAYLSLDQVNLLRILKVIPVTVLTGKKIPRWSNWCWLFGLLSGLAMDLRKIQTSHAQIAAFVKAKSQSQGDEHEDHKKVLGKAYQDRYTALRRLFWDAADSFIVLNNLGYLSSNEEYVALSGVVTSILGMQDMWKAT
VIMSS6585884 1 433 0.212675519630485 PF01170.18:UPF0020:184:297 tRNA (guanine(10)-N2)-methyltransferase; tRNA [Gm10] methyltransferase; tRNA guanosine-2'-O-methyltransferase TRM11; tRNA methylase 11; EC 2.1.1.214 433 114 17 433 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12463 1 SwissProt MKKYLLYMVQVHLNFRRAELESLADLYNLSIDFSQYDANSPFFIVELENDQQAKDWIKRSILTRGIYEYWGQGTTLDELHKDIQRQSNFEQDLQLKFKHSTFKFEFECYKGNSKAKRVEQIETFRYLGFEGKIDMKHPQEVFTVIEEYTPISENVGGKTPTRIYFGRQVQMSNRSAMEKYDLKKRPYKGTTSFEAELSLVSANIAQVKPGTIMYDPFAGTGSFLVAGGHFGSLVIGSDIDGRMIRGKGAQVNISANFKKYGESSQFLDVLTMDFTNNALRNNLVIDTILCDPPYGIRESIKVLGAKDPERFLGKEDMEIDGEKAYLRRDYIPTKKPYALDSLLDDLLQYSSERLPIGGRLAFWMPTANDANIETIVPMHENLELKYNCVQEFNKWSRRLLVYINRGSTFNGSSNHGIKRSKDNFRERYFNNFN
VIMSS6585928 1 245 0.206415918367347 PF12744.7:ATG19_autophagy:163:245 Autophagy-related protein 34 412 83 17 245 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12292 1 SwissProt MKIAVETTLFDFFVIDQFKKSTFSAPNTKVDTIKGCINKFIEQFNVYDEQHIFWQPPGKSNVRLLSNANDFGQLGNFLHKKIKCNIFIGEEALRKYDLNICGPYDKFVENSDPSVKKVVNRDDVMLSRKCLNIISEQLSILEKSISKAQNQVLQSSEVEGKKCIILPEDKPELIKFFSKFETSVQLQEVYEGYKVYEKLLQKFGGQKKRMESFLNENTPMSGAEAIKQINISEELKEKGERLTTP
VIMSS6586002 1 124 0.0763580645161291 Putative protein of unknown function (RefSeq) 124 0 17 124 0 Saccharomyces cerevisiae VIMSS6586002 1 MicrobesOnline MRPHHFFCGNMGVMYTAMSGYETEDAQAYWACGRAYESAFATLTKKVPGTTFSADMPTSTWHGVLDCGYSSSINVAENKSSPIDYWNCGRTYARNYALSDALSLKPTNMLQYFLLVLFFICIIL
VIMSS6586364 1 627 0.151964114832536 PF00324.21:AA_permease:114:587,PF13520.6:AA_permease_2:117:567 Proline-specific permease 627 474 17 379 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P15380 1 SwissProt MVNILPFHKNNRHSAGVVTCADDVSGDGSGGDTKKEEDVVQVTESPSSGSRNNHRSDNEKDDAIRMEKISKNQSASSNGTIREDLIMDVDLEKSPSVDGDSEPHKLKQGLQSRHVQLIALGGAIGTGLLVGTSSTLHTCGPAGLFISYIIISAVIYPIMCALGEMVCFLPGDGSDSAGSTANLVTRYVDPSLGFATGWNYFYCYVILVAAECTAASGVVEYWTTAVPKGVWITIFLCVVVILNFSAVKVYGESEFWFASIKILCIVGLIILSFILFWGGGPNHDRLGFRYWQHPGAFAHHLTGGSLGNFTDIYTGIIKGAFAFILGPELVCMTSAECADQRRNIAKASRRFVWRLIFFYVLGTLAISVIVPYNDPTLVNALAQGKPGAGSSPFVIGIQNAGIKVLPHIINGCILTSAWSAANAFMFASTRSLLTMAQTGQAPKCLGRINKWGVPYVAVGVSFLCSCLAYLNVSSSTADVFNWFSNISTISGFLGWMCGCIAYLRFRKAIFYNGLYDRLPFKTWGQPYTVWFSLIVIGIITITNGYAIFIPKYWRVADFIAAYITLPIFLVLWFGHKLYTRTWRQWWLPVSEIDVTTGLVEIEEKSREIEEMRLPPTGFKDKFLDALL
VIMSS6586511 122 506 0.753864155844156 Histone deacetylase complex subunit CTI6; CYC8-TUP1-interacting protein 6; Transcriptional regulatory protein CTI6 506 0 17 385 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08923 1 SwissProt PELHQLFTTDTGEARSIYKPVQEKRRQSRRKARSAAASKSHAANEAEKSPRNTSNTDDNVDDIGDEEDEVEDEASAVALAKDGNTRSSRRRRRNSMDDASTDQYSLDPGDSDKKLLDRKRATFMAREEKQYQRMLEKALKESRRTSHQEDPESYENDADIYQGDTDNHNGTTRLQTDVMLTEGKPDSVTNDDMKESLRPSKEQSMEKTNDVEKEASQEKESSTGSAQDTEKTDEPILPLTSISSSEDDSRKASSRGSKRVSKPARKGNRTRRSNTSSDTNQNRRSADIGTDKPVKPRLPPQRTSLNEMRRRVSAILEFISRTQWELSEDQSDREEFVRFVENQHFVEKVDTIYNGYNESLSMMDDLTRELLLWEKKYSNNTNAIQ
VIMSS6586602 1 458 0.0393286026200873 PF03595.17:SLAC1:12:409 Sulfite efflux pump SSU1; Sulfite sensitivity protein SSU1 458 398 17 254 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41930 1 SwissProt MVANWVLALTRQFDPFMFMMVMGVGISSNILYSFPYPARWLRICSYIMFAIACLIFIAVQALQILHLIVYIKEKSFREYFNDFFRNMKHNLFWGTYPMGLVTIINFLGALSKANTTKSPTNARNLMIFVYVLWWYDLAVCLVIAWGISFLIWHDYYPLEGIGNYPSYNIKMASENMKSVLLLDIIPLVVVASSCGTFTMSEIFFHAFNRNIQLITLVICALTWLHAIIFVFILIAIYFWSLYINKIPPMTQVFTLFLLLGPMGQGSFGVLLLTDNIKKYAGKYYPTDNITREQEILTIAVPWCFKILGMVSAMALLAMGYFFTVISVVSILSYYNKKEIENETGKVKRVYTFHKGFWGMTFPMGTMSLGNEELYVQYNQYVPLYAFRVLGTIYGGVCVCWSILCLLCTLHEYSKKMLHAARKSSLFSESGTEKTTVSPYNSIESVEESNSALDFTRLA
VIMSS6586757 1 566 0.328090812720848 PF10744.9:Med1:12:133 Mediator of RNA polymerase II transcription subunit 1; Mediator complex subunit 1 566 122 17 566 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12321 1 SwissProt MVEGDSYVETLDSMIELFKDYKPGSITLENITRLCQTLGLESFTEELSNELSRLSTASKIIVIDVDYNKKQDRIQDVKLVLASNFDNFDYFNQRDGEHEKSNILLNSLTKYPDLKAFHNNLKFLYLLDAYSHIESDSTSHNNGSSDKSLDSSNASFNNQGKLDLFKYFTELSHYIRQCFQDNCCDFKVRTNLNDKFGIYILTQGINGKEVPLAKIYLEENKSDSQYRFYEYIYSQETKSWINESAENFSNGISLVMEIVANAKESNYTDLIWFPEDFISPELIIDKVTCSSNSSSSPPIIDLFSNNNYNSRIQLMNDFTTKLINIKKFDISNDNLDLISEILKWVQWSRIVLQNVFKLVSTPSSNSNSSELEPDYQAPFSTSTKDKNSSTSNTEPIPRSNRHGSVVEASRRRRSSTNKSKRPSITEAMMLKEEGLQQFNLHEILSEPAIEEENGDSIKEHSTTMDGANDLGFTASVSNQENAGTDIVMEDHGVLQGTSQNYGTATADDADIEMKDVSSKPSKPESSVLQLIVSEDHIILDTISECNLYDDVKCWSKFIEKFQDIVS
VIMSS745521 1292 3006 0.458587172011662 PF13332.6:Fil_haemagg_2:656:793,PF13332.6:Fil_haemagg_2:804:961,PF13332.6:Fil_haemagg_2:890:1009,PF13332.6:Fil_haemagg_2:1040:1209 putative cell surface protein (NCBI) 3103 514 17 1715 0 Burkholderia pseudomallei K96243 VIMSS745521 1 MicrobesOnline SMPTTTIFGLGKVALAGGKDANGNYTNAALINNSSAAIQSGASMELHADKVTNTRRVMQTSGNTSQVDPALLQQLGISMSGCAAYYIAACSGQDVHWINLFHDPNYPDYDPAPIIAALKLQPGGVFTVPPNGGQWNSGYQYTTYEGKATANTVTKLSPGAQIASGGDLDASTVKTFQNYWSSVTAAGNIKQPASLDMDGWGATGQQAPGVTVVYSGYYHYNNYDNSEHNWTLPFGDKPFVGGPGGYTQAAPADVRQYSLPDYRSTWGANGTISGNGVSVNNTAANATIPSLGLLPGQAVPGLTIGTVSGNASGTQSGAAAIKGGTPTWVDPVIASATAVNVLSNLTIPQGGLYRPNSAPNPTYLIETNPAFTRMNNFLSSDYYLNQIGVNPLTTEKRLGDGFYEQQLVRNQVTQLTGKAVLGPYTDLQGMYQSLMLAGAELSKSLNLPLGMSLSAQQVAALTTNVIIMQTETVGGQQVLVPVVYLAKADQQNANGPLITAGNIDLKNTQVFTNSGTVKADTTLALQGKQIDNAFGALQSGGLTSLDTTGNVDLTSANVKAGSLDLNAGNKLILDTATQTTHQVSRDGATSDKTTLGPAANLNVAGDASIKTGGDFQQNAGNLSVGGNLNANIGGNWNLGVQQTGEHKVVQRANGVSDTDLNSATGSTVNVGGKSAIGVGGDLTAQGARLDFGQGGTVAAKGNVTFGAASTTSTINANSSGDQGNRSYAETRHGADQALTGTTVKGGDTLNVVSGKDINVIGSTIDLKKGDANLLAAGDVNVGAATETHVYNSRETHSRSGVVSGTKIASSQDATSTVANGSLISADGVSIGSGKDINVQGSTVVGTHDVALNAAHDVNITTSQDTSQSSTTYQEQHSGLMSGGGLSFSVGNSKLAQQNQSSSVTNNASTVGSVDGNLTVNAGNTLHVKGSDLVAGKDVTGTAANIVVDSATDTTRQAQQQQTSKSGLTVGLSGSVGDAINNAISETQAARESAKDSNGRASALHSIAAAGDVAFGGLGAKALLDGAKGPQAPSIGVQVSVGSSHSSMQSSEDQTIQRGSSINAGGNAKLIATGNGTPKDGNITIAGSNVNAANVALVANNQVNLVNTTDTDKTQSSNSSSGSSVGVSIGTNGIGVSASMQRAHGDGNSDAAIQNNTHINASQTATIVSGGDTNVIGANVNANKVVADVGGNLNVASVQDTTVSAAHQSSAGGGFTISQTGGGASFSAQNGHADGNYAGVNEQAGIQAGSGGFDVTVRGNTDLKGAYIASTADASKNSLTTGTLTTSDIENHSHYSANSAGFSAGASVGVSTKAVGPSSVSGSGGVTPMVFQNDSGDQSATTKSAVSAGTINITKPGEQTQDVANLNRDTTNLNGTVSKTPDVQKMLSQQADTMNAAQAAGQTVSQAIGLYADHKRDAALDAADKAYKAGDLAGAQAALNEAKGWMEGGASRAELQMGGGALIGGLGGGSALTAIGGAAGAGMSSLLANQAEKISKSVGDTTGSSLVGNIAANVAATVGGALVGGSAGAAMASNVQLYNAGNDSNNQTSNDVFASLSKKVAQAIAMTADGKAGVWNGMVNVAGVIVNLPNGGPFASPGDPGYVSLDGLKKPYKSGTSIGPDAEFWTPVLATLGLGGKAAAGTGATTTSADAATVGNGALKTASGDLSAAGNAARTQPYGNGASASPSPGTATAGSSGANAQLPTANGGVAAAGTSS 1
VIMSS75880 1 215 0.048393023255814 PF02308.16:MgtC:9:136 inner membrane protein YhiD 215 128 17 112 5 Escherichia coli K-12 substr. MG1655 ecocyc::EG11400-MONOMER 1 ecocyc MTAEFIIRLILAAIACGAIGMERQMRGKGAGLRTHVLIGMGSALFMIVSKYGFADVLSLDHVGLDPSRIAAQVVTGVGFIGAGNILVRNQNIVGLTTAADIWVTAAIGMVIGSGMYELGIYGSVMTLLVLEVFHQLTFRLMNKNYHLQLTLVNGNTVSMLDWFKQQKIKTDLVSLQENEDHEVVAIDIQLHATTSIEDLLRLLKGMAGVKGVSIS
VIMSS773 1 273 0.107802564102564 PF00118.24:Cpn60_TCP1:47:258 HSP60 (NCBI ptt file) 512 212 17 273 0 Chlamydia trachomatis D/UW-3/CX VIMSS773 1 MicrobesOnline MPHDNNEMHRNTIHQLFTGLDKAYQIVKGFYGPAYSSSSKDFFKGRGYHILSRIELSDPFERIGVYFARSLAKRIHKRHADGVISSVILLRAFLKASIPFIDQGLSPRLLASALASQKEAVCAYLHSHSFLLKDASKVLGLIRSHLPDPLIGEAFAEAVAYTGHEGAVALSQRSGSTLHLVKGIQTQKGYRVPSFFPHDSFHENPIVAPKIFVTDQKIHCLFPFLPLLKKFSEEQTPLIIFCKEIAPDPLATCIANRIAGLLDVLVVTIPDTT
VIMSS96054 1 199 0.0769402010050251 PF13327.6:T3SS_LEE_assoc:11:171 hypothetical protein (NCBI ptt file) 199 161 17 199 0 Escherichia coli O157:H7 str. Sakai VIMSS96054 1 MicrobesOnline MTIFNKIDYNYYKLINYPIMMVHDEWLGDLTGVNQVSFRRLRETSSTRNQLNKILRQEIHDKISGVELSDINKEGFLYQSIGKIRLLALSSALFDIQCPDYIFSRLYRETLIREIGYQNVKQLSFYWQGGQCKPEYGEERFCAELIKYGAGNLEWLFADNPLWTIVKYLLPKSGEIKPTHINDLFLNRLNKILLPYETL
WP_000909019.1 1 409 0.46417261613692 PF09599.10:IpaC_SipC:47:397 Cell invasion protein SipC; Effector protein SipC 409 351 17 409 0 Salmonella typhimurium (strain 14028s / SGSC 2262) SwissProt::D0ZV21 1 SwissProt MLISNVGINPAAYLNNHSVENSSQTASQSVSAKDILNSIGISSSKVSDLGLSPTLSAPAPGVLTQTPGTITSFLKASIQNTDMNQDLNALANNVTTKANEVVQTQLREQQAEVGKFFDISGMSSSAVALLAAANTLMLTLNQADSKLSGKLSLVSFDAAKTTASSMMREGMNALSGSISQSALQLGITGVGAKLEYKGLQNERGALKHNAAKIDKLTTESHSIKNVLNGQNSVKLGAEGVDSLKSLNMKKTGTDATKNLNDATLKSNAGTSATESLGIKDSNKQISPEHQAILSKRLESVESDIRLEQNTMDMTRIDARKMQMTGDLIMKNSVTVGGIAGASGQYAATQERSEQQISQVNNRVASTASDEARESSRKSTSLIQEMLKTMESINQSKASALAAIAGNIRA
WP_003113190.1 1 164 0.39742743902439 PF16460.5:Phage_TTP_11:25:161 hypothetical protein 164 137 17 164 0 Pseudomonas aeruginosa M18 WP_003113190.1 1 RefSeq MSILTQGTQIYALVPPVSGTGAATVLEIEGVTSFNPGGNPADQIEDPCLSDTSRKYKKGLRTPGQATLGINADPRLASHVRLFQLSEKDGETSVKWAIGWSDGIDVKPTVSTEGDDFVLPPARTWFTFEGYVSDFPFDFASNTLVATQATIQRSGAGKWTPKSA
WP_003899285.1 1 512 0.2904025390625 PF04185.14:Phosphoesterase:43:426 phospholipase C 512 384 17 512 0 Mycobacterium tuberculosis H37Ra WP_003899285.1 1 RefSeq MTRRQFFAKAAAATTAGAFMSLAGPIIEKAYGAGPCPGHLTDIEHIVLLMQENRSFDHYFGTLSDTRGFDDTTPPVVFAQSGWNPMTQAVDPAGVTLPYRFDTTRGPLVAGECVNDPDHSWIGMHNSWNGGANDNWLPAQVPFSPLQGNVPVTMGFYTRRDLPIHYLLADTFTVCDGYFCSLLGGTTPNRLYWMSAWIDPDGTDGGPVLIEPNIQPLQHYSWRIMPENLEDAGVSWKVYQNKLLGALNNTVVGYNGLVNDFKQAADPRSNLARFGISPTYPLDFAADVRNNRLPKVSWVLPGFLLSEHPAFPVNVGAVAIVDALRILLSNPAVWEKTALIVNYDENGGFFDHVVPPTPPPGTPGEFVTVPDIDSVPGSGGIRGPIGLGFRVPCLVISPYSRGPLMVHDTFDHTSTLKLIRARFGVPVPNLTAWRDATVGDMTSTFNFAAPPNPSKPNLDHPRLNALPKLPQCVPNAVLGTVTKTAIPYRVPFPQSMPTQETAPTRGIPSGLC
XP_001349534.1 144 654 0.695458708414872 PF17986.1:EKAL:6:52 knob-associated histidine-rich protein 654 47 17 511 0 Plasmodium falciparum 3D7 XP_001349534.1 1 RefSeq EARPGGGFKAYEEKYESKHYKLKENVVDGKKDCDEKYEAANYAFSEECPYTVNDYSQENGPNIFALRKRFPLGMNDEDEEGKEALAIKDKLPGGLDEYQNQLYGICNETCTTCGPAAIDYVPADAPNGYAYGGSAHDGSHGNLRGHDNKGSEGYGYEAPYNPGFNGAPGSNGMQNYVPPHGAGYSAPYGVPHGAAHGSRYSSFSSVNKYGKHGDEKHHSSKKHEGNDGEGEKKKKSKKHKDHDGEKKKSKKHKDNEDAESVKSKKHKSHDCEKKKSKKHKDNEDAESVKSKKSVKEKGEKHNGKKPCSKKTNEENKNKEKTNNSKSDGSKAHEKKENETKNTAGENKKVDSTSADNKSTNAATPGAKDKTQGGKTDKTGASTNAATNKGQCAAEGATKGATKEASTSKEATKEASTSKEATKEASTSKEATKEASTSKGATKEASTTEGATKGASTTAGSTTGATTGANAVQSKDETADKNAANNGEQVMSRGQAQLQEAGKKKKKRGCCG
XP_005253072.1 1 197 0.258253299492386 PF00307.31:CH:53:158 alpha-parvin isoform X1 328 106 17 197 0 Homo sapiens XP_005253072.1 1 RefSeq MVSELQEEGMNAINLPLSPIPFELDPEDTMLEENEVRTMVDPNSRSDPKLQELMKVLIDWINDVLVGERIIVKDLAEDLYDGQVLQKLFEKLESEKLNVAEVTQSEIAQKQKLQTVLEKINETLKLPPRSIKWNVDSVHAKSLVAILHLLVALSQYFRAPIRLPDHVSIQVVVVQKREGILQSRQIQEEITGNTEAL
XP_005256137.1 1 721 0.208274479889043 PF14782.6:BBS2_C:276:715,PF14783.6:BBS2_Mid:165:272,PF14781.6:BBS2_N:20:126 Bardet-Biedl syndrome 2 protein isoform X1 721 655 17 721 0 Homo sapiens XP_005256137.1 1 RefSeq MLLPVFTLKLRHKISPRMVAIGRYDGTHPCLAAATQTGKVFIHNPHTRNQHVSASRVFQSPLESDVSLLSINQAVSCLTAGVLNPELGYDALLVGTQTNLLAYDVYNNSDLFYREVADGANAIVLGTLGDISSPLAIIGGNCALQGFNHEGSDLFWTVTGDNVNSLALCDFDGDGKKELLVGSEDFDIRVFKEDEIVAEMTETEIVTSLCPMYGSRFGYALSNGTVGVYDKTSRYWRIKSKNHAMSIHAFDLNSDGVNELITGWSNGKVDARSDRTGEVIFKDNFSSAIAGVVEGDYRMDGHIQLICCSVDGEIRGYLPGTAEMRGNLMDTSAEQDLIRELSQKKQNLLLELRNYEENAKAELASPLNEADGHRGIIPANTRLHTTLSVSLGNETQTAHTELRISTSNDTIIRAVLIFAEGIFTGESHVVHPSIHNLSSSICIPIVPPKDVPVDLHLKAFVGYRSSTQFHVFESTRQLPRFSMYALTSLDPASEPISYVNFTIAERAQRVVVWLGQNFLLPEDTHIQNAPFQVCFTSLRNGGHLHIKIKLSGEITINTDDIDLAGDIIQSMASFFAIEDLQVEADFPVYFEELRKVLVKVDEYHSVHQKLSADMADHSNLIRSLLVGAEDARLMRDMKTMKSRYMELYDLNRDLLNGYKIRCNNHTELLGNLKAVNQAIQRAGRLRVGKPKNQVITACRDAIRSNNINTLFKIMRVGTASS
XP_005256453.1 1 1175 0.148375659574468 PF12612.8:TFCD_C:884:1070 tubulin-specific chaperone D isoform X1 1175 187 17 1175 0 Homo sapiens XP_005256453.1 1 RefSeq MALSDEPAAGGPEEEAEDETLAFGAALEAFGESAETRALLGRLREVHGGGAEREVALERFREWMMNLLLDIVQDQTSPASLVHLAFKFLYIITKVRGYKTFLRLFPHEVADVEPVLDLVTIQNPKDHEAWETRYMLLLWLSVTCLIPFDFSRLDGNLLTQPGQARMSIMDRILQIAESYLIVSDKARDAAAVLVSRFITRPDVKQSKMAEFLDWSLCNLARSSFQTMQGVITMDGTLQALAQIFKHGKREDCLPYAATVLRCLDGCRLPESNQTLLRKLGVKLVQRLGLTFLKPKVAAWRYQRGCRSLAANLQLLTQGQSEQKPLILTEDDDEDDDVPEGVERVIEQLLVGLKDKDTVVRWSAAKGIGRMAGRLPRALADDVVGSVLDCFSFQETDKAWHGGCLALAELGRRGLLLPSRLVDVVAVILKALTYDEKRGACSVGTNVRDAACYVCWAFARAYEPQELKPFVTAISSALVIAAVFDRDINCRRAASAAFQENVGRQGTFPHGIDILTTADYFAVGNRSNCFLVISVFIAGFPEYTQPMIDHLVTMKISHWDGVIRELAARALHNLAQQAPEFSATQVFPRLLSMTLSPDLHMRHGSILACAEVAYALYKLAAQENRPVTDHLDEQAVQGLKQIHQQLYDRQLYRGLGGQLMRQAVCVLIEKLSLSKMPFRGDTVIDGWQWLINDTLRHLHLISSHSRQQMKDAAVSALAALCSEYYMKEPGEADPAIQEELITQYLAELRNPEEMTRCGFSLALGALPGFLLKGRLQQVLTGLRAVTHTSPEDVSFAESRRDGLKAIARICQTVGVKAGAPDEAVCGENVSQIYCALLGCMDDYTTDSRGDVGTWVRKAAMTSLMDLTLLLARSQPELIEAHTCERIMCCVAQQASEKIDRFRAHAASVFLTLLHFDSPPIPHVPHRGELEKLFPRSDVASVNWSAPSQAFPRITQLLGLPTYRYHVLLGLVVSLGGLTESTIRHSTQSLFEYMKGIQSDPQALGSFSGTLLQIFEDNLLNERVSVPLLKTLDHVLTHGCFDIFTTEEDHPFAVKLLALCKKEIKNSKDIQKLLSGIAVFCEMVQFPGDVRRQALLQLCLLLCHRFPLIRKTTASQVYETLLTYSDVVGADVLDEVVTVLSDTAWDAELAVVREQRNRLCDLLGVPRPQLVPQPGAC
XP_005261476.1 1 2145 0.420895477855477 calcineurin-binding protein cabin-1 isoform X6 2210 0 17 2145 0 Homo sapiens XP_005261476.1 1 RefSeq MIRIAALNASSTIEDDHEGSFKSHKTQTKEAQEAEAFALYHKALDLQKHDRFEESAKAYHELLEASLLREAVMLDSTDVNLWYKIGHVALRLIRIPLARHAFEEGLRCNPDHWPCLDNLITVLYTLSDYTTCLYFICKALEKDCRYSKGLVLKEKIFEEQPCLRKDSLRMFLKCDMSIHDVSVSAAETQAIVDEALGLRKKRQALIVREKEPDLKLVQPIPFFTWKCLGESLLAMYNHLTTCEPPRPSLGKRIDLSDYQDPSQPLESSMVVTPVNVIQPSTVSTNPAVAVAEPVVSYTSVATTSFPLHSPGLLETGAPVGDISGGDKSKKGVKRKKISEESGETAKRRSARVRNTKCKKEEKVDFQELLMKFLPSRLRKLDPEEEDDSFNNYEVQSEAKLESFPSIGPQRLSFDSATFMESEKQDVHEFLLENLTNGGILELMMRYLKAMGHKFLVRWPPGLAEVVLSVYHSWRRHSTSLPNPLLRDCSNKHIKDMMLMSLSCMELQLDQWLLTKGRSSAVSPRNCPAGMVNGRFGPDFPGTHCLGDLLQLSFASSQRDLFEDGWLEFVVRVYWLKARFLALQGDMEQALENYDICTEMLQSSTAIQVEAGAERRDIVIRLPNLHNDSVVSLEEIDKNLKSLERCQSLEEIQRLYEAGDYKAVVHLLRPTLCTSGFDRAKHLEFMTSIPERPAQLLLLQDSLLRLKDYRQCFECSDVALNEAVQQMVNSGEAAAKEEWVATVTQLLMGIEQALSADSSGSILKVSSSTTGLVRLTNNLIQVIDCSMAVQEEAKEPHVSSVLPWIILHRIIWQEEDTFHSLCHQQQLQNPAEEGMSETPMLPSSLMLLNTAHEYLGRRSWCCNSDGALLRFYVRVLQKELAASTSEDTHPYKEELETALEQCFYCLYSFPSKKSKARYLEEHSAQQVDLIWEDALFMFEYFKPKTLPEFDSYKTSTVSADLANLLKRIATIVPRTERPALSLDKVSAYIEGTSTEVPCLPEGADPSPPVVNELYYLLADYHFKNKEQSKAIKFYMHDICICPNRFDSWAGMALARASRIQDKLNSNELKSDGPIWKHATPVLNCFRRALEIDSSNLSLWIEYGTMSYALHSFASRQLKQWRGELPPELVQQMEGRRDSMLETAKHCFTSAARCEGDGDEEEWLIHYMLGKVAEKQQQPPTVYLLHYRQAGHYLHEEAARYPKKIHYHNPPELAMEALEVYFRLHASILKLLGKPDSGVGAEVLVNFMKEAAEGPFARGEEKNTPKASEKEKACLVDEDSHSSAGTLPGPGASLPSSSGPGLTSPPYTATPIDHDYVKCKKPHQQATPDGTVPVLPTASPGRHINHGGVLGRLILSLRFDRSQDSTAVALSDSSSTQDFFNEPTSLLEGSRKSYTEKRLPILSSQAGATGKDLQGATEERGKNEESLESTEGFRAAEQGVQKPAAETPASACIPGKPSASTPTLWDGKKRGDLPGEPVAFPQGLPAGAEEQRQFLTEQCIASFRLCLSRFPQHYKSLYRLAFLYTYSKTHRNLQWARDVLLGSSIPWQQLQHMPAQGLFCERNKTNFFNGIWRIPVDEIDRPGSFAWHMNRSIVLLLKVLAQLRDHSTLLKVSSMLQRTPDQGKKYLRDADRQVLAQRAFILTVKVLEDTLSELAEGSERPGPKVCGLPGARMTTDVSHKASPEDGQEGLPQPKKPPLADGSGPGPEPGGKVGLLNHRPVAMDAGDSADQSGERKDKESPRAGPTEPMDTSEATVCHSDLERTPPLLPGRPARDRGPESRPTELSLEELSISARQQPTPLTPAQPAPAPAPATTTGTRAGGHPEEPLSRLSRKRKLLEDTESGKTLLLDAYRVWQQGQKGVAYDLGRVERIMSETYMLIKQHLPVKVDEEAALEQAVKFCQVHLGAAAQRQASGDTPTTPKHPKDSRENFFPVTVVPTAPDPVPADSVQRPSDAHTKPRPALAAATTIITCPPSASASTLDQSKDPGPPRPHRPEATPSMASLGPEGEELARVAEGTSFPPQEPRHSPQVKMAPTSSPAEPHCWPAEAALGTGAEPTCSQEGKLRPEPRRDGEAQEAASETQPLSSPPTAASSKAPSSGSAQPPEGHPGKPEPSRAKSRPLPNMPKLVIPSAATKFPPEITVTPPTP
XP_005269990.1 1 427 0.221114988290398 PF01595.20:DUF21:261:422 metal transporter CNNM2 isoform X1 564 162 17 335 4 Homo sapiens XP_005269990.1 1 RefSeq MIGCGACEPKVKMAGGQAAAALPTWKMAARRSLSARGRGILQAAAGRLLPLLLLSCCCGAGGCAAVGENEETVIIGLRLEDTNDVSFMEGGALRVSERTRVKLRVYGQNINNETWSRIAFTEHERRRHSPGERGLGGPAPPEPDSGPQRCGIRTSDIIILPHIILNRRTSGIIEIEIKPLRKMEKSKSYYLCTSLSTPALGAGGSGSTGGAVGGKGGSGVAGLPPPPWAETTWIYHDGEDTKMIVGEEKKFLLPFWLQVIFISLLLCLSGMFSGLNLGLMALDPMELRIVQNCGTEKEKNYAKRIEPVRRQGNYLLCSLLLGNVLVNTTLTILLDDIAGSGLVAVVVSTIGIVIFGEIVPQAICSRHGLAVGANTIFLTKFFMMMTFPASYPVSKLLDCVLGQEIGTVYNREKLLEMLRVTDPYNDL
XP_006236477.1 1 163 0.226339877300613 retinoic acid receptor responder protein 2 isoform X1 163 0 17 163 0 Rattus norvegicus XP_006236477.1 1 RefSeq MKCLLISLALWLGTADIHGTELELSETQRRGLQVALEEFHRHPPVQWAFQEIGVDSADDLFFSAGTFVRLEFKLQQTSCLKKDWKKPECTIKPNGRKRKCLACIKLDPKGKVLGRMVHCPILKQGPQQEPQESQCSKIAQAGEDSRIYFFPGQFAFSRALQSK
XP_006241379.1 1 232 0.846293103448275 PRKC apoptosis WT1 regulator protein isoform X1 332 0 17 232 0 Rattus norvegicus XP_006241379.1 1 RefSeq MATGGYRSSGSTTDFLEEWKAKREKMRAKQNPVGPGSSGGDPAAKSPAGPLAQTTAAGTSELNHGPAGAAAPAAPGPGALNCAHGSSALPRGAPGSRRPEDECPIAAGAAGAPASRGDEEEPDSAPEKGRSSGPSARKGKGQIEKRKLREKRRSTGVVNIPAAECLDEYEDDEAGQKERKREDAITQQNTIQNEAASLPDPGTSYLPQDPSRTVPGRYKSTTSAPEEEILNR
XP_006248329.1 140 310 0.0441959064327485 PF04549.14:CD47:3:151 leukocyte surface antigen CD47 isoform X6 310 149 17 63 5 Rattus norvegicus XP_006248329.1 0 RefSeq KILIVIFPILAILLFWGKFGILTLKYKSSHTNKRIILLLVAGLALTLIVVVGAILFIPGEKPVKNASGLGLIVISTGILILLQYNVFMTAFGMTSFTIAILITQVLGYVLAVVGMCLCIMACEPVHGPLLISGLGIIALAELLGLVYMKFVASNQRTIQPPRKAVEEPLNE
XP_006496700.1 22 143 0.230560655737705 PF13895.6:Ig_2:3:73 CD48 antigen isoform X2 143 71 17 122 0 Mus musculus XP_006496700.1 1 RefSeq PKPSIEINKTEASTDSCHLRLSCEVKDQHVDYTWYESSGPFPKKSPGYVLDLIVTPQNKSTFYTCQVSNPVSSKNDTVYFTLPCDLDARMFHLKTDVSSSYAEEEAESVWDWFFPCPTDKLW
XP_006512627.1 116 535 0.488435476190476 PF06546.11:Vert_HS_TF:115:395 heat shock factor protein 2 isoform X1 535 281 17 420 0 Mus musculus XP_006512627.1 1 RefSeq PEENKIRQEDLTKIISSAQKVQIKQETIESRLSELKSENESLWKEVSELRAKHAQQQQVIRKIVQFIVTLVQNNQLVSLKRKRPLLLNTNGAPKKNLYQHIVKEPTDNHHHKVPHSRTEGLKSRERISDDIIIYDVTDDNVDEENIPVIPETNEDVVVDSSNQYPDIVIVEDDNEDEYAPVIQSGEQSEPAREPLRVGSAGSSSPLMSSAVQLNGSSSLTSEDPVTMMDSILNDNINLLGKVELLDYLDSIDCSLEDFQAMLSGRQFSIDPDLLVDLFTSSVQMNPTDNINNTKSENKGLEATKSSVVQHVSEEGRKSKSKPDKQLIQYTAFPLLAFLDGNSASAIEQGSTTASSEVVPSVDKPIEVDELLDSSLDPEPTQSKLVRLEPLTEAEASEATLFYLCELAPAPLDSDMPLLDS
XP_006513267.1 1 472 0.30136970338983 tumor necrosis factor receptor superfamily member EDAR isoform X1 472 0 17 449 1 Mus musculus XP_006513267.1 1 RefSeq MKTVNQMNSVDTCLTPVYFQEIMAHVGDCKWMSWLPVLVVSLMCSAKAEDSNCGENEYHNQTTGLCQQCPPCRPGEEPYMSCGYGTKDDDYGCVPCPAEKFSKGGYQICRRHKDCEGFFRATVLTPGDMENDAECGPCLPGYYMLENRPRNIYGMVCYSCLLAPPNTKECVGATSGVSAHSSSTSGGSTLSPFQHAHKAELSGQGHLATALIIAMSTIFIMAIAIVLIIMFYIMKTKPSAPAACCSSPPGKSAEAPANTHEEKKEAPDSVVTFPENGEFQKLTATPTKTPKSENDASSENEQLLSRSVDSDEEPAPDKQGSPELCLLSLVHLAREKSVTSNKSAGIQSRRKKILDVYANVCGVVEGLSPTELPFDCLEKTSRMLSSTYNSEKAVVKTWRHLAESFGLKRDEIGGMTDGMQLFDRISTAGYSIPELLTKLVQIERLDAVESLCADILEWAGVVPPASPPPAAS
XP_006515644.1 139 342 0.503803921568628 Paired box protein Pax-9 342 0 17 204 0 Mus musculus (Mouse) SwissProt::P47242 1 SwissProt HYDSYKQHQPAPQPALPYNHIYSYPSPITAAAAKVPTPPGVPAIPGSVALPRTWPSSHSVTDILGIRSITDQGVSDSSPYHSPKVEEWSSLGRNNFPAAAPHAVNGLEKGALEQEAKYGQAPNGLPAVSSFVSASSMAPYPTPAQVSPYMTYSAAPSGYVAGHGWQHAGSTPLSPHNCDIPASLAFKGMQAAREGSHSVTASAL
XP_006518799.1 1 426 0.721842723004694 PF02208.16:Sorb:154:190 vinexin isoform X2 718 37 17 426 0 Mus musculus XP_006518799.1 1 RefSeq MARILGVGRSSASSLNNKEDNESDVALLSPKDPNRVHTKEQLAHPASSNLDPSMQGLPAGLSLDDFIPGHLRTHIGSSSRGTRFHDPAPRTVCNGCPPPRRDGSLNPDPAWYQTWPGPGSRPSMSPKPPASQHAQNWSATWTKDSKRQDKRWVKYEGIGPVDESGMPIAPRSSVDSPRDWYRRMFQQIHRKMPDLQLDWTLEDPPKVVSARASSAEPRHLGTLQRPASRPGTTETSSGRNWNHSEETSRNTFNYNFRPSSSGLHPPNQVPRHREKVENVWTEDSWNQFLHELETGHKPKKPLVDDPVEKPAQPIEVLLERELAKLSAELDKDLRAIETRLPSPKNSQAPRRPLEQPGLEQQPSARLSSAWRPNSPHAPYFSSSRPLSPHRMADGGGSPFLGRRDFVYPSSAREPSASERGSSPSRK
XP_006524838.1 221 580 0.0974908333333333 PF00474.17:SSF:24:222 High affinity choline transporter 1; Hemicholinium-3-sensitive choline transporter; CHT; Solute carrier family 5 member 7 580 199 17 222 6 Mus musculus (Mouse) SwissProt::Q8BGY9 1 SwissProt HAKYQSPWLGTIESVEVYTWLDNFLLLMLGGIPWQAYFQRVLSSSSATYAQVLSFLAAFGCLVMALPAICIGAIGASTDWNQTAYGYPDPKTKEEADMILPIVLQYLCPVYISFFGLGAVSAAVMSSADSSILSASSMFARNIYQLSFRQNASDKEIVWVMRITVLVFGASATAMALLTKTVYGLWYLSSDLVYIIIFPQLLCVLFIKGTNTYGAVAGYIFGLFLRITGGEPYLYLQPLIFYPGYYSDKNGIYNQRFPFKTLSMVTSFFTNICVSYLAKYLFESGTLPPKLDVFDAVVARHSEENMDKTILVRNENIKLNELAPVKPRQSLTLSSTFTNKEALLDVDSSPEGSGTEDNLQ
XP_006530990.1 1 791 0.463915297092288 ATM interactor isoform X1 791 0 17 791 0 Mus musculus XP_006530990.1 1 RefSeq MAAASSPWRPPESRLQGSRPRPARARAAAPVPPARELIQPTVSELSRAVRTNILCTVRGCGKILPNSPALNMHLVKSHRLQDGIVNPTIRKDLTTAPKFYCCPIKGCPRGPDRPFSQFSLVKQHFMKMHAEKKHKCSKCSNSYGTEWDLKRHEEDCGKTFQCTCGCPYASRTALQSHIYRTGHEIPAEHRDPPSKKRKMESYLQNQKLSSKTTEPLSDQAAPRQDAAEPDAPEVKPAASLEDSCSAHTKKQSVATPPRCPQKLLLPKPKVALVKLPVMQFSPVPVFVPTAESSAQPVVLGVDHSSAAGTVHLVPLSVGALILSLDSEACSLKESLPLSKIISPVVEPMNTGVQVNLGKSLCSPLQEVGSVCQRTSISSSNVQTDLTYASANLIPSAQWLGPDSSVSSCSQTDLSFDSQVSLPVSVHTQTLVPSSKVTSSIAAQTDAFIDACFQPGGVSRETQTSRMQNRTNDSVPVGHTGLCGDIFESVHASYSVPTDTIMSSSLVAETGTHGLPPQSDPKILGQVMEKSAPVLNFSTQNGLLPAHTMTDNQTQTIDLLSDLENILSSNLPGQTLDNRSLLSDTNPGPDAQLPAGSAQNSGIDFDIEEFLSASNIQTQTEESELSSMSTEPVLESLDIETQTDVLLSDPSTQPYGFRAGSGFLGLEMFDTQTQTDLNFFLDSSPHLPLGSILKHSSFSMSTDSSDTETQTEGACPARHLPALESKVQLSSTETQTMSSGFEPLGNLFLTSNETQTAMDDFLLADLAWNTMESQFSSVETQTCAELHAVSSF
XP_006532343.1 1 166 0.525640361445783 homeobox protein Hox-B1 isoform X1 330 0 17 166 0 Mus musculus XP_006532343.1 1 RefSeq MDYNRMSSFLEYPLCNRGPSAYSAPTSFPPCSAPAVDSYAGESRYGGGLPSSALQQNSGYPVQQPPSSLGVSFPSPAPSGYAPAACNPSYGPSQYYSVGQSEGDGSYFHPSSYGAQLGGLPDSYGAGGVGSGPYPPPQPPYGTEQTATFASAYDLLSEDKESPCSS 1
XP_006715402.1 483 1068 0.392594027303754 PF08596.10:Lgl_C:408:529 syntaxin-binding protein 5 isoform X1 1135 122 17 586 0 Homo sapiens XP_006715402.1 1 RefSeq EKSRNKDDRPNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVITEVIPMLEVRLLYEINDVETPEGEQPPPLPTPVGGSNPQPIPPQSHPSTSSSSSDGLRDNVPCLKVKNSPLKQSPGYQTELVIQLVWVGGEPPQQITSLAVNSSYGLVVFGNCNGIAMVDYLQKAVLLNLGTIELYGSNDPYRREPRSPRKSRQPSGAGLCDISEGTVVPEDRCKSPTSGSSSPHNSDDEQKMNNFIEKAKMSRKLSLPTDLKPDLDVKDNSFSRSRSSSVTSIDKESREAISALHFCETFTRKTDSSPSPCLWVGTTLGTVLVIALNLPPGGEQRLLQPVIVSPSGTILRLKGAILRMAFLDTTGCLIPPAYEPWREHNVPEEKDEKEKLKKRRPVSVSPSSSQEISENQYAVICSEKQAKVISLPTQNCAYKQNITETSFVLRGDIVALSNSICLACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFTNNGQALYLVSPTEIQRLTYSQETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGGAQSLDREELFGESSSGKASRSLAQHIPGP
XP_006719924.1 1 309 0.225371521035599 PF04790.13:Sarcoglycan_1:43:295 gamma-sarcoglycan isoform X1 309 253 17 286 1 Homo sapiens XP_006719924.1 1 RefSeq MKGNAAAVCAPGLQEVQQMVREQYTTATEGICIERPENQYVYKIGIYGWRKRCLYLFVLLLLIILVVNLALTIWILKVMWFSPAGMGHLCVTKDGLRLEGESEFLFPLYAKEIHSRVDSSLLLQSTQNVTVNARNSEGEVTGRLKVGPKMVEVQNQQFQINSNDGKPLFTVDEKEVVVGTDKLRVTGPEGALFEHSVETPLVRADPFQDLRLESPTRSLSMDAPRGVHIQAHAGKIEALSQMDILFHSSDGMLVLDAETVCLPKLVQGTWGPSGSSQSLYEICVCPDGKLYLSVAGVSTTCQEHNHICL
XP_008756851.1 484 1060 0.34489445407279 PF08596.10:Lgl_C:399:520 syntaxin-binding protein 5 isoform X4 1127 122 17 577 0 Rattus norvegicus XP_008756851.1 1 RefSeq EKSRNKDDRQNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVVTEVIPMLEVRLLYEINDVETPEGEQPPPLSTPVGSSTSQPIPPQSHPSTSSSSSDGLRDNVPCLKVKNSPLKQSPGYQTELVIQLVWVGGEPPQQITSLALNSSYGLVVFGNSNGIAMVDYLQKAVLLNLSTIELYGSNDPYRREPRSPRKSRQPSGGMLLGTPCMCGLSSLYSESVKKLRSSVITGLCDITEGTVVPEDRCKSPTSDVKDNSFSRSRSSSVTSIDKESREAISALHFCETFTRKADSSPSPCLWVGTTVGTAFVITLNLPLGPEQRLLQPVIVSPSGTILRLKGAILRMAFLDAAGCLMPPAYEPWTEHNVPEEKDEKEKLKKRRPVSVSPSSSQEISENQYAVICSEKQAKVISLPTQNCAYKQNITETSFVLRGDIVALSNSVCLACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFANSGQALYLVSPTEIQRLTYSQETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGGAQSLDREELFGESSSGKASRSLAQHIPGP
XP_011237067.1 1 225 0.419494666666667 podocin isoform X3 225 0 17 202 1 Mus musculus XP_011237067.1 1 RefSeq MDSRARSSSREAHGRSSRSSSRDDKKAKAGRGSRGRARPDAGAERQSTGRTATRGEPRAPAATATVVDVDEVRGPGEEGTEVVALLESERPEEGIKPSGLGACEWLLVLASLIFIIMTFPFSIWFCIKVVQEYERVIIFRLGHLLPGRAKGPEPSPVEYELQDNTLVTRKVPSGLWVTQAKPAMQPGSEKLISSSLHTCCSHGPSLPPFCRPVLFFTLSGHLSQG
XP_011515623.1 1 470 0.0503504255319149 PF07782.13:DC_STAMP:242:421 Dendritic cell-specific transmembrane protein; DC-STAMP; hDC-STAMP; Dendrocyte-expressed seven transmembrane protein; IL-four-induced protein; FIND; Transmembrane 7 superfamily member 4 470 180 17 340 6 Homo sapiens (Human) SwissProt::Q9H295 1 SwissProt MGIWTSGTDIFLSLWEIYVSPRSPGWMDFIQHLGVCCLVALISVGLLSVAACWFLPSIIAAAASWIITCVLLCCSKHARCFILLVFLSCGLREGRNALIAAGTGIVILGHVENIFHNFKGLLDGMTCNLRAKSFSIHFPLLKKYIEAIQWIYGLATPLSVFDDLVSWNQTLAVSLFSPSHVLEAQLNDSKGEVLSVLYQMATTTEVLSSLGQKLLAFAGLSLVLLGTGLFMKRFLGPCGWKYENIYITRQFVQFDERERHQQRPCVLPLNKEERRKYVIIPTFWPTPKERKNLGLFFLPILIHLCIWVLFAAVDYLLYRLIFSVSKQFQSLPGFEVHLKLHGEKQGTQDIIHDSSFNISVFEPNCIPKPKFLLSETWVPLSVILLILVMLGLLSSILMQLKILVSASFYPSVERKRIQYLHAKLLKKRSKQPLGEVKRRLSLYLTKIHFWLPVLKMIRKKQMDMASADKS
XP_011539002.1 13 234 0.7211 Krueppel-like factor 17 isoform X1 352 0 17 222 0 Homo sapiens XP_011539002.1 1 RefSeq SWNQGLPSIQHFPHSAEMLGSPLVSVEAPGQNVNEGGPQFSMPLPERGMSYCPQATLTPSRMIYCQRMSPPQQEMTIFSGPQLMPVGEPNIPRVARPFGGNLRMPPNGLPVSASTGIPIMSHTGNPPVPYPGLSTVPSDETLLGPTVPSTEAQAVLPSMAQMLPPQDAHDLGMPPAESQSLLVLGSQDSLVSQPDSQEGPFLPEQPGPAPQTVEKNSRPQEG
XP_016869998.1 1 723 0.339718395573997 structural maintenance of chromosomes protein 5 isoform X6 723 0 17 723 0 Homo sapiens XP_016869998.1 1 RefSeq MIEDLQNELKTTENCENLQPQIDAITNDLRRIQDEKALCEGEIIDKRRERETLEKEKKSVDDHIVRFDNLMNQKEDKLRQRFRDTYDAVLWLRNNRDKFKQRVCEPIMLTINMKDNKNAKYIENHIPSNDLRAFVFESQEDMEVFLKEVRDNKKLRVNAVIAPKSSYADKAPSRSLNELKQYGFFSYLRELFDAPDPVMSYLCCQYHIHEVPVGTEKTRERIERVIQETRLKQIYTAEEKYVVKTSFYSNKVISSNTSLKVAQFLTVTVDLEQRRHLEEQLKEIHRKLQAVDSGLIALRETSKHLEHKDNELRQKKKELLERKTKKRQLEQKISSKLGSLKLMEQDTCNLEEEERKASTKIKEINVQKAKLVTELTNLIKICTSLHIQKVDLILQNTTVISEKNKLESDYMAASSQLRLTEQHFIELDENRQRLLQKCKELMKRARQVCNLGAEQTLPQEYQTQVPTIPNGHNSSLPMVFQDLPNTLDEIDALLTEERSRASCFTGLNPTIVQEYTKREEEIEQLTEELKGKKVELDQYRENISQVKERWLNPLKELVEKINEKFSNFFSSMQCAGEVDLHTENEEDYDKYGIRIRVKFRSSTQLHELTPHHQSGGERSVSTMLYLMALQELNRCPFRVVDEINQGMDPINERRVFEMVVNTACKENTSQYFFITPKLLQNLPYSEKMTVLFVYNGPHMLEPNTWNLKAFQRRRRRITFTQPS
XP_016870040.1 1 474 0.495189240506329 PF09730.9:BicD:110:473 protein bicaudal D homolog 2 isoform X1 851 364 17 474 0 Homo sapiens XP_016870040.1 1 RefSeq MSAPSEEEEYARLVMEAQPEWLRAEVKRLSHELAETTREKIQAAEYGLAVLEEKHQLKLQFEELEVDYEAIRSEMEQLKEVSRAALPCDPRPAPGPVGVVPGTRAAKAFGQAHTNHKKVAADGESREESLIQESASKEQYYVRKVLELQTELKQLRNVLTNTQSENERLASVAQELKEINQNVEIQRGRLRDDIKEYKFREARLLQDYSELEEENISLQKQVSVLRQNQVEFEGLKHEIKRLEEETEYLNSQLEDAIRLKEISERQLEEALETLKTEREQKNSLRKELSHYMSINDSFYTSHLHVSLDGLKFSDDAAEPNNDAEALVNGFEHGGLAKLPLDNKTSTPKKEGLAPPSPSLVSDLLSELNISEIQKLKQQLMQMEREKAGLLATLQDTQKQLEHTRGSLSEQQEKVTRLTENLSALRRLQASKERQTALDNEKDRDSHEDGDYYEVDINGPEILACKYHVAVAEAG
XP_016871418.1 218 1927 0.538960058479532 PF14915.6:CCDC144C:1055:1359,PF12001.8:DUF3496:1664:1710 ankyrin repeat domain-containing protein 26 isoform X2 2108 352 17 1710 0 Homo sapiens XP_016871418.1 1 RefSeq ISEYKEERIPKHSSQNSNSVDESSEDSLSRLSGKPGVDDSWPTSDDEDLNFDTKNVPKPSLAKLMTASQQSRKNLEATYGTVRTGNRTLFEDRDSDSQDEVVVESLPTTSIKVQCFSHPTYQSPDLLPKPSHKSLANPGLMKEEPTKPGIAKKENGIDIIESAPLEQTNNDNLTYVDEVHKNNRSDMMSALGLGQEEDIESPWDSESISENFPQKYVDPLAGAADGKEKNIGNEQAEDVFYIPSCMSGSRNFKMAKLEDTRNHAHIESPERYLHLKPTIEMKDSVPNKAGGMKDVQTSKAAEHDLEVASEEEQEREGSENNQPQDKVILKTCTLTEKTSEKQNKQINRPLSCLQKMSQEPELNKECDREDVSVYSGLPCVQNDEEMWTKQGKLEWKNNLKLITNELKQSCGETCEKYKITASPGEESLHDNSKGGTNLKEIPSSLTNNILDCDEKDSPVSVLFQALPEQKVLSLENGFSFPSYSGSPEYACQSSSKPYLNENKLGHENVNKPDTEHVFNTDENFYNDTENKKVRNPEVVTGVMKEEFDKTKNMNRNTTNWKLDIRRVPQYSDPKRPFDLICSKEMNHMFHIKRHSISAGTDAYKKTKPIQNLFQKPLYDHCSANNYKSMEPELENVRSSPPRGDRTSKVSLKEELQQDMQRFKNEIGMLKVEFQALEKEKVQLQKEVEEERKKHRNNEMEVSANIHDGATDDAEDDDDDDGLIQKRKSGETDHQQFPRKENKEYASSGPALQMKEVKSTEKEKRTSKESVNSPVFGKASLLTGGLLQVDDDSSLSEIDEDEGRPTKKTSNEKNKVKNQIQSMDDVDDLTQSSETASEDCELPHSSYKNFMLLIEQLGMECKDSVSLLKIQDAALSCERLLELKKNHCELLTVKIKKMEDKVNVLQRELSETKEIKSQLEHQKVEWERELCSLRFSLNQEEEKRRNADTLYEKIREQLRRKEEQYRKEVEVKQQLELSLQTLEMELRTVKSNLNQVVQERNDAQRQLSREQNARMLQDGILTNHLSKQKEIEMAQKKMNSENSHSHEEEKDLSHKNSMLQEEIAMLRLEIDTIKNQNQEKEKKCFEDLKIVKEKNEDLQKTIKQNEETLTQTISQYNGRLSVLTAENAMLNSKLENEKQSKERLEAEVESYHSRLAAAIHDRDQSETSKRELELAFQRARDECSRLQDKMNFDVSNLKDNNEILSQQLFKTESKLNSLEIEFHHTRDALREKTLGLERVQKDLSQTQCQMKEMEQKYQNEQVKVNKYIGKQESVEERLSQLQSENMLLRQQLDDAHNKADNKEKTVINIQDQFHAIVQKLQAESEKQSLLLEERNKELISECNHLKERQYQYENEKAEREVVVRQLQQELADTLKKQSMSEASLEVTSRYRINLEDETQDLKKKLGQIRNQLQEAQDRHTEAVRCAEKMQDHKQKLEKDNAKLKVTVKKQMDKIEELQKNLLNANLSEDEKEQLKKLMELKQSLECNLDQEMKKNVELEREITGFKNLLKMTRKKLNEYENGEFSFHGDLKTSQFEMDIQINKLKHKIDDLTAELETAGSKCLHLDTKNQILQEELLSMKTVQKKCEKLQKNKKKLEQEVINLRSHIERNMVELGQVKQYKQEIEERARQEIAEKLKEVNLFLQAQAASQENLEQFRENNFASMKSQMELRIKDLESELSKIKTSQEDFNKTELEKYKQLYLEELKVRKSL
XP_016880389.1 421 1123 0.792294879089616 Ubiquitin carboxyl-terminal hydrolase 36; Deubiquitinating enzyme 36; Ubiquitin thioesterase 36; Ubiquitin-specific-processing protease 36; EC 3.4.19.12 1123 0 17 703 0 Homo sapiens (Human) SwissProt::Q9P275 1 SwissProt LRIPGSKKSPEGLISRTGSSSLPGRPSVIPDHSKKNIGNGIISSPLTGKRQDSGTMKKPHTTEEIGVPISRNGSTLGLKSQNGCIPPKLPSGSPSPKLSQTPTHMPTILDDPGKKVKKPAPPQHFSPRTAQGLPGTSNSNSSRSGSQRQGSWDSRDVVLSTSPKLLATATANGHGLKGNDESAGLDRRGSSSSSPEHSASSDSTKAPQTPRSGAAHLCDSQETNCSTAGHSKTPPSGADSKTVKLKSPVLSNTTTEPASTMSPPPAKKLALSAKKASTLWRATGNDLRPPPPSPSSDLTHPMKTSHPVVASTWPVHRARAVSPAPQSSSRLQPPFSPHPTLLSSTPKPPGTSEPRSCSSISTALPQVNEDLVSLPHQLPEASEPPQSPSEKRKKTFVGEPQRLGSETRLPQHIREATAAPHGKRKRKKKKRPEDTAASALQEGQTQRQPGSPMYRREGQAQLPAVRRQEDGTQPQVNGQQVGCVTDGHHASSRKRRRKGAEGLGEEGGLHQDPLRHSCSPMGDGDPEAMEESPRKKKKKKRKQETQRAVEEDGHLKCPRSAKPQDAVVPESSSCAPSANGWCPGDRMGLSQAPPVSWNGERESDVVQELLKYSSDKAYGRKVLTWDGKMSAVSQDAIEDSRQARTETVVDDWDEEFDRGKEKKIKKFKREKRRNFNAFQKLQTRRNFWSVTHPAKAASLSYRR
XP_016884305.1 1 578 0.652508131487888 PF15259.6:GTSE1_N:28:171 G2 and S phase-expressed protein 1 isoform X2 578 144 17 578 0 Homo sapiens XP_016884305.1 1 RefSeq MEGGGGRDEPSACRAGDVNMDDPKKEDILLLADEKFDFDLSLSSSSANEDDEVFFGPFGHKERCIAASLELNNPVPEQPPLPTSESPFAWSPLAGEKFVEVYKEAHLLALHIESSSRNQAAQAAKPEDPRSQGVERFIQESKLKINLFEKEKEMKKSPTSLKRETYYLSDSPLLGPPVGEPRLLASSPALPSSGAQARLTRAPGPPHSAHALPRESCTAHAASQAATQRKPGTKLLLPRAASVRGRSIPGAAEKPKKEIPASPSRTKIPAEKESHRDVLPDKPAPGAVNVPAAGSHLGQGKRAIPVPNKLGLKKTLLKAPGSTSNLARKSSSGPVWSGASSACTSPAVGKAKSSEFASIPANSSRPLSNISKSGRMGPAMLRPALPAGPVGASSWQAKRVDVSELAAEQLTAPPSASPTQPQTPEGGGQWLNSSCAWSESSQLNKTRSIRRRDSCLNSKTKVMPTPTNQFKIPKFSIGDSPDSSTPKLSRAQRPQSCTSVGRVTVHSTPVRRSSGPAPQSLLSAWRVSALPTPASRRCSGLPPMTPKTMPRAVGSPLCVPARRRSSEPRKNSAMRLFL
XP_017176645.1 1 709 0.349184626234132 PF09387.10:MRP:94:453 SUN domain-containing protein 1 isoform X1 951 360 17 663 2 Mus musculus XP_017176645.1 1 RefSeq MWGVFGPGPGRCGRGLRRRREAAWSETVNMDFSRLHTYTPPQCVPENTGYTYALSSSYSSDALDFETEHKLEPVFDSPRMSRRSLRLVTTASYSSGDSQAIDSHISTSRATPAKGRETRTVKQRRSASKPAFSINHLSGKGLSSSTSHDSSCSLRSATVLRHPVLDESLIREQTKVDHFWGLDDDGDLKAFITPLKTSGGNKAATQGNGELAAEVASSNGYTCRDCRMLSARTDALTAHSAIHGTTSRVYSRDRTLKPRGVSFYLDRTLWLAKSTSSSFASFIVQLFQVVLMKLNFETYKLKGYESRAYESQSYETKSHESEAHLGHCGRMTAGELSRVDGESLCDDCKGKKHLEIHTATHSQLPQPHRVAGAMGRLCIYTGDLLVQALRRTRAAGWSVAEAVWSVLWLAVSAPGKAASGTFWWLGSGWYQFVTLISWLNVFLLTRCLRNICKVFVLLLPLLLLLGAGVSLWGQGNFFSLLPVLNWTAMQPTQRVDDSKGMHRPGPLPPSPPPKVDHKASQWPQESDMGQKVASLSAQCHNHDERLAELTVLLQKLQIRVDQVDDGREGLSLWVKNVVGQHLQEMGTIEPPDAKTDFMTFHHDHEVRLSNLEDVLRKLTEKSEAIQKELEETKLKAGSRDEEQPLLDRVQHLELELNLLKSQLSDWQHLKTSCEQAGARIQETVQLMFSEDQQGGSLEWLLEKLSSRFV
XP_715436.1 1 385 0.762435844155843 PF00172.18:Zn_clus:314:344 Zinc cluster transcription factor CZF1 385 31 17 385 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5A0W9 1 SwissProt MSSIPNINWNDPNNGKSNTSRQSQPQPQLPSNVSPPNSRAVPTSGSIGGPQYGSSQFSNEYSRNPNTIGGPPFPLQSNQRGYMPNTGYPVQQTAQQRSGDKLQQVHSQQQQQQQQPLYQQYPPQSVGYLAGDVYNPQHQEYVQMNQLPNQHYNLQQRQQAQGQQLKSQLNEQNAMMSASTQQYPVQDFTNPYPNAQNPAEQQQQQQPLRTQSQQWDGYQSQPLYSAAGNTIPSSIQQQIPPQNLSPSEQQQVKQQQPSPPEQGTKKKPGRKPKLRKLSESSSETPQVPKTASSSSSSPTAVNSGKPITKRSRMGCLTCRQRKKRCCETRPRCTECTRLRLNCTWPKPGTEHKNKPKDQKDDENTIEHAEFGRIKVLRGIVEYRSK
XP_748684.1 1 612 0.0927947712418301 PF07690.16:MFS_1:99:516 MFS siderochrome iron transporter B 612 418 17 346 12 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WF31 1 SwissProt MLHVLSVGPSHAAFTVEAAMATMKKFHSIVGEKPAQDAEAPSVDDPNVGQIRADDKEAAHAPANAETNNEEANPSDGAQAGVKKIEAVTLSWTRGTAIWFLTLVNDFRLSMYTSLNAYATSSFLGHSLLTVINIVSYVMGGSVYIPMAKALDLWGRAEGFLLMTFFCILGLILLASSQNLPTYCAGQVFYKVGFGGLSYTWNVLAADVTNLRNRGLAFAFTSSPALISAFAGSKAASDLLAHSTWRWGFGMWAIILPVVALPIYGLLAYHLRQAEKKGVLVKETRDWSITPKTVWWAIMEFDLPGVLLFAGGFVIFLLPFTLAATAPHGYQTDYIIAMITLGLALIIAFGFYEMLVAPVPFLNYKFLIDRTVLGACLLDMTYQVSYYCYASYLPSFLQVVYELDVATAGYVTNTFSVVSFVFLFFAGWLIRWTGRFKWILWVCVPLYIFGLGLMIHFRQPGGYIGYIVMCEIFFSVAGSVFILCVQLAVLASVDHQHVAAVLALLFVMGSIGGSIGSAICGAIWTSTFLSRLERNLPASAMPDLSLIYSSLPTQLSYPVGSATRTAIVEAYGYAQARMLIAGTAFMVLGFIWVGMMRNLNVKNMTQTKGNVV
XP_750858.2 93 240 0.247466216216216 PF00043.25:GST_C:38:124,PF14497.6:GST_C_3:36:128 Glutathione S-transferase gliG; Gliotoxin biosynthesis protein G; EC 2.5.1.18 240 93 17 148 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::A4GYZ0 1 SwissProt AYDKDGTLGGRNVQERSEINNWLTLHTAALGPTAKYWLYFYKLHPEKLPKTIEKLRSNITVQYDILERRLNEPGQQYLALKDRPTIADIATLPFAMKSTAELFGLEFEKWPKLQEWSVRMGEREAVKRAWQRVAGFGHGEKEYGMLEA
XP_750862.1 1 264 0.191270075757576 Cytochrome P450 monooxygenase gliF; Gliotoxin biosynthesis protein F; EC 1.-.-.- 504 0 17 245 1 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WMJ0 1 SwissProt MDQVYLPQSVRTAVAVSFGVGLLYWVYRLLLQKTKSLKALDLPVLQSVGDQDIVKTLEEGHAKYPDTPFALGVPGQQLVVLPVSEIDTVKALPENQLSIKKHHYNQFLGEYSYMGTKADEFDDAMRYLLVRNTPAVLASFTAEIDYAMSTVLQVPPNSWTRVKPRSIMPKVATILSGRAFVGLPLSREPDWIESNVNYTQDVSRAWMVLRFYPHWIRPLVAPFLREVKTLEQNKALIGRKIAKLLADQEAQKLSPAQEKIPGGD
XP_961994.1 152 430 0.806810035842294 DNA-binding protein cre-1 430 0 17 279 0 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) O59958 1 SwissProt/TReMBL NHGLQPDMMPPPGPKAIRSAPPTAMSSPNVSPPHSYSPYNFAPSGLNPYSHSRSSAGSQSGPDISLLARAAGQVERDGAAHHHFQPRFQFYGNTLHAATASRNQLPGLQAYHMSRSHSHEDHDDHYGQSYRHAKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLRPHPGLELPPFRNLSLGQQHTTPALAPLEPALDGQFSLPQTPPAAPRSSGMSLTDIISRPDGTQRKLPVPKVAVQDLLGPADGFNPSVRNSSSTSLSGAEMMDRL
YP_001129397.1 113 407 0.711459661016949 Protein ORF45 407 0 17 295 0 Human herpesvirus 8 type P (isolate GK18) (HHV-8) (Kaposi's sarcoma-associated herpesvirus) SwissProt::F5HDE4 1 SwissProt EPEGYPADFFQPLSHLRPRPLARRAHTPKPVAVVAGRVRSSTDTAESEASMGWVSQDDGFSPAGLSPSDDEGVAILEPMAAYTGTGAYGLSPASRNSVPGTQSSPYSDPDEGPSWRPLRAAPTAIVDLTSDSDSDDSSNSPDVNNEAAFTDARHFSHQPPSSEEDGEDQGEVLSQRIGLMDVGQKRKRQSTASSGSEDVVRCQRQPNLSRKAVASVIIISSGSDTDEEPSSAVSVIVSPSSTKGHLPTQSPSTSAHSISSGSTTTAGSRCSDPTRILASTPPLCGNGAYNWPWLD
YP_003864075.1 1 59 0.070264406779661 PF02444.16:HEV_ORF1:1:59 Protein ORF3; pORF3 114 59 17 36 1 Hepatitis E virus genotype 1 (isolate Human/China/HeBei/1987) (HEV) SwissProt::Q81870 0 SwissProt MGSRPCALGLFCCCSSCFCLCCPRHRPVSRLAAAVGGAAAVPAVVSGVTGLILSPSQSP
YP_009137073.1 1 248 0.775848387096774 PF10488.9:PP1c_bdg:178:239 Neurovirulence factor ICP34.5; Infected cell protein 34.5; protein gamma(1)34.5 248 62 17 248 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P36313 1 SwissProt MARRRRHRGPRRPRPPGPTGAVPTAQSQVTSTPNSEPAVRSAPAAAPPPPPAGGPPPSCSLLLRQWLHVPESASDDDDDDDWPDSPPPEPAPEARPTAAAPRPRPPPPGVGPGGGADPSHPPSRPFRLPPRLALRLRVTAEHLARLRLRRAGGEGAPEPPATPATPATPATPATPARVRFSPHVRVRHLVVWASAARLARRGSWARERADRARFRRRVAEAEAVIGPCLGPEARARALARGAGPANSV
NP_001017361.1 1 217 0.469181566820277 PF16005.5:MOEP19:30:113 KHDC3-like protein; ES cell-associated transcript 1 protein 217 84 16 217 0 Homo sapiens (Human) SwissProt::Q587J8 1 SwissProt MDAPRRFPTLVQLMQPKAMPVEVLGHLPKRFSWFHSEFLKNPKVVRLEVWLVEKIFGRGGERIPHVQGMSQILIHVNRLDPNGEAEILVFGRPSYQEDTIKMIMNLADYHRQLQAKGSGKALAQDVATQKAETQRSSIEVREAGTQRSVEVREAGTQRSVEVQEVGTQGSPVEVQEAGTQQSLQAANKSGTQRSPEAASKAVTQRFREDARDPVTRL
NP_001030717.1 1 72 0.717220833333333 HY5-homolog 120 0 16 72 0 Arabidopsis thaliana NP_001030717.1 1 RefSeq MVPDMEAAGSTCVLSSSADDGVNNPELDQTQNGVSTAKRRRGRNPVDKEYRSLKRLLRNRVSAQQARERKKV
NP_001033699.1 327 699 0.628932975871314 dachshund homolog 1 isoform 2 699 0 16 373 0 Mus musculus NP_001033699.1 1 RefSeq TNAAIAEAMKVKKIKLEAMSNYHASNNQHGADSENGDMNSSVGLELPFMMMPHPLIPVSLPPASVTMAMSQMNHLSTIANMAAAAQVQSPPSRVETSVIKERVPDSPSPAPSLEEGRRPGSHPSSHRSSSVSSSPARTESSSDRIPVHQNGLSMNQMLMGLSPNVLPGPKEGDLAGHDMGHESKRIHIEKDETPLSTPTARDSIDKLSLTGHGQPLPPGFPSPFLFPDGLSSIETLLTNIQGLLKVAIDNARAQEKQVQLEKTELKMDFLRERELRETLEKQLAMEQKNRAIVQKRLKKEKKAKRKLQEALEFETKRREQAEQTLKQAASADSLRVLNDSLTPEIEADRSGGRADAERTIQDGRLYLKTTVMY 2
NP_001074409.1 1 198 0.31625101010101 Angiopoietin-like protein 8; Betatrophin; Lipasin; Refeeding-induced fat and liver protein 198 0 16 198 0 Mus musculus (Mouse) SwissProt::Q8R1L8 1 SwissProt MAVLALCLLWTLASAVRPAPVAPLGGPEPAQYEELTLLFHGALQLGQALNGVYRATEARLTEAGHSLGLYDRALEFLGTEVRQGQDATQELRTSLSEIQVEEDALHLRAEATARSLGEVARAQQALRDTVRRLQVQLRGAWLGQAHQEFETLKARADKQSHLLWALTGHVQRQQREMAEQQQWLRQIQQRLHTAALPA
NP_001074540.1 1 376 0.0850287234042553 PF01553.21:Acyltransferase:67:223,PF16076.5:Acyltransf_C:233:306 Lysocardiolipin acyltransferase 1; 1-acylglycerol-3-phosphate O-acyltransferase 8; 1-AGP acyltransferase 8; 1-AGPAT 8; Acyl-CoA:lysocardiolipin acyltransferase 1; EC 2.3.1.-; EC 2.3.1.51 376 231 16 284 4 Mus musculus (Mouse) SwissProt::Q3UN02 1 SwissProt MVSWKGIYFILFLFAGSFFGSIFMLGPILPLMFINLSWYRWISSRLVATWLTLPVALLETMFGVRVVITGDAFVPGERSVIIMNHRTRVDWMFLWNCLMRYSYLRVEKICLKSSLKSVPGFGWAMQVAAFIFIHRKWKDDKSHFEDMIDYFCAIHEPLQLLIFPEGTDLTENNKARSNDFAEKNGLQKYEYVLHPRTTGFTFVVDRLREGKNLDAVHDITVAYPYNIPQTEKHLLLGDFPKEIHFHVQRYPADSLPTSKEDLQLWCHRRWEEKEERLRSFYQGEKNFHFTGQSTVPPCKSELRVLVVKLLSIVYWALFCSAMCLLIYLYSPVRWYFIISIVFFVLQERIFGGLEIIELACYRFLHKHPHLNSKKNE
NP_001118599.1 1 372 0.386840053763441 PF06203.14:CCT:315:357 CONSTANS-like 9 372 43 16 372 0 Arabidopsis thaliana NP_001118599.1 1 RefSeq MGYMCDFCGEQRSMVYCRSDAACLCLSCDRSVHSANALSKRHSRTLVCERCNAQPATVRCVEERVSLCQNCDWSGHNNSNNNNSSSSSTSPQQHKRQTISCYSGCPSSSELASIWSFCLDLAGQSICEQELGMMNIDDDGPTDKKTCNEDKKDVLVGSSSIPETSSVPQGKSSSAKDVGMCEDDFYGNLGMDEVDMALENYEELFGTAFNPSEELFGHGGIDSLFHKHQTAPEGGNSVQPAGSNDSFMSSKTEPIICFASKPAHSNISFSGVTGESSAGDFQECGASSSIQLSGEPPWYPPTLQDNNACSHSVTRNNAVMRYKEKKKARKFDKRVRYASRKARADVRRRVKGRFVKAGEAYDYDPLTPTRSY
NP_001129543.1 1 330 0.765357272727272 PF02029.15:Caldesmon:182:276 Lymphocyte-specific protein 1; 52 kDa phosphoprotein; pp52; Lymphocyte-specific antigen WP34; S37 protein 330 95 16 330 0 Mus musculus (Mouse) SwissProt::P19973 1 SwissProt MAEAAIDPRCEEQEELHAEDSEGLTTQWREEDEEEAAREQRQRERERQLQDQDKDKEDDGGHSLEQPGQQTLISLKSSELDEDEGFGDWSQKPEPRQQFWGNEGTAEGTEPSQSERPEEKQTEESSHQAKVHLEESNLSYREPDPEDAVGGSGEAEEHLIRHQVRTPSPLALEDTVELSSPPLSPTTKLADRTESLNRSIKKSNSVKKSQPTLPISTIDERLQQYTQATESSGRTPKLSRQPSIELPSMAVASTKTLWETGEVQSQSASKTPSCQDIVAGDMSKKSLWEQKGGSKISSTIKSTPSGKRYKFVATGHGKYEKVLVDEGSAP
NP_001135873.1 1 184 0.248230434782609 PF05283.11:MGC-24:60:184 sialomucin core protein 24 isoform 2 precursor 184 125 16 161 1 Homo sapiens NP_001135873.1 1 RefSeq MSRLSRSLLWAATCLGVLCVLSADKNTTQHPNVTTLAPISNVTSAPVTSLPLVTTPAPETCEGRNSCVSCFNVSVVNTTCFWIECKDESYCSHNSTVSDCQVGNTTDFCSAKPTVQPSPSTTSKTVTTSGTTNNTVTPTSQPVRKSTFDAASFIGGIVLVLGVQAVIFFLYKFCKSKERNYHTL
NP_001136325.1 80 222 0.565023076923077 PF07527.13:Hairy_orange:14:52 transcription cofactor HES-6 isoform b 222 39 16 143 0 Homo sapiens NP_001136325.1 1 RefSeq AREREQLQAEASERFAAGYIQCMHEVHTFVSTCQAIDATVAAELLNHLLESMPLREGSSFQDLLGDALAGPPRAPGRSGWPAGGAPGSPIPSPPGPGDDLCSDLEEAPEAELSQAPAEGPDLVPAALGSLTTAQIARSVWRPW
NP_001136360.2 1 348 0.261930172413793 growth/differentiation factor 9 precursor 453 0 16 348 0 Ovis aries NP_001136360.2 1 RefSeq MALPNKFFLWFCCFAWLCFPISLDSLPSRGEAQIVARTALESEAETWSLLNHLGGRHRPGLLSPLLEVLYDGHGEPPRLQPDDRALRYMKRLYKAYATKEGTPKSNRRHLYNTVRLFTPCAQHKQAPGDLAAGTFPSVDLLFNLDRVTVVEHLFKSVLLYTFNNSISFPFPVKCICNLVIKEPEFSSKTLPRAPYSFTYNSQFEFRKKYKWMEIDVTAPLEPLVASHKRNIHMSVNFTCAEDQLQHPSARDSLFNMTLLVAPSLLLYLNDTSAQAFHRWHSLHPKRKPSQGPDQKRGLSAYPVGEEAAEGVRSSRHRRDQESASSELKKPLVPASVNLSEYFKQFLFP
NP_001140376.1 118 311 0.485497422680412 putative MYB DNA-binding domain superfamily protein 311 0 16 194 0 Zea mays NP_001140376.1 1 RefSeq RRMGLDEPPPGPAAGCPAARHMAQWETARLEAEARLSLLAATAASSGTTATTTATTTTSAASSSSTTVAGAADNKASNPADIFLRLWSSDIGDSFRRKTDTTPAVPALPAPVVKRKDTAIKQEPRALLLGLRDDSSAASNDNETEVAEALEAKYQMFLDFAGEELGLFHGRHGGFSLFPPLDVLAEASLDSAFK
NP_001193594.1 1 613 0.325473572593801 PF07686.17:V-set:40:148 Immunoglobulin superfamily member 8; IgSF8; CD81 partner 3; Glu-Trp-Ile EWI motif-containing protein 2; EWI-2; Keratinocytes-associated transmembrane protein 4; KCT-4; LIR-D1; Prostaglandin regulatory-like protein; PGRL; CD316 antigen 613 109 16 590 1 Homo sapiens (Human) SwissProt::Q969P0 1 SwissProt MGALRPTLLPPSLPLLLLLMLGMGCWAREVLVPEGPLYRVAGTAVSISCNVTGYEGPAQQNFEWFLYRPEAPDTALGIVSTKDTQFSYAVFKSRVVAGEVQVQRLQGDAVVLKIARLQAQDAGIYECHTPSTDTRYLGSYSGKVELRVLPDVLQVSAAPPGPRGRQAPTSPPRMTVHEGQELALGCLARTSTQKHTHLAVSFGRSVPEAPVGRSTLQEVVGIRSDLAVEAGAPYAERLAAGELRLGKEGTDRYRMVVGGAQAGDAGTYHCTAAEWIQDPDGSWAQIAEKRAVLAHVDVQTLSSQLAVTVGPGERRIGPGEPLELLCNVSGALPPAGRHAAYSVGWEMAPAGAPGPGRLVAQLDTEGVGSLGPGYEGRHIAMEKVASRTYRLRLEAARPGDAGTYRCLAKAYVRGSGTRLREAASARSRPLPVHVREEGVVLEAVAWLAGGTVYRGETASLLCNISVRGGPPGLRLAASWWVERPEDGELSSVPAQLVGGVGQDGVAELGVRPGGGPVSVELVGPRSHRLRLHSLGPEDEGVYHCAPSAWVQHADYSWYQAGSARSGPVTVYPYMHALDTLFVPLLVGTGVALVTGATVLGTITCCFMKRLRKR
NP_001193830.1 148 679 0.503645488721804 PF07888.11:CALCOCO1:10:266 tax1-binding protein 1 isoform 2 747 257 16 532 0 Homo sapiens NP_001193830.1 1 RefSeq GLLELKIEKTMKEKEELLKLIAVLEKETAQLREQVGRMERELNHEKERCDQLQAEQKGLTEVTQSLKMENEEFKKRFSDATSKAHQLEEDIVSVTHKAIEKETELDSLKDKLKKAQHEREQLECQLKTEKDEKELYKVHLKNTEIENTKLMSEVQTLKNLDGNKESVITHFKEEIGRLQLCLAEKENLQRTFLLTTSSKEDTCFLKEQLRKAEEQVQATRQEVVFLAKELSDAVNVRDRTMADLHTARLENEKVKKQLADAVAELKLNAMKKDQDKTDTLEHELRREVEDLKLRLQMAADHYKEKFKECQRLQKQINKLSDQSANNNNVFTKKTGNQQKVNDASVNTDPATSASTVDVKPSPSAAEADFDIVTKGQVCEMTKEIADKTEKYNKCKQLLQDEKAKCNKYADELAKMELKWKEQVKIAENVKLELAEVQDNYKELKRSLENPAERKMEDGADGAFYPDEIQRPPVRVPSWGLEDNVVCSQPARNFSRPDGLEDSEDSKEDENVPTAPDPPSQHLRGHGTGFCFD
NP_001230728.1 1 570 0.660158596491228 TSC22 domain family protein 1 isoform 4 570 0 16 570 0 Homo sapiens NP_001230728.1 1 RefSeq MHQPPESTAAAAAAADISARKMAHPAMFPRRGSGSGSASALNAAGTGVGSNATSSEDFPPPSLLQPPPPAASSTSGPQPPPPQSLNLLSQAQLQAQPLAPGGTQMKKKSGFQITSVTPAQISASISSNNSIAEDTESYDDLDESHTEDLSSSEILDVSLSRATDLGEPERSSSEETLNNFQEAETPGAVSPNQPHLPQPHLPHLPQQNVVINGNAHPHHLHHHHQIHHGHHLQHGHHHPSHVAVASASITGGPPSSPVSRKLSTTGSSDSITPVAPTSAVSSSGSPASVMTNMRAPSTTGGIGINSVTGTSTVNNVNITAVGSFNPNVTSSMLGNVNISTSNIPSAAGVSVGPGVTSGVNVNILSGMGNGTISSSAAVSSVPNAAAGMTGGSVSSQQQQPTVNTSRFRVVKLDSSSEPFKKGRWTCTEFYEKENAVPATEGVLINKVVETVKQNPIEVTSERESTSGSSVSSSVSTLSHYTESVGSGEMGAPTVVVQQQQQQQQQQQQQPALQGVTLQQMDFGSTGPQSIPAVSIPQSISQSQISQVQLQSQELSYLTMKVVLLIVYLCM
NP_001239424.1 53 638 0.512504778156996 PF10482.9:CtIP_N:1:87 DNA endonuclease RBBP8; CtBP-interacting protein; CtIP; Retinoblastoma-binding protein 8; RBBP-8; Retinoblastoma-interacting protein and myosin-like; RIM; Sporulation in the absence of SPO11 protein 2 homolog; SAE2; EC 3.1.-.- 893 87 16 586 0 Mus musculus (Mouse) SwissProt::Q80YR6 1 SwissProt AQRLEEFFTKNQQLRDQQKVLQETIKILEDRLRAGLCDRCAVTEEHMHKKQQEFENIRQQNLKLITELMNEKNTLQEENKKLSEQLQQKMENGQQDQVAELACEENIIPDSPVTSFSFSGINRLRKKENLHVRYVEQTHTKLERSLCTNELRKISKDSAPAPVNSEEHEILVADTCDQNHSPLSKICETSSYPTDKTSFNLDTVVAETLGLNGQEESEPQGPMSPLGSELYHCLKEDHKKHPFMESARSKEDSLRFSDSASKTPPQEFTTRASSPVFGATSTVKAHLGLNTSFSPSLLDIGKKNLLKTAPFSNIAVSRSEKVRSKSEDNALFTQHSLGSEVKVISQSFSSKQILTNKTVSDSVDEQCSADHMNTTVADKYLVPLKSLGGKASKRKRTEEESEHAVKCPQACFDKENALPFPMENQFSMNGDHVMDKPLDLSDRFAATQRQEKNHGNETSKNKLKQATIYEALKPIPKGSSSGRKALSGDCMPAKDSWETYCLQPRSLQSSSKFSPDQKTPLQIKEENPVFKTPPCSQESLETENLFGDVKGTGSLVPTKVKSRAVHGGCELASVLQLNPCRVAKTK
NP_001239570.1 1 248 0.379522983870968 PF02996.17:Prefoldin:23:129,PF13758.6:Prefoldin_3:27:124 unconventional prefoldin RPB5 interactor 1 isoform c 475 107 16 248 0 Homo sapiens NP_001239570.1 1 RefSeq MTTWSSLQGSHVSKRALAYALVVTNCQERIQHWKKVDNDYNALRERLSTLPDKLSYNIMVPFGPFAFMPGKLVHTNEVTVLLGDNWFAKCSAKQAVGLVEHRKEHVRKTIDDLKKVMKNFESRVEFTEDLQKMSDAAGDIVDIREEIKCDFEFKAKHRIAHKPHSKPKTSDIFEADIANDVKSKDLLADKELWARLEELERQEELLGELDSKPDTVIANGEDTTSSEEEKEDRNTNVNAMHQVTDSHT
NP_001257354.1 360 873 0.362792023346304 PF00780.22:CNH:187:483 mitogen-activated protein kinase kinase kinase kinase 3 isoform 2 873 297 16 514 0 Homo sapiens NP_001257354.1 1 RefSeq QGHQGGYFLGANKSLLKSVEEELHQRGHVAHLEDDEGDDDESKHSTLKAKIPPPLPPKPKSIFIPQEMHSTEDENQGTIKRCPMSGSPAKPSQVPPRPPPPRLPPHKPVALGNGMSSFQLNGERDGSLCQQQNEHRGTNLSRKEKKDVPKPISNGLPPTPKVHMGACFSKVFNGCPLKIHCASSWINPDTRDQYLIFGAEEGIYTLNLNELHETSMEQLFPRRCTWLYVMNNCLLSISGKASQLYSHNLPGLFDYARQMQKLPVAIPAHKLPDRILPRKFSVSAKIPETKWCQKCCVVRNPYTGHKYLCGALQTSIVLLEWVEPMQKFMLIKHIDFPIPCPLRMFEMLVVPEQEYPLVCVGVSRGRDFNQVVRFETVNPNSTSSWFTESDTPQTNVTHVTQLERDTILVCLDCCIKIVNLQGRLKSSRKLSSELTFDFQIESIVCLQDSVLAFWKHGMQGRSFRSNEVTQEISDSTRIFRLLGSDRVVVLESRPTDNPTANSNLYILAGHENSY
NP_001258745.1 1 675 0.491245925925925 DNA cross-link repair 1A protein; SNM1 homolog A; hSNM1; hSNM1A 1040 0 16 675 0 Homo sapiens (Human) SwissProt::Q6PJP8 1 SwissProt MLEDISEEDIWEYKSKRKPKRVDPNNGSKNILKSVEKATDGKYQSKRSRNRKRAAEAKEVKDHEVPLGNAGCQTSVASSQNSSCGDGIQQTQDKETTPGKLCRTQKSQHVSPKIRPVYDGYCPNCQMPFSSLIGQTPRWHVFECLDSPPRSETECPDGLLCTSTIPFHYKRYTHFLLAQSRAGDHPFSSPSPASGGSFSETKSGVLCSLEERWSSYQNQTDNSVSNDPLLMTQYFKKSPSLTEASEKISTHIQTSQQALQFTDFVENDKLVGVALRLANNSEHINLPLPENDFSDCEISYSPLQSDEDTHDIDEKPDDSQEQLFFTESSKDGSLEEDDDSCGFFKKRHGPLLKDQDESCPKVNSFLTRDKYDEGLYRFNSLNDLSQPISQNNESTLPYDLACTGGDFVLFPPALAGKLAASVHQATKAKPDEPEFHSAQSNKQKQVIEESSVYNQVSLPLVKSLMLKPFESQVEGYLSSQPTQNTIRKLSSENLNAKNNTNSACFCRKALEGVPVGKATILNTENLSSTPAPKYLKILPSGLKYNARHPSTKVMKQMDIGVYFGLPPKRKEEKLLGESALEGINLNPVPSPNQKRSSQCKRKAEKSLSDLEFDASTLHESQLSVELSSERSQRQKKRCRKSNSLQEGACQKRSDHLINTESEAVNLSKVKVFTKS
NP_001265625.1 77 192 0.291412931034483 probable G-protein coupled receptor 132 isoform 3 192 0 16 116 0 Homo sapiens NP_001265625.1 1 RefSeq KAAAFSYYRGDRNAMCGLEERLYTASVVFLCLSTVNGVADPIIYVLATDHSRQEVSRIHKGWKEWSMKTDVTRLTHSRDTEELQSPVALADHYTFSRPVHPPGSPCPAKRLIEESC
NP_001268678.1 363 1068 0.698895609065155 serine/threonine-protein kinase SIK3 isoform 3 1261 0 16 706 0 Homo sapiens NP_001268678.1 1 RefSeq TLQAEQAGTAMNISVPQVQLINPENQIVEPDGTLNLDSDEGEEPSPEALVRYLSMRRHTVGVADPRTEVMEDLQKLLPGFPGVNPQAPFLQVAPNVNFMHNLLPMQNLQPTGQLEYKEQSLLQPPTLQLLNGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTMTPAVPAVTPVDEESSDGEPDQEAVQRYLANRSKRHTLAMTNPTAEIPPDLQRQLGQQPFRSRVWPPHLVPDQHRSTYKDSNTLHLPTERFSPVRRFSDGAASIQAFKAHLEKMGNNSSIKQLQQECEQLQKMYGGQIDERTLEKTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPLQAACENQPALLTHQLQRLRIQPSSPPPNHPNNHLFRQPSNSPPPMSSAMIQPHGAASSSQFQGLPSRSAIFQQQPENCSSPPNVALTCLGMQQPAQSQQVTIQVQEPVDMLSNMPGTAAGSSGRGISISPSAGQMQMQHRTNLMATLSYGHRPLSKQLSADSAEAHSAHQQPPHYTTSALQQALLSPTPPDYTRHQQVPHILQGLLSPRHSLTGHSDIRLPPTEFAQLIKRQQQQRQQQQQQQQQQEYQELFRHMNQGDAGSLAPSLGGQSMTERQALSYQNADSYHHHTSPQHLLQIRAQECVSQASSPTPPHGYAHQPALMHSESMEEDCSCEGAKDGFQDSKSSSTLTKGCHDSPLLL
NP_001272953.1 1 215 0.199633023255814 PF00363.18:Casein:126:205 beta-casein isoform e precursor 215 80 16 215 0 Mus musculus NP_001272953.1 1 RefSeq MKVFILACLVALALAREESVEHINEKLQKVNLMGQLQAEDVLQAKVHSSIQSQPQAFPYAQAQTISCNPVPQNIQPIAQPPVVPSLGPVISPELESFLKAKATILPKHKQMPLLNSETVLRLINSQIPSLASLANLHLPQSLVQLLAQVVQAFPQTHLVSSQTQLSLPQSKVLYFLQQVAPFLPQDMSVQDLLQYLELLNPTVQFPATPQHSVSV
NP_001278970.2 1 387 0.741917054263566 PF02218.15:HS1_rep:82:117,PF02218.15:HS1_rep:119:154,PF02218.15:HS1_rep:156:179 hematopoietic lineage cell-specific protein isoform 2 449 96 16 387 0 Homo sapiens NP_001278970.2 1 RefSeq MWKSVVGHDVSVSVETQGDDWDTDPDFVNDISEKEQRWGAKTIEGSGRTEHINIHQLRNKVSEEHDVLRKKEMESGPKASHGYGGRFGVERDRMDKSAVGHEYVAEVEKHSSQTDAAKGFGGKYGVERDRADKSAVGFDYKGEVEKHTSQKDYAKGFGGQYGIQKDRVDKSAVGFNEMEAPTTAYKKTTPIEAASSGTRGLKAKFESMAEEKRKREEEEKAQQVARRQQERKAVTKRSPEAPQPVIAMEEPAVPAPLPKKISSEAWPPVGTPPSSESEPVRTSREHPVPLLPIRQTLPEDNEEPPALPPRTLEGLQVEEEPVYEAEPEPEPEPEPEPENDYEDVEEMDRHEQEDEPEGDYEEVLEPEDSSFSSALAGSSGCPAGAGA
NP_001285132.1 88 716 0.473876947535771 PF14598.6:PAS_11:316:422 Methoprene-tolerant, isoform B 716 107 16 629 0 Drosophila melanogaster NP_001285132.1 1 RefSeq LQYVFGKSASRRRKKTGLKGTGMSASPVGDLPNPSLHLTDTLMQLLDCCFLTLTCSGQIVLVSTSVEQLLGHCQSDLYGQNLLQITHPDDQDLLRQQLIPRDIETLFYQHQHHQQQGHNPQQHSTSTSASTSGSDLEEEEMETEEHRLGRQQGEADDDEDHPYNRRTPSPRRMAHLATIDDRLRMDRRCFTVRLARASTRAEATRHYERVKIDGCFRRSDSSLTGGAAANYPIVSQLIRRSRNNNMLAAAAAVAAEAATVPPQHDAIAQAALHGISGNDIVLVAMARVLREERPPEETEGTVGLTIYRQPEPYQLEYHTRHLIDGSIIDCDQRIGLVAGYMKDEVRNLSPFCFMHLDDVRWVIVALRQMYDCNSDYGESCYRLLSRNGRFIYLHTKGFLEVDRGSNKVHSFLCVNTLLDEEAGRQKVQEMKEKFSTIIKAEMPTQSSSPDLPASQAPQQLERIVLYLIENLQKSVDSAETVGGQGMESLMDDGYSSPANTLTLEELAPSPTPALALVPPAPSSVKSSISKSVSVVNVTAARKFQQEHQKQRERDREQLKERTNSTQGVIRQLSSCLSEAETASCILSPASSLSASEAPDTPDPHSNTSPPPSLHTRPSVLHRTLTSTLR
NP_001293.3 1 105 0.381668571428571 PF03002.15:Somatostatin:91:104 cortistatin preproprotein 105 14 16 105 0 Homo sapiens NP_001293.3 1 RefSeq MPLSPGLLLLLLSGATATAALPLEGGPTGRDSEHMQEAAGIRKSSLLTFLAWWFEWTSQASAGPLIGEEAREVARRQEGAPPQQSARRDRMPCRNFFWKTFSSCK
NP_001293033.1 1 135 0.582506666666667 PF15152.6:Kisspeptin:46:120 metastasis-suppressor KiSS-1 precursor 135 75 16 135 0 Ovis aries NP_001293033.1 1 RefSeq MNVLLSRQLMLFLCATAFRETLENMAPMENPRTTGSQLGPATLRAPWEQSPRCAAGKPTAAGPRPRGAALCPSESSAGPRQPGPCAPRSRLIPAPRGAALVQREKDVSAYNWNSFGLRYGRRQAALPGGRGGARG
NP_001295154.1 1 707 0.283216973125884 PF00096.26:zf-C2H2:414:436,PF00096.26:zf-C2H2:445:466,PF00096.26:zf-C2H2:474:497,PF13912.6:zf-C2H2_6:92:114,PF13912.6:zf-C2H2_6:216:242,PF13912.6:zf-C2H2_6:414:438,PF13912.6:zf-C2H2_6:473:493 zinc finger protein 521 isoform 2 1091 122 16 707 0 Homo sapiens NP_001295154.1 1 RefSeq MQVHERNKDGSQSGSRMEDWKMKDTQKCSQCEEGFDFPEDLQKHIAECHPECSPNEDRAALQCVYCHELFVEETSLMNHMEQVHSGEKKNSCSICSESFHTVEELYSHMDSHQQPESCNHSNSPSLVTVGYTSVSSTTPDSNLSVDSSTMVEAAPPIPKSRGRKRAAQQTPDMTGPSSKQAKVTYSCIYCNKQLFSSLAVLQIHLKTMHLDKPEQAHICQYCLEVLPSLYNLNEHLKQVHEAQDPGLIVSAMPAIVYQCNFCSEVVNDLNTLQEHIRCSHGFANPAAKDSNAFFCPHCYMGFLTDSSLEEHIRQVHCDLSGSRFGSPVLGTPKEPVVEVYSCSYCTNSPIFNSVLKLNKHIKENHKNIPLALNYIHNGKKSRALSPLSPVAIEQTSLKMMQAVGGAPARPTGEYICNQCGAKYTSLDSFQTHLKTHLDTVLPKLTCPQCNKEFPNQESLLKHVTIHFMITSTYYICESCDKQFTSVDDLQKHLLDMHTFVFFRCTLCQEVFDSKVSIQLHLAVKHSNEKKVYRCTSCNWDFRNETDLQLHVKHNHLENQGKVHKCIFCGESFGTEVELQCHITTHSKKYNCKFCSKAFHAIILLEKHLREKHCVFETKTPNCGTNGASEQVQKEEVELQTLLTNSQESHNSHDGSEEDVDTSEPMYGCDICGAAYTMETLLQNHQLRDHNIRPGESAIVKKKAELIK
NP_001308049.1 264 546 0.526138162544169 T-box transcription factor TBX4 isoform 1 546 0 16 283 0 Homo sapiens NP_001308049.1 1 RefSeq SKEYPVISKSIMRQRLISPQLSATPDVGPLLGTHQALQHYQHENGAHSQLAEPQDLPLSTFPTQRDSSLFYHCLKRRADGTRHLDLPCKRSYLEAPSSVGEDHYFRSPPPYDQQMLSPSYCSEVTPREACMYSGSGPEIAGVSGVDDLPPPPLSCNMWTSVSPYTSYSVQTMETVPYQPFPTHFTATTMMPRLPTLSAQSSQPPGNAHFSVYNQLSQSQVRERGPSASFPRERGLPQGCERKPPSPHLNAANEFLYSQTFSLSRESSLQYHSGMGTVENWTDG
NP_003773.1 1 378 0.235053968253968 PF01762.21:Galactosyl_T:85:308 Beta-1,3-galactosyltransferase 4; Beta-1,3-GalTase 4; Beta3Gal-T4; Beta3GalT4; GalT4; b3Gal-T4; Gal-T2; Ganglioside galactosyltransferase; UDP-galactose:beta-N-acetyl-galactosamine-beta-1,3-galactosyltransferase; EC 2.4.1.62 378 224 16 358 1 Homo sapiens (Human) SwissProt::O96024 1 SwissProt MQLRLFRRLLLAALLLVIVWTLFGPSGLGEELLSLSLASLLPAPASPGPPLALPRLLIPNQEACSGPGAPPFLLILVCTAPENLNQRNAIRASWGGLREARGLRVQTLFLLGEPNAQHPVWGSQGSDLASESAAQGDILQAAFQDSYRNLTLKTLSGLNWAEKHCPMARYVLKTDDDVYVNVPELVSELVLRGGRWGQWERSTEPQREAEQEGGQVLHSEEVPLLYLGRVHWRVNPSRTPGGRHRVSEEQWPHTWGPFPPYASGTGYVLSASAVQLILKVASRAPLLPLEDVFVGVSARRGGLAPTQCVKLAGATHYPLDRCCYGKFLLTSHRLDPWKMQEAWKLVGGSDGERTAPFCSWFQGVLGILRCRAIAWLQS
NP_003877.2 1 854 0.409032552693208 PF05716.13:AKAP_110:254:520,PF05716.13:AKAP_110:525:732,PF05716.13:AKAP_110:754:854,PF10522.9:RII_binding_1:215:232 A-kinase anchor protein 4; AKAP-4; A-kinase anchor protein 82 kDa; AKAP 82; hAKAP82; Major sperm fibrous sheath protein; HI; Protein kinase A-anchoring protein 4; PRKA4 854 594 16 854 0 Homo sapiens (Human) SwissProt::Q5JQC9 1 SwissProt MMAYSDTTMMSDDIDWLRSHRGVCKVDLYNPEGQQDQDRKVICFVDVSTLNVEDKDYKDAASSSSEGNLNLGSLEEKEIIVIKDTEKKDQSKTEGSVCLFKQAPSDPVSVLNWLLSDLQKYALGFQHALSPSTSTCKHKVGDTEGEYHRASSENCYSVYADQVNIDYLMNRPQNLRLEMTAAKNTNNNQSPSAPPAKPPSTQRAVISPDGECSIDDLSFYVNRLSSLVIQMAHKEIKEKLEGKSKCLHHSICPSPGNKERISPRTPASKIASEMAYEAVELTAAEMRGTGEESREGGQKSFLYSELSNKSKSGDKQMSQRESKEFADSISKGLMVYANQVASDMMVSLMKTLKVHSSGKPIPASVVLKRVLLRHTKEIVSDLIDSCMKNLHNITGVLMTDSDFVSAVKRNLFNQWKQNATDIMEAMLKRLVSALIGEEKETKSQSLSYASLKAGSHDPKCRNQSLEFSTMKAEMKERDKGKMKSDPCKSLTSAEKVGEHILKEGLTIWNQKQGNSCKVATKACSNKDEKGEKINASTDSLAKDLIVSALKLIQYHLTQQTKGKDTCEEDCPGSTMGYMAQSTQYEKCGGGQSAKALSVKQLESHRAPGPSTCQKENQHLDSQKMDMSNIVLMLIQKLLNENPFKCEDPCEGENKCSEPRASKAASMSNRSDKAEEQCQEHQELDCTSGMKQANGQFIDKLVESVMKLCLIMAKYSNDGAALAELEEQAASANKPNFRGTRCIHSGAMPQNYQDSLGHEVIVNNQCSTNSLQKQLQAVLQWIAASQFNVPMLYFMGDKDGQLEKLPQVSAKAAEKGYSVGGLLQEVMKFAKERQPDEAVGKVARKQLLDWLLANL
NP_004562.2 1 256 0.413546875 PF16493.5:Meis_PKNOX_N:81:162 Homeobox protein PKNOX1; Homeobox protein PREP-1; PBX/knotted homeobox 1 436 82 16 256 0 Homo sapiens (Human) SwissProt::P55347 1 SwissProt MMATQTLSIDSYQDGQQMQVVTELKTEQDPNCSEPDAEGVSPPPVESQTPMDVDKQAIYRHPLFPLLALLFEKCEQSTQGSEGTTSASFDVDIENFVRKQEKEGKPFFCEDPETDNLMVKAIQVLRIHLLELEKVNELCKDFCSRYIACLKTKMNSETLLSGEPGSPYSPVQSQQIQSAITGTISPQGIVVPASALQQGNVAMATVAGGTVYQPVTVVTPQGQVVTQTLSPGTIRIQNSQLQLQLNQDLSILHQDD
NP_004606.2 1 249 0.0678803212851406 PF00335.20:Tetraspanin:15:238 Tetraspanin-7; Tspan-7; Cell surface glycoprotein A15; Membrane component chromosome X surface marker 1; T-cell acute lymphoblastic leukemia-associated antigen 1; TALLA-1; Transmembrane 4 superfamily member 2; CD231 antigen 249 224 16 160 4 Homo sapiens (Human) SwissProt::P41732 1 SwissProt MASRRMETKPVITCLKTLLIIYSFVFWITGVILLAVGVWGKLTLGTYISLIAENSTNAPYVLIGTGTTIVVFGLFGCFATCRGSPWMLKLYAMFLSLVFLAELVAGISGFVFRHEIKDTFLRTYTDAMQTYNGNDERSRAVDHVQRSLSCCGVQNYTNWSTSPYFLEHGIPPSCCMNETDCNPQDLHNLTVAATKVNQKGCYDLVTSFMETNMGIIAGVAFGIAFSQLIGMLLACCLSRFITANQYEMV
NP_005140.1 225 448 0.538208928571429 T-box transcription factor TBX19; T-box protein 19; T-box factor, pituitary 448 0 16 224 0 Homo sapiens (Human) SwissProt::O60806 1 SwissProt LRDVPEAISESQHVTYSHLGGWIFSNPDGVCTAGNSNYQYAAPLPLPAPHTHHGCEHYSGLRGHRQAPYPSAYMHRNHSPSVNLIESSSNNLQVFSGPDSWTSLSSTPHASILSVPHTNGPINPGPSPYPCLWTISNGAGGPSGPGPEVHASTPGAFLLGNPAVTSPPSVLSTQAPTSAGVEVLGEPSLTSIAVSTWTAVASHPFAGWGGPGAGGHHSPSSLDG
NP_008976.1 135 399 0.653210188679246 FGFR1 oncogene partner 399 0 16 265 0 Homo sapiens (Human) SwissProt::O95684 1 SwissProt CQQKEKGPTTGEGALDLSDVHSPPKSPEGKTSAQTTPSKIPRYKGQGKKKTSGQKAGDKKANDEANQSDTSVSLSEPKSKSSLHLLSHETKIGSFLSNRTLDGKDKAGLCPDEDDMEGDSFFDDPIPKPEKTYGLRKEPRKQAGSLASLSDAPPLKSGLSSLAGAPSLKDSESKRGNTVLKDLKLISDKIGSLGLGTGEDDDYVDDFNSTSHRSEKSEISIGEEIEEDLSVEIDDINTSDKLDDLTQDLTVSQLSDVADYLEDVA
NP_009033.1 1 96 0.142064583333333 PF02058.15:Guanylin:26:96 Guanylate cyclase activator 2B 112 71 16 73 1 Homo sapiens Q16661 1 SwissProt/TReMBL MGCRAASGLLPGVAVVLLLLLQSTQSVYIQYQGFRVQLESMKKLSDLEAQWAPSPRLQAQSLLPAVCHHPALPQDLQPVCASQEASSIFKTLRTIA
NP_009393.1 1 259 0.162926254826255 PF03907.13:Spo7:45:249 Sporulation-specific protein SPO7 259 205 16 213 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P18410 1 SwissProt MEPESIGDVGNHAQDDSASIVSGPRRRSTSKTSSAKNIRNSSNISPASMIFRNLLILEDDLRRQAHEQKILKWQFTLFLASMAGVGAFTFYELYFTSDYVKGLHRVILQFTLSFISITVVLFHISGQYRRTIVIPRRFFTSTNKGIRQFNVKLVKVQSTWDEKYTDSVRFVSRTIAYCNIYCLKKFLWLKDDNAIVKFWKSVTIQSQPRIGAVDVKLVLNPRAFSAEIREGWEIYRDEFWAREGARRRKQAHELRPKSE
NP_009401.1 1 539 0.590543042671614 Nucleoporin NUP60; Nuclear pore protein NUP60 539 0 16 539 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39705 1 SwissProt MHRKSLRRASATVPSAPYRKQIISNAHNKPSLFSKIKTFFTQKDSARVSPRNNVANKQPRNESFNRRISSMPGGYFHSEISPDSTVNRSVVVSAVGEARNDIENKEEEYDETHETNISNAKLANFFSKKGNEPLSEIEIEGVMSLLQKSSKSMITSEGEQKSAEGNNIDQSLILKESGSTPISISNAPTFNPKYDTSNASMNTTLGSIGSRKYSFNYSSLPSPYKTTVYRYSAAKKIPDTYTANTSAQSIASAKSVRSGVSKSAPSKKISNTAAALVSLLDENDSKKNNAASELANPYSSYVSQIRKHKRVSPNAAPRQEISEEETTVKPLFQNVPEQGEEPMKQLNATKISPSAPSKDSFTKYKPARSSSLRSNVVVAETSPEKKDGGDKPPSSAFNFSFNTSRNVEPTENAYKSENAPSASSKEFNFTNLQAKPLVGKPKTELTKGDSTPVQPDLSVTPQKSSSKGFVFNSVQKKSRSNLSQENDNEGKHISASIDNDFSEEKAEEFDFNVPVVSKQLGNGLVDENKVEAFKSLYTF
NP_009664.1 1 188 0.250770212765958 PF10032.9:Pho88:1:183 SRP-independent targeting protein 3; Inorganic phosphate transport protein PHO88; Phosphate metabolism protein PHO88 188 183 16 168 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38264 1 SwissProt MNPQVSNIIIMLVMMQLSRRIDMEDPTIIMYIRILYCSSIGISWIIYQMARKRIVAKNDMTTMKYVEPGNAMSGEGEKLQVTTVRDYDLKEIDSAIKSIYTGMAMMGFMHLYLKYTNPLFMQSISPVKSALEHNEVKIHLFGKPATGDLKRPFKAPSLFGGMGQTGPKTDKKSIEEAERAGNAGVKAE
NP_009853.3 1 859 0.143132596041909 PF00916.20:Sulfate_transp:123:528,PF01740.21:STAS:637:774 sulfate permease 1 859 544 16 655 9 Saccharomyces cerevisiae CharProtDB::CH_091499 1 CharProtDB MSRKSSTEYVHNQEDADIEVFESEYRTYRESEAAENRDGLHNGDEENWKVNSSKQKFGVTKNELSDVLYDSIPAYEESTVTLKEYYDHSIKNNLTAKSAGSYLVSLFPIIKWFPHYNFTWGYADLVAGITVGCVLVPQSMSYAQIASLSPEYGLYSSFIGAFIYSLFATSKDVCIGPVAVMSLQTAKVIAEVLKKYPEDQTEVTAPIIATTLCLLCGIVATGLGILRLGFLVELISLNAVAGFMTGSAFNIIWGQIPALMGYNSLVNTREATYKVVINTLKHLPNTKLDAVFGLIPLVILYVWKWWCGTFGITLADRYYRNQPKVANRLKSFYFYAQAMRNAVVIVVFTAISWSITRNKSSKDRPISILGTVPSGLNEVGVMKIPDGLLSNMSSEIPASIIVLVLEHIAISKSFGRINDYKVVPDQELIAIGVTNLIGTFFHSYPATGSFSRSALKAKCNVRTPFSGVFTGGCVLLALYCLTDAFFFIPKATLSAVIIHAVSDLLTSYKTTWTFWKTNPLDCISFIVTVFITVFSSIENGIYFAMCWSCAMLLLKQAFPAGKFLGRVEVAEVLNPTVQEDIDAVISSNELPNELNKQVKSTVEVLPAPEYKFSVKWVPFDHGYSRELNINTTVRPPPPGVIVYRLGDSFTYVNCSRHYDIIFDRIKEETRRGQLITLRKKSDRPWNDPGEWKMPDSLKSLFKFKRHSATTNSDLPISNGSSNGETYEKPLLKVVCLDFSQVAQVDSTAVQSLVDLRKAVNRYADRQVEFHFAGIISPWIKRSLLSVKFGTTNEEYSDDSIIAGHSSFHVAKVLKDDVDYTDEDSRISTSYSNYETLCAATGTNLPFFHIDIPDFSKWDV
NP_010083.1 1 300 0.143230333333333 PF00153.27:Mito_carr:118:200,PF00153.27:Mito_carr:208:291 Mitochondrial GTP/GDP carrier protein 1 300 167 16 300 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38988 1 SwissProt MPHTDKKQSGLARLLGSASAGIMEIAVFHPVDTISKRLMSNHTKITSGQELNRVIFRDHFSEPLGKRLFTLFPGLGYAASYKVLQRVYKYGGQPFANEFLNKHYKKDFDNLFGEKTGKAMRSAAAGSLIGIGEIVLLPLDVLKIKRQTNPESFKGRGFIKILRDEGLFNLYRGWGWTAARNAPGSFALFGGNAFAKEYILGLKDYSQATWSQNFISSIVGACSSLIVSAPLDVIKTRIQNRNFDNPESGLRIVKNTLKNEGVTAFFKGLTPKLLTTGPKLVFSFALAQSLIPRFDNLLSK
NP_010602.3 1 471 0.278240127388535 PF13489.6:Methyltransf_23:242:407,PF08242.12:Methyltransf_12:246:357,PF13649.6:Methyltransf_25:245:355,PF01209.18:Ubie_methyltran:213:368,PF08241.12:Methyltransf_11:246:359,PF13847.6:Methyltransf_31:244:385 Methyltransferase OMS1, mitochondrial; OXA1 multicopy suppressor 1; EC 2.1.1.- 471 195 16 451 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06668 1 SwissProt MIVFRRFPTCLLHHIRQPASRSLLLESQRRSLSFTSYKYNSSHIDDDKSKKKLKNVFQMNSNRVIRKQKTKEELAKERFEEQLRSPNRFVRWGAIARSEKFSKGMTKYMIGAYVIFLIYGLFFTKKLFAKDKELERLLKKQEEGNANEYEALRIKELKGKLRRRDELKLEEYKKMQEEGIENFDDIRVQNFDQNKLNEQILPARDTTNFYQEKANEYDKAINMEERVIFLGKRRKWLMKHCQGDVLEVSCGTGRNIKYLDMSRINSITFLDSSENMMEITHKKFREKFPKYKKVAFVVGKAENLVDLAEKGKPSLENEKENQVKYDTIVEAFGLCSHEDPVKALNNFGKLLKPDGRIILLEHGRGQYDFINKILDNRAERRLNTWGCRWNLDLGEVLDDSDLELVEEKRTHLGTTWCIVAKRKGDVKKKDELGFVEKYLQSSIRKRMESFEKKDDMASKKELEPVPPVSKS
NP_010616.3 1 441 0.203414739229025 PF04882.12:Peroxin-3:10:116,PF04882.12:Peroxin-3:108:430 Peroxisomal biogenesis factor 3; Peroxin-3; Peroxisomal membrane protein PAS3 441 421 16 418 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P28795 1 SwissProt MAPNQRSRSLLQRHRGKVLISLTGIAALFTTGSVVVFFVKRWLYKQQLRITEQHFIKEQIKRRFEQTQEDSLYTIYELLPVWRMVLNENDLNLDSIVTQLKDQKNQLTRAKSSESRESSPLKSKAELWNELELKSLIKLVTVTYTVSSLILLTRLQLNILTRNEYLDSAIKLTMQQENCNKLQNRFYNWVTSWWSDPEDKADDAMVMAAKKSKKEGQEVYINEQAFLSLSWWILNKGWLSYNEIITNQIEIEFDGIHPRDTLTLEEFSSRLTNIFRNTNSQIFQQNNNNLTSILLPKDSSGQEFLLSQTLDADALTSFHSNTLVFNQLVNELTQCIESTATSIVLESLINESFHFIMNKVGIKTIAKKKPGQEDQQQYQMAVFAMSMKDCCQEMLQTTAGSSHSGSVNEYLATLDSVQPLDDLSASVYSNFGVSSSFSFKP
NP_012138.1 1 1032 0.0910432170542638 PF12460.8:MMS19_C:551:959,PF14500.6:MMS19_N:46:313 DNA repair/transcription protein MET18/MMS19; Methyl methanesulfonate-sensitivity protein 19 1032 677 16 1032 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40469 1 SwissProt MTPDELNSAVVTFMANLNIDDSKANETASTVTDSIVHRSIKLLEVVVALKDYFLSENEVERKKALTCLTTILAKTPKDHLSKNECSVIFQFYQSKLDDQALAKEVLEGFAALAPMKYVSINEIAQLLRLLLDNYQQGQHLASTRLWPFKILRKIFDRFFVNGSSTEQVKRINDLFIETFLHVANGEKDPRNLLLSFALNKSITSSLQNVENFKEDLFDVLFCYFPITFKPPKHDPYKISNQDLKTALRSAITATPLFAEDAYSNLLDKLTASSPVVKNDTLLTLLECVRKFGGSSILENWTLLWNALKFEIMQNSEGNENTLLNPYNKDQQSDDVGQYTNYDACLKIINLMALQLYNFDKVSFEKFFTHVLDELKPNFKYEKDLKQTCQILSAIGSGNVEIFNKVISSTFPLFLINTSEVAKLKLLIMNFSFFVDSYIDLFGRTSKESLGTPVPNNKMAEYKDEIIMILSMALTRSSKAEVTIRTLSVIQFTKMIKMKGFLTPEEVSLIIQYFTEEILTDNNKNIYYACLEGLKTISEIYEDLVFEISLKKLLDLLPDCFEEKIRVNDEENIHIETILKIILDFTTSRHILVKESITFLATKLNRVAKISKSREYCFLLISTIYSLFNNNNQNENVLNEEDALALKNAIEPKLFEIITQESAIVSDNYNLTLLSNVLFFTNLKIPQAAHQEELDRYNELFISEGKIRILDTPNVLAISYAKILSALNKNCQFPQKFTVLFGTVQLLKKHAPRMTETEKLGYLELLLVLSNKFVSEKDVIGLFDWKDLSVINLEVMVWLTKGLIMQNSLESSEIAKKFIDLLSNEEIGSLVSKLFEVFVMDISSLKKFKGISWNNNVKILYKQKFFGDIFQTLVSNYKNTVDMTIKCNYLTALSLVLKHTPSQSVGPFINDLFPLLLQALDMPDPEVRVSALETLKDTTDKHHTLITEHVSTIVPLLLSLSLPHKYNSVSVRLIALQLLEMITTVVPLNYCLSYQDDVLSALIPVLSDKKRIIRKQCVDTRQVYYELGQIPFE
NP_012192.2 1 975 0.123225743589744 PF08631.10:SPO22:196:477 Sporulation-specific protein 22 975 282 16 975 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40511 1 SwissProt MSDHNVNSTFRKTLVELCETATWITSQVYAAKNLEKNDLITVDNKISALYPIAEKYDRSFRTTTVILDEELILKLENAASSLWNSLTIAMKAEKASDKYFNEVFCKCKIFATKLLSIHEALFRTNTNLLRNFKCYISSFKSASEYRFDDLITNTQQHSEKYLQIINENVESFSNEEKTEFKKLTFEFYLVNFQLYLSENDLDTANIYTAKVNITDNSKYMDADLLIELCRMIYNSTVMLKEINNPETQLVDVNIISFLKDVEKYLELPVENLKSHTDYSNLKYSVLIFMANCLVEGHPQASELEQCDHYLSLLQNEYPNKVDPFILAINLTKRRNIVNPAETIEEILMRMIMSVDVISNFQAVIASINDLSKMNTKFSIVCLDYLLINKLNSKNDSKFLGKAICSRFLITTQSKTMNDSEIAESLENFSTQMERIVSEPLTKHAISCIITLLWNTGKKLEKMEKYVVSIRFYKLALKDIISQNYSDRGKIQRALQVVYNKIEDYSNTVRVYQDMDEVDRQSPLCQLLMLQSFLADDKTEEALTCLQKIKSSEDEKSTDALILAVAECKRKTDLSVQGLLMIFDKLQSKSNSQTISSTSSSQTLSILRYTLQMIVKVSEEEPLETFINYLPTVQKLLQKAVEFLKTVKLLNQLPPDVEKEAIYQQSVAVNEIEWFASFSYNVAVKCLVDQSCESISEFPQYCIQFIDLIPVQDFTFPKMYHFTYWRFKATILQLIIAKEKAKQDQHQKDWDIYEKSEELVNSINVMKKSSEFKDGSSLEDRNTLHECFLEALTIHLESALMMPDQTRILDILKKTELYQDSRVDALLIDISSNMEDLPKGVLIEILETVLKRNMGPEVKERELCSWLRILLENAINLNHEVELRILDRVLKILNINQSSLQDTDGVLQTELETIATYCWNIGVNYIIKDNKSNGIVWCKHSMGFANMVNEGLQEQLYSLWESLASSANIDINSIAK
NP_012260.1 144 373 0.0689447826086957 PF00153.27:Mito_carr:32:121,PF00153.27:Mito_carr:133:223 Mitochondrial nicotinamide adenine dinucleotide transporter 1; Mitochondrial NAD(+) transporter 1 373 181 16 184 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40556 1 SwissProt PIVLGYFPTWMIYFSVYEFSKKFFHGIFPQFDFVAQSCAAITAGAASTTLTNPIWVVKTRLMLQSNLGEHPTHYKGTFDAFRKLFYQEGFKALYAGLVPSLLGLFHVAIHFPIYEDLKVRFHCYSRENNTNSINLQRLIMASSVSKMIASAVTYPHEILRTRMQLKSDIPDSIQRRLFPLIKATYAQEGLKGFYSGFTTNLVRTIPASAITLVSFEYFRNRLENISTMVI
NP_013045.1 1 531 0.0526822975517891 PF07690.16:MFS_1:67:431,PF06779.14:MFS_4:86:243 High affinity cysteine transporter 531 365 16 306 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12235 1 SwissProt MSKVDVKIGADSISSSDEILVPSRLADVTLAFMEENDAAVPEITPEQEKKLKRKLFLTIFTFVSAINLLLYMDKATLSYDSILGFFEDTGLTQNTYNTVNTLFYVGFAIGQFPGQYLAQKLPLGKFLGGLLATWTILIFLSCTAYNFSGVVALRFFLGLTESVVIPILITTMGMFFDASERAAAQPFFFAACMGSPIPTGFIAYGVLHITNPSISLWKIFTIIIGGLTFIMTVVVILWFPNNPADVKFFSIQERVWIIRRVQASTGSSIEQKVFKKSQFREAMKDYITWLFGLFFLLQQLANNLPYQQNLLFEGMGGVDALGSTLVSVAGAGFAVVCAFIATLMLAKWKNISALTAIFWTLPALVGSIAAAALPWDNKIGILANICMAGQIFGIPFIIALSWASSSASGYTKKLTRSSVSLFAMGIANIISPQIWREKDSPRFLPAWIVQIVLSFSLAPAILLLIHFILKRRNNQRLKNYDENLQNYLDRIQLIESENPSSIEEGKVVTHENNLAVFDLTDLENETFIYPL
NP_013557.1 1 698 0.258120916905444 PF00615.19:RGS:421:536,PF00610.21:DEP:290:354 Protein SST2 698 181 16 698 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P11972 1 SwissProt MVDKNRTLHELSSKNFSRTPNGLIFTNDLKTVYSIFLICLDLKEKKHSSDTKSFLLTAFTKHFHFTFTYQEAIKAMGQLELKVDMNTTCINVSYNIKPSLARHLLTLFMSSKLLHTPQDRTRGEPKEKVLFQPTPKGVAVLQKYVRDIGLKTMPDILLSSFNSMKLFTFERSSVTDSIIHSDYLIHILFIKMMGAKPNVWSPTNADDPLPCLSSLLEYTNNDDTFTFEKSKPEQGWQAQIGNIDINDLERVSPLAHRFFTNPDSESHTQYYVSNAGIRLFENKTFGTSKKIVIKYTFTTKAIWQWIMDCTDIMHVKEAVSLAALFLKTGLIVPVLLQPSRTDKKKFQISRSSFFTLSKRGWDLVSWTGCKSNNIRAPNGSTIDLDFTLRGHMTVRDEKKTLDDSEGFSQDMLISSSNLNKLDYVLTDPGMRYLFRRHLEKELCVENLDVFIEIKRFLKKMTILKKLIDSKHCDKKSNTSTSKNNIVKTIDSALMKQANECLEMAYHIYSSYIMIGSPYQLNIHHNLRQNISDIMLHPHSPLSEHFPTNLYDPSPASAESAASSISSTEADTLGEPPEVSLKPSKNLSNENCSFKKQGFKHQLKEYKPAPLTLAETHSPNASVENSHTIVRYGMDNTQNDTKSVESFPATLKVLRKLYPLFEIVSNEMYRLMNNDSFQKFTQSDVYKDASALIEIQEKC
NP_013652.1 1 1370 0.348963722627737 PF00027.29:cNMP_binding:822:933,PF00027.29:cNMP_binding:962:1054 Lysophospholipase NTE1; Intracellular phospholipase B; Neuropathy target esterase homolog; EC 3.1.1.5 1679 205 16 1306 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04958 1 SwissProt MRSMNCTTNNTNNTGQNTKNSLGSSFNSSNYTSYRFQTCLTDQIISEAQTWSLSSLFNFSWVVSYFVMGASRMIFRYGWYLATLSLLRIPKWIFFKLHHVQFTLSFWLILFALAVIVFVTYTIMKERILSQYKRLTPEFLPLENTGKSGSSANINAASTQSANAPPAIGSSTTGASSIIDSKKHSLKDGNENETFLSSYLDQFLSAIKIFGYLEKPVFHDLTKNMKTQKMDEGEILLLDSTIGFAIVVEGTLQLYHEVDHSDKDHGDETDHSDTDGLDDQDRDEEDEEEDDDIDNYDTKSCSSNLIDEEDESVGYIHLKNGLGNFQLLNTVKPGNPLTSLVSILNLFTHSMSSYGNSNFPSELSSPIDTTVSVNNMFCSSEQNFSNTDSMTNSTNSFPTFPSSMPKLVARAATDCTIGIIPPQSFAKLTAKYPRSASHIIQMVLTKLYHVTFQTAHDYLGLTKEIMDIEVLLNKSIVYELPYYLKEAVIRKFKTVDKSSGSADLEPKPKNSNASSKLKKPPKAKPSDGIIQSLKIANANANTSSNSLSLKPEFTHHPSSRHVVLGSRDQFNPGDLLSNVPLSRTMDILSPNPIHNNNRNKSNGINTSTSNQHKRSSRSSSNNASVHSKKFSSLSPELRNAQLSTSPLSLDNTSVHDHIHPSPVHLKGRVSPRPNLLPTTSFSAAQEETEDSALRMALVEAMLTYLGVNKSNMSVSSSSIANMSSLNSPQLNEMYSRRPSNASFLMSPHCTPSDISVASSFASPQTQPTMLRILPKEYTISNKRHNKSKSQDKKKPRAYKEELTPNLDFEDVKKDFAQGIQLKFFKKGTTIVEQNARGKGLFYIISGKVNVTTNSSSSVVSSMSKPEQVSAQSSHKGENPHHTQHLLYSVGSGGIVGYLSSLIGYKSFVNIVAKSDVYVGFLSSATLERLFDKYFLIYLRISDSLTKLLSSRLLKLDHALEWVHLRASETLFSQGDSANGIYVVLNGRLRQLQQQSLSNSNTSSEEVETQNIILGELAQGESFGEVEVLTAMNRYSTIVAVRDSELARIPRTLFELLALEHPSIMIRVSRLVAKKIVGDRTVPALTGDPLSIKENDFTSLIPPTKASYSSSLSHKPQNITSGTITFRTITILPITSGLPVEAFAMKLVQAFKQVGRTTIGLNQRTTLTHLGRHAFDRLSKLKQSGYFAELEEMYQTVVYISDTPVKSNWTRTCIAQGDCILLLADARSPSAEIGEYEKLLLNSKTTARTELILLHPERYVEPGLTHKWLRYRPWVHSHHHIQFSLTGTTLMNEGKMHVLNNGALALMDKLIQTEFSRKTQQNISKLLPDSIKNTVENFSSRFMKSKRQYYTPVHRHKNDFLRLARILSGQA
NP_013848.1 1 715 0.223921958041958 Nucleoporin POM152; Nuclear pore protein POM152; P150; Pore membrane protein POM152 1337 0 16 657 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39685 1 SwissProt MEHRYNVFNDTPRGNHWMGSSVSGSPRPSYSSRPNVNTTRRFQYSDDEPAEKIRPLRSRSFKSTESNISDEKSRISERDSKDRYINGDKKVDIYSLPLISTDVLEISKQRTFAVILFLIIQCYKIYDLVILKSGLPLSGLLFKNYRFNFISKYFIIDSFFLYVLPSFNIPRLTFKPWVVYLQILAMLLLNIFISSDHEFVLISLIMTTWRKLYTKELSVTGSAINHHRIFDSSAHFKGALTIKILPENTAMFNPLHESYCLPMDTNLFKINSIDVPIRINSTEEIEYIELEYRDLYTNSVELRSLSKKDFKIIDNPKSFLKKDQSVLKSHSNDFEEGSTIRYLAVTLQDIGFYQIKKIVDSKKLNLKIHQSHLVVPYCPIASITGTGSNDRCIGDSDNVSFEIQGVPPMKLAYSKIVNGQTFSYVDSSLQPEYFESPLQSSKSKQSFTQGELNDLKWGRNQPVNINLDSSITQDGKFAYKIDKITDGLGNVVDFTSLPEELKKRYDLSYNFNVHEVPRAALEERFDPKSPTKRSIAIVFEEIKNWISDIPYVISLSYTDAQDKSKKIMNVTTDSLTKVLQADLPGSYNLEYIESKFCPGEIVGKSNVLVTMPVAPTMEVKSFPILDQCVGQVGLNFELSFTGAPPYYYNTKIYKLENGERKLYDAKRYTSEGTRNRFSYSPPKEGNYEIVFDTVSNKLFTEPIKLEPVKEYTFKT
NP_013875.1 1 727 0.160091609353508 Calpain-like protease 1; Calpain-7; Cysteine protease RIM13; Regulator of IME2 protein 13; EC 3.4.22.- 727 0 16 727 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03792 1 SwissProt MNDWHEFNAAIKSIYCNAEGDSSSIINRLVGLAMKSEDSTFIEAVLVLKENVSKVDKQLRFLWLTSTINSRFYPPIPISEASPVSWNKTEYCAPGTEELQRRYPGRAKLQNEEDYSGGIEQCRDVPDCSLVASLINLRSKNLNLPLIKQISSTKYHVNLSFNGSNKRLVTVDISQIPTSVDGKQLSLKSNDISDKIGELALLLVSKGTYSTDGSNISIDTYRLSGFLPEITQVNSYPFEKLWKFHKSNLCLMGAGTGNRSNDMIKPLVENHDYSIIDITYDSRLVKLRDPRNSALNVEISYEQYLKNFKQLYLNWNQEKLFKRSQVLHFRYDTSRYNKFSIVADKPLFHLVNNSKVTETVWLLLESHLQDEGSQENRSVSFLNEAPECIICPIEPPVECGGNHIGLQLVKLRLDAETERLLYCYSTTNNNFSIHSFSVVKEICFQRLKDTKSLFAKVLFSFPYEIEGKASFDTCNFFQNPTFELEVHSEQDYQVLMDAACISTSSHDLINIQVYYFNDYELIKPIMFDNHYQPGQGLKQDVPILTNVKYMIVCSTYGPPASTEFELLASIRLSSSWRLISGITLRSVNLIYGTYPYHCRNRFHWKETSDKLKIQMTLPTKKYSTNKLFIRVVPVESSARLRMRCNIFEPESALCVYECQEYRTCPSGGIVIPDLEVSRTNIVVLMIERSVPISSCLPTEGQLDELELFVGSSQKIRIEKYSDDVIPK
NP_013927.1 1 256 0.309940625 PF10681.9:Rot1:31:253 Protein ROT1; Reversal of TOR2 lethality protein 1 256 223 16 233 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03691 1 SwissProt MWSKKFTLKKLILGGYLFAQKVYCEDESNSIYGTWSSKSNQVFTGPGFYDPVDELLIEPSLPGLSYSFTEDGWYEEATYQVSGNPRNPTCPMASLIYQHGTYNISENGTLVLNPIEVDGRQLFSDPCNDDGVSTYSRYNQTETFKEYAVGIDPYHGIYTLQLYQYDGTPMQPLYLAYRPPMMLPTETLNPTSSATSTDDPSSNKKRSLRSLVRRSLENRHKTNAIKRQNTSFLTSNAIWYISAGMLGVGSLLFLAF
NP_013945.1 1 1102 0.0976040834845738 PF12584.8:TRAPPC10:976:1084 Trafficking protein particle complex II-specific subunit 130; TRAPP II-specific subunit 130; Transport protein particle 130 kDa subunit 1102 109 16 1102 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03660 1 SwissProt MDKEIYCGSVPVSYFDPFDLFESLRPEFQQILPLDNIHWKAFDGTVRTVNRLPIELIPEGRGEADKSNDEQPFIRFLIVNCISIDQYRAKVRPLVRQWLPNLESVSSSTGEKMIYKPIILLYANSEVVDSNLFKSVSLMEKFGKDFPHVQTLEVRSVYRSPKERQEFWNQFSQKIKASVLSIFQKRLTHLQHSLANLQKGNNFEEQLLTREKLYELYVVFNILEDASLELQKIKKEILRRNMNMPDGKLQVPFESSSKSDESLGSIIIEGTLDKFQLHKYFFIRRLRLLKLEDQTLTAFVGAFQLIKNFIESISIEYRKSVRLLEFKHYFITSMLSYFEFENVSNPLLCEIKAELLMLKRDNWVQGVMATSGYRLMDKNYPNSDVKYKFDLLKETFVDETVFQENFLTLTKEILSLFNKCEGKRQRIVDILSIEIGLLYYQGKKYEEAVSLFLSCYEYYTQTNWNSIGLKILQVFIDSLSHCPKLDVLQIDGESVSASAVLTNAFLNILKLCKDNDSKEIWWKKFMDLQMKNNIHLMYPLDGLFEVTLNSKVHLARANVSAIEVNLKSYGFPEDISTKTMRLSLKNMGGDVIVFGASDFLLKKGENKLILECRDIMYGEFSLLSFEIIVEGITFVKEFPENQDEFIVVPEIYCKESTKVLVKQAHNLNLGEYALELKSVQSDALESLQVEVEVQKNIGNMKNLPVSFSMDEIQARKRYNTPFENVRLEYYLLDQITAFDLIIKTSFTKKNDQGTFGETKKVRIQCYLQLSVSVEDIFKKDIFFFKFLLNSSVREEPVILYSSELSAPDTRNDYNIRGDYIATTPALITFDGNESFINCYEITANNNFDSKDIFNLKVRYNTLKEQLDCFITDAVLIEGDVEWFILFEKWKTFWELEILKKLKYDYDAFKENRIIRLLKTSIDLNKTKSKIRNLCIEKAVLDKILICLNKVSRGIAVCNTDMDEYVRNLVPKQLTVPVQLPGFEQFFHVQFEQMETSHDALHDTIATIGNSLSYTVIVENLSGQWGQDVIDDGGYIFEILSSNEWLIHGQKRCAIKEKRKEFEVHLIPLKKGYLNFPRVEITNINGKSCRVDHSNAFESILIF
NP_014276.1 1 997 0.209591374122367 PF12812.7:PDZ_1:392:469,PF12812.7:PDZ_1:869:944,PF13365.6:Trypsin_2:104:250,PF00595.24:PDZ:291:375 Pro-apoptotic serine protease NMA111; 111 kDa nuclear mediator of apoptosis; EC 3.4.21.- 997 386 16 997 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53920 1 SwissProt MTISLSNIKKRDHSKISDGTSGESSLVKRKQLESATGDQEEEYTDHEIIIEPLHFANNNNTVLTDSENYLRWQNTISNVVKSVVSIHFSQVAPFDCDSALVSEATGFVVDAKLGIILTNRHVVGPGPFVGYVVFDNHEECDVIPIYRDPVHDFGFLKFDPKNIKYSKIKALTLKPSLAKVGSEIRVVGNDAGEKLSILAGFISRIDRNAPEYGELTYNDFNTEYIQAAASASGGSSGSPVVNIDGYAVALQAGGSTEASTDFFLPLDRILRALICIQTNKPITRGTIQVQWLLKPYDECRRLGLTSERESEARAKFPENIGLLVAETVLREGPGYDKIKEGDTLISINGETISSFMQVDKIQDENVGKEIQLVIQRGGVECTVTCTVGDLHAITPHRYVEVCGATFHELSYQMARFYALPVRGVFLSSASGSFNFDSKERVGWIVDSIDNKETPDLDTFIEIMKTIPDRKRVTVRYHHLTDQHSPLVTSIYIDRHWCNEFRVYTRNDTTGIWDYKNVADPLPADALKPRSAKIIPIPVNNEKVAKLSSSLCTVATMAAVPLDSLSADILKTSGLIIDAEKGYVLVSRRVVPHDCLDTFVTIADSLVVPATVEFLHPTHNFAIVKYDPELVKAPLITPKLSTTRMKRGDKLQFIGFTQNDRIVTSETTVTDISSVSIPSNLIPRYRATNLEAISIDCNVSTRCNSGILTDNDGTVRGLWLPFLGERLENKEKVYLMGLDIMDCREVIDILKNGGKPRVSIVDAGFGSISVLQARIRGVPEEWIMRMEHESNNRLQFITVSRVSYTEDKIHLETGDVILSVNGKLVTEMNDLNGVVSSADGILPSAMLDFKVVRDGNIVDLKIKTVEVQETDRFVIFAGSILQKPHHAVLQAMVDVPKGVYCTFRGESSPALQYGISATNFITHVNEIETPDLDTFLKVVKTIPDNSYCKMRLMTFDNVPFAISLKTNYHYFPTAELKRDNITHKWIEKEFTGNSQSEK
NP_014351.3 1 441 0.392736961451247 Phosphatidylinositol 4,5-bisphosphate-binding protein SLM2; Synthetic lethal with MSS4 protein 2; TORC2 effector protein SLM2 656 0 16 441 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53955 1 SwissProt MSYQRNSARASLDLRSQYQQLEGRMRSEHFNPAYQQQQQKGQNIPLSLPSSLAQRNPIPYPIDAVTSDPTIPAQLNVYDHDRQNSIVDAAAGTNTTHSLNSNNIPSSQNNNINNNNINNVGSFTDPSMLTLPKMSLHSHQKQYDSNQNDPRSPLAILIPTSAQPTDVLSARFSAWRNVIRAILVYLSETASIQDEIVRQQLRLSHAVQFPFFSIENQYQPVSNEDKSMQKFFLPLGSGSVQDLPTMLTKYHDNLASLASKSSKELTSEIIPRLEDLRRDLLVKIKEIKALQSDFKNSCNKELQQTKHLMKLFNESLKECKLGTPKSDPFLIKLQLEKQIKRQLVEENYLHEAFDNLQNSGAQLESVIVMEIQNGLTSYARILGKEAQVVFDSVISKLDSTILNKNTNLEWDSFILRNISNFVPPNLPMRRFKEISYSNQND
NP_014767.3 1 731 0.236888919288645 PF13446.6:RPT:529:577,PF13446.6:RPT:585:641,PF13446.6:RPT:658:719 Ubiquitin carboxyl-terminal hydrolase 2; Deubiquitinating enzyme 2; Ubiquitin thioesterase 2; Ubiquitin-specific-processing protease 2; EC 3.4.19.12 1272 168 16 731 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01476 1 SwissProt MPNEDNELQKAIENHHNQLLNQDKENADRNGSVIEDLPLYGTSINQQSTPGDVDDGKHLLYPDIATNLPLKTSDRLLDDILCDTIFLNSTDPKVMQKGLQSRGILKESMLSYSTFRSSIRPNCLGSLTDQVVFQTKSEYDSISCPKYNKIHVFQAVIFNPSLAEQQISTFDDIVKIPIYHLKVSVKVRQELERLKKHVGVTQFHSLDHLHEYDRVDLSTFDSSDPNLLDYGIYVSDDTNKLILIEIFKPEFNSPEEHESFTADAIKKRYNAMCVKNESLDKSETPSQVDCFYTLFKIFKGPLTRKSKAEPTKTIDSGNLALNTHLNPEWLTSKYGFQASSEIDEETNEIFTEYVPPDMVDYVNDLETRKIRESFVRKCLQLIFWGQLSTSLLAPNSPLKNTKSVKGMSSLQTSFSTLPWFHLLGESRARILLNSNEQTHSPLDAEPHFINLSVSHYYTDRDIIRNYESLSSLDPENIGLYFDALTYIANRKGAYQLIAYCGKQDIIGQEALENALLMFKINPKECNISELNEATLLSIYKYETSNKSQVTSNHLTNLKNALRLLAKYTKSDKLKFYVDHEPYRALSQAYDTLSIDESVDEDIIKTAYSVKINDSPGLKLDCDRALYTIAISKRSLDLFNFLTEECPQFSNYYGPEKLDYQEALKLLQVNENASDETILKIFKQKWFDENVYEPDQFLILRAALTKISIERNSTLITNFLLTGTIDPNSLPP
NP_014882.4 1 628 0.545188853503185 PF08242.12:Methyltransf_12:439:543,PF13489.6:Methyltransf_23:433:590,PF13649.6:Methyltransf_25:437:541,PF08241.12:Methyltransf_11:439:545 tRNA(Thr) (cytosine(32)-N(3))-methyltransferase; Actin-binding protein of 140 kDa; tRNA methyltransferase of 140 kDa; EC 2.1.1.268 628 158 16 628 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08641 1 SwissProt MGVADLIKKFESISKEEGDATVDTNSSSKPLKSNDETKELHQQESTAVPQEVDVNEEFENEPETINSSRTAEKPLETNLPKPETNEEDEEEGSMSENKIYSKGENADINVNDFQEYKEMENTGAEVLASSVEESDAIQEGVAEETEGIATPKQKENEKNDESEEESANNASEPAEEYSQSEEDADIEQSNGKETENAENASQQANDGSTSTTTSKNKKKKNKKKNKKKRNGNVNTNANVDDSTKTGENDDTTGDTTSSTTSAIQEVNDLEVVDDSCLGIDQQHNREHLKALTQDVKEETLENIAHEGRGDNTGDQNAVEKSDFEKSDTEGSRIGRDLPFEFGKRNLTEESDVWDHNAWDNVEWGEEQVQQAEEKIKEQFKHPVPEFDKKLYNENPARYWDIFYKNNKENFFKDRKWLQIEFPILYASTRKDAEPVTIFEIGCGAGNTFFPILKDNENENLRIIAADFAPRAVELVKNSEQFNPKYGHATVWDLANPDGNLPDGVEPHSVDIAVMIFVFSALAPNQWDQAMDNLHKILKPGGKIIFRDYGAYDLTQVRFKKNRILEENFYVRGDGTRVYFFSEEKLREIFTKKYFLENKIGTDRRLLVNRKRQLKMYRCWVQAVFDVPQ
NP_014919.3 50 161 0.732359821428571 PF17052.5:CAF20:2:104 Cap-associated protein CAF20; 20 kDa cap-associated protein; CCR4-associated factor 2; p20 161 103 16 112 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P12962 1 SwissProt VGHFGRRRSSHHHGRPKIKHNKPKVTTDSDGWCTFEAKKKGSGEDDEEETETTPTSTVPVATIAQETLKVKPNNKNISSNRPADTRDIVADKPILGFNAFAALESEDEDDEA
NP_015159.1 89 213 0.659792 Autophagy-related protein 29 213 0 16 125 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12092 1 SwissProt KKRDLEKYSNDQVNEGMSDLIHKYTPTLQNDNLLNVSASPLTTERQDSEEVETEVTNEALQHLQTSKILNIHKKTSDSENKPNDKLDKDGINKEMECGSSDDDLSSSLSVSKSALEEALMDRLQF
NP_015520.1 1 877 0.0565963511972634 PF03169.15:OPT:164:832 Oligopeptide transporter 2 877 669 16 586 13 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06593 1 SwissProt MSETVKDKVIIDEKVSTKGTVDYAEGAEYSERLSNHSSDFSQWYTDEQILHFMKKLGYENRTLYDIPEDVAYILKKMPELTLEDSFKILKDSIIYFKDDENIPHDQYEEWKRLVDLEDLDSKEGIDEYDSFDIRAFASAIKFHSPYQEVRAVVDPEDDPTIPVETFRAYFLAIIWSVIGSGFNEFFSHRVVSISLNTPIIQMFLYICGKAWAKTIPCWTITIRGRKYGINIDKPWTQKEQMFSTLLYAICQGAFYTHYNILTQKLFYHSAFSFGYQFLLSLSVQFIGFGFAGILRKFVVYPARALWPTVMPTIAINKALLGKEKHESGMSRYKFFFLTFFIMFIYNWFPTYIINILNTFNWMTWIKPSNINLANITGGVTGLGINPISSFDWNVISFNSPLVYPFWSYLTQYLGCILAALIVIAVYYSNYMSCQYLPIFTNSLYTNTGHSFKVTEVLDSDNKLDVKKYQSYSPPYYSAGNLVSYGAFICAYPLMITWSFIVHSKLLFNAFKDWALNLWAMRKLKSWVTMFKSDYRALDDYDDPHSNAMKNYKEVPDWWYFAILIGSLVVGIAVVEHYPTNTPVWGLFVCLGFNFVFLIPTTILQATTGYSFGLNLLIEMVMGYALPGNPIAIMILKAFGYNIDGQADNYVSNLKIAHYCKIPPMALFRGQCVIVFIQIFVNLGVLNWQISNIKDFCTPHQNAKFTCPDAVTYYNASVVWGAIGPKRIFNYIYPIFKWCWLIGACIGIFFGVWKRWGKFYPRYFDPMLFVGGMLNMSPPYNLMYYTSGMIVSYISQYYMKRHHLNLWEKYNYVLSAGFSTGLVLSAIIIFFAVQYKDTAFNWWGNTVPYAGADGVGYPLKNITDTANGYFGYAPGHYP
NP_031523.3 75 212 0.582892753623189 Cyclic AMP-dependent transcription factor ATF-1; cAMP-dependent transcription factor ATF-1; Activating transcription factor 1; TCR-ATF1 269 0 16 138 0 Mus musculus (Mouse) SwissProt::P81269 1 SwissProt DTRGRKGEGENPSISAITSMSVPAPIYQTSSGQYIAIAPNGALQLASPSTDGVQALQTLTMTNSSSTQQGTILQYAQTSDGQQILVPSNQVVVQTASGDMQTYQIRTTPSATSLPQTVVMTSPVTLASQTTKTDDPQL
NP_032056.1 225 534 0.110716129032258 PF00743.19:FMO-like:1:309 Dimethylaniline monooxygenase [N-oxide-forming] 3; Dimethylaniline oxidase 3; Hepatic flavin-containing monooxygenase 3; FMO 3; Trimethylamine monooxygenase; EC 1.14.13.8; EC 1.14.14.73; EC 1.14.13.148 534 309 16 269 2 Mus musculus (Mouse) SwissProt::P97501 1 SwissProt WDDGYPWDMVVLTRFQTFLKNNLPTAISDWWYTRQMNARFKHENYGLVPLNRTLRKEPVFNDELPARILCGMVTIKPNVKEFTETSAVFEDGTMFEAIDCVIFATGYGYAYPFLDDSIIKSRNNEVTLYKGVFPPQLEKPTMAVIGLVQSLGATIPITDLQARWAAQVIKGTCTLPSVNDMMDDIDEKMGEKFKWYGNSTTIQTDYIVYMDELASFIGAKPNLLWLFLKDPRLAVEVFFGPCSPYQFRLVGPGKWSGARNAILTQWDRSLKPMKTRVVSKVQKSCSHFYSRLLRLLAVPVLLIALFLVLI
NP_032085.1 1 103 0.185931067961165 PF15103.6:G0-G1_switch_2:1:103 G0/G1 switch protein 2 103 103 16 80 1 Mus musculus NP_032085.1 1 RefSeq MESVQELIPLAKEMMAQKPRGKLVKLYVLGSVLALFGVVLGLVETVCSPFTAASRLRDQEAAVVELREACEQQSLHKQALLAGGKAQEATLCSRALSLRQHAS
NP_032844.2 1 210 0.697072380952381 homeobox protein Rhox5 210 0 16 210 0 Mus musculus NP_032844.2 1 RefSeq MEAEGSSRKVTRLLRLGVKEDSEEQHDVKAEAFFQAGEGRDEQGAQGQPGVGAVGTEGEGEELNGGKGHFGPGAPGPMGDGDKDSGTRAGGVEQEQNEPVAEGTESQENGNPGGRQMPLQGSRFAQHRLRELESILQRTNSFDVPREDLDRLMDACVSRVQNWFKIRRAAARRNRRRATPVPEHFRGTFECPACRGVRWGERCPFATPRF
NP_033557.2 153 930 0.397697814910025 PF10405.9:BHD_3:592:664,PF03835.15:Rad4:342:466,PF10403.9:BHD_1:473:522,PF10404.9:BHD_2:526:585 DNA repair protein complementing XP-C cells homolog 930 308 16 778 0 Mus musculus NP_033557.2 1 RefSeq DMPVKAVEIEIETPQQAKERERSEKIKMEFETYLRRMMKRFNKEVQENMHKVHLLCLLASGFYRNSICRQPDLLAIGLSIIPIRFTKVPLQDRDAYYLSNLVKWFIGTFTVNADLSASEQDDLQTTLERRIAIYSARDNEELVHIFLLILRALQLLTRLVLSLQPIPLKSAVTKGRKSSKETSVEGPGGSSELSSNSPESHNKPTTSRRIKEEETLSEGRGKATARGKRGTGTAGSRQRRKPSCSEGEEAEQKVQGRPHARKRRVAAKVSYKEESESDGAGSGSDFEPSSGEGQHSSDEDCEPGPRKQKRASAPQRTKAGSKSASKTQRGSQCEPSSFPEASSSSSGCKRGKKVSSGAEEMADRKPAGVDQWLEVYCEPQAKWVCVDCVHGVVGQPVACYKYATKPMTYVVGIDSDGWVRDVTQRYDPAWMTATRKCRVDAEWWAETLRPYRSLLTEREKKEDQEFQAKHLDQPLPTSISTYKNHPLYALKRHLLKFQAIYPETAAVLGYCRGEAVYSRDCVHTLHSRDTWLKQARVVRLGEVPYKMVKGFSNRARKARLSEPQLHDHNDLGLYGHWQTEEYQPPIAVDGKVPRNEFGNVYLFLPSMMPVGCVQMTLPNLNRVARKLGIDCVQAITGFDFHGGYCHPVTDGYIVCEEFRDVLLAAWENEQAIIEKKEKEKKEKRALGNWKLLVRGLLIRERLKLRYGAKSEAAAPHAAGGGLSSDEEEGTSSQAEAARVLAASWPQNREDPEQKSEYTKMTRKRRAAEASHLFPFEKL
NP_034681.2 435 1095 0.719916490166414 Pro-interleukin-16 1322 0 16 661 0 Mus musculus (Mouse) SwissProt::O54824 1 SwissProt SRHPDPQVSEQQLKEAVAQAVEGVKFGKDRHQWSLEGVKRLESSWHGRPTLEKEREKHSAPPHRRAQKIMVRSSSDSSYMSGSPGGSPCSAGAEPQPSEREGSTHSPSLSPGEEQEPCPGVPSRPQQESPPLPESLERESHPPLRLKKSFEILVRKPTSSKPKPPPRKYFKNDSEPQKKLEEKEKVTDPSGHTLPTCSQETRELLPLLLQEDTAGRAPCTAACCPGPAASTQTSSSTEGESRRSASPETPASPGKHPLLKRQARMDYSFDITAEDPWVRISDCIKNLFSPIMSENHSHTPLQPNTSLGEEDGTQGCPEGGLSKMDAANGAPRVYKSADGSTVKKGPPVAPKPAWFRQSLKGLRNRAPDPRRPPEVASAIQPTPVSRDPPGPQPQASSSIRQRISSFENFGSSQLPDRGVQRLSLQPSSGETTKFPGKQDGGRFSGLLGQGATVTAKHRQTEVESMSTTFPNSSEVRDPGLPESPPPGQRPSTKALSPDPLLRLLTTQSEDTQGPGLKMPSQRARSFPLTRTQSCETKLLDEKASKLYSISSQLSSAVMKSLLCLPSSVSCGQITCIPKERVSPKSPCNNSSAAEGFGEAMASDTGFSLNLSELREYSEGLTEPGETEDRNHCSSQAGQSVISLLSAEELEKLIEEVRVLDE
NP_037370.2 1 86 0.161997674418605 DnaJ homolog subfamily C member 15; Cell growth-inhibiting gene 22 protein; Methylation-controlled J protein; MCJ 150 0 16 63 1 Homo sapiens (Human) SwissProt::Q9Y5T4 0 SwissProt MAARGVIAPVGESLRYAEYLQPSAKRPDADVDQQRLVRSLIAVGLGVAALAFAGRYAFRIWKPLEQVITETAKKISTPSFSSYYKG
NP_054729.3 1 716 0.298902932960894 PF14670.6:FXa_inhibition:652:699 astrotactin-2 isoform a precursor 1288 48 16 670 2 Homo sapiens NP_054729.3 1 RefSeq MAAAGARLSPGPGSGLRGRPRLCFHPGPPPLLPLLLLFLLLLPPPPLLAGATAAASREPDSPCRLKTVTVSTLPALRESDIGWSGARAGAGAGTGAGAAAAAASPGSPGSAGTAAESRLLLFVRNELPGRIAVQDDLDNTELPFFTLEMSGTAADISLVHWRQQWLENGTLYFHVSMSSSGQLAQATAPTLQEPSEIVEEQMHILHISVMGGLIALLLLLLVFTVALYAQRRWQKRRRIPQKSASTEATHEIHYIPSVLLGPQARESFRSSRLQTHNSVIGVPIRETPILDDYDCEEDEEPPRRANHVSREDEFGSQVTHTLDSLGHPGEEKVDFEKKGGISFGRAKGTSGSEADDETQLTFYTEQYRSRRRSKGLLKSPVNKTALTLIAVSSCILAMVCGSQMSCPLTVKVTLHVPEHFIADGSSFVVSEGSYLDISDWLNPAKLSLYYQINATSPWVRDLCGQRTTDACEQLCDPETGECSCHEGYAPDPVHRHLCVRSDWGQSEGPWPYTTLERGYDLVTGEQAPEKILRSTFSLGQGLWLPVSKSFVVPPVELSINPLASCKTDVLVTEDPADVREEAMLSTYFETINDLLSSFGPVRDCSRNNGGCTRNFKCVSDRQVDSSGCVCPEELKPMKDGSGCYDHSKGIDCSDGFNGGCEQLCLQQTLPLPYDATSSTIFMFCGCVEEYKLAPDGKSCLMLSDVCEGPKCLKPDS
NP_054747.2 1 334 0.181947904191617 PF09777.9:OSTMP1:84:328 Osteopetrosis-associated transmembrane protein 1; Chloride channel 7 beta subunit 334 245 16 288 2 Homo sapiens (Human) SwissProt::Q86WC4 1 SwissProt MEPGPTAAQRRCSLPPWLPLGLLLWSGLALGALPFGSSPHRVFHDLLSEQQLLEVEDLSLSLLQGGGLGPLSLPPDLPDLDPECRELLLDFANSSAELTGCLVRSARPVRLCQTCYPLFQQVVSKMDNISRAAGNTSESQSCARSLLMADRMQIVVILSEFFNTTWQEANCANCLTNNSEELSNSTVYFLNLFNHTLTCFEHNLQGNAHSLLQTKNYSEVCKNCREAYKTLSSLYSEMQKMNELENKAEPGTHLCIDVEDAMNITRKLWSRTFNCSVPCSDTVPVIAVSVFILFLPVVFYLSSFLHSEQKKRKLILPKRLKSSTSFANIQENSN
NP_054787.2 1 152 0.649763815789474 PF15357.6:SEEK1:4:152 Psoriasis susceptibility 1 candidate gene 1 protein; Protein SEEK1 152 149 16 152 0 Homo sapiens (Human) SwissProt::Q9UIG5 1 SwissProt MTCTDQKSHSQRALGTQTPALQGPQLLNTDPSSEETRPPHVNPDRLCHMEPANHFWHAGDLQAMISKEFHLAATQDDCRKGRTQEDILVPSSHPELFASVLPMAPEEAARLQQPQPLPPPSGIHLSASRTLAPTLLYSSPPSHSPFGLSSLI
NP_055525.3 1 1395 0.468862652329748 PF04826.13:Arm_2:1151:1384 G-protein coupled receptor-associated sorting protein 1; GASP-1 1395 234 16 1395 0 Homo sapiens (Human) SwissProt::Q5JY77 1 SwissProt MTGAEIESGAQVKPEKKPGEEVVGGAEIENDVPLVVRPKVRTQAQIMPGARPKNKSKVMPGASTKVETSAVGGARPKSKAKAIPVSRFKEEAQMWAQPRFGAERLSKTERNSQTNIIASPLVSTDSVLVAKTKYLSEDRELVNTDTESFPRRKAHYQAGFQPSFRSKEETNMGSWCCPRPTSKQEASPNSDFKWVDKSVSSLFWSGDEVTAKFHPGNRVKDSNRSMHMANQEANTMSRSQTNQELYIASSSGSEDESVKTPWFWARDKTNTWSGPREDPNSRSRFRSKKEVYVESSSGSEHEDHLESWFGAGKEAKFRSKMRAGKEANNRARHRAKREACIDFMPGSIDVIKKESCFWPEENANTFSRPMIKKEARARAMTKEEAKTKARARAKQEARSEEEALIGTWFWATDESSMADEASIESSLQVEDESIIGSWFWTEEEASMGTGASSKSRPRTDGERIGDSLFGAREKTSMKTGAEATSESILAADDEQVIIGSWFWAGEEVNQEAEEETIFGSWFWVIDAASVESGVGVSCESRTRSEEEEVIGPWFWSGEQVDIEAGIGEEARPGAEEETIFGSWFWAENQTYMDCRAETSCDTMQGAEEEEPIIGSWFWTRVEACVEGDVNSKSSLEDKEEAMIPCFGAKEEVSMKHGTGVRCRFMAGAEETNNKSCFWAEKEPCMYPAGGGSWKSRPEEEEDIVNSWFWSRKYTKPEAIIGSWLWATEESNIDGTGEKAKLLTEEETIINSWFWKEDEAISEATDREESRPEAEEGDIIGSWFWAGEEDRLEPAAETREEDRLAAEKEGIVGSWFGAREETIRREAGSCSKSSPKAEEEEVIIGSWFWEEEASPEAVAGVGFESKPGTEEEEITVGSWFWPEEEASIQAGSQAVEEMESETEEETIFGSWFWDGKEVSEEAGPCCVSKPEDDEEMIVESWFWSRDKAIKETGTVATCESKPENEEGAIVGSWFEAEDEVDNRTDNGSNCGSRTLADEDEAIVGSWFWAGDEAHFESNPSPVFRAICRSTCSVEQEPDPSRRPQSWEEVTVQFKPGPWGRVGFPSISPFRFPKEAASLFCEMFGGKPRNMVLSPEGEDQESLLQPDQPSPEFPFQYDPSYRSVQEIREHLRAKESTEPESSSCNCIQCELKIGSEEFEELLLLMEKIRDPFIHEISKIAMGMRSASQFTRDFIRDSGVVSLIETLLNYPSSRVRTSFLENMIRMAPPYPNLNIIQTYICKVCEETLAYSVDSPEQLSGIRMIRHLTTTTDYHTLVANYMSGFLSLLATGNAKTRFHVLKMLLNLSENLFMTKELLSAEAVSEFIGLFNREETNDNIQIVLAIFENIGNNIKKETVFSDDDFNIEPLISAFHKVEKFAKELQGKTDNQNDPEGDQEN
NP_056066.2 1 823 0.465054313487241 ATM interactor; ATM/ATR-substrate CHK2-interacting zinc finger protein; ASCIZ; Zinc finger protein 822 823 0 16 823 0 Homo sapiens (Human) SwissProt::O43313 1 SwissProt MAASEAAAAAGSAALAAGARAVPAATTGAAAAASGPWVPPGPRLRGSRPRPAGATQQPAVPAPPAGELIQPSVSELSRAVRTNILCTVRGCGKILPNSPALNMHLVKSHRLQDGIVNPTIRKDLKTGPKFYCCPIEGCPRGPERPFSQFSLVKQHFMKMHAEKKHKCSKCSNSYGTEWDLKRHAEDCGKTFRCTCGCPYASRTALQSHIYRTGHEIPAEHRDPPSKKRKMENCAQNQKLSNKTIESLNNQPIPRPDTQELEASEIKLEPSFEDSCGSNTDKQTLTTPPRYPQKLLLPKPKVALVKLPVMQFSVMPVFVPTADSSAQPVVLGVDQGSATGAVHLMPLSVGTLILGLDSEACSLKESLPLFKIANPIAGEPISTGVQVNFGKSPSNPLQELGNTCQKNSISSINVQTDLSYASQNFIPSAQWATADSSVSSCSQTDLSFDSQVSLPISVHTQTFLPSSKVTSSIAAQTDAFMDTCFQSGGVSRETQTSGIESPTDDHVQMDQAGMCGDIFESVHSSYNVATGNIISNSLVAETVTHSLLPQNEPKTLNQDIEKSAPIINFSAQNSMLPSQNMTDNQTQTIDLLSDLENILSSNLPAQTLDHRSLLSDTNPGPDTQLPSGPAQNPGIDFDIEEFFSASNIQTQTEESELSTMTTEPVLESLDIETQTDFLLADTSAQSYGCRGNSNFLGLEMFDTQTQTDLNFFLDSSPHLPLGSILKHSSFSVSTDSSDTETQTEGVSTAKNIPALESKVQLNSTETQTMSSGFETLGSLFFTSNETQTAMDDFLLADLAWNTMESQFSSVETQTSAEPHTVSNF
NP_056796.1 1 524 0.197175190839695 PF00974.18:Rhabdo_glycop:6:501 Glycoprotein 524 496 16 506 1 Rabies virus (strain Pasteur vaccins / PV) P08667 1 SwissProt/TReMBL MVPQALLFVPLLVFPLCFGKFPIYTIPDKLGPWSPIDIHHLSCPNNLVVEDEGCTNLSGFSYMELKVGYISAIKMNGFTCTGVVTEAETYTNFVGYVTTTFKRKHFRPTPDACRAAYNWKMAGDPRYEESLHNPYPDYHWLRTVKTTKESLVIISPSVADLDPYDRSLHSRVFPGGNCSGVAVSSTYCSTNHDYTIWMPENPRLGMSCDIFTNSRGKRASKGSETCGFVDERGLYKSLKGACKLKLCGVLGLRLMDGTWVAMQTSNETKWCPPGQLVNLHDFRSDEIEHLVVEELVKKREECLDALESIMTTKSVSFRRLSHLRKLVPGFGKAYTIFNKTLMEADAHYKSVRTWNEIIPSKGCLRVGGRCHPHVNGVFFNGIILGPDGNVLIPEMQSSLLQQHMELLVSSVIPLMHPLADPSTVFKNGDEAEDFVEVHLPDVHERISGVDLGLPNWGKYVLLSAGALTALMLIIFLMTCWRRVNRSEPTQHNLRGTGREVSVTPQSGKIISSWESYKSGGETGL
NP_057292.1 1 122 0.242185245901639 PF03997.12:VPS28:39:120 Vacuolar protein sorting-associated protein 28 homolog; H-Vps28; ESCRT-I complex subunit VPS28 221 82 16 122 0 Homo sapiens (Human) SwissProt::Q9UK41 1 SwissProt MFHGIPATPGIGAPGNKPELYEEVKLYKNAREREKYDNMAELFAVVKTMQALEKAYIKDCVSPSEYTAACSRLLVQYKAAFRQVQGSEISSIDEFCRKFRLDCPLAMERIKEDRPITIKDDK
NP_058056.2 1 1344 0.366682142857143 PF04931.13:DNA_pol_phi:70:835 Myb-binding protein 1A; Myb-binding protein of 160 kDa 1344 766 16 1344 0 Mus musculus (Mouse) SwissProt::Q7TPV4 1 SwissProt MAEMKSPTKAEPATPAEAAQSDRHSLLEHSREFLDFFWDIAKPDQETRLRATEKLLEYLRTRPNDSEMKYALKRLITGLGVGREAARPCYSLALAQLLQSFEDIPLCDILDQIQEKYSLQAMNKAMMRPSLFANLFGVLALFQSGRLVKDKEALMKSVQLLKILSQHPNHLQGQPIKALVDILSEVPESMFQEILPKVLKGNMKVILRSPKYLELFLLAKQRVPTKLESLMGSVDLFSEDNIPSLVNILKVAANSVKKEHKLPNVALDLLRLALKESRFELFWKKVLEEGLLKNPSWTSSYMCFRLLGASLPLLSEEQLQLVMRGDLIRHFGENMVISKPQNLFKIIPEISTYVGTFLEGCQDDPKRQLTMMVAFTTITNQGLPVMPTFWRVTRFLNAEALQSYVAWLRDMFLQPDLNSLVDFSTANQKRAQDASLNVPERAVFRLRKWIIHRLVSLVDHLHLEKDEAVVEQIARFCLFHAFFKTKKATPQIPETKQHFSFPLDDRNRGVFVSAFFSLLQTLSVKFRQTPDLAENGKPWTYRLVQLADMLLNHNRNVTSVTSLTTQQRQAWDQMMSTLKELEARSSETRAIAFQHLLLLVGLHIFKSPAESCDVLGDIQTCIKKSMEQNPRRSRSRAKASQEPVWVEVMVEILLSLLAQPSNLMRQVVRSVFGHICPHLTPRCLQLILAVLSPVTNEDEDDNVVVTDDADEKQLQHGEDEDSDNEDNKNSESDMDSEDGEESEEEDRDKDVDPGFRQQLMEVLKAGNALGGVDNEEEEELGDEAMMALDQNLASLFKEQKMRIQARNEEKNKLQKEKKLRRDFQIRALDLIEVLVTKQPEHPLILELLEPLLNVIQHSMRSKGSTKQEQDLLHKTARIFMHHLCRARRYCHEVGPCAEALHAQVERLVQQAGSQADASVALYYFNASLYLLRVLKGNTNKRHQDGHKLHGADTEDSEDQAANCLDLDFVTRVYSASLESLLTKRNSSLTVPMFLSLFSRYPVICKNLLPVLAQHVAGPSRPRHQAQACLMLQKTLSARELRVCFEDPEWEQLITQLLGKATQTLQTLGEAQSKGEHQKELSILELLNTLLRTVNHEKLSVDLTAPLGVLQSKQQKLQQSLQQGNHSSGSNRLYDLYWQAMRMLGVQRPKSEKKNAKDIPSDTQSPVSTKRKKKGFLPETKKRKKLKSEGTTPEKNAASQQDAVTEGAMPAATGKDQPPSTGKKKRKRVKASTPSQVNGITGAKSPAPSNPTLSPSTPAKTPKLQKKKEKLSQVNGATPVSPIEPESKKHHQEALSTKEVIRKSPHPQSALPKKRARLSLVSRSPSLLQSGVKKRRVASRRVQTP
NP_058641.1 1 291 0.0157924398625429 PF05296.13:TAS2R:2:286 Taste receptor type 2 member 16; T2R16 291 285 16 134 7 Homo sapiens (Human) SwissProt::Q9NYV7 0 SwissProt MIPIQLTVFFMIIYVLESLTIIVQSSLIVAVLGREWLQVRRLMPVDMILISLGISRFCLQWASMLNNFCSYFNLNYVLCNLTITWEFFNILTFWLNSLLTVFYCIKVSSFTHHIFLWLRWRILRLFPWILLGSLMITCVTIIPSAIGNYIQIQLLTMEHLPRNSTVTDKLENFHQYQFQAHTVALVIPFILFLASTIFLMASLTKQIQHHSTGHCNPSMKARFTALRSLAVLFIVFTSYFLTILITIIGTLFDKRCWLWVWEAFVYAFILMHSTSLMLSSPTLKRILKGKC
NP_060773.3 100 924 0.205167878787879 PF15469.6:Sec5:100:278 Exocyst complex component 2; Exocyst complex component Sec5 924 179 16 825 0 Homo sapiens (Human) SwissProt::Q96KP1 1 SwissProt ILDQSAVWVDEMNYYDMRTDRNKGIPPLSLRPANPLGIEIEKSKFSQKDLEMLFHGMSADFTSENFSAAWYLIENHSNTSFEQLKMAVTNLKRQANKKSEGSLAYVKGGLSTFFEAQDALSAIHQKLEADGTEKVEGSMTQKLENVLNRASNTADTLFQEVLGRKDKADSTRNALNVLQRFKFLFNLPLNIERNIQKGDYDVVINDYEKAKSLFGKTEVQVFKKYYAEVETRIEALRELLLDKLLETPSTLHDQKRYIRYLSDLHASGDPAWQCIGAQHKWILQLMHSCKEGYVKDLKGNPGLHSPMLDLDNDTRPSVLGHLSQTASLKRGSSFQSGRDDTWRYKTPHRVAFVEKLTKLVLSQLPNFWKLWISYVNGSLFSETAEKSGQIERSKNVRQRQNDFKKMIQEVMHSLVKLTRGALLPLSIRDGEAKQYGGWEVKCELSGQWLAHAIQTVRLTHESLTALEIPNDLLQTIQDLILDLRVRCVMATLQHTAEEIKRLAEKEDWIVDNEGLTSLPCQFEQCIVCSLQSLKGVLECKPGEASVFQQPKTQEEVCQLSINIMQVFIYCLEQLSTKPDADIDTTHLSVDVSSPDLFGSIHEDFSLTSEQRLLIVLSNCCYLERHTFLNIAEHFEKHNFQGIEKITQVSMASLKELDQRLFENYIELKADPIVGSLEPGIYAGYFDWKDCLPPTGVRNYLKEALVNIIAVHAEVFTISKELVPRVLSKVIEAVSEELSRLMQCVSSFSKNGALQARLEICALRDTVAVYLTPESKSSFKQALEALPQLSSGADKKLLEELLNKFKSSMHLQLTCFQAASSTMMKT
NP_060907.2 1 484 0.690490495867769 Hemogen; Erythroid differentiation-associated gene protein; EDAG-1; Hemopoietic gene protein; Negative differentiation regulator protein 484 0 16 484 0 Homo sapiens (Human) SwissProt::Q9BXL5 1 SwissProt MDLGKDQSHLKHHQTPDPHQEENHSPEVIGTWSLRNRELLRKRKAEVHEKETSQWLFGEQKKRKQQRTGKGNRRGRKRQQNTELKVEPQPQIEKEIVEKALAPIEKKTEPPGSITKVFPSVASPQKVVPEEHFSEICQESNIYQENFSEYQEIAVQNHSSETCQHVSEPEDLSPKMYQEISVLQDNSSKICQDMKEPEDNSPNTCQVISVIQDHPFKMYQDMAKREDLAPKMCQEAAVPKILPCPTSEDTADLAGCSLQAYPKPDVPKGYILDTDQNPAEPEEYNETDQGIAETEGLFPKIQEIAEPKDLSTKTHQESAEPKYLPHKTCNEIIVPKAPSHKTIQETPHSEDYSIEINQETPGSEKYSPETYQEIPGLEEYSPEIYQETSQLEEYSPEIYQETPGPEDLSTETYKNKDVPKECFPEPHQETGGPQGQDPKAHQEDAKDAYTFPQEMKEKPKEEPGIPAILNESHPENDVYSYVLF
NP_065435.1 1 97 0.280217525773196 PF04418.12:DUF543:18:87 MICOS complex subunit MIC10; Mitochondrial contact site complex 10 kDa subunit; Mitochondrial inner membrane organization component of 10 kDa; Mitochondrial organizing structure protein 1; MitOS1 97 70 16 74 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q96VH5 0 SwissProt MSEQAQTQQPAKSTPSKDSNKNGSSVSTILDTKWDIVLSNMLVKTAMGFGVGVFTSVLFFKRRAFPVWLGIGFGVGRGYAEGDAIFRSSAGLRSSKV
NP_065651.1 1 222 0.170009009009009 PF16959.5:Collectrin:24:171 Collectrin; Transmembrane protein 27 222 148 16 199 1 Mus musculus (Mouse) SwissProt::Q9ESG4 1 SwissProt MLWALFFLVTTIHAELCHPDAENAFKVRLSIRAALGDKAYVWDTDQEYLFRAMVAFSMRKVPNREATEISHVLLCNITQRVSFWFVVTDPSNNYTLPAAEVQSAIRKNRNRINSAFFLDDHTLEFLKIPSTLAPPMEPSVPVWIIVFGVIFCIVTVAIALLVLSGIRQRRRNNKGPPGVEDAEDKCENIITIENGIPCDPLDMKGGHINDGFLTEDERLTPL
NP_065685.1 1 255 0.135963921568627 PF00688.18:TGFb_propeptide:107:217 Growth/differentiation factor 3; GDF-3 364 111 16 255 0 Homo sapiens (Human) SwissProt::Q9NR23 1 SwissProt MLRFLPDLAFSFLLILALGQAVQFQEYVFLQFLGLDKAPSPQKFQPVPYILKKIFQDREAAATTGVSRDLCYVKELGVRGNVLRFLPDQGFFLYPKKISQASSCLQKLLYFNLSAIKEREQLTLAQLGLDLGPNSYYNLGPELELALFLVQEPHVWGQTTPKPGKMFVLRSVPWPQGAVHFNLLDVAKDWNDNPRKNFGLFLEILVKEDRDSGVNFQPEDTCARLRCSLHASLLVVTLNPDQCHPSRKRRAAIPV
NP_067369.2 145 411 0.708967415730337 PF12721.7:RHIM:19:57,PF12721.7:RHIM:82:113 Z-DNA-binding protein 1 isoform 1 411 71 16 267 0 Mus musculus NP_067369.2 1 RefSeq HSRQEGQDIAHSGVTQESPAIICQHNPVNMICQQGANSHISIANSNAIQIGHGNVIVREKACGEPGPRTSHPLPLAWDASAQDMPPVAHGAQYIYMDKSLLQQVQLGHHNEMSLVGDAGKHPSYSFSDSPPEVSTTTADPGASFNMQTSEPGPHPEGDTVQTVHIKSCFLEDATIGNGNKMTIHLRSKGEVMESGDSEEPKKEDTGTSSEATPPRSCQHTPSDSMLPTSELRAMALGDSSPQTTEPVLREHEVQDIESSQDTGLSKQ
NP_071434.2 1 351 0.252452991452991 PF14893.6:PNMA:1:326 Modulator of apoptosis 1; MAP-1; MAP1; Paraneoplastic antigen Ma4 351 326 16 351 0 Homo sapiens (Human) SwissProt::Q96BY2 1 SwissProt MTLRLLEDWCRGMDMNPRKALLIAGISQSCSVAEIEEALQAGLAPLGEYRLLGRMFRRDENRKVALVGLTAETSHALVPKEIPGKGGIWRVIFKPPDPDNTFLSRLNEFLAGEGMTVGELSRALGHENGSLDPEQGMIPEMWAPMLAQALEALQPALQCLKYKKLRVFSGRESPEPGEEEFGRWMFHTTQMIKAWQVPDVEKRRRLLESLRGPALDVIRVLKINNPLITVDECLQALEEVFGVTDNPRELQVKYLTTYQKDEEKLSAYVLRLEPLLQKLVQRGAIERDAVNQARLDQVIAGAVHKTIRRELNLPEDGPAPGFLQLLVLIKDYEAAEEEEALLQAILEGNFT
NP_071751.3 1 736 0.284394293478261 PF13640.6:2OG-FeII_Oxy_3:584:676,PF03171.20:2OG-FeII_Oxy:599:677 Prolyl 3-hydroxylase 1; Growth suppressor 1; Leucine- and proline-enriched proteoglycan 1; Leprecan-1; EC 1.14.11.7 736 94 16 736 0 Homo sapiens (Human) SwissProt::Q32P28 1 SwissProt MAVRALKLLTTLLAVVAAASQAEVESEAGWGMVTPDLLFAEGTAAYARGDWPGVVLSMERALRSRAALRALRLRCRTQCAADFPWELDPDWSPSPAQASGAAALRDLSFFGGLLRRAACLRRCLGPPAAHSLSEEMELEFRKRSPYNYLQVAYFKINKLEKAVAAAHTFFVGNPEHMEMQQNLDYYQTMSGVKEADFKDLETQPHMQEFRLGVRLYSEEQPQEAVPHLEAALQEYFVAYEECRALCEGPYDYDGYNYLEYNADLFQAITDHYIQVLNCKQNCVTELASHPSREKPFEDFLPSHYNYLQFAYYNIGNYTQAVECAKTYLLFFPNDEVMNQNLAYYAAMLGEEHTRSIGPRESAKEYRQRSLLEKELLFFAYDVFGIPFVDPDSWTPEEVIPKRLQEKQKSERETAVRISQEIGNLMKEIETLVEEKTKESLDVSRLTREGGPLLYEGISLTMNSKLLNGSQRVVMDGVISDHECQELQRLTNVAATSGDGYRGQTSPHTPNEKFYGVTVFKALKLGQEGKVPLQSAHLYYNVTEKVRRIMESYFRLDTPLYFSYSHLVCRTAIEEVQAERKDDSHPVHVDNCILNAETLVCVKEPPAYTFRDYSAILYLNGDFDGGNFYFTELDAKTVTAEVQPQCGRAVGFSSGTENPHGVKAVTRGQRCAIALWFTLDPRHSERDRVQADDLVKMLFSPEEMDLSQEQPLDAQQGPPEPAQESLSGSESKPKDEL
NP_072155.1 1 115 0.334235652173913 PF01296.18:Galanin:32:44 galanin-like peptide precursor 115 13 16 115 0 Rattus norvegicus NP_072155.1 1 RefSeq MACSKHLVLFLTILLSLAETPDSAPAHRGRGGWTLNSAGYLLGPVLHLSSKANQGRKTDSALEILDLWKAIDGLPYSRSPRMTKRSMGETFVKPRTGDLRIVDKNVPDEEATLNL
NP_073149.1 1 138 0.383195652173913 Homeobox protein Hox-C8; Homeobox protein Hox-3A 242 0 16 138 0 Homo sapiens (Human) SwissProt::P31273 1 SwissProt MSSYFVNPLFSKYKAGESLEPAYYDCRFPQSVGRSHALVYGPGGSAPGFQHASHHVQDFFHHGTSGISNSGYQQNPCSLSCHGDASKFYGYEALPRQSLYGAQQEASVVQYPDCKSSANTNSSEGQGHLNQNSSPSLM
NP_075053.2 1 765 0.0754751633986928 PF01545.21:Cation_efflux:420:649 Zinc transporter 5; ZnT-5; Solute carrier family 30 member 5; ZnT-like transporter 1; hZTL1 765 230 16 449 15 Homo sapiens (Human) SwissProt::Q8TAD4 1 SwissProt MEEKYGGDVLAGPGGGGGLGPVDVPSARLTKYIVLLCFTKFLKAVGLFESYDLLKAVHIVQFIFILKLGTAFFMVLFQKPFSSGKTITKHQWIKIFKHAVAGCIISLLWFFGLTLCGPLRTLLLFEHSDIVVISLLSVLFTSSGGGPAKTRGAAFFIIAVICLLLFDNDDLMAKMAEHPEGHHDSALTHMLYTAIAFLGVADHKGGVLLLVLALCCKVGFHTASRKLSVDVGGAKRLQALSHLVSVLLLCPWVIVLSVTTESKVESWFSLIMPFATVIFFVMILDFYVDSICSVKMEVSKCARYGSFPIFISALLFGNFWTHPITDQLRAMNKAAHQESTEHVLSGGVVVSAIFFILSANILSSPSKRGQKGTLIGYSPEGTPLYNFMGDAFQHSSQSIPRFIKESLKQILEESDSRQIFYFLCLNLLFTFVELFYGVLTNSLGLISDGFHMLFDCSALVMGLFAALMSRWKATRIFSYGYGRIEILSGFINGLFLIVIAFFVFMESVARLIDPPELDTHMLTPVSVGGLIVNLIGICAFSHAHSHAHGASQGSCHSSDHSHSHHMHGHSDHGHGHSHGSAGGGMNANMRGVFLHVLADTLGSIGVIVSTVLIEQFGWFIADPLCSLFIAILIFLSVVPLIKDACQVLLLRLPPEYEKELHIALEKIQKIEGLISYRDPHFWRHSASIVAGTIHIQVTSDVLEQRIVQQVTGILKDAGVNNLTIQVEKEAYFQHMSGLSTGFHDVLAMTKQMESMKYCKDGTYIM
NP_075552.2 1 248 0.188015322580645 PF03227.16:GILT:61:161 Gamma-interferon-inducible lysosomal thiol reductase; Gamma-interferon-inducible protein IP-30; Lysosomal thiol reductase IP30; EC 1.8.-.- 248 101 16 248 0 Mus musculus (Mouse) SwissProt::Q9ESY9 1 SwissProt MSWSPILPFLSLLLLLFPLEVPRAATASLSQASSEGTTTCKAHDVCLLGPRPLPPSPPVRVSLYYESLCGACRYFLVRDLFPTWLMVMEIMNITLVPYGNAQERNVSGTWEFTCQHGELECRLNMVEACLLDKLEKEAAFLTIVCMEEMDDMEKKLGPCLQVYAPEVSPESIMECATGKRGTQLMHENAQLTDALHPPHEYVPWVLVNEKPLKDPSELLSIVCQLYQGTEKPDICSSIADSPRKVCYK
NP_076442.1 1 203 0.518218719211823 Pro-FMRFamide-related neuropeptide VF; FMRFamide-related peptides 203 0 16 203 0 Rattus norvegicus (Rat) SwissProt::Q9ESQ9 1 SwissProt MEIISSKRFILLTLATSSFLTSNTLCSDELMMPHFHSKEGYGKYYQLRGIPKGVKERSVTFQELKDWGAKKDIKMSPAPANKVPHSAANLPLRFGRNIEDRRSPRARANMEAGTMSHFPSLPQRFGRTTARRITKTLAGLPQKSLHSLASSELLYAMTRQHQEIQSPGQEQPRKRVFTETDDAERKQEKIGNLQPVLQGAMKL
NP_079345.1 227 590 0.472294780219779 PF12402.8:nlz1:85:139 Zinc finger protein 703; Zinc finger elbow-related proline domain protein 1 590 55 16 364 0 Homo sapiens (Human) SwissProt::Q9H7S9 1 SwissProt HHSDCKNGGGVGGGELDKKDQEPKPSPEPAAVSRGGGGEPGAHGGAESGASGRKSEPPSALVGAGHVAPVSPYKPGHSVFPLPPSSIGYHGSIVGAYAGYPSQFVPGLDPSKSGLVGGQLSGGLGLPPGKPPSSSPLTGASPPSFLQGLCRDPYCLGGYHGASHLGGSSCSTCSAHDPAGPSLKAGGYPLVYPGHPLQPAALSSSAAQAALPGHPLYTYGFMLQNEPLPHSCNWVAASGPCDKRFATSEELLSHLRTHTALPGAEKLLAAYPGASGLGSAAAAAAAAASCHLHLPPPAAPGSPGSLSLRNPHTLGLSRYHPYGKSHLSTAGGLAVPSLPTAGPYYSPYALYGQRLASASALGYQ
NP_082509.2 1 1392 0.160240804597701 PF13812.6:PPR_3:184:227,PF13812.6:PPR_3:234:276,PF01535.20:PPR:197:226,PF01535.20:PPR:233:262,PF01535.20:PPR:750:778 Leucine-rich PPR motif-containing protein, mitochondrial; 130 kDa leucine-rich protein; LRP 130; mLRP130 1392 117 16 1392 0 Mus musculus (Mouse) SwissProt::Q6PB66 1 SwissProt MAALLRPARWLLGAAAAPRLPLSLRLPAGVPGRLSSVVRVAAVGSRPAAGERLSQARLYAIVAEKRDLQEEPAPVRKNSSQFDWALMRLDNSVRRTGRITKGLLQRVFESTCSSGSPGSNQALLLLRSCGSLLPELSLAERTEFAHKIWDKLQQLGVVYDVSHYNALLKVYLQNEYKFSPTDFLAKMEGANIQPNRVTYQRLIAAYCNVGDIEGASKILGFMKTKDLPITEAVFSALVTGHARAGDMENAENILTVMKQAGIEPGPDTYLALLNAHAERGDIGQVRQILEKVEKSDHYFMDRDFLQVIFSFSKAGYPQYVSEILEKITYERRSIPDAMNLILFLATEKLEDTAFQVLLALPLSKDESSDNFGSFFLRHCVTLDLPPEKLIDYCRRLRDAKLHSSSLQFTLHCALQANRTALAKAVMEALREEGFPIRPHYFWPLLAGHQKTKNVQGIIDILKIMNKVGVDPDQETYINYVFPCFDSAQSVRAALQENECLLASSTFAQAEVKNEAINGNLQNILSFLESNTLPFSFSSLRNSLILGFRRSMNIDLWSKITELLYKDERYCSKPPGPAEAVGYFLYNLIDSMSDSEVQAKEERLRQYFHQLQEMNVKVPENIYKGICNLLNTYHVPELIKDIKVLVDREKVDSQKTSQVTSSDLESTLEKLKAEGQPVGSALKQLLLLLCSEENMQKALEVKAKYESDMVIGGYAALINLCCRHDNAEDAWNLKQEVDRLDASAILDTAKYVALVKVLGKHSRLQDAINILKEMKEKDVVIKDATVLSFFHILNGAALRGEIETVKQLHEAIVTLGLAKPSSNISFPLVTVHLEKGDLPAALEASIACHKKYKVLPRIHDVLCKLVEKGETDLIQKAMDFVSQEQGEMTMLYDLFFAFLQTGNYKEAKKIIETPGIRARPTRLQWFCDRCIASNQVEALEKLVELTEKLFECDRDQMYYNLLKLYKISSDWQRADAAWTKMQEENIIPRERTLRLLAEILKTSNQEVPFDVPELWFGDDRPSLSPSSRSAGEDVTEKTLLSNCKLKKSKDAYNIFLKAEKQNVVFSSETYSTLIGLLLSKDDFTQAMHVKDFAETHIKGFTLNDAANSLLIIRQVRRDYLKGALATLRAALDLKQVPSQIAVTRLIQALALKGDVESIEAIQRMVAGLDTIGLSKMVFINNIALAQMKNNKLDAAIENIEHLLASENQAIEPQYFGLSYLFRKVIEEQMEPALEKLSIMSERMANQFALYKPVTDLFLQLVDSGKVDEARALLERCGAIAEQSSLLSVFCLRTSQKPKKAPVLKTLLELIPELRDNDKVYSCSMKSYALDKDVASAKALYEYLTAKNLKLDDLFLKRYAALLKDVGEPVPFPEPPESFAFYIKQLKEARESPS
NP_109997.1 1 405 0.601714320987654 Proline-rich P65 protein 405 0 16 405 0 Mycoplasma pneumoniae (strain ATCC 29342 / M129) SwissProt::P0CJ81 1 SwissProt MDINKPGWNQSDQQATAYDPNQQQYYGDGSTYYDPDQAVDPNQAYYPDPNTYPDAAAYYGYGQDGQAYPQDYAQDPNQAYYADPNAYQDPNAYTDPNAYVDPNAYQDPNAYVDPNNYTDPNAYYGYGQDGQAYPQDYAQDPNQAYYADPNAYQDPNAYTDPYYVTSTDPNAYYGQVDNVPALEASDLAYEVTPQEQAAEQELFSEPETKVIREIHEFPFEKIRSYFQTDFDSYNSRLTQLKDKLDNAIFSMRKAIDTVKENSANLQIMKQNFERQLKEQQTQRLTSNTDAEKIGAKINQLEERMQRLSRTMESVEWTKKEPRQEQFDPRFVDPRNFNNYVNNTDTMMSMFEKVLMMNLLRSTTPVQPPVQYFTPQPLTASPRPVYEEPISASFRRRGYRGDEFYE
NP_112600.1 1 442 0.354612443438914 PF06637.11:PV-1:1:440 Plasmalemma vesicle-associated protein; Fenestrated endothelial-linked structure protein; Plasmalemma vesicle protein 1; PV-1 442 440 16 419 1 Homo sapiens (Human) SwissProt::Q9BX97 1 SwissProt MGLAMEHGGSYARAGGSSRGCWYYLRYFFLFVSLIQFLIILGLVLFMVYGNVHVSTESNLQATERRAEGLYSQLLGLTASQSNLTKELNFTTRAKDAIMQMWLNARRDLDRINASFRQCQGDRVIYTNNQRYMAAIILSEKQCRDQFKDMNKSCDALLFMLNQKVKTLEVEIAKEKTICTKDKESVLLNKRVAEEQLVECVKTRELQHQERQLAKEQLQKVQALCLPLDKDKFEMDLRNLWRDSIIPRSLDNLGYNLYHPLGSELASIRRACDHMPSLMSSKVEELARSLRADIERVARENSDLQRQKLEAQQGLRASQEAKQKVEKEAQAREAKLQAECSRQTQLALEEKAVLRKERDNLAKELEEKKREAEQLRMELAIRNSALDTCIKTKSQPMMPVSRPMGPVPNPQPIDPASLEEFKRKILESQRPPAGIPVAPSSG
NP_114123.2 1 254 0.478254330708661 angiopoietin-related protein 6 precursor 470 0 16 254 0 Homo sapiens NP_114123.2 1 RefSeq MGKPWLRALQLLLLLGASWARAGAPRCTYTFVLPPQKFTGAVCWSGPASTRATPEAANASELAALRMRVGRHEELLRELQRLAAADGAVAGEVRALRKESRGLSARLGQLRAQLQHEAGPGAGPGADLGAEPAAALALLGERVLNASAEAQRAAARFHQLDVKFRELAQLVTQQSSLIARLERLCPGGAGGQQQVLPPPPLVPVVPVRLVGSTSDTSRMLDPAPEPQRDQTQRQQEPMASPMPAGHPAVPTKPV
NP_116010.1 1 128 0.5702265625 PF04538.12:BEX:14:124 Protein BEX2; Brain-expressed X-linked protein 2; hBex2 128 111 16 128 0 Homo sapiens (Human) SwissProt::Q9BXY8 1 SwissProt MESKEERALNNLIVENVNQENDEKDEKEQVANKGEPLALPLNVSEYCVPRGNRRRFRVRQPILQYRWDIMHRLGEPQARMREENMERIGEEVRQLMEKLREKQLSHSLRAVSTDPPHHDHHDEFCLMP
NP_116178.2 211 807 0.549116415410384 Protein mono-ADP-ribosyltransferase PARP10; ADP-ribosyltransferase diphtheria toxin-like 10; ARTD10; Poly [ADP-ribose] polymerase 10; PARP-10; EC 2.4.2.- 1025 0 16 597 0 Homo sapiens (Human) SwissProt::Q53GL7 1 SwissProt PGPLGTVASFQQWQVAERVLQQEHRLQGSELSLVPHYDILEPEELAENTSGGDHPSTQGPRATKHALLRTGGLVTALQGAGTVTMGSGEEPGQSGASLRTGPMVQGRGIMTTGSGQEPGQSGTSLRTGPMGSLGQAEQVSSMPMGSLEHEGLVSLRPVGLQEQEGPMSLGPVGSAGPVETSKGLLGQEGLVEIAMDSPEQEGLVGPMEITMGSLEKAGPVSPGCVKLAGQEGLVEMVLLMEPGAMRFLQLYHEDLLAGLGDVALLPLEGPDMTGFRLCGAQASCQAAEEFLRSLLGSISCHVLCLEHPGSARFLLGPEGQHLLQGLEAQFQCVFGTERLATATLDTGLEEVDPTEALPVLPGNAHTLWTPDSTGGDQEDVSLEEVRELLATLEGLDLDGEDWLPRELEEEGPQEQPEEEVTPGHEEEEPVAPSTVAPRWLEEEAALQLALHRSLEPQGQVAEQEEAAALRQALTLSLLEQPPLEAEEPPDGGTDGKAQLVVHSAFEQDVEELDRALRAALEVHVQEETVGPWRRTLPAELRARLERCHGVSVALRGDCTILRGFGAHPARAARHLVALLAGPWDQSLAFPLAASGPT
NP_116628.1 1 1029 0.108660252672498 PF07819.13:PGAP1:132:369 GPI inositol-deacylase; Bypass of SEC30 protein 1; EC 3.1.-.- 1029 238 16 838 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43571 1 SwissProt MGIRRLVSVITRPIINKVNSSGQYSRVLATREDQDKASPKYMNNDKIAKKPYTYRLFSILGILSICSLLLISLLKPFNGADAPQCESIYMFPSYARIDGFDERYTPLAHKYHLYLYREQSVDREPLNGDELQLDGIPVLFIPGNAGSFRQCRSIASACSNIYFDSNTRATLRNENVRNLDFFTADFNEDFTAFHGETMLDQAEYLNDAIKYILSLYERTPDYPHPKPQSVIIVGHSMGGIVSRVMLTLKNHVPGSISTILTLSSPHAASPVTFDGDILKLYKNTNEYWRKQLSQNDSFFSKNISLVSITGGILDTTLPADYASVEDLVSLENGFTSFTTTIPDVWTPIDHLAIVWCKQLREVLARLLLESIDASKPEKVKPLNQRLQIARKLLLSGFEDYSWMNSKLNYPQENLQEFSDNFFSDYATLEMNDVLDFEMFNLEKWHNNYTKINIPSNISSTEHLHFTLLTSLDMPMIYFCTESRVNLSCITAVDSILTVPRSSKDTQFAADSSFGEAKNPFKAVSVGKNILQKYDYLMISKPTYGEFSEQEGMEDNQGFLLALLRNVSNVQIVNTTPSQILLFGEQLHLDGKDIEQVISFSNLWDSLLSYKLETKIEASNEGIASEETLFQPFIRQWVYEPFESKWHLNIINKSLDINMHNVAPFIPLNESEPRSLQLSFFIPPGMSLEAKMTINWSLTLKMLFIRYRLALASFPVAFIALVLSYQFYWYNKTSEFPSFDSTLGYILRKHGILMFFTLFLASPVVNNKLVQRILYLLDPVGLNYPFLLSERNMHANFYYLGIRDWFMSTIGILFGVMTVGLLALVSKIFGSLEILVIFLQRKLSKKNTEDKEAFDTIEHKAYGKGRLMASVLLLLLVFFHIPYQMAFVISLVIQIATCIRVALLKLSNNEQKLNLLNYNMTLLLLLLFVSAINIPIIIVFLHNVAIKWETSFRSHHNILAVAPIIFLVGNNSIFKMPNSVPLDTWDGKVTIILFVYLTVFSFIYGIRNLYWIHHLVNIICAWLLFFETIH
NP_116655.1 1 442 0.239484841628959 PF01416.20:PseudoU_synth_1:239:346 tRNA pseudouridine(38/39) synthase; Depressed growth-rate protein DEG1; tRNA pseudouridine synthase 3; tRNA pseudouridylate synthase 3; tRNA-uridine isomerase 3; EC 5.4.99.45 442 108 16 442 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P31115 1 SwissProt MSNFIRRLVGKMKAISTGTNAIVSKKDSIYANWSKEQLIRRITELENANKPHSEKFQHIEDNKKRKISQEEVTRSKAKKAPKKFDFSKHNTRFIALRFAYLGWNYNGLAVQKEYTPLPTVEGTILEAMNKCKLVPSMVLQDYKFSRCGRTDKGVSAMNQVISLEVRSNLTDEEQRDPTNDSREIPYVHVLNQLLPDDIRISAVCLRPPPNFDARFSCVHRHYKYIFNGKNLNIEKMSKAASYFVGERDFRNFCKLDGSKQITNFKRTIISSKILPLSETFYCFDLVGSAFLWHQVRCMMAILFLVGQSLEVPEIVLRLTDIEKTPQRPVYEMANDIPLLLYDCKFPEMDWQEPTVDDYKAIKFTTATEALTLHYELKAAVCNIFKDVLPTANTNNFSKTIINLGDGRGKVVGTYVKLEDRSVMEPVEVVNAKYSKKKNNKNK
NP_116757.2 293 686 0.637213197969543 dystrobrevin alpha isoform 2 686 0 16 394 0 Homo sapiens NP_116757.2 1 RefSeq KSPAKKLTNALSKSLSCASSREPLHPMFPDQPEKPLNLAHIVDTWPPRPVTSMNDTLFSHSVPSSGSPFITRSMLESSNRLDEEHRLIARYAARLAAESSSSQPPQQRSAPDISFTIDANKQQRQLIAELENKNREILQEIQRLRLEHEQASQPTPEKAQQNPTLLAELRLLRQRKDELEQRMSALQESRRELMVQLEGLMKLLKTQGAGSPRSSPSHTISRPIPMPIRSASACSTPTHTPQDSLTGVGGDVQEAFAQSSRRNLRNDLLVAADSITNTMSSLVKELNSEVGSETESNVDSEFARTQFEDLVPSPTSEKAFLAQIHARKPGYIHSGATTSTMRGDMVTEDADPYVQPEDENYENDSVRQLENELQMEEYLKQKLQDEAYQVSLQG
NP_149118.2 1 1060 0.514537452830188 PF12931.7:Sec16_C:447:682,PF12932.7:Sec16:282:378 Protein transport protein Sec16B; Leucine zipper transcription regulator 2; Regucalcin gene promoter region-related protein p117; RGPR-p117; SEC16 homolog B 1060 333 16 1060 0 Homo sapiens (Human) SwissProt::Q96JE7 1 SwissProt MELWAPQRLPQTRGKATAPSKDPDRGFRRDGHHRPVPHSWHNGERFHQWQDNRGSPQPQQEPRADHQQQPHYASRPGDWHQPVSGVDYYEGGYRNQLYSRPGYENSYQSYQSPTMREEYAYGSYYYHGHPQWLQEERVPRQRSPYIWHEDYREQKYLDEHHYENQHSPFGTNSETHFQSNSRNPCKDSPASNSGQEWPGELFPGSLLAEAQKNKPSLASESNLLQQRESGLSSSSYELSQYIRDAPERDDPPASAAWSPVQADVSSAGPKAPMKFYIPHVPVSFGPGGQLVHVGPSSPTDGQAALVELHSMEVILNDSEEQEEMRSFSGPLIREDVHKVDIMTFCQQKAAQSCKSETLGSRDSALLWQLLVLLCRQNGSMVGSDIAELLMQDCKKLEKYKRQPPVANLINLTDEDWPVLSSGTPNLLTGEIPPSVETPAQIVEKFTRLLYYGRKKEALEWAMKNHLWGHALFLSSKMDPQTYSWVMSGFTSTLALNDPLQTLFQLMSGRIPQAATCCGEKQWGDWRPHLAVILSNQAGDPELYQRAIVAIGDTLAGKGLVEAAHFCYLMAHVPFGHYTVKTDHLVLLGSSHSQEFLKFATTEAIQRTEIFEYCQMLGRPKSFIPSFQVYKLLYASRLADYGLVSQALHYCEAIGAAVLSQGESSHPVLLVELIKLAEKLKLSDPLVLERRSGDRDLEPDWLAQLRRQLEQKVAGDIGDPHPTRSDISGAGGTTTENTFYQDFSGCQGYSEAPGYRSALWLTPEQTCLLQPSPQQPFPLQPGSYPAGGGAGQTGTPRPFYSVPETHLPGTGSSVAVTEATGGTVWEEMLQTHLGPGENTVSQETSQPPDGQEVISKPQTPLAARPRSISESSASSAKEDEKESSDEADKNSPRNTAQRGKLGDGKEHTKSSGFGWFSWFRSKPTKNASPAGDEDSSDSPDSEETPRASSPHQAGLGLSLTPSPESPPLPDVSAFSRGRGGGEGRGSASSGGAAAGAGVGGLSGPESVSFELCSNPGVLLPPPALKGAVPLYNPSQVPQLPTATSLNRPNRLAQRRYPTQPC
NP_173669.1 1 354 0.703516666666667 Patellin-2 683 0 16 354 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q56ZI2 1 SwissProt MAQEEIQKPTASVPVVKEETPAPVKEVEVPVTTEKAVAAPAPEATEEKVVSEVAVPETEVTAVKEEEVATGKEILQSESFKEEGYLASELQEAEKNALAELKELVREALNKREFTAPPPPPAPVKEEKVEEKKTEETEEKKEEVKTEEKSLEAETKEEEKSAAPATVETKKEEILAAPAPIVAETKKEETPVAPAPVETKPAAPVVAETKKEEILPAAPVTTETKVEEKVVPVETTPAAPVTTETKEEEKAAPVTTETKEEEKAAPGETKKEEKATASTQVKRASKFIKDIFVSVTTSEKKKEEEKPAVVTIEKAFAADQEEETKTVEAVEESIVSITLPETAAYVEPEEVSIW
NP_174660.2 98 482 0.810900519480519 PF03467.15:Smg4_UPF3:3:71 Regulator of nonsense transcripts UPF3; Nonsense mRNA reducing factor UPF3; Up-frameshift suppressor 3 homolog; AtUpf3 482 69 16 385 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FVW4 1 SwissProt VPKPSDKKDPREGSISKDPDYLEFLKVIAQPVENLPSAEIQLERREAEQSGASKAAPIVTPLMEFIRQKRATVMGPQGLSDIRRGGRRTRVVSANKPSPRPSKRNSEKKKYVEKESSKNVPRKTTADVSSSKPDYRQSNSSGKELPGNETAAIIDSSPPGIALTMDSGKKKILLLRSKDRDNPDNPPPQPEQHIDTNLSRNSTDSRQNQKSDVGGRLIKGILLRNDSRPSQSSTFVQSEQRVEPSEAENYKRPSRPANTRAGKDYHTSGTISEKQERRTRNKDRPDRVMWAPRRDGSEDQPLSSAGNNGEVKDRMFSQRSGEVVNSSGGHTLENGSARHSSRRVGGRNRKEEVVIGEGKTSRRGSGGGPSSHEKQMWIQKPSSGT
NP_175399.2 1 308 0.409000974025974 PF00010.26:HLH:77:120 Transcription factor bHLH95; Basic helix-loop-helix protein 95; AtbHLH95; bHLH 95; Protein RETARDED GROWTH OF EMBRYO 1; Protein ZHOUPI; Transcription factor EN 21; bHLH transcription factor bHLH095 308 44 16 308 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FXA3 1 SwissProt MTNAQELGQEGFMWGISNSDDSGGGCKRIEKEPLPSHPSHPSPEIQTTTVKKGKKRTKRNDKNHEEESPDHEIHIWTERERRKKMRDMFSKLHALLPQLPPKADKSTIVDEAVSSIKSLEQTLQKLEMQKLEKLQYSSASTNTTPTTTFAYAPSSSSSPTALLTPISNHPIDATATDSYPRAAFLADQVSSSSAAAANLPYPCNDPIVNFDTWSSRNVVLTICGNEAFFNLCVPKHKPGVFTSVCYLFEKYNMEVLFANVSSNVFWSTYVIQAQVNPSCENQLLGNGLGVVDVFKQVSQELVLYFSSL
NP_175754.2 1 304 0.472107236842105 PF14634.6:zf-RING_5:2:43,PF13920.6:zf-C3HC4_3:2:46 E3 ubiquitin-protein ligase CCNB1IP1 homolog; RING finger-containing protein HEI10; RING-type E3 ubiquitin transferase HEI10; EC 2.3.2.27 304 45 16 304 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HRI2 1 SwissProt MRCNACWRDLEGRAISTTCGHLLCTEDASKILSNDGACPICDQVLSKSLMKPVDINPNEEWINMAMAGISPQILMKSAYRSVMFYIAQRDLEMQYKMNRVVAQCRQKCEGMQAKFSEKMEQVHTAYQKMGKRCQMMEQEVENLTKDKQELQEKFSEKSRQKRKLDEMYDQLRSEYESVKRTAIQPANNFYPRHQEPDFFSNPAVNMMENRETIRKDRSFFSPATPGPKDEIWPARQNSSNSGPFDISTDSPAIPSDLGNRRAGRGHPVYGGGGTANPQSTLRNLILSPIKRSQLSRSRPQLFTL
NP_176180.1 280 638 0.16016713091922 PF14432.6:DYW_deaminase:223:349,PF13041.6:PPR_2:40:88,PF01535.20:PPR:14:40,PF01535.20:PPR:43:70 Pentatricopeptide repeat-containing protein At1g59720, chloroplastic/mitochondrial; Protein CHLORORESPIRATORY REDUCTION 28 638 202 16 359 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WQW5 1 SwissProt KCDVDVAMDVLVKNSLIEMYCKCGSLRMAEQVFQGMQKRDLASWNAMILGFATHGRAEEAMNFFDRMVDKRENVRPNSVTFVGLLIACNHRGFVNKGRQYFDMMVRDYCIEPALEHYGCIVDLIARAGYITEAIDMVMSMPMKPDAVIWRSLLDACCKKGASVELSEEIARNIIGTKEDNESSNGNCSGAYVLLSRVYASASRWNDVGIVRKLMSEHGIRKEPGCSSIEINGISHEFFAGDTSHPQTKQIYQQLKVIDDRLRSIGYLPDRSQAPLVDATNDGSKEYSLRLHSERLAIAFGLINLPPQTPIRIFKNLRVCNDCHEVTKLISKVFNTEIIVRDRVRFHHFKDGSCSCLDYW
NP_177109.3 1 524 0.164062404580153 PF00067.22:p450:27:514 Cytochrome P450 704B1; Long-chain fatty acid omega-hydroxylase; EC 1.14.14.80 524 488 16 524 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C788 1 SwissProt MSLCLVIACMVTSWIFLHRWGQRNKSGPKTWPLVGAAIEQLTNFDRMHDWLVEYLYNSRTVVVPMPFTTYTYIADPINVEYVLKTNFSNYPKGETYHSYMEVLLGDGIFNSDGELWRKQRKTASFEFASKNLRDFSTVVFKEYSLKLFTILSQASFKEQQVDMQELLMRMTLDSICKVGFGVEIGTLAPELPENHFAKAFDTANIIVTLRFIDPLWKMKKFLNIGSEALLGKSIKVVNDFTYSVIRRRKAELLEAQISPTNNNNNNNNKVKHDILSRFIEISDDPDSKETEKSLRDIVLNFVIAGRDTTATTLTWAIYMIMMNENVAEKLYSELQELEKESAEATNTSLHQYDTEDFNSFNEKVTEFAGLLNYDSLGKLHYLHAVITETLRLYPAVPQDPKGVLEDDMLPNGTKVKAGGMVTYVPYSMGRMEYNWGSDAALFKPERWLKDGVFQNASPFKFTAFQAGPRICLGKDSAYLQMKMAMAILCRFYKFHLVPNHPVKYRMMTILSMAHGLKVTVSRRS
NP_177334.1 1 512 0.08761640625 PF13347.6:MFS_2:40:295,PF03209.15:PUCC:82:289 Sucrose transport protein SUC5; Sucrose permease 5; Sucrose-proton symporter 5 512 256 16 246 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C8X2 0 SwissProt MGALEAERAANNATALETQSSPEDLGQPSPLRKIISVASIAAGVQFGWALQLSLLTPYIQLLGIPHKWSSYMWLCGPISGMIVQPIVGYHSDRCESRFGRRRPFIAAGVALVAVSVFLIGFAADMGHSFGDKLENKVRTRAIIIFLTGFWFLDVANNTLQGPCRAFLADLAAGDAKKTRVANACFSFFMAVGNVLGYAAGSYTNLHKMFPFTMTKACDIYCANLKTCFFLSITLLLIVTFSSLWYVKDKQWSPPQGDKEEKTSSLFFFGEIFGAVRHMKRPMVMLLIVTVINWIAWFPFILYDTDWMGREVYGGNSDGDERSKKLYDQGVQAGALGLMFNSILLGFVSLGVESIGRKMGGAKRLWGCVNFILAIGLAMTVLVTKSAEHHREIAGPLAGPSSGIKAGVFSLFTVLGIPLAITYSIPFALASIFSTNSGAGQGLSLGVLNIAICIPQMIVSFSSGPLDAQFGGGNLPSFVVGAIAAAVSGVLALTVLPSPPPDAPAMSGAMGFH
NP_179196.1 1 197 0.0224324873096447 PF05562.11:WCOR413:13:187 Cold-regulated 413 plasma membrane protein 1; AtCOR413-PM1; WCOR413-like protein 197 175 16 91 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XIM7 0 SwissProt MPMKSLRNDHGTLKAMIGSDFNELTIAAKNLATHAFTLTGLGFGTSVLEWVASIAAIYLLVLDRTNWKTNMLTSLLIPYIFFSLPSLIFGIFRGEIGKWIAFVAVVVQLFFPKHAREYLELPVALVLLAVVAPNLIAGTFRDSWIGLAICLGIGCYLLQEHIRASGGFRNAFTKANGISNTVGIICLVVFPVWALIF
NP_179835.1 167 361 0.283590769230769 PF02984.19:Cyclin_C:34:132 Cyclin-D2-1; Cyclin-delta-2; Cyclin-d2; G1/S-specific cyclin-D2-1; CycD2;1 361 99 16 195 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P42752 1 SwissProt LQVEDPKFVFEAKTIKRMELLVVTTLNWRLQALTPFSFIDYFVDKISGHVSENLIYRSSRFILNTTKAIEFLDFRPSEIAAAAAVSVSISGETECIDEEKALSSLIYVKQERVKRCLNLMRSLTGEENVRGTSLSQEQARVAVRAVPASPVGVLEATCLSYRSEERTVESCTNSSQSSPDNNNNNNNSNKRRRKQ
NP_180052.1 1 294 0.318291836734694 PF06203.14:CCT:229:271,PF00643.24:zf-B_box:5:47,PF00643.24:zf-B_box:48:93 CONSTANS-like 3 294 132 16 294 0 Arabidopsis thaliana NP_180052.1 1 RefSeq MASSSRLCDSCKSTAATLFCRADAAFLCGDCDGKIHTANKLASRHERVWLCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLSRRHERVPITPFYDAVGPAKSASSSVNFVDEDGGDVTASWLLAKEGIEITNLFSDLDYPKIEVTSEENSSGNDGVVPVQNKLFLNEDYFNFDLSASKISQQGFNFINQTVSTRTIDVPLVPESGGVTAEMTNTETPAVQLSPAEREARVLRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDSRENDGGDVGVYGGFGVVPSF
NP_181073.1 1 97 0.850021649484536 PF03760.15:LEA_1:1:71 Late embryogenesis abundant protein 18; Late embryogenesis abundant protein 4-2; AtLEA4-2 97 71 16 97 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96273 1 SwissProt MQSAKEKISDMASTAKEKLNIGGAKAQGHAEKTMARTKKEKKLAQEREKSKEAQAKADLHQSKAEHAADAQVHGHHLPGHSTYPTRATGANYPPGQI
NP_181784.1 1 635 0.685719212598425 PF02987.16:LEA_4:262:303,PF02987.16:LEA_4:304:343 late embryogenesis abundant domain-containing protein / LEA domain-containing protein 635 82 16 635 0 Arabidopsis thaliana NP_181784.1 1 RefSeq MASEQARRENKVTEREVQVEKDRVPKMTSHFESMAEKGKDSDTHRHQTEGGGTQFVSLSDKGSNMPVSDEGEGETKMKRTQMPHSVGKFVTSSDSGTGKKKDEKEEHEKASLEDIHGYRANAQQKSMDSIKAAEERYNKAKESLSHSGQEARGGRGEEMVGKGRDSGVRVSHVGAVGGGGGGEEKESGVHGFHGEKARHAELLAAGGEEMREREGKESAGGVGGRSVKDTVAEKGQQAKESVGEGAQKAGSATSEKAQRASEYATEKGKEAGNMTAEQAARAKDYALQKAVEAKETAAEKAQRASEYMKETGSTAAEQAARAKDYTLQKAVEAKDVAAEKAQRASEYMTETGKQAGNVAAQKGQEAASMTAKAKDYTVQKAGEAAGYIKETTVEGGKGAAHYAGVAAEKAAAVGWTAAHFTTEKVVQGTKAVAGTVEGAVGYAGHKAVEVGSKAVDLTKEKAAVAADTVVGYTARKKEEAQHRDQEMHQGGEEEKQPGFVSGARRDFGEEYGEERGSEKDVYGYGAKGIPGEGRGDVGEAEYGRGSEKDVFGYGPKGTVEEARRDVGEEYGGGRGSERYVEEEGVGAGGVLGAIGETIAEIAQTTKNIVIGDAPVRTHEHGTTDPDYMRREHGQR
NP_187966.1 128 262 0.969477037037037 Serine/arginine-rich SC35-like splicing factor SCL30A; At-SCL30A; AtSCL30A; SC35-like splicing factor 30A; Serine/arginine-rich splicing factor 30A 262 0 16 135 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LHP2 1 SwissProt RGGRSNRFQDRRRSPPRYSRSPPPRRGRRSRSRSRGYNSPPAKRHQSRSVSPQDRRYEKERSYSRSPPHNGSRVRSGSPGRVKSHSRSPRRSVSPRKNRSYTPEQARSQSPVPRQSRSPTPVPRGAQNGDRSPSQ
NP_190610.1 1 253 0.408301976284585 PF02701.15:zf-Dof:28:84 Dof zinc finger protein DOF3.4; AtDOF3.4; OBF-binding protein 1 253 57 16 253 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39088 1 SwissProt MPTSDSGEPRRIAMKPNGVTVPISDQQEQLPCPRCDSSNTKFCYYNNYNFSQPRHFCKACRRYWTHGGTLRDVPVGGGTRKSAKRSRTCSNSSSSSVSGVVSNSNGVPLQTTPVLFPQSSISNGVTHTVTESDGKGSALSLCGSFTSTLLNHNAAATATHGSGSVIGIGGFGIGLGSGFDDVSFGLGRAMWPFSTVGTATTTNVGSNGGHHAVPMPATWQFEGLESNAGGGFVSGEYFAWPDLSITTPGNSLK
NP_192354.1 1 277 0.739223826714802 WRKY transcription factor 42; WRKY DNA-binding protein 42 528 0 16 277 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XEC3 1 SwissProt MFRFPVSLGGGPRENLKPSDEQHQRAVVNEVDFFRSAEKRDRVSREEQNIIADETHRVHVKRENSRVDDHDDRSTDHINIGLNLLTANTGSDESMVDDGLSVDMEEKRTKCENAQLREELKKASEDNQRLKQMLSQTTNNFNSLQMQLVAVMRQQEDHHHLATTENNDNVKNRHEVPEMVPRQFIDLGPHSDEVSSEERTTVRSGSPPSLLEKSSSRQNGKRVLVREESPETESNGWRNPNKVPKHHASSSICGGNGSENASSKVIEQAAAEATMRK
NP_194637.1 1 179 0.62268938547486 PF02309.16:AUX_IAA:43:175 Auxin-responsive protein IAA27; Auxin-induced protein 27; Indoleacetic acid-induced protein 27; Phytochrome-associated protein 2 305 133 16 179 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZSY8 1 SwissProt MSVSVAAEHDYIGLSEFPTMEATTMSDKTKTRDNNNGLNFKATELRLGLPGSESPERVDSRFLALNKSSCPVSGAKRVFSDAINDSNKWVFSPGSTTATGDVGSGSGPRTSVVKDGKSTTFTKPAVPVKEKKSSATAPASKAQVVGWPPIRSFRKNSMASSQSQKPGNNSETEEAEAKS
NP_195317.2 1 421 0.228087885985748 PF04749.17:PLAC8:300:397 Protein MID1-COMPLEMENTING ACTIVITY 1 421 98 16 421 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L7E9 1 SwissProt MSHSWDGLGEIASVAQLTGLDAVKLIGLIVKAANTAWMHKKNCRQFAQHLKLIGNLLEQLKISEMKKYPETREPLEGLEDALRRSYLLVNSCRDRSYLYLLAMGWNIVYQFRKHQDEIDRFLKIIPLITLVDNARIRERFEYIDRDQREYTLDEEDRHVQDVILKQESTREAASVLKKTLSCSYPNLRFCEALKTENEKLQIELQRSQEHYDVAQCEVIQRLIGVTQAAAAVEPDSEKELTKKASKKSERSSSMKTEYSYDEDSPKKSSTRAASRSTSNVSSGHDLLSRRASQAQHHEEWHTDLLACCSEPSLCFKTFFFPCGTLAKIATAASNRHISSAEACNELMAYSLILSCCCYTCCVRRKLRKTLNITGGFIDDFLSHVMCCCCALVQELREVEIRGAYGTEKTKISPPSSQFMEH
NP_195474.1 1 403 0.170545657568238 PF00583.25:Acetyltransf_1:45:158 Probable N-acetyltransferase HLS1; Protein CONSTITUTIVE PHOTOMORPHOGENIC 3; Protein HOOKLESS 1; Protein UNUSUAL SUGAR RESPONSE 2; EC 2.3.1.- 403 114 16 403 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42381 1 SwissProt MTVVREYDPTRDLVGVEDVERRCEVGPSGKLSLFTDLLGDPICRIRHSPSYLMLVAEMGTEKKEIVGMIRGCIKTVTCGQKLDLNHKSQNDVVKPLYTKLAYVLGLRVSPFHRRQGIGFKLVKMMEEWFRQNGAEYSYIATENDNQASVNLFTGKCGYSEFRTPSILVNPVYAHRVNVSRRVTVIKLEPVDAETLYRIRFSTTEFFPRDIDSVLNNKLSLGTFVAVPRGSCYGSGSGSWPGSAKFLEYPPESWAVLSVWNCKDSFLLEVRGASRLRRVVAKTTRVVDKTLPFLKLPSIPSVFEPFGLHFMYGIGGEGPRAVKMVKSLCAHAHNLAKAGGCGVVAAEVAGEDPLRRGIPHWKVLSCDEDLWCIKRLGDDYSDGVVGDWTKSPPGVSIFVDPREF
NP_196044.2 443 1228 0.476854198473282 Probable lysine-specific demethylase ELF6; Early flowering 6; Jumonji domain-containing protein 11; Probable lysine-specific histone demethylase ELF6; EC 1.14.11.- 1340 0 16 786 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6BDA0 1 SwissProt YLLTMSFVSRVPRSLLPGGRSSRLRDRQREEREFLVKRAFVEDILNENKNLSVLLREPGSRLVMWDPDLLPRHSALALAAAGVAGASAVSPPAVAKKELEEGHSELQNKEKTSLLEELSLFMEKLNDVYYDDDDGLLNDFQVDTGTLPCVACGVLGFPFMSVVQPSEKALKDLSERQGETDAQEIMTLSSEKSDCEWKTSSRYIRPRIFCLEHTIELQRLLQSRGGLKFLVICHKDFQKFKAHAAIVAEEVKVPFSYDDVLLESASQEELSLIDLAIEDEEKYEHSVDWTSELGINLRYCVKVRKNSPTKKIQHALSLGGLFSDTSQMLDFTTIRWLQRKSRSKAKPSSTSSFTPCEHLEVKADGKLRDNLDSQTGKKEEKIIQYSRKKKLNPKPSAEQVQELATLAKSKDFDKTCKNFSSRSHLDSAIRSEMNSEIGDSGRVIGVSFSINPCSSSFTVGHGQEHPEITVKFGSDLDGNVTNSLSMVNGDSADLTLTSISREQHQGHSMTSNNNGSNSGSHVVASQTILVSTGDNHDGPRKLSGDYVCSDVSVRGIQEAVEMSDQEFGEPRSTVTNIEDEQQSQIVKPTQREAVFGDHEQVEGAEAVSTRENLCSEIILHTEHSSAHVGMEIPDINTASENLVVDMTHDGEPLESSDILSSSNGDEASSNGLQVLNDELSMESEVSSSENTEVIEAPNSMGEAKKKRKIESESETNDNPESSIGFIRSPCEGLRSRGKRKATCETSLKHTETSDEEKKPIAKRLKKTPKACSGSRQQEVPTTTHPN
NP_197185.1 1 186 0.429370967741935 PF14523.6:Syntaxin_2:37:135 Syntaxin-21; AtSYP21; PEP12 homolog; AtPEP12; aPEP12 279 99 16 186 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39233 1 SwissProt MSFQDLEAGTRSPAPNRFTGGRQQRPSSRGDPSQEVAAGIFRISTAVNSFFRLVNSIGTPKDTLELRDKLQKTRLQISELVKNTSAKLKEASEADLHGSASQIKKIADAKLAKDFQSVLKEFQKAQRLAAEREITYTPVVTKEIPTSYNAPELDTESLRISQQQALLLQSRRQEVVFLDNEITFNE
NP_197288.1 1 570 0.0307828070175439 PF01699.24:Na_Ca_ex:106:249,PF01699.24:Na_Ca_ex:406:558 Cation/calcium exchanger 1; AtCCX1; Protein CATION EXCHANGER 7 570 297 16 354 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKP1 1 SwissProt MASLFSSRLGSQSLSLLINIFFIFLIFLHFASQTPPPSGSIQTLNSFAGGDSDSCSGGLASLDDHRSKCSYIRSQSKCGPQGYIDYLKIFFCIFGQSPVLGHLVLSAWLFVLFYLLGDTAASYFCPSLDSLSKVLKLSPTMAGVTLLSLGNGAPDLFSSVVSFTRSNNGDFGLNSILGGAFFVSSFVVGTICVLIGSRDVAIDRNSFIRDVVFLLVALCCLGLIIFIGKVTIWVALCYLSIYLLYVGFLSVSHFFDRKKRMSDQILRSREDLAEMGVSLLGYIAEEKLALPEKTTQEFKIVFEDSPKRHRSCFSVLVSIIGLPLYLPRRLTIPVVCEEKWSKPCAVVSTAIAPVLLTELYCSHYSGSQRNLILYIISGSIGLIVGILAYLTTEKSHPPKKFSLVWLLGGFTMSVTWTYMIAQELVSLLISLGNIFGISPSVLGLTVLAWGNSLGDLIANVTVAFHGGNDGAQIALSGCYAGPLFNTVIGLGVPLVISSLAEYPGVYIIPSDNSLLETLGFLMVGLLWALVIMPKKKMRLDKLVGGGLLAIYLCFLSLRLARVFGVLDTDR
NP_197826.2 1 664 0.0733793674698794 PF03169.15:OPT:30:647 Metal-nicotianamine transporter YSL2; Protein YELLOW STRIPE LIKE 2; AtYSL2 664 618 16 398 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6R3K9 1 SwissProt MENERVEREQSQFQEDEFIDSRKPPPWRKQITVRAIVASLLIGIVYSVICLKLNLTTGLVPNLNISSALLAFVFLKSWTKVLQKAGIATTPFTRQENTIAQTCAVACYSISLAGGFASYLLGLNRRTYEETGVNTEGNNPRGIKEPGVGWMTSFLFVTSFIGLVVLVPLRKVMIIDYKLTYPSGTATAVLINGFHTSKGDKTAKKQIRGFIKSFGLSFFWAFFGWFYSGGEKCGFSQFPTFGLQALDKTFYFDFSMTYVGAGMICSHLVNLSLLFGAILSWGIMWPLIARLKGEWFPATLKDNSMQGLNGYKVFICIALILGDGLYNFVKILFFTGRSFHSRLSKTNSISTLVEVPEDSTKESDNLKRENEVFVRESIPLWMACVGYLFFSLVSIIAIPLMFPQLKWYFVLVAYLLAPSLSFCNAYGAGLTDMNMAYNYGKAALFVMAALAGKNDGVVAGMVACGLIKSIVSVSADLMHDFKTGHLTQTSPRSMLVAQAIGTAIGCVVAPLTFFLFYKAFDVGNQNGEYKAPYAMIYRNMAIIGVQGPSALPKHCLELCYGFFAFAVAANLARDLLPDKPGKWIPLPMAMAVPFLVGGSFAIDMCIGSLVVYVWKKVNRKKADVMVPAVASGLICGDGLWILPSSLLALAKVRPPICMNFTAAH
NP_201053.2 117 352 0.54721779661017 Transcription factor MYB96; Myb-related protein 96; AtMYB96 352 0 16 236 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q24JK1 1 SwissProt KKINESGEEDNDGVSSSNTSSQKNHQSTNKGQWERRLQTDINMAKQALCEALSLDKPSSTLSSSSSLPTPVITQQNIRNFSSALLDRCYDPSSSSSSTTTTTTSNTTNPYPSGVYASSAENIARLLQDFMKDTPKALTLSSSSPVSETGPLTAAVSEEGGEGFEQSFFSFNSMDETQNLTQETSFFHDQVIKPEITMDQDHGLISQGSLSLFEKWLFDEQSHEMVGMALAGQEGMF
NP_201551.1 1 276 0.215124275362319 PF01459.22:Porin_3:5:269 Mitochondrial outer membrane protein porin 2; Voltage-dependent anion-selective channel protein 2; AtVDAC2; VDAC-2 276 265 16 276 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJX3 1 SwissProt MSKGPGLFTDIGKKAKDLLTRDYNSDQKFSISTYSASGVALTSTALKKGGVHAADVATQYKYKNALFDVKIDTDSSVLTTVTLTEILPSTKAIASFKVPDYNSAKLEVQYFHDHATVTAAAALKQNPLIDITATLGSPVISFGAEAGYDTTSKTFTKYNAGISVTKPDACLSIILGDKGDSLKASYLHHFDEFKRTAAVGEVYRKFSTNENTITVGGLYAIDHSTAVKAKLNNHGTLGALLQHEVLPRSLVTVSSEIDTKALEKHPRFGLSLALKP
NP_206968.1 1 422 0.146785308056872 PF01136.19:Peptidase_U32:77:308 Uncharacterized protease HP_0169 422 232 16 422 0 Helicobacter pylori (strain ATCC 700392 / 26695) P56113 1 SwissProt/TReMBL MNQVELLSPAGNLKKLKIALNYGADAVYGGVSHFSLRNRAGKEFTLETFKEGIDYAHALNKKVYATINGFPFNSQLKLLEEHIDKMAELEPDAFIIAAPGVVKLALKIAPHIPIHLSTQANVLNLLDAQVFYDLGVKRIVCARELSLNDAIEIKKALPNLELEIFVHGSMCFAFSGRCLISALQKGRVPNRGSCANDCRFDYEYYVKNPDNGVMMRLVEEEGVGTHIFNAKDLNLSGHIAEILSSNAISALKIEGRTKSSYYAAQTTRIYRLAVDDFYHNTLKPSFYASELNTLKNRGFTDGYLMRRPFERLDTQNHQTAISEGDFQVNGEITEDGRFFACKFTTTTNTAYEIIAPKNAAITPIVNEIGKIYTFEKRSYLVLYKILLENNTELETIHSGNVNLVRLPAPLPAFSFLRTQVRV
NP_212497.1 1 518 0.0837590733590734 Cyclic di-GMP phosphodiesterase PdeA; EC 3.1.4.52 670 0 16 518 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::O51338 1 SwissProt MNKVNNYQNINSVIISKKEVGLRDLIKLKSIFNLIQIVKSEKALYSEYVKQNNIKFAIIYNYEKPIDFSINIANELKNANKIHSIIISKEKFDEEYLKLDHIEIIKDISELEYKQSLIHQKKLFCDNKNTTLDFFLNLSELIKEIVIITNTKNEIIYINEKGSKNLNLPMKTSGNIIKVTDIDIRDWEKLEKINLSYHTNSIPEFKNILITDCLLTLKNNKKLHVDIFISTIAQNNIDKLITIKEISNSNKIENYKYLEIIDSQDEIQNAKEIEKLLVNHMDIYKKKSIYLLNLDVSLTAEYEYKEDQEKLNAKILKIMYSKIMSLYSEYIFKLKHNNLIVIISTSGGEKRIISIAKKIKKTIALAFKKEDIIIFKFNIGIIEVNLKENLEFKIPKLMMATKISSEYKESNPTIYKEELPEAVILKNQNKIFQYILKAIKNDFFTLYYQKINPLKKNLKPKIEILTRLFDHMGKPIPNNQIFNLIDKYNLTVEVDTLVVKKALREYKSFVSKNGIHIF
NP_214999.1 1 438 0.312812100456621 PF00480.20:ROK:110:378 Transcriptional regulator Rv0485 438 269 16 438 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKV1 1 SwissProt MYSTNRTSQSLSRKPGRKHQLRSHRYVMPPSLHLSDSAAASVFRAVRLRGPVGRDVIAGSTSLSIATVNRQVIALLEAGLLRERADLAVSGAIGRPRVPVEVNHEPFVTLGIHIGARTTSIVATDLFGRTLDTVETPTPRNAAGAALTSLADSADRYLQRWRRRRALWVGVTLGGAVDSATGHVDHPRLGWRQAPVGPVLADALGLPVSVASHVDAMAGAELMLGMRRFAPSSSTSLYVYARETVGYALMIGGRVHCPASGPGTIAPLPVHSEMLGGTGQLESTVSDEAVLAAARRLRIIPGIASRTRTGGSATAITDLLRVARAGNQQAKELLAERARVLGGAVALLRDLLNPDEVVVGGQAFTEYPEAMEQVEAAFTAGSVLAPRDIRVTVFGNRVQEAGAGIVSLSGLYADPLGALRRSGALDARLQDTAPEALA
NP_215008.2 100 242 0.147717482517482 PF07729.12:FCD:9:129 HTH-type transcriptional regulator 242 121 16 143 0 Mycobacterium tuberculosis H37Rv NP_215008.2 1 RefSeq KLGPDFLVELLEIRAALGPLIGRLAAARSTPEDAEALCAALEVVQQADTAAARQAADLAYFRVLIHSTRNRALGLLYRWVEHAFGGREHALTGAYDDADPVLTDLRAINGAVLAGDPAAAAATVEAYLNASALRMVKSYRDRA
NP_216035.1 1 89 0.20697191011236 PF01041.17:DegT_DnrJ_EryC1:34:79 hypothetical protein 89 46 16 89 0 Mycobacterium tuberculosis H37Rv NP_216035.1 1 RefSeq MRCGCLACDGVLCANGPGRPRRPALTCTAVATRTLHSLATNAELVESADLTVTEDICSRIVSLPVHDHMAIADVARVVAPFGEGLARGG
NP_218306.1 1 121 0.00489090909090909 PF04138.14:GtrA:2:118 Arabinogalactan biosynthesis recruiting protein Rv3789 121 117 16 35 4 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMS9 0 SwissProt MRFVVTGGLAGIVDFGLYVVLYKVAGLQVDLSKAISFIVGTITAYLINRRWTFQAEPSTARFVAVMLLYGITFAVQVGLNHLCLALLHYRAWAIPVAFVIAQGTATVINFIVQRAVIFRIR
NP_253044.1 1 100 0.216321 PF12840.7:HTH_20:6:78,PF01022.20:HTH_5:51:73 hypothetical protein 100 73 16 100 0 Pseudomonas aeruginosa PAO1 NP_253044.1 1 RefSeq MPLDIDEIIKALSHPVRRDMLRWLKEPEKYFVEQDHPFEIGVCAGKFDQRTGLSQSTVSVHLATLQRAGLVTSRRVGQWNFFKRNEETIQAFLDQLGDEL
NP_414967.1 1 491 0.0154896130346232 PF07690.16:MFS_1:17:366,PF13000.7:Acatn:16:99 muropeptide:H+ symporter 491 351 16 175 14 Escherichia coli K-12 substr. MG1655 ecocyc::AMPG-MONOMER 0 ecocyc MSSQYLRIFQQPRSAILLILGFASGLPLALTSGTLQAWMTVENIDLKTIGFFSLVGQAYVFKFLWSPLMDRYTPPFFGRRRGWLLATQILLLVAIAAMGFLEPGTQLRWMAALAVVIAFCSASQDIVFDAWKTDVLPAEERGAGAAISVLGYRLGMLVSGGLALWLADKWLGWQGMYWLMAALLIPCIIATLLAPEPTDTIPVPKTLEQAVVAPLRDFFGRNNAWLILLLIVLYKLGDAFAMSLTTTFLIRGVGFDAGEVGVVNKTLGLLATIVGALYGGILMQRLSLFRALLIFGILQGASNAGYWLLSITDKHLYSMGAAVFFENLCGGMGTSAFVALLMTLCNKSFSATQFALLSALSAVGRVYVGPVAGWFVEAHGWSTFYLFSVAAAVPGLILLLVCRQTLEYTRVNDNFISRTAYPAGYAFAMWTLAAGVSLLAVWLLLLTMDALDLTHFSFLPALLEVGVLVALSGVVLGGLLDYLALRKTHLT
NP_415998.4 1 71 0.283498591549296 PF10684.9:BDM:1:71 biofilm-dependent modulation protein 71 71 16 71 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6776-MONOMER 1 ecocyc MFTYYQAENSTAEPALVNAIEQGLRAQHGVVTEDDILMELTKWVEASDNDILSDIYQQTINYVVSGQHPTL
NP_416335.4 1 188 0.0440494680851064 PF02659.15:Mntp:31:182 Mn2+ exporter 188 152 16 76 5 Escherichia coli K-12 substr. MG1655 ecocyc::G6999-MONOMER 0 ecocyc MNITATVLLAFGMSMDAFAASIGKGATLHKPKFSEALRTGLIFGAVETLTPLIGWGMGMLASRFVLEWNHWIAFVLLIFLGGRMIIEGFRGADDEDEEPRRRHGFWLLVTTAIATSLDAMAVGVGLAFLQVNIIATALAIGCATLIMSTLGMMVGRFIGSIIGKKAEILGGLVLIGIGVQILWTHFHG
NP_416715.1 1 314 0.0296764331210191 PF00664.23:ABC_membrane:47:199 ABC transporter family protein / microcin J25 efflux protein 547 153 16 176 6 Escherichia coli K-12 substr. MG1655 ecocyc::YOJI-MONOMER 1 ecocyc MELLVLVWRQYRWPFISVMALSLASAALGIGLIAFINQRLIETADTSLLVLPEFLGLLLLLMAVTLGSQLALTTLGHHFVYRLRSEFIKRILDTHVERIEQLGSASLLAGLTSDVRNITIAFVRLPELVQGIILTIGSAAYLWMLSGKMLLVTAIWMAITIWGGFVLVARVYKHMATLRETEDKLYTDFQTVLEGRKELTLNRERAEYVFNNLYIPDAQEYRHHIIRADTFHLSAVNWSNIMMLGAIGLVFWMANSLGWADTNVAATYSLTLLFLRTPLLSAVGALPTLLTAQVAFNKLNKFALAPFKAEFPRP
NP_416720.1 1 687 0.246523144104804 PF02518.26:HATPase_c:565:676 RcsD phosphotransferase 890 112 16 664 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG12385-MONOMER 1 ecocyc MRQKETTATTRFSLLPGSITRFFLLLIIVLLVTMGVMVQSAVNAWLKDKSYQIVDITHAIQKRVDNWRYVTWQIYDNIAATTSPSSGEGLQETRLKQDVYYLEKPRRKTEALIFGSHDNSTLEMTQRMSTYLDTLWGAENVPWSMYYLNGQDNSLVLISTLPLKDLTSGFKESTVSDIVDSRRAEMLQQANALDERESFSNMRRLAWQNGHYFTLRTTFNQPGHLATVVAFDLPINDLIPPGMPLDSFRLEPDATATGNNDNEKEGTDSVSIHFNSTKIEISSALNSTDMRLVWQVPYGTLLLDTLQNILLPLLLNIGLLALALFGYTTFRHFSSRSTENVPSTAVNNELRILRAINEEIVSLLPLGLLVHDQESNRTVISNKIADHLLPHLNLQNITTMAEQHQGIIQATINNELYEIRMFRSQVAPRTQIFIIRDQDREVLVNKKLKQAQRLYEKNQQGRMIFMKNIGDALKEPAQSLAESAAKLNAPESKQLANQADVLVRLVDEIQLANMLADDSWKSETVLFSVQDLIDEVVPSVLPAIKRKGLQLLINNHLKAHDMRRGDRDALRRILLLLMQYAVTSTQLGKITLEVDQDESSEDRLTFRILDTGEGVSIHEMDNLHFPFINQTQNDRYGKADPLAFWLSDQLARKLGGHLNIKTRDGLGTRYSVHIKMLAADPEVEEEE
NP_416744.1 101 542 0.240279411764706 PF01266.24:DAO:8:259,PF04324.15:Fer2_BFD:332:384 anaerobic glycerol-3-phosphate dehydrogenase subunit A (EC 1.1.5.3) 542 305 16 442 0 Escherichia coli K-12 substr. MG1655 ecocyc::ANGLYC3PDEHYDROGSUBUNITA-MONOMER 1 ecocyc LSFQATFIRACEEAGISAEAIDPQQARIIEPAVNPALIGAVKVPDGTVDPFRLTAANMLDAKEHGAVILTAHEVTGLIREGATVCGVRVRNHLTGETQALHAPVVVNAAGIWGQHIAEYADLRIRMFPAKGSLLIMDHRINQHVINRCRKPSDADILVPGDTISLIGTTSLRIDYNEIDDNRVTAEEVDILLREGEKLAPVMAKTRILRAYSGVRPLVASDDDPSGRNVSRGIVLLDHAERDGLDGFITITGGKLMTYRLMAEWATDAVCRKLGNTRPCTTADLALPGSQEPAEVTLRKVISLPAPLRGSAVYRHGDRTPAWLSEGRLHRSLVCECEAVTAGEVQYAVENLNVNSLLDLRRRTRVGMGTCQGELCACRAAGLLQRFNVTTSAQSIEQLSTFLNERWKGVQPIAWGDALRESEFTRWVYQGLCGLEKEQKDAL
NP_417170.1 1 390 0.29597641025641 PF00529.20:HlyD:35:345,PF16576.5:HlyD_D23:61:289,PF13437.6:HlyD_3:218:328,PF13533.6:Biotin_lipoyl_2:61:108 multidrug efflux pump membrane fusion protein EmrA 390 311 16 367 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11354-MONOMER 1 ecocyc MSANAETQTPQQPVKKSGKRKRLLLLLTLLFIIIAVAIGIYWFLVLRHFEETDDAYVAGNQIQIMSQVSGSVTKVWADNTDFVKEGDVLVTLDPTDARQAFEKAKTALASSVRQTHQLMINSKQLQANIEVQKIALAKAQSDYNRRVPLGNANLIGREELQHARDAVTSAQAQLDVAIQQYNANQAMILGTKLEDQPAVQQAATEVRNAWLALERTRIISPMTGYVSRRAVQPGAQISPTTPLMAVVPATNMWVDANFKETQIANMRIGQPVTITTDIYGDDVKYTGKVVGLDMGTGSAFSLLPAQNATGNWIKVVQRLPVRIELDQKQLEQYPLRIGLSTLVSVNTTNRDGQVLANKVRSTPVAVSTAREISLAPVNKLIDDIVKANAG
NP_417439.4 1 418 0.0146528708133971 PF03825.16:Nuc_H_symport:1:406,PF12832.7:MFS_1_like:5:372 nucleoside:H+ symporter NupG 418 406 16 148 12 Escherichia coli K-12 substr. MG1655 ecocyc::NUPG-MONOMER 0 ecocyc MNLKLQLKILSFLQFCLWGSWLTTLGSYMFVTLKFDGASIGAVYSSLGIAAVFMPALLGIVADKWLSAKWVYAICHTIGAITLFMAAQVTTPEAMFLVILINSFAYMPTLGLINTISYYRLQNAGMDIVTDFPPIRIWGTIGFIMAMWVVSLSGFELSHMQLYIGAALSAILVLFTLTLPHIPVAKQQANQSWTTLLGLDAFALFKNKRMAIFFIFSMLLGAELQITNMFGNTFLHSFDKDPMFASSFIVQHASIIMSISQISETLFILTIPFFLSRYGIKNVMMISIVAWILRFALFAYGDPTPFGTVLLVLSMIVYGCAFDFFNISGSVFVEKEVSPAIRASAQGMFLMMTNGFGCILGGIVSGKVVEMYTQNGITDWQTVWLIFAGYSVVLAFAFMAMFKYKHVRVPTGTQTVSH
NP_417461.1 1 499 0.133829859719439 PF01384.20:PHO4:30:488 metal phosphate:H+ symporter PitB 499 459 16 279 10 Escherichia coli K-12 substr. MG1655 ecocyc::PITB-MONOMER 1 ecocyc MLNLFVGLDIYTGLLLLLALAFVLFYEAINGFHDTANAVAAVIYTRAMQPQLAVVMAAFFNFFGVLLGGLSVAYAIVHMLPTDLLLNMGSTHGLAMVFSMLLAAIIWNLGTWFFGLPASSSHTLIGAIIGIGLTNALLTGSSVMDALNLREVTKIFSSLIVSPIVGLVIAGGLIFLLRRYWSGTKKRDRIHRIPEDRKKKKGKRKPPFWTRIALIVSAAGVAFSHGANDGQKGIGLVMLVLVGIAPAGFVVNMNASGYEITRTRDAVTNFEHYLQQHPELPQKLIAMEPPLPAASTDGTQVTEFHCHPANTFDAIARVKTMLPGNMESYEPLSVSQRSQLRRIMLCISDTSAKLAKLPGVSKEDQNLLKKLRSDMLSTIEYAPVWIIMAVALALGIGTMIGWRRVAMTIGEKIGKRGMTYAQGMAAQMTAAVSIGLASYIGMPVSTTHVLSSAVAGTMVVDGGGLQRKTVTSILMAWVFTLPAAIFLSGGLYWIALQLI
NP_417919.1 1 352 0.164636931818182 PF18075.1:FtsX_ECD:110:204,PF02687.21:FtsX:254:342 cell division protein FtsX 352 184 16 260 4 Escherichia coli K-12 substr. MG1655 ecocyc::FTSX-MONOMER 1 ecocyc MNKRDAINHIRQFGGRLDRFRKSVGGSGDGGRNAPKRAKSSPKPVNRKTNVFNEQVRYAFHGALQDLKSKPFATFLTVMVIAISLTLPSVCYMVYKNVNQAATQYYPSPQITVYLQKTLDDDAAAGVVAQLQAEQGVEKVNYLSREDALGEFRNWSGFGGALDMLEENPLPAVAVVIPKLDFQGTESLNTLRDRITQINGIDEVRMDDSWFARLAALTGLVGRVSAMIGVLMVAAVFLVIGNSVRLSIFARRDSINVQKLIGATDGFILRPFLYGGALLGFSGALLSLILSEILVLRLSSAVAEVAQVFGTKFDINGLSFDECLLLLLVCSMIGWVAAWLATVQHLRHFTPE
NP_418016.1 1 689 0.239624673439768 PF02092.17:tRNA_synt_2f:6:551,PF05746.15:DALR_1:582:679 glycine—tRNA ligase subunit β (EC 6.1.1.14) 689 644 16 689 0 Escherichia coli K-12 substr. MG1655 ecocyc::GLYS-MONOMER 1 ecocyc MSEKTFLVEIGTEELPPKALRSLAESFAANFTAELDNAGLAHGTVQWFAAPRRLALKVANLAEAQPDREIEKRGPAIAQAFDAEGKPSKAAEGWARGCGITVDQAERLTTDKGEWLLYRAHVKGESTEALLPNMVATSLAKLPIPKLMRWGASDVHFVRPVHTVTLLLGDKVIPATILGIQSDRVIRGHRFMGEPEFTIDNADQYPEILRERGKVIADYEERKAKIKADAEEAARKIGGNADLSESLLEEVASLVEWPVVLTAKFEEKFLAVPAEALVYTMKGDQKYFPVYANDGKLLPNFIFVANIESKDPQQIISGNEKVVRPRLADAEFFFNTDRKKRLEDNLPRLQTVLFQQQLGTLRDKTDRIQALAGWIAEQIGADVNHATRAGLLSKCDLMTNMVFEFTDTQGVMGMHYARHDGEAEDVAVALNEQYQPRFAGDDLPSNPVACALAIADKMDTLAGIFGIGQHPKGDKDPFALRRAALGVLRIIVEKNLNLDLQTLTEEAVRLYGDKLTNANVVDDVIDFMLGRFRAWYQDEGYTVDTIQAVLARRPTRPADFDARMKAVSHFRTLDAAAALAAANKRVSNILAKSDEVLSDRVNASTLKEPEEIKLAMQVVVLRDKLEPYFTEGRYQDALVELAELREPVDAFFDKVMVMVDDKELRINRLTMLEKLRELFLRVADISLLQ
NP_476896.1 392 1039 0.652792283950617 PF15275.6:PEHE:475:586 Protein male-specific lethal-1 1039 112 16 648 0 Drosophila melanogaster (Fruit fly) SwissProt::P50535 1 SwissProt YPWMHSDADVNARTEEELWQNQNYLLELDPTEEKTCAPSAHSTPNHQQKSSTQAEIRKEGNQNRITEKLLQLKPEPMVDALEAPILPKWVAFKKKDKEHESVPESPEVPKQQPHQEDAIVDHNAIKNQLEVPKPDLKPKDQPKDEQRQDGQLDVRVEPQEDVRKVQKETLKRQPEDAPKHLPKAVAPKVTKTSSRESTLPKANTADIKDAPAQKVIANHQSTKTQTDPVKTQRLQVKIRQYEMHPDMRTGSSAPSDIRKQKNVDPVSTPETKTIKSKSMLVNDKKTTSETSQSPDQEIDVETVRRKLAEHLKKELLSQSHSSQVTLKKIRERVATNLIYPPPSAPVSSTTITPAPTPSTTPTPGSTPQHAVTSSMDQEISAAKSKSKAAEQIATPLTPQSNSSVSSTTSTIRKTLNNCSPHTYSKATARSGKLQSRFRTATFPYSTRTWEDQEFHCDNEFFLEEADELLADNPSLEIPKWRDVPVPPSSDKIDTELLSDATFERRHQKYVKDEVDRKCRDARYMKEQIRLEQLRMRRNQDEVLVALDPLRASTFYPLPEDIEAIQFVNEVTVQAFGENVVNMEARDDFGVPWVDAIEAPTSIARSKALAEPVATLASKKIPTTAAEARHQENHSSYVFPKRRKRQKNR
NP_505960.3 1 708 0.11822895480226 PF07714.17:Pkinase_Tyr:450:621,PF00069.25:Pkinase:450:628,PF01094.28:ANF_receptor:34:280 Receptor-type guanylate cyclase daf-11; Abnormal dauer formation protein 11; EC 4.6.1.2 1077 426 16 685 1 Caenorhabditis elegans SwissProt::Q8I4N4 1 SwissProt MGPTCSSNFLRFANLTAEMQSLEINILKGYPYEHPTMIDMVTRSPQNLAQNLVSLLRGFEWGQVGAVLCEECYEGDELASEIYFSTIEDIFENNNIALKETVRIGKRENSVNISDAITIFEPSARVILLFLGNKLNDYTEFMTAMSMNNYTTEEYTPVIVISKNSLELTFPWKENDAIAELFDKAIIVYNNCYDKSKISSFLSSYSFSTIEETIISLQMYEGYHLLGYYLYTAITNTTLFNYVQPEKAISSMSIPGPFGEIFINSNGQRIAGYDVLVVDKSLNSNNFIMPLGTISTDKKCPDQACLNFVLNSTSSFEPLKDVPLCGFHGEICDQTGVIIAIAVIMGVLLMFIIILTTIRKCCNGSKGRSISNPWVIPFQDVRFIDLTNTEGSQHMSIQSLQRNMEEKQRLQSLARTKHIATVDQVYVLADKYVMRDKLRYDKIDINLLYQMKSHLQHDNLNSFVGITIDKASHMYIIWNQCFRGSLHDHIFTKERQRGTATRFEGLFLRDILKGLEYIHASAIDFHGNLTLHNCMLDSHWIVKLSGFGVNRLLVKWKTSGQIFTEDHTPVIKSEELHYFDPAMKKIWKNYADRNERALITPQFGKKCDMYSFGVILHEIILKKKFVEQLFDSPREEDDSVLIDDENDAIASRFPLPIIIPEGIEMHNDLIKMLENCFGSVRPDIALARKIIDTVLKMSGSLVDLMIKN
NP_508816.1 1 457 0.132244857768053 PF00001.21:7tm_1:43:342,PF10320.9:7TM_GPCR_Srsx:38:351 G_PROTEIN_RECEP_F1_2 domain-containing protein 457 314 16 319 6 Caenorhabditis elegans NP_508816.1 1 RefSeq MEVENFTDCQVYWKVYPDPSQSIYAIVPFLTVYLFLFFLGLFGNVTLIYVTCSHKALLSVQNIFILNLAASDCMMCILSLPITPITNVYKNWYFGNLLCHLIPCIQGISIFVCTFSLGAIALDRYILVVRPHSTPLSQRGAFLTTVLLWILSFVVTLPYAFNMQMIEYTEERICGYFCTEKWESAKSRRAYTMIVMLAQFVVPFAVMAFCYANIVSVLSKRAQTKIRKMVERTSALESSCAFPSHGLEQYENELNEFLDKQEKEKQRVVLQNRRTTSILVTMVVWFGITWLPHNVISLIIEYDDTQSFFRLYGRDDYDISYLLNLFTHSIAMSNNVLNPVLYAWLNPSFRQLVIKTYFGDRRKSDRIINQTSVYKTKIVHDTKHLNGRAKIGGGGSHEALKERELNSCSENLSYHVNGHTRTPTPEVQLNEVSSPEISKLVAEPEELIEFSVNDTLV
NP_523987.1 1 592 0.422217060810811 Protein Smaug 999 0 16 592 0 Drosophila melanogaster (Fruit fly) SwissProt::Q23972 1 SwissProt MKYATGTDNAMTSGISGQTNNSNSASNEMQPTTSTPTAAHKEATSTATTTATYANGNPNSNANPSQSQPSNALFCEQVTTVTNLFEKWNDCERTVVMYALLKRLRYPSLKFLQYSIDSNLTQNLGTSQTNLSSVVIDINANNPVYLQNLLNAYKTFQPCDLLDAMSSSSSDKDSMPCYGSDFQITTSAQCDERKLYARKEDILHEVLNMLPLLKPGNEEAKLIYLTLIPVAVKDTMQQIVPTELVQQIFSYLLIHPAITSEDRRSLNIWLRHLEDHIQAAAAGLTNRSYFLQPSPQLVAGGSSTGSGSCSSSATSSSTASCSSVASSSLCPASGSRSSRTNDWQTIAPPSKQLQNKLAGDWRGNGGGSSSGSINPLCDNLNGITLNELASSQNSLGLSLEGSSSLVNGVVAGAGSMLGIAGGDDHDTSFSKNGTEILDFDPVTADMGEACSLASSSLCGRNGGNPVEDRSQPPPNLQQQLLQPPPYASILMGNVGDQFGEINRWSLDSKIAALKTRRSNSLTTQTISSCSSSSNSSVITVNDNCSNSTENLAQFANKPRSFSLSIEHQRGALMNSGSDTRLDEFKPNYIKFH
NP_524160.2 1 1275 0.635279529411763 Histone-lysine N-methyltransferase ash1; Absent small and homeotic disks protein 1; Lysine N-methyltransferase 2H; EC 2.1.1.43 2226 0 16 1275 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VW15 1 SwissProt MSCSQNETAAAKVLETQRAQESGSENEETDSITDQSSQSKSIKSATQFSVQRSDTDGLRMRISAIRPTLGVVATKKPPKSRKMSTQDTESGCSEAKNRAVSKKVKVKRKKLASSSGISKSDKVSKSKKSQISAFSSDSEDDLPLKVHQQRAPRVLLSAIIQAAQSASKPTLDIGISSSDNELPNLVQAAIKRVESDTEDTTVEGSFRKAAKDKNLPQYQSTLLQDFMEKTQMLGQTVNAKLAEEKVAKAKEETLVQTAVPRKRRGRPKKVVPTVPAPGNSGPAINESADSGVISTTSTTQSTTPSPKMQNENAVPTGSLPIASSSKPKIDMAYLDKRMYATERVLYPPPRSKRRQNNKKTACSSSNKEELQLDPLWREIDVNKKFRLRSMSVGAASGTGASTTICSKVLAAKSGYVSDYGSVRHQRSSHNHNSGYKSDASCKSRYSTKSCMSRRSRAKSCGYRSDCKESGKSGLRMRRKRRASMLLKSSADDTVEDQDILQLAGLSLGQSSEESNEYISKPSLKSLPTTSASKKYGEINRYVTTGQYFGRGGSLSATNPDNFISKMMNQRKETPAPSKSSCKIKSRRSSAASMCSSYVSGVSRMRRRHRRKSFSHNKSLNIDSKLLTEIEIITSTFNSRCRIQDDRLTGSSGKEKLLADANKLQATLAAPSPAQQLTLNGGGPASTLSKPLKRGLKKRKLSEPLVDFAMLSASASGTPNGSGSSNGNTKRRHKKSQSNDSSSPDDHKLPLKKRHYLLTPGERPPAEVAFANGKLNAEAWAAAAAAAKSTASTKSQAQFNARSVKSALTPKKRHLLEQPTSVSGAGSSASNSPLRIVVDNNSISGGKLLDISPSSLCSLKQQRRGGAAKQKVSAAKDLVQLQSPAGSYPPPGVFEPSVELEIQIPLSKLNESVITKAEVESPLLSALDIKEDTKKEVGQRVVETLLHKTGGNLLLKRKRKKINRTGFPTVRRKKRKVSVEQQTTAVIDEHEPEFDPDDEPLQSLRETRSSNNVNVQAAPNPPLDCERVPQAGEARETFVARTNQKAPRLSVVALERLQRPQTPARGRPRGRKPKNREQAEAAPQPPPKSEPEIRPAKKRGRQPKQPVLEEPPPTPPPQQKKNKMEPNIRLPDGIDPNTNFSCKIRLKRRKNLEAGTQPKKEKPVQPVTVEEIPPEIPVSQEEIDAEAEAKRLDSIPTEHDPLPASESHNPGPQDYASCSESSEDKASTTSLRKLSKVKKTYLVAGLFSNHYKQSLMPPPAKVNKKPGLEEQVGPAS
NP_524177.1 1 1847 0.301831456415809 PF03542.16:Tuberin:567:882,PF11864.8:DUF3384:40:469,PF02145.15:Rap_GAP:1616:1801 gigas, isoform A 1847 932 16 1847 0 Drosophila melanogaster NP_524177.1 1 RefSeq MNSKDKSKFKLFLKSLPAGYVGERTLRPEFERELRPEQPVAQRCRMLKELGDTQLHNFNLDESAITILFNLTNDLIVPNKPAETRQIALSFYKRLIHTQYKNLTIMREKFFLVIQNHEAREDLRHLLELLDTLTDNGKDITNFEEKIGKFMLLWIPAITEANLLTPYLDILVNLIKFNAAHLDKDILVGIVQNACDLSCSVTVNEIGLQCLTILEMVIGYTIFPSEPLPQCITTLCRTVNHAPYCPSSFKIMKNLLGTQLGYHSMKMMCSILNDRALYDDAHLLRGAVFHLNMNIFGSNIIFQVSPMTYATNVLTAFLRALDSRQVIVTFEVILSVRMVITKRQLSEIIWDLICDIMSSIVSNIEYYEEVNINKDRLHHLQINFHENIDCIEKLLQQDRSQILGNVERIYDLIERVADRRPEASVLALIEYRSRRVTATRPDWLQVLAQFVRRYYRMSNVNVRIKTIEALVQIMDQNRACYEEEILSRVVLVHLSHIHLESSVQVRVAVARALSNFATHCDTKRCMDLLDILEALINRPFEHTRHGSSSGEGTLAEVTFGLVNNESEISDIIAAVDGLVKVFAIKLHRLPGIHALKIFNILMDHLELHYERPKIFEHISVVRYKIFAWLLKARANGSYHIGYPEGSTEVVKFSPYLGIDSPLLPQAHPTAISIRRVCKLIVRCLEHDTDYQVFQLVIRELPKVLQNKALVQGNDIEELANTLLKINLVSNNKFKRPTDEFHALVLPAIASLVIYHESLQPQQHYGIITALNSRVLTGIASVCINTMTILILEMPEALMRKLPDVLLQMSKMSDTNALATPVLEFLSLLIHLSKHLFANFTSMHNMYVFAITLPYTKPHRYDHYTVSLAHHVIAGWFLKCKLELRRNCVNYIKSSIQSNAKMLSSDIVNLNSLNEDSSNRKRSTSLTERGSRNNANAWNDLEMRPQMNNGLRNFHAELAETCFDFLARHTYSPCPSMPKRLPAAEFLLKDGVSQTWLVGNNLVTITTSGCPSAPARSGLCERCAQLGKAPSISLNSKSLSDAAPPLSPERERRYTKVSLQHSSGNESAGSTELTSSSSSNSAAAGGHPHRQISNSSTASLDALSRRGSNPEALGSALGEGAHTGSNTSLLGNSLSQSSVSMSPSSGSVVQQPVCVRACTGWAEVLVRRPTGNVSWITRIQNPITNDCFGQELPFNNVVSLFLPTAHGGVFGPDNTIQAPPHTLADPEPEPEAEVNAATAPQASALRSRMVAKARALQRQEEIHSVGGNGNGNGNGNGSGNAPSTGGAAAIPIPRVPASGKRGKEAALCGSVSDGEADDDSLAFEDAQSRARNPVRRVNSSPEMSSSWRQSFLTNKPTPLSQEPVKTTADEPQSLLTLKKKTVQYSTKDMRVSCEAIPEEIAGSTPPSQAAALALQPESGTLPPKQHSADDVSSHVAGGSNLQAGGSTLKLGKPPLSPGQPPLLGTGRVTSFGGTSVPQPGALAKSSSSGSNGTNVGVITSDYDNGNNGNGDMMRGRSKTISVVREVNNGNTRPPPASSFRNFGAAKPPINTKLCMNPSFIFMQLYTTGQLGVTDEPLKVGPENSSAVSLIDLVPPFETHKIGVLYVGQGQCNNEVEILRNSHGSARYVEFLRNIGTLVSLKEAEQNNLFIMLDRNGADGKFAYIWKDDILQVTFHVATLMPTNLQDDPNCNEKKSHIGNDFVKIIYNESGEEYNLNTISGQFNYACVIVEPLDLNSNRVYVKARSEISKFVCHAEYRIVSDRSAPLLARQMALHANLASLVYQSVQKKHPYASNWLERLRKLKRLRSKLIEGLNSQQKSGSASSGIGINASAIGSDMDDQRGDFIKYT
NP_564238.2 213 449 0.634832067510548 SUPPRESSOR OF GAMMA RESPONSE 1; NAC domain-containing protein 8; ANAC008; Protein SOG1; SUPPRESSOR OF GAMMA RADIATION 1 449 0 16 237 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NQK2 1 SwissProt PQQLVVKRGDKAEQEVSEDIFAAVTPTADPVTPKLATPEPRNAVRICSDSHIASDYVTPSDYVSAHEVSLAETSEVMCMEDEVQSIQPNHERPSSGPELEHGLENGAKEMLDDKEEQEKDRDNENQGEEDPTWFDSGSQFILNSQQLVEALSLCDDLLGSQDREENTNSGSLKDKQPCIADYAHLGPEDFKRDLEECQKIVLDPSNIELDTPPEFRLSQLEFGSQDSFLAWGTGKTD
NP_564310.1 105 245 0.3362 PF05739.19:SNARE:86:137 Syntaxin-61; AtSYP61; Osmotic stress-sensitive mutant 1 245 52 16 119 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q946Y7 1 SwissProt GKVSSGAGHASEVRRELMRMPNSGEASRYDQYGGRDDDGFVQSESDRQMLLIKQQDEELDELSKSVQRIGGVGLTIHDELVAQERIIDELDTEMDSTKNRLEFVQKKVGMVMKKAGAKGQMMMICFLLVLFIILFVLVFLT
NP_564623.2 1 585 0.110905299145299 PF01699.24:Na_Ca_ex:84:260,PF01699.24:Na_Ca_ex:433:575 Sodium/calcium exchanger NCL; Na(+)/Ca(2+)-exchange protein NCL; Protein NCX-like; AtNCL 585 320 16 338 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L636 1 SwissProt MRFRSLISLLFLLFFTSSAYARFVSLNPSSTSLISDGIDGGSNLAGSGSVIKSVVSAPAEEKEEACEQTYGFMPCTKTALGNVFLILVYGFLMFTAATYLSAGSELLLEILGPGIVGGLFLPMLGALPDAMLIMVSGLSGDAATAQSQVSVGMGLLAGSTVMLLTVIWGTCTVVGKCDLRDSIAVNNQDTKGFHLKDSGVTVDIWTSYAARIMAISVIPFVIVQLPQMLGSTSGRQLSVLIALILSVLMLISYCVYQVFQPWIQRRRLAFAKHKHVISGILRHLKQHALGRLLDDEGQPDEHVIRKLFLTIDANNDGHLSAAELKALIIGISFEDIDFDKDDAVGKVLQDFDKTLDEQVDQEEFVRGIKQWLIQAMGGAPSGPEAGPRTMKFLDNFHVQTKREHALLGDNENGENDEEGGEVADPKWITIKAALLLLLGAAIAAAFADPLVDTVNNFSAATGIPSFFISFIALPLATNSSEAVSAIIFASRKKIRTASLTFSELCGGVTMNNILCLSVFLAIVYVRGLTWNFSSEVLVILIVCLVMGGFASFRTTYPLWTCFIAYLLYPFSLGLVYILDYWFGWS
NP_564964.1 1 229 0.303543668122271 PF03776.14:MinE:124:191 Cell division topological specificity factor homolog, chloroplastic; AtMinE1; Protein ACCUMULATION AND REPLICATION OF CHLOROPLASTS 12 229 68 16 229 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C4Z7 1 SwissProt MAMSSGTLRISATLVSPYHHHHRNRLSLPSSSSKVDFTGFISNGVNSLETQKCTPGLAISRENTRGQVKVLARNTGDYELSPSPAEQEIESFLYNAINMGFFDRLNLAWKIIFPSHASRRSSNARIAKQRLKMILFSDRCDVSDEAKRKIVNNIIHALSDFVEIESEEKVQLNVSTDGDLGTIYSVTVPVRRVKPEYQDVDEAGTITNVEYKDTRDGSVDVRFDFYVPE
NP_565856.1 1 287 0.524969337979094 RNA polymerase sigma factor sigF, chloroplastic; Sigma factor F; Sigma-F; Protein SINGLET OXYGEN-LINKED DEATH ACTIVATOR 8; RNA polymerase sigma factor sig6; Atsig6; Sigma factor 6 547 0 16 287 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LD95 1 SwissProt MEATRNLVSSSPSFQTKTHLKSSYSSPSSVVMLHDQTTTPVVNSRHLNSLSRHFPASVLSQEPREESRPLSHALRDDRTSQLTLERRQFDELVSSREDEKFEQQLLHSTGLWNLLISPLTSETKLPAVVSPLADAELCDVVALAQKALSASKQAALLVDDTEANPSDNIKDSLSTSSSMSLPEKGNIVRSKRQLERRAKNRRAPKSNDVDDEGYVPQKTSAKKKYKQGADNDDALQLFLWGPETKQLLTAKEEAELISHIQHLLKLEKVKTKLESQNGCEPTIGEWA
NP_568599.1 269 563 0.463165423728814 Serine/threonine-protein kinase WNK8; AtWNK8; Protein kinase with no lysine 8; EC 2.7.11.1 563 0 16 295 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q944Q0 1 SwissProt LPASSRPTALELSKDPFLARDGGKDSALLASSSTSSKYVRPPQLEHLPMDVDHNENKSVSSNEDYPWSQTIELQRIAENKEFRLRGERSDDVTASMVLRIADPSGKCRIVHFAFYLESDTATAIAEEMVEELHLTSQEVVVIADMIDDFIMQLLSDRTSSHHNQNSPRLTHEDHEAANQQTVNSKDEEAAGQSMKSDISADYYFPYSANDGNAAMEAGRDAESMSSYLDSCSMMSTIYNLSISDNDYPEDLKTELNLIESQFNQSFQDLLKLKEDAIENAKRKWITKKQKAVNIS
NP_571984.1 154 372 0.120534703196347 PF00001.21:7tm_1:6:207 histamine H4 receptor 391 202 16 150 3 Rattus norvegicus NP_571984.1 1 RefSeq SDSWKNSTNTEECEPGFVTEWYILAITAFLEFLLPVSLVVYFSVQIYWSLWKRGSLSRCPSHAGFIATSSRGTGHSRRTGLACRTSLPGLKEPAASLHSESPRGKSSLLVSLRTHMSGSIIAFKVGSFCRSESPVLHQREHVELLRGRKLARSLAVLLSAFAICWAPYCLFTIVLSTYRRGERPKSIWYSIAFWLQWFNSLINPFLYPLCHRRFQKAFW
NP_579832.1 1 572 0.243609615384615 PF00801.20:PKD:278:319 transmembrane glycoprotein NMB precursor 572 42 16 549 1 Rattus norvegicus NP_579832.1 1 RefSeq MESLCGVLVFLLLAAGLPLQAAKRFRDVLGHEQYPDHMRENNQLRGWSSDENEWDEQLYPVWRRGEGRWKDSWEGGRVQAALTSDSPALVGSNITFVVNLVFPRCQKEDANGNIVYERNCRSDLELASDPYVYNWTTGADDEDWEDNTSQGQHLRFPDGKPFPRPHGRKKWNFVYVFHTLGQYFQKLGQCSARVSINTVNLTVGPQVMEVIVFRRHGRAYIPISKVKDVYVITDQIPIFVTMYQKNDRNSSDETFLRDLPIFFDVLIHDPSHFLNYSAISYKWNFGDNTGLFVSNNHTLNHTYVLNGTFNFNLTVQTAVPGPCPSPTPSPSSSTSPSPASSPSPTLSTPSPSLMPTGYKSMELSDISNENCRINRYGYFRATITIVDGILEVNIIQVADVPIPTLQPDNSLMDFIVTCKGATPTEACTIISDPTCQIAQNRVCSPVAVDELCLLSVRRAFNGSGTYCVNFTLGDDASLALTSALISIPGKDLGSPLRTVNGVLISIGCLAMFVTMVTILLYKKHKTYKPIGNCTRNVVKGKGLSVFLSHAKAPFSRGDREKDPLLQDKPWML
NP_588159.2 61 294 0.617904700854701 DNA endonuclease ctp1; Double-strand break repair protein ctp1; Meiotically up-regulated gene 38 protein; Nbs1-interacting protein 1; Sporulation in the absence of SPO11 protein 2 homolog; SAE2; EC 3.1.-.- 294 0 16 234 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O74986 1 SwissProt SSASSKVSSNTIQELDSTTDEDEIPGSDTVDEEDPSLNAPFSEKNQSVKIPPHSPTLPVQNASAFVKPISVPLGNVKEEKFLDTNPIGAESFESSDGEMHLRARSPEDMILLRETQPLAPLDINTLGVSDNRQKKGTEKKRPFEPEFLNDDVIRGNKRKALPAYECPDCQKFYELHGPVKESSVAPTWNDENRLGGGSLPNCKHQPLVQKVGRHRKLNIPKPIPNGFWESDFVD
NP_593479.1 1 345 0.154940289855072 PF04406.14:TP6A_N:70:130 Meiotic recombination protein rec12; SPO11 protein homolog 345 61 16 345 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P40384 1 SwissProt MNSNDKKKVVRSWIEQFVHDFVEQLSKPTKDSVNVALKRRKHNSWNGSLDSKANERQKVKVFSFPRNETTIAQLFRVLDCVHEAVISDTVITKRDIYYRDVDLFKRQTVVDELLGDISNTIGCSRSDLNVEASAKGLVFGSIHIALENGTVITATKPLLISHHRISSITSTAKWVLVIEKEAVFQTLTEEALADTIIVTAKGFPDLMTRKFLVKLAKALPDAKFFGIFDWDPHGLCIYSCFKYGSNAYSHEPHSQLRNLQLLGPLYEDIFNKNQEFSLKLNKRDIKMITTLLQFEGFQKEPVVREQLQRMLFIQKKAEIQAILEFPSWIKGKLADADKSGKHSVR
NP_596285.1 1 466 0.311466094420601 DNA-binding protein rap1 693 0 16 466 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q96TL7 1 SwissProt MSFTFTKSDGSSILFAVSKNFEHIRGFKNAIDCFKGKIEFLSFDKVDPTKHDYYLVAEDERVSDLDIPKGFFERNPEFRHKMLKIAWITQCIEQGKLLPTESFEVELNQDDVNRTHDGFRKRELFTLEDEKILIDHVHKNDINRFGTKVYEELARKYPQHSLESWRQHYKYMKKRLPPVSDSDESNYCQRIIVKPYSSQKDYTQSTHEQTLSSPISKSASVSKSENKALVNNKRYSDSYFYFSKMRRISIDVDYVDEDLNLINAYLSQFGKKRSLNELCALLSRRFSNRHTFSEWRALFMHFFPFINSEGVDPAILSDRETSAMLDETSDNEVADIDDQMIERKYLFSASEPNTVKSTNRLIFSERKAYAADDSIDNTPSKVPIVNSLSDPRTNRPFFYSNPDSMYRSISNPLHLVDSQHLSPLNRKTHFNNPIGQPQFTCLDDHEKTLRETSFRSLDDMSLRKSN
NP_600039.1 143 338 0.370530102040816 PF01497.18:Peripla_BP_2:4:170 ABC-type cobalamin/Fe3+-siderophore transport system, periplasmic component 338 167 16 196 0 Corynebacterium glutamicum ATCC 13032 NP_600039.1 1 RefSeq DATVVELDPRDGEPLDQELIRQAETLGEIFGEEEDAAKIVADFESALERAKTAYAAISDQTVMAVNVSGGNIGYIAPSVGRTYGPIFDLVGLTPALEVGNASSDHEGDDINVEAIAAANPDLILVMDRDGGTSTRNEADYVPAEQIVSDNEALANVKAVTDGYVYYAPADTYTNENIITYTEILNGMADMFEKAAQ
NP_611991.1 1 507 0.128585009861933 PF01130.21:CD36:11:462 uncharacterized protein 507 452 16 484 1 Drosophila melanogaster NP_611991.1 1 RefSeq MKSVQWVKILLCLILACVNVFLFVVSWGVDYRILVAREHIRFRQEMPTMDSWINSPFGKLKNYVFNVTNAEEFRSGRDSRLKVKEIGPIVYRIVGFNDILDRNETNVRYRKHRYRVVEFLPEESVAPDVLNWTITSTNNVILGAATKVKHTAPLAAFGFDAALMMEDIFVTDSVYYFLWEFTRPLLQTLSRISNIRPNVAVLYNALKEKEEVYTVNIGPKRGIENFFRIETLNGEVIIREQLPHTRQYDSNSCPFNVSGALDNSLFPPFVQPDTPLSIVAIESCRVLPLTYQRQERYNGLDTFRYTLLQSHQKPPGCLDTSYGVKLPDGMFDVSQCVINDAPSAFSMPHFYGSSYNWSQHYEGYTPNAEDHEPYILLEPVTGIPVTEKYRFQSNIPIPDLRRFSSRLSRFSNMMIPSFWYEFEMGQLPGFVTSLMWINVNIVQHIQPYCMVLFLVLALWSVLKAIRVACGDLGYVVLFRKLCGDLGTVTALETKFQATSASPDVAVK
NP_619631.1 50 110 0.26884262295082 PF03263.13:Cucumo_2B:1:61 Suppressor of silencing 2b; Protein 2b 110 61 16 61 0 Cucumber mosaic virus (strain FNY) (CMV) SwissProt::P0C783 1 SwissProt RLFRFLPFYQVDGSELTGSCRHVNVAELPESEASRLELSAEDHDFDDTDWFAGNEWAEGAF
NP_663450.3 1 531 0.204087382297552 PF00781.24:DAGK_cat:132:273 Ceramide kinase; mCERK; Acylsphingosine kinase; EC 2.7.1.138 531 142 16 531 0 Mus musculus (Mouse) SwissProt::Q8K4Q7 1 SwissProt MGAMGAAEPLHSVLWVKRRRCAVSLEPARALLRWWRSPEPGPSAPGADARSVLVSEIIAVEEKDDCEKHASSGRWHKMENPFAFTVHRVKRVRHHRWKWARVTFWSADEQLCHLWLQTLRGLLESLTSRPKHLLVFINPFGGKGQGKRIYEKTVAPLFTLASITTEIIITEHANQAKETLYEINTDSYDGIVCVGGDGMFSEVLHGVIGRTQQSAGIDPNHPRAVLVPSTLRIGIIPAGSTDCVCYSTVGTNDAETSALHIIIGDSLAIDVSSVHYHNTLLRYSVSLLGYGFYGDLIKDSEKKRWMGLVRYDFSGLKTFLSHQYYEGTLSFLPAQHTVGSPRDNKPCRAGCFVCRQSKQQLEEEEKKALYGLENAEEMEEWQVTCGKFLAINATNMSCACPRSPGGLSPFAHLGDGSSDLILIRKCSRFNFLRFLIRHTNQEDQFDFTFVEVYRVKKFHFTSKHVEDEDNDSKEQEKQKFGKICKDRPSCTCSASRSSWNCDGEVMHSPAIEVRVHCQLVRLFARGIEEES
NP_665719.1 1 504 0.0844470238095239 PF01490.18:Aa_trans:64:491 Sodium-coupled neutral amino acid transporter 3; N-system amino acid transporter 1; Na(+)-coupled neutral amino acid transporter 3; Solute carrier family 38 member 3; System N amino acid transporter 1 504 428 16 252 11 Rattus norvegicus (Rat) SwissProt::Q9JHZ9 1 SwissProt MEIPRQTEMVELVPNGKHLEGLLPVGMPTADTQRAEDAQHCGEGKGFLQQSSSKEPHFTDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSSGIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKPTPVWYMDGNYLVILVSVIIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCPLAHNLVNATGNFSHMVVVEEKSQLQSEPDTAEAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKVDPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCINLLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTEKEPVRSTPKILALCFAAVGFLLMTMSLSFIITDWVSGTSQHGGNH
NP_724882.1 1 208 0.616811057692308 PF03957.13:Jun:72:183 Transcription factor AP-1; Jun-related antigen; dJRA; dJun 289 112 16 208 0 Drosophila melanogaster (Fruit fly) SwissProt::P18289 1 SwissProt MKTPVSAAANLSIQNAGSSGATAIQIIPKTEPVGEEGPMSLDFQSPNLNTSTPNPNKRPGSLDLNSKSAKNKRIFAPLVINSPDLSSKTVNTPDLEKILLSNNLMQTPQPGKVFPTKAGPVTVEQLDFGRGFEEALHNLHTNSQAFPSANSAANSAANNTTAAAMTAVNNGISGGTFTYTNMTEGFSVIKDEPVNQASSPTVNPIDME
NP_776253.3 1 513 0.177321247563353 PF01769.16:MgtE:139:270,PF01769.16:MgtE:353:495 Solute carrier family 41 member 1 513 275 16 291 10 Homo sapiens (Human) SwissProt::Q8IVJ1 1 SwissProt MSSKPEPKDVHQLNGTGPSASPCSSDGPGREPLAGTSEFLGPDGAGVEVVIESRANAKGVREEDALLENGSQSNESDDVSTDRGPAPPSPLKETSFSIGLQVLFPFLLAGFGTVAAGMVLDIVQHWEVFQKVTEVFILVPALLGLKGNLEMTLASRLSTAANIGHMDTPKELWRMITGNMALIQVQATVVGFLASIAAVVFGWIPDGHFSIPHAFLLCASSVATAFIASLVLGMIMIGVIIGSRKIGINPDNVATPIAASLGDLITLALLSGISWGLYLELNHWRYIYPLVCAFFVALLPVWVVLARRSPATREVLYSGWEPVIIAMAISSVGGLILDKTVSDPNFAGMAVFTPVINGVGGNLVAVQASRISTFLHMNGMPGENSEQAPRRCPSPCTTFFSPDVNSRSARVLFLLVVPGHLVFLYTISCMQGGHTTLTLIFIIFYMTAALLQVLILLYIADWMVHWMWGRGLDPDNFSIPYLTALGDLLGTGLLALSFHVLWLIGDRDTDVGD
NP_780549.1 1 401 0.137181296758105 PF14634.6:zf-RING_5:27:75 E3 ubiquitin-protein ligase NHLRC1; Malin; NHL repeat-containing protein 1; RING-type E3 ubiquitin transferase NHLRC1; EC 2.3.2.27 401 49 16 401 0 Mus musculus (Mouse) SwissProt::Q8BR37 1 SwissProt MGEEATAVAAAGVRPELVREAEVSLLECKVCFERFGHWQQRRPRNLPCGHVVCLACVAALAHPRTLGLECPFCRRACRACDTSDCLPVLHLLELLGSTLHASPAALSAAPFAPGTLTCYHAFGGWGTLVNPTGLALCPKTGRVVVVHDGKRRVKIFDSGGGGAHQFGEKGDAAHDVKYPLDVAVTNDCHVVVTDAGDCSLKVFDFFGQIKLVVGKQFSLPWGVEITPHNGVLVTDAEAGTLHLLEADFPEGVLRRIERLQAHLCSPRGLAVSWLTGAIAVLEHPCAFGRTGCNNTRVKVFNSTMQLIGQVDSFGLNLLFPSKVTASAVTFDHQGNVIVADTSGPAIVCLGKPEEFPALKPIITHGLSRPVALAFTKENSLLVLDTASHSIKVFKVMEGNGG
NP_789794.1 1 715 0.212696363636364 Bardet-Biedl syndrome 7 protein; BBS2-like protein 1 715 0 16 715 0 Homo sapiens (Human) SwissProt::Q8IWZ6 1 SwissProt MDLILNRMDYLQVGVTSQKTMKLIPASRHRATQKVVIGDHDGVVMCFGMKKGEAAAVFKTLPGPKIARLELGGVINTPQEKIFIAAASEIRGFTKRGKQFLSFETNLTESIKAMHISGSDLFLSASYIYNHYCDCKDQHYYLSGDKINDVICLPVERLSRITPVLACQDRVLRVLQGSDVMYAVEVPGPPTVLALHNGNGGDSGEDLLFGTSDGKLALIQITTSKPVRKWEIQNEKKRGGILCIDSFDIVGDGVKDLLVGRDDGMVEVYSFDNANEPVLRFDQMLSESVTSIQGGCVGKDSYDEIVVSTYSGWVTGLTTEPIHKESGPGEELKINQEMQNKISSLRNELEHLQYKVLQERENYQQSSQSSKAKSAVPSFGINDKFTLNKDDASYSLILEVQTAIDNVLIQSDVPIDLLDVDKNSAVVSFSSCDSESNDNFLLATYRCQADTTRLELKIRSIEGQYGTLQAYVTPRIQPKTCQVRQYHIKPLSLHQRTHFIDHDRPMNTLTLTGQFSFAEVHSWVVFCLPEVPEKPPAGECVTFYFQNTFLDTQLESTYRKGEGVFKSDNISTISILKDVLSKEATKRKINLNISYEINEVSVKHTLKLIHPKLEYQLLLAKKVQLIDALKELQIHEGNTNFLIPEYHCILEEADHLQEEYKKQPAHLERLYGMITDLFIDKFKFKGTNVKTKVPLLLEILDSYDQNALISFFDAA
NP_848695.1 1 394 0.135495939086294 PF04258.13:Peptidase_A22B:64:349 minor histocompatibility antigen H13 isoform 2 394 286 16 239 7 Homo sapiens NP_848695.1 1 RefSeq MDSALSDPHNGSAEAGGPTNSTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARGKNASDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHTISPFMNKFFPASFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSIVGVWYLLRKHWIANNLFGLAFSLNGVELLHLNNVSTGCILLGGLFIYDVFWVFGTNVMVTVAKSFEAPIKLVFPQDLLEKGLEANNFAMLGLGDVVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIFGLGLTIFIMHIFKHAQPALLYLVPACIGFPVLVALAKGEVTEMFSYESSAEILPHTPRLTHFPTVSGSPASLADSMQQKLAGPRRRRPQNPSAM
NP_849498.1 1 206 0.650012621359223 PF17830.1:STI1:150:194 Ankyrin repeat domain-containing protein 2A; AtAKR2 342 45 16 206 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SAR5 1 SwissProt MASNSEKNPLLSDEKPKSTEENKSSKPESASGSSTSSAMPGLNFNAFDFSNMASILNDPSIREMAEQIAKDPAFNQLAEQLQRSIPNAGQEGGFPNFDPQQYVNTMQQVMHNPEFKTMAEKLGTALVQDPQMSPFLDAFSNPETAEHFTERMARMKEDPELKPILDEIDAGGPSAMMKYWNDPEVLKKLGEAMGMPVAGLPDQTVS
NP_891558.1 1 131 0.56279465648855 Protein ADM2; Intermedin 150 0 16 108 1 Mus musculus (Mouse) SwissProt::Q7TNK8 1 SwissProt MAQLLMVTVTLGCISLLYLLPGTLSGSLGKGLRHSRPREPPAKIPSSNLQPGHPSLQPVVWKSRRHAPQPQGRGNRALAMVHLPQGGGSRHPGPQRPTGSRRPHAQLLRVGCVLGTCQVQNLSHRLWQLVR
NP_898984.3 1 447 0.0644429530201343 PF07690.16:MFS_1:41:397 Solute carrier family 17 member 9 447 357 16 200 11 Mus musculus (Mouse) SwissProt::Q8VCL5 0 SwissProt MPSQRSSLMQPIPEETRKTPSAAAEDTRWSRPECQAWTGILLLGTCLLYCARVTMPVCTVAMSQDFGWNKKEAGIVLSSFFWGYCLTQVVGGHLGDRIGGEKVILLSASAWGFITVTTPLLAHLGSGHLAFLTFSRILTGLLQGVYFPALTSLLSQKVQESERAFTYSTVGAGSQVGTLVTGGVGSVLLDQCGWQSVFYFSGGLTLLWAYYVYRYLLNEKDLVLALGFLAQGLPVTKPSKVPWRQLFRKASVWAAICSQLCSACSFFILLSWLPTFFKETFPNSKGWVFNVVPWMLAIPASLFSGFISDRLISQGYRVITVRKFMQVMGLGLSSIFALCLGHTTSFLKAMIFASASIGFQTFNHSGISVNIQDLAPSCAGFLFGVANTAGALAGVVGVCLSGYLIETTGSWTCVFHLVAIISNLGLGTFLVFGKAQRVDLVPTHEDL
NP_919047.2 1 263 0.651020532319392 Cyclic AMP-responsive element-binding protein 3-like protein 2; cAMP-responsive element-binding protein 3-like protein 2; BBF2 human homolog on chromosome 7 520 0 16 263 0 Homo sapiens (Human) SwissProt::Q70SY1 1 SwissProt MEVLESGEQGVLQWDRKLSELSEPGDGEALMYHTHFSELLDEFSQNVLGQLLNDPFLSEKSVSMEVEPSPTSPAPLIQAEHSYSLCEEPRAQSPFTHITTSDSFNDDEVESEKWYLSTDFPSTSIKTEPVTDEPPPGLVPSVTLTITAISTPLEKEEPPLEMNTGVDSSCQTIIPKIKLEPHEVDQFLNFSPKEAPVDHLHLPPTPPSSHGSDSEGSLSPNPRLHPFSLPQTHSPSRAAPRAPSALSSSPLLTAPHKLQGSGP
NP_973869.1 1 350 0.125279428571429 PF02405.16:MlaE:127:336 Protein TRIGALACTOSYLDIACYLGLYCEROL 1, chloroplastic; ABC transporter I family member 14; ABC transporter ABCI.14; AtABCI14 350 210 16 241 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L4R0 1 SwissProt MMQTCCIHQSFCFPHRVFPRFDASIGIKPPKLCQVGFIGKTQSYGISSPIRQRRLYVNLNANDGHPSMSMLEEETSTENNAPSQEAELPFSKWSPSKYIWRGLSVPIIAGQVVLRILKGKIHWRNTLQQLERTGPKSLGVCLLTSTFVGMAFTIQFVREFTRLGLNRSIGGVLALAFSRELSPVITSIVVAGRMGSAFAAELGTMQVSEQTDTLRVLGADPIDYLITPRVIASCLALPFLTLMCFTVGMASSALLSDAVYGISINIIMDSAHRALRPWDIVSAMIKSQVFGAIISVISCSWGVTTTGGAKGVGESTTSAVVMSLVGIFIADFVLSSFFFQGAGDSLKNCV
O00476 1 420 0.0878897619047618 PF07690.16:MFS_1:107:354 Sodium-dependent phosphate transport protein 4; Na(+)/PI cotransporter 4; Sodium/phosphate cotransporter 4; Solute carrier family 17 member 3 420 248 16 239 8 Homo sapiens (Human) SwissProt::O00476 1 SwissProt MATKTELSPTARESKNAQDMQVDETLIPRKVPSLCSARYGIALVLHFCNFTTIAQNVIMNITMVAMVNSTSPQSQLNDSSEVLPVDSFGGLSKAPKSLPAKSSILGGQFAIWEKWGPPQERSRLCSIALSGMLLGCFTAILIGGFISETLGWPFVFYIFGGVGCVCCLLWFVVIYDDPVSYPWISTSEKEYIISSLKQQVGSSKQPLPIKAMLRSLPIWSICLGCFSHQWLVSTMVVYIPTYISSVYHVNIRDNGLLSALPFIVAWVIGMVGGYLADFLLTKKFRLITVRKIATILGSLPSSALIVSLPYLNSGYITATALLTLSCGLSTLCQSGIYINVLDIAPRYSSFLMGASRGFSSIAPVIVPTVSGFLLSQDPEFGWRNVFFLLFAVNLLGLLFYLIFGEADVQEWAKERKLTRL
O06216 1 288 0.207017013888889 PF00296.20:Bac_luciferase:19:248 Conserved protein 288 230 16 288 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O06216 1 SwissProt/TReMBL MLVSLMQFVTDLTPPPQLVAVWAEERGFAGLYVPEKTHVPISRSTPWPGGELPDWYRRCYDPVVALAAAAAVTTRLRVGTGACLVAVHDPILLAKQIASLCAMSGERFVLGVGFGWNVEELADHGVPFADRIAVTVDKLAAMRALWAAEPVHYEGTHASVPPSWAWPKPAVAPPVLFGCRPSARAFEVIARHGDGWQPIEGYGELLGALPMLHAAFERAGRDPATAQVCVYSSAGDPATLHEYRRAGVAEVALALPSAGRDQVLAALDRSAPLVDAFAGDDREVKSHA
O07419 1 213 0.371349765258216 Probable conserved Mce associated membrane protein 213 0 16 190 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O07419 1 SwissProt/TReMBL MKAADSAESDAGADQTGPQVKAADSAESDAGELGEDACPEQALVERRPSRLRRGWLVGIAATLLALAGGLGAAGYFALRSHQESQSIAREDLAAIEAAKDCVAATQAPDAGAMSASMQKIIECGTGDFGAQASLYTSMLVEAYQAASVHVQVTDMRAAVERNNNDGSVDVLVALRVKVSNTDSDAHEVGYRLRVRMALDEGRYKIAKLDQVTK
O15259 219 732 0.207208754863813 Nephrocystin-1; Juvenile nephronophthisis 1 protein 732 0 16 514 0 Homo sapiens (Human) SwissProt::O15259 1 SwissProt SSEEGSEEDVEAVDETADGAEVKQRTDPHWSAVQKAISEAGIFCLVNHVSFCYLIVLMRNRMETVEDTNGSETGFRAWNVQSRGRIFLVSKPVLQINTVDVLTTMGAIPAGFRPSTLSQLLEEGNQFRANYFLQPELMPSQLAFRDLMWDATEGTIRSRPSRISLILTLWSCKMIPLPGMSIQVLSRHVRLCLFDGNKVLSNIHTVRATWQPKKPKTWTFSPQVTRILPCLLDGDCFIRSNSASPDLGILFELGISYIRNSTGERGELSCGWVFLKLFDASGVPIPAKTYELFLNGGTPYEKGIEVDPSISRRAHGSVFYQIMTMRRQPQLLVKLRSLNRRSRNVLSLLPETLIGNMCSIHLLIFYRQILGDVLLKDRMSLQSTDLISHPMLATFPMLLEQPDVMDALRSSWAGKESTLKRSEKRDKEFLKSTFLLVYHDCVLPLLHSTRLPPFRWAEEETETARWKVITDFLKQNQENQGALQALLSPDGVHEPFDLSEQTYDFLGEMRKNAV
O35664 240 513 0.626231386861314 Interferon alpha/beta receptor 2; IFN-R-2; IFN-alpha/beta receptor 2; Type I interferon receptor 2 513 0 16 251 1 Mus musculus (Mouse) SwissProt::O35664 1 SwissProt ESAIVGITTSCLVVMVFVSTIVMLKRIGYICLKDNLPNVLNFRHFLTWIIPERSPSEAIDRLEIIPTNKKKRLWNYDYEDGSDSDEEVPTASVTGYTMHGLTGKPLQQTSDTSASPEDPLHEEDSGAEESDEAGAGAGAEPELPTEAGAGPSEDPTGPYERRKSVLEDSFPREDNSSMDEPGDNIIFNVNLNSVFLRVLHDEDASETLSLEEDTILLDEGPQRTESDLRIAGGDRTQPPLPSLPSQDLWTEDGSSEKSDTSDSDADVGDGYIMR
O42569 126 311 0.549460752688172 PF12336.8:SOXp:1:69 Transcription factor Sox-2; XSox2; XlSox-2; SRY (sex determining region Y)-box 2 311 69 16 186 0 Xenopus laevis (African clawed frog) SwissProt::O42569 1 SwissProt GLLAPGANAMTSGVGGSLGAGVNQRMDTYAHMNGWTNGGYGMMQEQLGYPQHPGLNAHNAPQMQPMHRYDVSALQYNSMSSSQTYMNGSPTYSMSYSQQGAPGMSLGSMGSVVKSESSSSPPVVTSSSHSRAPCQAGDLRDMISMYLPGAEVPESAAQSRLHMSQHYQSASVAGTGINGTLPLSHM
O75030 1 284 0.595773591549296 PF15951.5:MITF_TFEB_C_3_N:56:194 Microphthalmia-associated transcription factor; Class E basic helix-loop-helix protein 32; bHLHe32 526 139 16 284 0 Homo sapiens (Human) SwissProt::O75030 1 SwissProt MQSESGIVPDFEVGEEFHEEPKTYYELKSQPLKSSSSAEHPGASKPPISSSSMTSRILLRQQLMREQMQEQERREQQQKLQAAQFMQQRVPVSQTPAINVSVPTTLPSATQVPMEVLKVQTHLENPTKYHIQQAQRQQVKQYLSTTLANKHANQVLSLPCPNQPGDHVMPPVPGSSAPNSPMAMLTLNSNCEKEGFYKFEEQNRAESECPGMNTHSRASCMQMDDVIDDIISLESSYNEEILGLMDPALQMANTLPVSGNLIDLYGNQGLPPPGLTISNSCPAN
O82256 1 332 0.285692168674699 PF06203.14:CCT:287:329,PF00643.24:zf-B_box:54:93 Zinc finger protein CONSTANS-LIKE 13 332 83 16 332 0 Arabidopsis thaliana O82256 1 SwissProt/TReMBL MEAEEGHQRDRLCDYCDSSVALVYCKADSAKLCLACDKQVHVANQLFAKHFRSLLCDSCNESPSSLFCETERSVLCQNCDWQHHTASSSLHSRRPFEGFTGCPSVPELLAIVGLDDLTLDSGLLWESPEIVSLNDLIVSGGSGTHNFRATDVPPLPKNRHATCGKYKDEMIRQLRGLSRSEPGCLKFETPDAEIDAGFQFLAPDLFSTCELESGLKWFDQQDHEDFPYCSLLKNLSESDEKPENVDRESSVMVPVSGCLNRCEEETVMVPVITSTRSMTHEINSLERNSALSRYKEKKKSRRYEKHIRYESRKVRAESRTRIRGRFAKAADP
O86361 1 232 0.32636724137931 PF00108.23:Thiolase_N:15:228 Probable acetyl-CoA acyltransferase FadA2 (3-ketoacyl-CoA thiolase) (Beta-ketothiolase) 440 214 16 232 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O86361 1 SwissProt/TReMBL MAPAAKNTSQTRRRVAVLGGNRIPFARSDGAYADASNQDMFTAALSGLVDRFGLAGERLDMVVGGAVLKHSRDFNLMRECVLGSELSPYTPAFDLQQACGTGLQAAIAAADGIAAGRYEVAAAGGVDTTSDPPIGLGDDLRRTLLKLRRSRSNVQRLKLVGTLPASLGVEIPANSEPRTGLSMGEHAAVTAKQMGIKRVDQDELAAASHRNMADAYDRGFFDDLVSPFLGLY
P01591 1 159 0.240176729559748 PF15097.6:Ig_J_chain:24:159 Immunoglobulin J chain 159 136 16 159 0 Homo sapiens P01591 1 SwissProt/TReMBL MKNHLLFWGVLAVFIKAVHVKAQEDERIVLVDNKCKCARITSRIIRSSEDPNEDIVERNIRIIVPLNNRENISDPTSPLRTRFVYHLSDLCKKCDPTEVELDNQIVTATQSNICDEDSATETCYTYDRNKCYTAVVPLVYGGETKMVETALTPDACYPD
P03583 1 268 0.229653358208955 PF01107.18:MP:6:181 Movement protein; 30 kDa protein; Cell-to-cell transport protein 268 176 16 268 0 Tobacco mosaic virus (strain vulgare) (TMV) (Tobacco mosaic virus (strain U1)) SwissProt::P03583 1 SwissProt MALVVKGKVNINEFIDLTKMEKILPSMFTPVKSVMCSKVDKIMVHENESLSEVNLLKGVKLIDSGYVCLAGLVVTGEWNLPDNCRGGVSVCLVDKRMERADEATLGSYYTAAAKKRFQFKVVPNYAITTQDAMKNVWQVLVNIRNVKMSAGFCPLSLEFVSVCIVYRNNIKLGLREKITNVRDGGPMELTEEVVDEFMEDVPMSIRLAKFRSRTGKKSDVRKGKNSSNDRSVPNKNYRNVKDFGGMSFKKNNLIDDDSEATVAESDSF
P13164 1 125 0.0767672 PF04505.12:CD225:33:99 Interferon-induced transmembrane protein 1; Dispanin subfamily A member 2a; DSPA2a; Interferon-induced protein 17; Interferon-inducible protein 9-27; Leu-13 antigen; CD225 antigen 125 67 16 79 2 Homo sapiens (Human) SwissProt::P13164 0 SwissProt MHKEEHEVAVLGPPPSTILPRSTVINIHSETSVPDHVVWSLFNTLFLNWCCLGFIAFAYSVKSRDRKMVGDVTGAQAYASTAKCLNIWALILGILMTIGFILLLVFGSVTVYHIMLQIIQEKRGY
P13202 1 491 0.405437678207739 PF07340.11:Herpes_IE1:1:391 55 kDa immediate-early protein 1; IE1 491 391 16 491 0 Human cytomegalovirus (strain AD169) (HHV-5) (Human herpesvirus 5) SwissProt::P13202 1 SwissProt MESSAKRKMDPDNPDEGPSSKVPRPETPVTKATTFLQTMLRKEVNSQLSLGDPLFPELAEESLKTFEQVTEDCNENPEKDVLAELVKQIKVRVDMVRHRIKEHMLKKYTQTEEKFTGAFNMMGGCLQNALDILDKVHEPFEEMKCIGLTMQSMYENYIVPEDKREMWMACIKELHDVSKGAANKLGGALQAKARAKKDELRRKMMYMCYRNIEFFTKNSAFPKTTNGCSQAMAALQNLPQCSPDEIMAYAQKIFKILDEERDKVLTHIDHIFMDILTTCVETMCNEYKVTSDACMMTMYGGISLLSEFCRVLCCYVLEETSVMLAKRPLITKPEVISVMKRRIEEICMKVFAQYILGADPLRVCSPSVDDLRAIAEESDEEEAIVAYTLATAGVSSSDSLVSPPESPVPATIPLSSVIVAENSDQEESEQSDEEEEEGAQEEREDTVSVKSEPVSEIEEVAPEEEEDGAEEPTASGGKSTHPMVTRSKADQ
P16788 1 707 0.293057708628006 PF06734.12:UL97:461:642 Serine/threonine protein kinase UL97; Ganciclovir kinase; HSRF3 protein; EC 2.7.1.- 707 182 16 707 0 Human cytomegalovirus (strain AD169) (HHV-5) (Human herpesvirus 5) SwissProt::P16788 1 SwissProt MSSALRSRARSASLGTTTQGWDPPPLRRPSRARRRQWMREAAQAAAQAAVQAAQAAAAQVAQAHVDENEVVDLMADEAGGGVTTLTTLSSVSTTTVLGHATFSACVRSDVMRDGEKEDAASDKENLRRPVVPSTSSRGSAASGDGYHGLRCRETSAMWSFEYDRDGDVTSVRRALFTGGSDPSDSVSGVRGGRKRPLRPPLVSLARTPLCRRRVGGVDAVLEENDVELRAESQDSAVASGPGRIPQPLSGSSGEESATAVEADSTSHDDVHCTCSNDQIITTSIRGLTCDPRMFLRLTHPELCELSISYLLVYVPKEDDFCHKICYAVDMSDESYRLGQGSFGEVWPLDRYRVVKVARKHSETVLTVWMSGLIRTRAAGEQQQPPSLVGTGVHRGLLTATGCCLLHNVTVHRRFHTDMFHHDQWKLACIDSYRRAFCTLADAIKFLNHQCRVCHFDITPMNVLIDVNPHNPSEIVRAALCDYSLSEPYPDYNERCVAVFQETGTARRIPNCSHRLRECYHPAFRPMPLQKLLICDPHARFPVAGLRRYCMSELSALGNVLGFCLMRLLDRRGLDEVRMGTEALLFKHAGAACRALENGKLTHCSDACLLILAAQMSYGACLLGEHGAALVSHTLRFVEAKMSSCRVRAFRRFYHECSQTMLHEYVRKNVERLLATSDGLYLYNAFRRTTSIICEEDLDGDCRQLFPE
P18409 1 493 0.230771399594321 PF12519.8:MDM10:1:493 Mitochondrial distribution and morphology protein 10; Mitochondrial inheritance component MDM10 493 493 16 493 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P18409 1 SwissProt MLPYMDQVLRAFYQSTHWSTQNSYEDITATSRTLLDFRIPSAIHLQISNKSTPNTFNSLDFSTRSRINGSLSYLYSDAQQLEKFMRNSTDIPLQDATETYRQLQPNLNFSVSSANTLSSDNTTVDNDKKLLHDSKFVKKSLYYGRMYYPSSDLEAMIIKRLSPQTQFMLKGVSSFKESLNVLTCYFQRDSHRNLQEWIFSTSDLLCGYRVLHNFLTTPSKFNTSLYNNSSLSLGAEFWLGLVSLSPGCSTTLRYYTHSTNTGRPLTLTLSWNPLFGHISSTYSAKTGTNSTFCAKYDFNLYSIESNLSFGCEFWQKKHHLLETNKNNNDKLEPISDELVDINPNSRATKLLHENVPDLNSAVNDIPSTLDIPVHKQKLLNDLTYAFSSSLRKIDEERSTIEKFDNKINSSIFTSVWKLSTSLRDKTLKLLWEGKWRGFLISAGTELVFTRGFQESLSDDEKNDNAISISATDTENGNIPVFPAKFGIQFQYST 1
P21334 134 595 0.240479220779221 PF01593.24:Amino_oxidase:52:161 Phytoene desaturase; Albino-1 protein; Phytoene desaturase (3,4-didehydrolycopene-forming); EC 1.3.99.30 595 110 16 444 1 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) SwissProt::P21334 1 SwissProt LAEGHQHYETSLRHVLHRNFKSILELADPRLVVTLLMALHPFESIWHRAGRYFKTDRMQRVFTFATMYMGMSPFDAPATYSLLQYSELAEGIWYPRGGFHKVLDALVKIGERMGVKYRLNTGVSQVLTDGGKNGKKPKATGVQLENGEVLNADLVVVNADLVYTYNNLLPKEIGGIKKYANKLNNRKASCSSISFYWSLSGMAKELETHNIFLAEEYKESFDAIFERQALPDDPSFYIHVPSRVDPSAAPPDRDAVIALVPVGHLLQNGQPELDWPTLVSKARAGVLATIQARTGLSLSPLITEEIVNTPYTWETKFNLSKGAILGLAHDFFNVLAFRPRTKAQGMDNAYFVGASTHPGTGVPIVLAGAKITAEQILEETFPKNTKVPWTTNEERNSERMRKEMDEKITEEGIIMRSNSSKPGRRGSDAFEGAMEVVNLLSQRAFPLLVALMGVLYFLLFVR
P21361 1 59 0.936166101694915 PF10685.9:KGG:10:30,PF10685.9:KGG:32:52 stress-induced bacterial acidophilic repeat motifs-containing protein YciG 59 42 16 59 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11127-MONOMER 1 ecocyc MAEHRGGSGNFAEDREKASDAGRKGGQHSGGNFKNDPQRASEAGKKGGQQSGGNKSGKS
P22140 1 391 0.0258693094629156 PF01066.21:CDP-OH_P_transf:46:121 Choline/ethanolaminephosphotransferase 1; ETHPT; Ethanolaminephosphotransferase 1; Aminoalcohol phosphotransferase EPT1; EC 2.7.8.1; EC 2.7.8.2 391 76 16 244 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P22140 1 SwissProt MGYFVPDSHIENLKSYKYQSEDRSLVSKYFLKPFWQRFCHIFPTWMAPNIITLSGFAFIVINVLTVFYYDPNLNTDTPRWTYFSYALGVFLYQTFDGCDGVHARRINQSGPLGELFDHSIDAINSTLSIFIFASETGMGFSYNLMLSQFAMLTNFYLSTWEEYHTHTLYLSEFSGPVEGILIVCVSLILTGIYGKQVIWHTYLFTITVGDKVIDVDTLDIVFSLAVFGLVMNALSAKRNVDKYYRNSTSSANNITQIEQDSAIKGLLPFFAYYASIALLVWMQPSFITLSFILSVGFTGAFTVGRIIVCHLTKQSFPMFNAPMLIPLCQIVLYKICLSLWGIESNKIVFALSWLGFGLSLGVHIMFMNDIIHEFTEYLDVYALSIKRSKLT
P25159 1 570 0.738674210526316 PF00035.26:dsrm:312:374,PF00035.26:dsrm:507:555 Maternal effect protein staufen 1026 112 16 570 0 Drosophila melanogaster (Fruit fly) SwissProt::P25159 1 SwissProt MQHNVHAARPAPHIRAAHHHSHSHAHMHLHPGMEQHLGPSLQQQQQPPPPPQQPPHRDLHARLNHHHLHAQQQQQQQTSSNQAGAVAAAGAAYHHGNINSNSGSNISSNSNQMQKIRQQHQHLSSSNGLLGNQPPGPPPQAFNPLAGNPAALAYNQLPPHPPHHMAAHLGSYAAPPPHYYMSQAKPAKYNHYGSNANSNSGSNNSNSNYAPKAILQNTYRNQKVVVPPVVQEVTPVPEPPVTTNNATTNSTSNSTVIASEPVTQEDTSQKPETRQEPASADDHVSTGNIDATGALSNEDTSSSGRGGKDKTPMCLVNELARYNKITHQYRLTEERGPAHCKTFTVTLMLGDEEYSADGFKIKKAQHLAASKAIEETMYKHPPPKIRRSEEGGPMRTHITPTVELNALAMKLGQRTFYLLDPTQIPPTDSIVPPEFAGGHLLTAPGPGMPQPPPPPAYALRQRLGNGFVPIPSQPMHPHFFHGPGQRPFPPKFPSRFALPPPLGAHVHHGPNGPFPSVPTPPSKITLFVGKQKFVGIGRTLQQAKHDAAARALQVLKTQAISASEEALEDS
P30304 1 325 0.633408 PF06617.13:M-inducer_phosp:87:320 M-phase inducer phosphatase 1; Dual specificity phosphatase Cdc25A; EC 3.1.3.48 524 234 16 325 0 Homo sapiens (Human) SwissProt::P30304 1 SwissProt MELGPEPPHRRRLLFACSPPPASQPVVKALFGASAAGGLSPVTNLTVTMDQLQGLGSDYEQPLEVKNNSNLQRMGSSESTDSGFCLDSPGPLDSKENLENPMRRIHSLPQKLLGCSPALKRSHSDSLDHDIFQLIDPDENKENEAFEFKKPVRPVSRGCLHSHGLQEGKDLFTQRQNSAPARMLSSNERDSSEPGNFIPLFTPQSPVTATLSDEDDGFVDLLDGENLKNEEETPSCMASLWTAPLVMRTTNLDNRCKLFDSPSLCSSSTRSVLKRPERSQEESPPGSTKRRKSMSGASPKESTNPEKAHETLHQSLSLASSPKGT
P30561 375 848 0.48541835443038 Aryl hydrocarbon receptor; Ah receptor; AhR 848 0 16 474 0 Mus musculus (Mouse) SwissProt::P30561 1 SwissProt ATQRPLTDEEGREHLQKRSTSLPFMFATGEAVLYEISSPFSPIMDPLPIRTKSNTSRKDWAPQSTPSKDSFHPSSLMSALIQQDESIYLCPPSSPAPLDSHFLMGSVSKCGSWQDSFAAAGSEAALKHEQIGHAQDVNLALSGGPSELFPDNKNNDLYNIMRNLGIDFEDIRSMQNEEFFRTDSTAAGEVDFKDIDITDEILTYVQDSLNNSTLMNSACQQQPVTQHLSCMLQERLQLEQQQQLQQPPPQALEPQQQLCQMVCPQQDLGPKHTQINGTFASWNPTPPVSFNCPQQELKHYQLFSSLQGTAQEFPYKPEVDSVPYTQNFAPCNQPLLPEHSKSVQLDFPGRDFEPSLHPTTSNLDFVSCLQVPENQSHGINSQSTMVSPQAYYAGAMSMYQCQPGPQRTPVDQTQYSSEIPGSQAFLSKVQSRGIFNETYSSDLSSIGHAAQTTGHLHHLAEARPLPDITPGGFL
P32584 1 123 0.0625260162601626 Protein-S-isoprenylcysteine O-methyltransferase; Isoprenylcysteine carboxylmethyltransferase; Prenylated protein carboxyl methyltransferase; PPMT; Prenylcysteine carboxyl methyltransferase; pcCMT; EC 2.1.1.100 239 0 16 60 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32584 0 SwissProt MHQDFQEDEHEYPDIRRNPLHEVTMTSYILGILLGIFVGLFPQIRFKNFNLFIIALSLFHFLEYYITAKYNPLKVHSESFLLNNGKSYMAAHSFAILECLVESFLFPDLKIFSYSLATKLCTV
P32793 1 400 0.4538745 PF04366.12:Ysc84:89:212 Protein YSC84; LAS seventeen-binding protein 4; LAS17-binding protein 4 468 124 16 400 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32793 1 SwissProt MGINNPIPRSLKSETKKAAKVLRSFVKPNQVFGADQVIPPYVLKRAKGLAIITVLKAGFLFSGRAGSGVIVARLKDGTWSAPSAIAMAGAGAGGMVGVELTDFVFILNSEEAVRSFSEFGTITLGGNVSVSAGPLGRSAEAAASASTGGVSAVFAYSKSKGLFAGVSVEGSAILERREANRKFYGDNCTSKMILSGRVKVPPAADPLLRILESRAFNFTRHDHDDNASGDDFYDDGQYSDNTSHYYDDIPDSFDSTDESSTRPNTRSSRRRGMSLGSRSRYDDDYDDDGYGRGRGYGDFDSEDEDYDYGRSPNRNSSRNRGPQIDRGTKPRANTRWEDDLYDRDTEYSRPNHSGRDYDNTRGNRRGYGRERGYSLGHGPTHPSNMSNVDDLSHKMSKTGL
P38248 1 429 0.23544055944056 Cell wall protein ECM33; Extracellular mutant protein 33 429 0 16 429 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38248 1 SwissProt MQFKNALTATAILSASALAANSTTSIPSSCSIGTSATATAQADLDKISGCSTIVGNLTITGDLGSAALASIQEIDGSLTIFNSSSLSSFSADSIKKITGDLNMQELIILTSASFGSLQEVDSINMVTLPAISTFSTDLQNANNIIVSDTTLESVEGFSTLKKVNVFNINNNRYLNSFQSSLESVSDSLQFSSNGDNTTLAFDNLVWANNITLRDVNSISFGSLQTVNASLGFINNTLPSLNLTQLSKVGQSLSIVSNDELSKAAFSNLTTVGGGFIIANNTQLKVIDGFNKVQTVGGAIEVTGNFSTLDLSSLKSVRGGANFDSSSSNFSCNALKKLQSNGAIQGDSFVCKNGATSTSVKLSSTSTESSKSSATSSASSSGDASNAQANVSASASSSSSSSKKSKGAAPELVPATSFMGVVAAVGVALL
P40008 1 231 0.217611255411256 PF08732.10:HIM1:85:162,PF01370.21:Epimerase:4:222 Protein FMP52, mitochondrial; Found in mitochondrial proteome protein 52 231 219 16 231 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40008 1 SwissProt MNGLVLGATGLCGGGFLRHAQEAPQFSKVYAILRRELPFPATDKVVAIVERDNSKWSQLITNEMNPQVLFTALATTRAAAGGLDKQYKIDHDLNLQLAQAAKEKGCETIVLVSSAGAHPDSRFGYMKMKGEIERDVIALDFKHIIILRPGPLLGERTNSKQSGFGGNLTAALGTRVYRSRFQRLLGYPVYGDEVGKVGVHLALNTSGKDKVQFVSSKDILDISASLEKIAT
P41440 1 591 0.179477157360406 PF01770.18:Folate_carrier:24:433 Reduced folate transporter; FOLT; Intestinal folate carrier 1; IFC-1; Placental folate transporter; Reduced folate carrier protein; RFC; hRFC; Reduced folate transporter 1; RFT-1; Solute carrier family 19 member 1; hSLC19A1 591 410 16 342 11 Homo sapiens (Human) SwissProt::P41440 1 SwissProt MVPSSPAVEKQVPVEPGPDPELRSWRHLVCYLCFYGFMAQIRPGESFITPYLLGPDKNFTREQVTNEITPVLSYSYLAVLVPVFLLTDYLRYTPVLLLQGLSFVSVWLLLLLGHSVAHMQLMELFYSVTMAARIAYSSYIFSLVRPARYQRVAGYSRAAVLLGVFTSSVLGQLLVTVGRVSFSTLNYISLAFLTFSVVLALFLKRPKRSLFFNRDDRGRCETSASELERMNPGPGGKLGHALRVACGDSVLARMLRELGDSLRRPQLRLWSLWWVFNSAGYYLVVYYVHILWNEVDPTTNSARVYNGAADAASTLLGAITSFAAGFVKIRWARWSKLLIAGVTATQAGLVFLLAHTRHPSSIWLCYAAFVLFRGSYQFLVPIATFQIASSLSKELCALVFGVNTFFATIVKTIITFIVSDVRGLGLPVRKQFQLYSVYFLILSIIYFLGAMLDGLRHCQRGHHPRQPPAQGLRSAAEEKAAQALSVQDKGLGGLQPAQSPPLSPEDSLGAVGPASLEQRQSDPYLAQAPAPQAAEFLSPVTTPSPCTLCSAQASGPEAADETCPQLAVHPPGVSKLGLQCLPSDGVQNVNQ
P42257 1 445 0.255553258426966 PF13675.6:PilJ:39:148 Protein PilJ 682 110 16 399 2 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) P42257 1 SwissProt/TReMBL MKKINAGNLFAGMRSSSVIAGLFIVLIVSIVLLFANFAYLNTQSNHDKQYIGHAGELRVLSQRIAKNATEAAAGKGEAFKLLKDARNDFEKRWNILVNGDESTSLPPSPEAVKPQMDVVQQDWDGLRKNADSILASEQTVLSLHQVASTLAETIPQLQVEYEEVVDILLENGAPADQVAVAQRQSLLAERILGSVNKVLAGDENSVQAADSFGRDASLFGRVLKGMQEGNAAMSISKVTNAEAVDRLNEIAELFEFVSGSVDEILETSPDLFQVREAANNIFSVSQTLLDKASQLADGFENLAGGRSINLFAGYALGALALASIILIGLVMVRETNRRLAETAEKNDRNQAAILRLLDEIADLADGDLTVAATVTEDFTGAIADSINYSIDQLRELVETINQTAVQVAAAAQETQSTAMHLAEASEHQAQEIAGASAAINEMAVS
P46667 132 312 0.486676795580111 PF02183.18:HALZ:1:37 Homeobox-leucine zipper protein ATHB-5; HD-ZIP protein ATHB-5; Homeodomain transcription factor ATHB-5 312 37 16 181 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P46667 1 SwissProt DYGVLKSNFDALKRNRDSLQRDNDSLLGQIKELKAKLNVEGVKGIEENGALKAVEANQSVMANNEVLELSHRSPSPPPHIPTDAPTSELAFEMFSIFPRTENFRDDPADSSDSSAVLNEEYSPNTVEAAGAVAATTVEMSTMGCFSQFVKMEEHEDLFSGEEACKLFADNEQWYCSDQWNS
P46989 1 271 0.275725461254613 PF09451.10:ATG27:12:267 Autophagy-related protein 27; Enhancer of VPS34 missorting protein 1 271 256 16 248 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46989 1 SwissProt MVSKTWICGFISIITVVQALSCEKHDVLKKYQVGKFSSLTSTERDTPPSTTIEKWWINVCEEHNVEPPEECKKNDMLCGLTDVILPGKDAITTQIIDFDKNIGFNVEETESALTLTLKGATWGANSFDAKLEFQCNDNMKQDELTSHTWADKSIQLTLKGPSGCLKSKDDDKKNGDGDNGKDGDSEGKKPAKKAGGTSWFTWLFLYALLFTLIYLMVVSFLNTRGGSFQDFRAEFIQRSTQFLTSLPEFCKEVVSRILGRSTAQRGGYSAV
P53629 1 642 0.170006386292835 PF03062.19:MBOAT:215:641 Sterol O-acyltransferase 2; Sterol-ester synthase 2; EC 2.3.1.26 642 427 16 440 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53629 1 SwissProt MDKKKDLLENEQFLRIQKLNAADAGKRQSITVDDEGELYGLDTSGNSPANEHTATTITQNHSVVASNGDVAFIPGTATEGNTEIVTEEVIETDDNMFKTHVKTLSSKEKARYRQGSSNFISYFDDMSFEHRPSILDGSVNEPFKTKFVGPTLEKEIRRREKELMAMRKNLHHRKSSPDAVDSVGKNDGAAPTTVPTAATSETVVTVETTIISSNFSGLYVAFWMAIAFGAVKALIDYYYQHNGSFKDSEILKFMTTNLFTVASVDLLMYLSTYFVVGIQYLCKWGVLKWGTTGWIFTSIYEFLFVIFYMYLTENILKLHWLSKIFLFLHSLVLLMKMHSFAFYNGYLWGIKEELQFSKSALAKYKDSINDPKVIGALEKSCEFCSFELSSQSLSDQTQKFPNNISAKSFFWFTMFPTLIYQIEYPRTKEIRWSYVLEKICAIFGTIFLMMIDAQILMYPVAMRALAVRNSEWTGILDRLLKWVGLLVDIVPGFIVMYILDFYLIWDAILNCVAELTRFGDRYFYGDWWNCVSWADFSRIWNIPVHKFLLRHVYHSSMSSFKLNKSQATLMTFFLSSVVHELAMYVIFKKLRFYLFFFQMLQMPLVALTNTKFMRNRTIIGNVIFWLGICMGPSVMCTLYLTF
P54360 1 583 0.38417358490566 Extracellular serine/threonine protein kinase four-jointed; EC 2.7.11.1 583 0 16 560 1 Drosophila melanogaster (Fruit fly) SwissProt::P54360 1 SwissProt MYDIKRLEAGQQKLQQAQQPLGLDLSGQQQQLTCSVITAPEHRANPNPSSISQSNPSEATHMTLLTLRRRRSLQRRACLLSILAAFVFGMALGVVVPMFGLPRHQDSPPDLPEEQIQMVAVEPLSSYRVEFIKETDELSAEQVFRNAFHLEQDKDAPDSMVVKKLDTNDGSIKEFHVQRTASGRYRKGPERRLSKKMPERVQPQETSRSPTTSPTNPTSEHQAGFIEEDVYWGPTVEQALPKGFAAKDQVSWERFVGEQGRVVRLEQGCGRMQNRMVVFADGTRACARYRQNTDQIQGEIFSYYLGQLLNISNLAPSAATVVDTSTPNWAAALGDITQAQWKERRPVVLTRWLSDLEPAGIPQPFQPLERHLNKHDVWNLTRHMQSERQAQSQPHGLLKRLGAASSPGSAHQSNAIEETGTGTETANGALVQRLIELAQWSDLIVFDYLIANLDRVVNNLYNFQWNADIMAAPAHNLARQSASQLLVFLDNESGLLHGYRLLKKYEAYHSLLLDNLCVFRRPTIDALRRLRAAGAGRRLRDLFERTTSAGVRDVLPSLPDKSVKILVERIDRVLGQVQKCQGS
P57682 1 250 0.5455292 Krueppel-like factor 3; Basic krueppel-like factor; CACCC-box-binding protein BKLF; TEF-2 345 0 16 250 0 Homo sapiens (Human) SwissProt::P57682 1 SwissProt MLMFDPVPVKQEAMDPVSVSYPSNYMESMKPNKYGVIYSTPLPEKFFQTPEGLSHGIQMEPVDLTVNKRSSPPSAGNSPSSLKFPSSHRRASPGLSMPSSSPPIKKYSPPSPGVQPFGVPLSMPPVMAAALSRHGIRSPGILPVIQPVVVQPVPFMYTSHLQQPLMVSLSEEMENSSSSMQVPVIESYEKPISQKKIKIEPGIEPQRTDYYPEEMSPPLMNSVSPPQALLQENHPSVIVQPGKRPLPVES
P98082 192 670 0.700401670146137 Disabled homolog 2; Adaptor molecule disabled-2; Differentially expressed in ovarian carcinoma 2; DOC-2; Differentially-expressed protein 2 770 0 16 479 0 Homo sapiens (Human) SwissProt::P98082 1 SwissProt GSEALMILDDQTNKLKSGVDQMDLFGDMSTPPDLNSPTESKDILLVDLNSEIDTNQNSLRENPFLTNGITSCSLPRPTPQASFLPENAFSANLNFFPTPNPDPFRDDPFTQPDQSTPSSFDSLKSPDQKKENSSSSSTPLSNGPLNGDVDYFGQQFDQISNRTGKQEAQAGPWPFSSSQTQPAVRTQNGVSEREQNGFSVKSSPNPFVGSPPKGLSIQNGVKQDLESSVQSSPHDSIAIIPPPQSTKPGRGRRTAKSSANDLLASDIFAPPVSEPSGQASPTGQPTALQPNPLDLFKTSAPAPVGPLVGLGGVTVTLPQAGPWNTASLVFNQSPSMAPGAMMGGQPSGFSQPVIFGTSPAVSGWNQPSPFAASTPPPVPVVWGPSASVAPNAWSTTSPLGNPFQSNIFPAPAVSTQPPSMHSSLLVTPPQPPPRAGPPKDISSDAFTALDPLGDKEIKDVKEMFKDFQLRQPPAVPARK
Q01919 323 800 0.73669539748954 Serine/threonine-protein kinase KIN4; EC 2.7.11.1 800 0 16 478 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01919 1 SwissProt QPNYWDEHLQKERPKPPNKGDVGRHSTYSSSASSYSKSRDRNSLIIESTLEQHRMSPQLATSRPASPTFSTGSKVVLNDTKNDMKESNINGERTSASCRYTRDSKGNGQTQIEQVSARHSSRGNKHTSVAGLVTIPGSPTTARTRNAPSSKLTEHVKDSSQTSFTQEEFHRIGNYHVPRSRPRPTSYYPGLSRNTADNSLADIPVNKLGSNGRLTDAKDPVPLNAIHDTNKATISNNSIMLLSEGPAAKTSPVDYHYAIGDLNHGDKPITEVIDKINKDLTHKAAENGFPRESIDPESTSTILVTKEPTNSTDEDHVESQLENVGHSSNKSDASSDKDSKKIYEKKRFSFMSLYSSLNGSRSTVESRTSKGNAPPVSSRNPSGQSNRSNIKITQQQPRNLSDRVPNPDKKINDNRIRDNAPSYAESENPGRSVRASVMVSTLREENRSELSNEGNNVEAQTSTARKVLNFFKRRSMRV
Q06685 509 1146 0.298572413793103 PF00328.22:His_Phos_2:31:539 Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase; InsP6 and PP-IP5 kinase; EC 2.7.4.21; EC 2.7.4.24 1146 509 16 638 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06685 1 SwissProt RSTFIEAKKKMDMEKKNLPIIREEKEQKWVFKGLAIIIRHADRTPKQKFKHSFTSPIFISLLKGHKEEVVIRNVNDLKIVLQALRIALDEKAGNPAKIKVLANALEKKLNFPGTKIQLKPVLNKENEVEKVQFILKWGGEPTHSAKYQATELGEQMRQDFDLLNKSILQNIKIFSSSERRVLHTAQYWTRALFGADELGSDEISIRKDLLDDSNAAKDLMDKVKKKLKPLLREGKEAPPQFAWPSKMPEPYLVIKRVVELMNYHKKIMDNNFAKKDVNSMQTRWCTSEDPSLFKERWDKLFKEFNNAEKVDPSKISELYDTMKYDALHNRQFLENIFDPGLPNEAIADELGSHSLVDRYPINVLAKNNFKIIDSHSMNNSGKNSSNSVGSLGWVLESGKTSTARNPKSSSQFDEPRFMQLRELYKLAKVLFDFICPKEYGISDAEKLDIGLLTSLPLAKQILNDIGDMKNRETPACVAYFTKESHIYTLLNIIYESGIPMRIARNALPELDYLSQITFELYESTDASGQKSHSIRLKMSPGCHTQDPLDVQLDDRHYISCIPKISLTKHLDMDYVQQKLRNKFTRVIMPPKFTPVNITSPNLSFQKRKTRRKSVSVEKLKRPASSGSSSSTSVNKTLD
Q08605 1 318 0.429121383647799 PF00651.31:BTB:26:120 Transcription factor GAGA; Adh transcription factor 2; GAGA factor; GAF; Neural conserved at 70F; Trithorax-like protein 581 95 16 318 0 Drosophila melanogaster (Fruit fly) SwissProt::Q08605 1 SwissProt MSLPMNSLYSLTWGDYGTSLVSAIQLLRCHGDLVDCTLAAGGRSFPAHKIVLCAASPFLLDLLKNTPCKHPVVMLAGVNANDLEALLEFVYRGEVSVDHAQLPSLLQAAQCLNIQGLAPQTVTKDDYTTHSIQLQHMIPQHHDQDQLIATIATAPQQTVHAQVVEDIHHQGQILQATTQTNAAGQQQTIVTTDAAKHDQAVIQAFLPARKRKPRVKKMSPTAPKISKVEGMDTIMGTPTSSHGSGSVQQVLGENGAEGQLLSSTPIIKSEGQKVETIVTMDPNNMIPVTSANAATGEITPAQGATGSSGGNTSGVLST
Q12887 1 443 0.169008577878104 PF01040.18:UbiA:170:415 Protoheme IX farnesyltransferase, mitochondrial; Heme O synthase; EC 2.5.1.141 443 246 16 356 4 Homo sapiens (Human) SwissProt::Q12887 1 SwissProt MAASPHTLSSRLLTGCVGGSVWYLERRTIQDSPHKFLHLLRNVNKQWITFQHFSFLKRMYVTQLNRSHNQQVRPKPEPVASPFLEKTSSGQAKAEIYEMRPLSPPSLSLSRKPNEKELIELEPDSVIEDSIDVGKETKEEKRWKEMKLQVYDLPGILARLSKIKLTALVVSTTAAGFALAPGPFDWPCFLLTSVGTGLASCAANSINQFFEVPFDSNMNRTKNRPLVRGQISPLLAVSFATCCAVPGVAILTLGVNPLTGALGLFNIFLYTCCYTPLKRISIANTWVGAVVGAIPPVMGWTAATGSLDAGAFLLGGILYSWQFPHFNALSWGLREDYSRGGYCMMSVTHPGLCRRVALRHCLALLVLSAAAPVLDITTWTFPIMALPINAYISYLGFRFYVDADRRSSRRLFFCSLWHLPLLLLLMLTCKRPSGGGDAGPPPS
Q13492 290 652 0.522160330578512 Phosphatidylinositol-binding clathrin assembly protein; Clathrin assembly lymphoid myeloid leukemia protein 652 0 16 363 0 Homo sapiens (Human) SwissProt::Q13492 1 SwissProt IKDSTAASRATTLSNAVSSLASTGLSLTKVDEREKQAALEEEQARLKALKEQRLKELAKKPHTSLTTAASPVSTSAGGIMTAPAIDIFSTPSSSNSTSKLPNDLLDLQQPTFHPSVHPMSTASQVASTWGDPFSATVDAVDDAIPSLNPFLTKSSGDVHLSISSDVSTFTTRTPTHEMFVGFTPSPVAQPHPSAGLNVDFESVFGNKSTNVIVDSGGFDELGGLLKPTVASQNQNLPVAKLPPSKLVSDDLDSSLANLVGNLGIGNGTTKNDVNWSQPGEKKLTGGSNWQPKVAPTTAWNAATMAPPVMAYPATTPTGMIGYGIPPQMGSVPVMTQPTLIYSQPVMRPPNPFGPVSGAQIQFM
Q16643 137 529 0.808917048346056 Drebrin; Developmentally-regulated brain protein 649 0 16 393 0 Homo sapiens (Human) SwissProt::Q16643 1 SwissProt LARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQFWEQAKKEEELRKEEERKKALDERLRFEQERMEQERQEQEERERRYREREQQIEEHRRKQQTLEAEEAKRRLKEQSIFGDHRDEEEETHMKKSESEVEEAAAIIAQRPDNPREFFKQQERVASASAGSCDVPSPFNHRPGSHLDSHRRMAPTPIPTRSPSDSSTASTPVAEQIERALDEVTSSQPPPLPPPPPPAQETQEPSPILDSEETRAAAPQAWAGPMEEPPQAQAPPRGPGSPAEDLMFMESAEQAVLAAPVEPATADATEIHDAADTIETDTATADTTVANNVPPAATSLIDLWPGNGEGASTLQGEPRAPTPPSGTEVTLAEVPLLDEVAPEPLLPAGEGCAT
Q16891 1 758 0.40347493403694 PF09731.9:Mitofilin:44:746 MICOS complex subunit MIC60; Cell proliferation-inducing gene 4/52 protein; Mitochondrial inner membrane protein; Mitofilin; p87/89 758 703 16 758 0 Homo sapiens (Human) SwissProt::Q16891 1 SwissProt MLRACQLSGVTAAAQSCLCGKFVLRPLRPCRRYSTSGSSGLTTGKIAGAGLLFVGGGIGGTILYAKWDSHFRESVEKTIPYSDKLFEMVLGPAAYNVPLPKKSIQSGPLKISSVSEVMKESKQPASQLQKQKGDTPASATAPTEAAQIISAAGDTLSVPAPAVQPEESLKTDHPEIGEGKPTPALSEEASSSSIRERPPEEVAARLAQQEKQEQVKIESLAKSLEDALRQTASVTLQAIAAQNAAVQAVNAHSNILKAAMDNSEIAGEKKSAQWRTVEGALKERRKAVDEAADALLKAKEELEKMKSVIENAKKKEVAGAKPHITAAEGKLHNMIVDLDNVVKKVQAAQSEAKVVSQYHELVVQARDDFKRELDSITPEVLPGWKGMSVSDLADKLSTDDLNSLIAHAHRRIDQLNRELAEQKATEKQHITLALEKQKLEEKRAFDSAVAKALEHHRSEIQAEQDRKIEEVRDAMENEMRTQLRRQAAAHTDHLRDVLRVQEQELKSEFEQNLSEKLSEQELQFRRLSQEQVDNFTLDINTAYARLRGIEQAVQSHAVAEEEARKAHQLWLSVEALKYSMKTSSAETPTIPLGSAVEAIKANCSDNEFTQALTAAIPPESLTRGVYSEETLRARFYAVQKLARRVAMIDETRNSLYQYFLSYLQSLLLFPPQQLKPPPELCPEDINTFKLLSYASYCIEHGDLELAAKFVNQLKGESRRVAQDWLKEARMTLETKQIVEILTAYASAVGIGTTQVQPE
Q92539 1 896 0.436004799107143 PF08235.13:LNS2:637:862,PF04571.14:Lipin_N:1:107,PF16876.5:Lipin_mid:469:561 Phosphatidate phosphatase LPIN2; Lipin-2; EC 3.1.3.4 896 426 16 896 0 Homo sapiens (Human) SwissProt::Q92539 1 SwissProt MNYVGQLAGQVIVTVKELYKGINQATLSGCIDVIVVQQQDGSYQCSPFHVRFGKLGVLRSKEKVIDIEINGSAVDLHMKLGDNGEAFFVEETEEEYEKLPAYLATSPIPTEDQFFKDIDTPLVKSGGDETPSQSSDISHVLETETIFTPSSVKKKKRRRKKYKQDSKKEEQAASAAAEDTCDVGVSSDDDKGAQAARGSSNASLKEEECKEPLLFHSGDHYPLSDGDWSPLETTYPQTACPKSDSELEVKPAESLLRSESHMEWTWGGFPESTKVSKRERSDHHPRTATITPSENTHFRVIPSEDNLISEVEKDASMEDTVCTIVKPKPRALGTQMSDPTSVAELLEPPLESTQISSMLDADHLPNAALAEAPSESKPAAKVDSPSKKKGVHKRSQHQGPDDIYLDDLKGLEPEVAALYFPKSESEPGSRQWPESDTLSGSQSPQSVGSAAADSGTECLSDSAMDLPDVTLSLCGGLSENGEISKEKFMEHIITYHEFAENPGLIDNPNLVIRIYNRYYNWALAAPMILSLQVFQKSLPKATVESWVKDKMPKKSGRWWFWRKRESMTKQLPESKEGKSEAPPASDLPSSSKEPAGARPAENDSSSDEGSQELEESITVDPIPTEPLSHGSTTSYKKSLRLSSDQIAKLKLHDGPNDVVFSITTQYQGTCRCAGTIYLWNWNDKIIISDIDGTITKSDALGQILPQLGKDWTHQGIAKLYHSINENGYKFLYCSARAIGMADMTRGYLHWVNDKGTILPRGPLMLSPSSLFSAFHREVIEKKPEKFKIECLNDIKNLFAPSKQPFYAAFGNRPNDVYAYTQVGVPDCRIFTVNPKGELIQERTKGNKSSYHRLSELVEHVFPLLSKEQNSAFPCPEFSSFCYWRDPIPEVDLDDLS
Q96261 1 185 0.891014594594594 PF00257.19:Dehydrin:23:180 Probable dehydrin LEA 185 158 16 185 0 Arabidopsis thaliana Q96261 1 SwissProt/TReMBL MADLRDEKGNPIHLTDTQGNPIVDLTDEHGNPMYLTGVVSSTPQHKESTTSDIAEHPTSTVGETHPAAAPAGAGAATAATATGVSAGTGATTTGQQHHGSLEEHLRRSGSSSSSSSEDDGQGGRRKKSIKEKIKEKFGSGKHKDEQTPATATTTGPATTDQPHEKKGILEKIKDKLPGHHNHNHP
SwissProt::O95197 1 893 0.514473348264278 PF02453.17:Reticulon:844:892 Reticulon-3; Homolog of ASY protein; HAP; Neuroendocrine-specific protein-like 2; NSP-like protein 2; Neuroendocrine-specific protein-like II; NSP-like protein II; NSPLII 1032 49 16 870 1 Homo sapiens (Human) SwissProt::O95197 1 SwissProt MAEPSAATQSHSISSSSFGAEPSAPGGGGSPGACPALGTKSCSSSCADSFVSSSSSQPVSLFSTSQEGLSSLCSDEPSSEIMTSSFLSSSEIHNTGLTILHGEKSHVLGSQPILAKEGKDHLDLLDMKKMEKPQGTSNNVSDSSVSLAAGVHCDRPSIPASFPEHPAFLSKKIGQVEEQIDKETKNPNGVSSREAKTALDADDRFTLLTAQKPPTEYSKVEGIYTYSLSPSKVSGDDVIEKDSPESPFEVIIDKAAFDKEFKDSYKESTDDFGSWSVHTDKESSEDISETNDKLFPLRNKEAGRYPMSALLSRQFSHTNAALEEVSRCVNDMHNFTNEILTWDLVPQVKQQTDKSSDCITKTTGLDMSEYNSEIPVVNLKTSTHQKTPVCSIDGSTPITKSTGDWAEASLQQENAITGKPVPDSLNSTKEFSIKGVQGNMQKQDDTLAELPGSPPEKCDSLGSGVATVKVVLPDDHLKDEMDWQSSALGEITEADSSGESDDTVIEDITADTSFENNKIQAEKPVSIPSAVVKTGEREIKEIPSCEREEKTSKNFEELVSDSELHQDQPDILGRSPASEAACSKVPDTNVSLEDVSEVAPEKPITTENPKLPSTVSPNVFNETEFSLNVTTSAYLESLHGKNVKHIDDSSPEDLIAAFTETRDKGIVDSERNAFKAISEKMTDFKTTPPVEVLHENESGGSEIKDIGSKYSEQSKETNGSEPLGVFPTQGTPVASLDLEQEQLTIKALKELGERQVEKSTSAQRDAELPSEEVLKQTFTFAPESWPQRSYDILERNVKNGSDLGISQKPITIRETTRVDAVSSLSKTELVKKHVLARLLTDFSVHDLIFWRDVKKTGFVFGTTLIMLLSLAAFSVISVVSYLILALLSVTISF
SwissProt::Q6UEH4 1 289 0.155058131487889 PF00067.22:p450:56:199 Cytodhrome P450 monooxygenase aflU; Aflatoxin biosynthesis protein U; EC 1.-.-.- 498 144 16 266 1 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q6UEH4 1 SwissProt MASNTVYTSLIGLLVALTVRSIYRVYFHPLRKIPGPKIAAITHLYQHYYDAVKGGKYIWKLDELHRKYGPIVRFNPNEVHIQDSHYYHHIYAGGAKKQDKDPGFPAVPLFPGVTVTTIKHNHHRLRRGIIKSFFSKQYVTGLEHVIQSKVNLLASRFTEAYRHGTVLDLKYVFAALTSDLTTHYVYGTNLNHLAEPDFKNDFLAGMDSVGPWIPVLLVFGRLLKLARYLPACLVPAGEFLHLWTLSERRVGEILDSQDNGTMGDQKTLLQAMATADVSEEEKTATRLQM
SwissProt::Q8R0W6 1 221 0.248217647058824 PF10176.9:DUF2370:63:164 NEDD4 family-interacting protein 1; NEDD4 WW domain-binding protein 5 221 102 16 155 3 Mus musculus (Mouse) SwissProt::Q8R0W6 1 SwissProt MALALAALAAVEPACGSGYQQLQNEEEPGEPEQTAGDAPPPYSSITAESAAYFDYKDESGFPKPPSYNVATTLPSYDEAERTKTEATIPLVPGRDEDFVGRDDFDDTDQLRIGNDGIFMLTFFMAFLFNWIGFFLSFCLTTSAAGRYGAISGFGLSLIKWILIVRFSTYFPGYFDGQYWLWWVFLVLGFLLFLRGFINYAKVRKMPETFSNLPRTRVLFIY
SwissProt::Q8TDY2 1 1457 0.340513864104324 RB1-inducible coiled-coil protein 1; FAK family kinase-interacting protein of 200 kDa; FIP200 1594 0 16 1457 0 Homo sapiens (Human) SwissProt::Q8TDY2 1 SwissProt MKLYVFLVNTGTTLTFDTELTVQTVADLKHAIQSKYKIAIQHQVLVVNGGECMAADRRVCTYSAGTDTNPIFLFNKEMILCDRPPAIPKTTFSTENDMEIKVEESLMMPAVFHTVASRTQLALEMYEVAKKLCSFCEGLVHDEHLQHQGWAAIMANLEDCSNSYQKLLFKFESIYSNYLQSIEDIKLKLTHLGTAVSVMAKIPLLECLTRHSYRECLGRLDSLPEHEDSEKAEMKRSTELVLSPDMPRTTNESLLTSFPKSVEHVSPDTADAESGKEIRESCQSTVHQQDETTIDTKDGDLPFFNVSLLDWINVQDRPNDVESLVRKCFDSMSRLDPRIIRPFIAECRQTIAKLDNQNMKAIKGLEDRLYALDQMIASCGRLVNEQKELAQGFLANQKRAENLKDASVLPDLCLSHANQLMIMLQNHRKLLDIKQKCTTAKQELANNLHVRLKWCCFVMLHADQDGEKLQALLRLVIELLERVKIVEALSTVPQMYCLAVVEVVRRKMFIKHYREWAGALVKDGKRLYEAEKSKRESFGKLFRKSFLRNRLFRGLDSWPPSFCTQKPRKFDCELPDISLKDLQFLQSFCPSEVQPFLRVPLLCDFEPLHQHVLALHNLVKAAQSLDEMSQTITDLLSEQKASVSQTSPQSASSPRMESTAGITTTTSPRTPPPLTVQDPLCPAVCPLEELSPDSIDAHTFDFETIPHPNIEQTIHQVSLDLDSLAESPESDFMSAVNEFVIEENLSSPNPISDPQSPEMMVESLYSSVINAIDSRRMQDTNVCGKEDFGDHTSLNVQLERCRVVAQDSHFSIQTIKEDLCHFRTFVQKEQCDFSNSLKCTAVEIRNIIEKVKCSLEITLKEKHQKELLSLKNEYEGKLDGLIKETEENENKIKKLKGELVCLEEVLQNKDNEFALVKHEKEAVICLQNEKDQKLLEMENIMHSQNCEIKELKQSREIVLEDLKKLHVENDEKLQLLRAELQSLEQSHLKELEDTLQVRHIQEFEKVMTDHRVSLEELKKENQQIINQIQESHAEIIQEKEKQLQELKLKVSDLSDTRCKLEVELALKEAETDEIKILLEESRAQQKETLKSLLEQETENLRTEISKLNQKIQDNNENYQVGLAELRTLMTIEKDQCISELISRHEEESNILKAELNKVTSLHNQAFEIEKNLKEQIIELQSKLDSELSALERQKDEKITQQEEKYEAIIQNLEKDRQKLVSSQEQDREQLIQKLNCEKDEAIQTALKEFKLEREVVEKELLEKVKHLENQIAKSPAIDSTRGDSSSLVAELQEKLQEEKAKFLEQLEEQEKRKNEEMQNVRTSLIAEQQTNFNTVLTREKMRKENIINDLSDKLKSTMQQQERDKDLIESLSEDRARLLEEKKKLEEEVSKLRSSSFVPSPYVATAPELYGACAPELPGESDRSAVETADEGRVDSAMETSMMSVQENIHMLSEEKQ
SwissProt::Q9BUM1 1 346 0.0395439306358382 PF01569.21:PAP2:57:188 Glucose-6-phosphatase 3; G-6-Pase 3; G6Pase 3; Glucose-6-phosphatase beta; G6Pase-beta; Ubiquitous glucose-6-phosphatase catalytic subunit-related protein; EC 3.1.3.9 346 132 16 231 5 Homo sapiens (Human) SwissProt::Q9BUM1 1 SwissProt MESTLGAGIVIAEALQNQLAWLENVWLWITFLGDPKILFLFYFPAAYYASRRVGIAVLWISLITEWLNLIFKWFLFGDRPFWWVHESGYYSQAPAQVHQFPSSCETGPGSPSGHCMITGAALWPIMTALSSQVATRARSRWVRVMPSLAYCTFLLAVGLSRIFILAHFPHQVLAGLITGAVLGWLMTPRVPMERELSFYGLTALALMLGTSLIYWTLFTLGLDLSWSISLAFKWCERPEWIHVDSRPFASLSRDSGAALGLGIALHSPCYAQVRRAQLGNGQKIACLVLAMGLLGPLDWLGHPPQISLFYIFNFLKYTLWPCLVLALVPWAVHMFSAQEAPPIHSS
SwissProt::Q9H237 1 461 0.0273030368763558 PF03062.19:MBOAT:63:357 Protein-serine O-palmitoleoyltransferase porcupine; Protein MG61; EC 2.3.1.250 461 295 16 307 7 Homo sapiens (Human) SwissProt::Q9H237 1 SwissProt MATFSRQEFFQQLLQGCLLPTAQQGLDQIWLLLAICLACRLLWRLGLPSYLKHASTVAGGFFSLYHFFQLHMVWVVLLSLLCYLVLFLCRHSSHRGVFLSVTILIYLLMGEMHMVDTVTWHKMRGAQMIVAMKAVSLGFDLDRGEVGTVPSPVEFMGYLYFVGTIVFGPWISFHSYLQAVQGRPLSCRWLQKVARSLALALLCLVLSTCVGPYLFPYFIPLNGDRLLRNKKRKARGTMVRWLRAYESAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSKPLNVELPRSMVEVVTSWNLPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLRKRLARILSACVLSKRCPPDCSHQHRLGLGVRALNLLFGALAIFHLAYLGSLFDVDVDDTTEEQGYGMAYTVHKWSELSWASHWVTFGCWIFYRLIG
SwissProt::Q9NRI5 1 764 0.47173285340314 Disrupted in schizophrenia 1 protein 854 0 16 764 0 Homo sapiens (Human) SwissProt::Q9NRI5 1 SwissProt MPGGGPQGAPAAAGGGGVSHRAGSRDCLPPAACFRRRRLARRPGYMRSSTGPGIGFLSPAVGTLFRFPGGVSGEESHHSESRARQCGLDSRGLLVRSPVSKSAAAPTVTSVRGTSAHFGIQLRGGTRLPDRLSWPCGPGSAGWQQEFAAMDSSETLDASWEAACSDGARRVRAAGSLPSAELSSNSCSPGCGPEVPPTPPGSHSAFTSSFSFIRLSLGSAGERGEAEGCPPSREAESHCQSPQEMGAKAASLDGPHEDPRCLSRPFSLLATRVSADLAQAARNSSRPERDMHSLPDMDPGSSSSLDPSLAGCGGDGSSGSGDAHSWDTLLRKWEPVLRDCLLRNRRQMEVISLRLKLQKLQEDAVENDDYDKAETLQQRLEDLEQEKISLHFQLPSRQPALSSFLGHLAAQVQAALRRGATQQASGDDTHTPLRMEPRLLEPTAQDSLHVSITRRDWLLQEKQQLQKEIEALQARMFVLEAKDQQLRREIEEQEQQLQWQGCDLTPLVGQLSLGQLQEVSKALQDTLASAGQIPFHAEPPETIRSLQERIKSLNLSLKEITTKVCMSEKFCSTLRKKVNDIETQLPALLEAKMHAISGNHFWTAKDLTEEIRSLTSEREGLEGLLSKLLVLSSRNVKKLGSVKEDYNRLRREVEHQETAYETSVKENTMKYMETLKNKLCSCKCPLLGKVWEADLEACRLLIQSLQLQEARGSLSVEDERQMDDLEGAAPPIPPRLHSEDKRKTPLKVLEEWKTHLIPSLHCAG
SwissProt::Q9UBM8 1 478 0.13425230125523 PF04666.13:Glyco_transf_54:60:330 Alpha-1,3-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase C; N-acetylglucosaminyltransferase IV homolog; hGnT-IV-H; N-glycosyl-oligosaccharide-glycoprotein N-acetylglucosaminyltransferase IVc; GlcNAc-T IVc; GnT-IVc; N-acetylglucosaminyltransferase IVc; UDP-N-acetylglucosamine: alpha-1,3-D-mannoside beta-1,4-N-acetylglucosaminyltransferase IVc; EC 2.4.1.145 478 271 16 460 1 Homo sapiens (Human) SwissProt::Q9UBM8 1 SwissProt MFKFHQMKHIFEILDKMRCLRKRSTVSFLGVLVIFLLFMNLYIEDSYVLEGDKQLIRETSTHQLNSERYVHTFKDLSNFSGAINVTYRYLAATPLQRKRYLTIGLSSVKRKKGNYLLETIKSIFEQSSYEELKEISVVVHLADFNSSWRDAMVQDITQKFAHHIIAGRLMVIHAPEEYYPILDGLKRNYNDPEDRVKFRSKQNVDYAFLLNFCANTSDYYVMLEDDVRCSKNFLTAIKKVIASLEGTYWVTLEFSKLGYIGKLYHSHDLPRLAHFLLMFYQEMPCDWLLTHFRGLLAQKNVIRFKPSLFQHMGYYSSYKGTENKLKDDDFEEESFDIPDNPPASLYTNMNVFENYEASKAYSSVDEYFWGKPPSTGDVFVIVFENPIIIKKIKVNTGTEDRQNDILHHGALDVGENVMPSKQRRQCSTYLRLGEFKNGNFEMSGVNQKIPFDIHCMRIYVTKTQKEWLIIRSISIWTS
SwissProt::Q9UBX2 158 424 0.763223220973782 Double homeobox protein 4; Double homeobox protein 10 424 0 16 267 0 Homo sapiens (Human) SwissProt::Q9UBX2 1 SwissProt AQAGGLCSAAPGGGHPAPSWVAFAHTGAWGTGLPAPHVPCAPGALPQGAFVSQAARAAPALQPSQAAPAEGISQPAPARGDFAYAAPAPPDGALSHPQAPRWPPHPGKSREDRDPQRDGLPGPCAVAQPGPAQAGPQGQGVLAPPTSQGSPWWGWGRGPQVAGAAWEPQAGAAPPPQPAPPDASASARQGQMQGIPAPSQALQEPAPWSALPCGLLLDELLASPEFLQQAQPLLETEAPGELEASEEAASLEAPLSEEEYRALLEEL
VIMSS10078258 120 287 0.449160714285714 myb family transcription factor (RefSeq) 287 0 16 168 0 Arabidopsis thaliana VIMSS10078258 1 MicrobesOnline PPRPKRKANHPYPQKAPKFTLSSSNALFQHDYLYNTNSHPVISTTRKHGLVHCDVSIPSSVIKEEFGVSENCCSTSSSRDKQRTRIVTETNDQESCGKPHRVAPNFAEVYNFIGSVFDPKTTGHVKRLKEMDPINLETVLLLMKNLSVNLTSPEFDEQRKLISSYNAS
VIMSS10078267 1 503 0.138289662027833 PF12710.7:HAD:26:205,PF01553.21:Acyltransferase:302:401 Glycerol-3-phosphate 2-O-acyltransferase 4; AtGPAT4; Glycerol-3-phosphate acyltransferase 4; EC 2.3.1.198 503 280 16 434 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LMM0 1 SwissProt MSPAKKSRSFPPISECKSREYDSIAADLDGTLLLSRSSFPYFMLVAIEAGSLFRGLILLLSLPIVIIAYLFVSESLGIQILIFISFAGIKIKNIELVSRAVLTRFYAADVRKDSFEVFDKCKKRKVVVTANPIVMVEPFVKDYLGGDKVLGTEIEVNPKTMKATGFVKKPGVLVGDLKRLAILKEFGDDSPDLGLGDRTSDHDFMSICKEGYMVHETKSATTVPIESLKNRIIFHDGRLVQRPTPLNALIIYLWLPFGFMLSVFRVYFNLPLPERFVRYTYEILGIHLTIRGHRPPPPSPGKPGNLYVLNHRTALDPIIIAIALGRKITCVTYSVSRLSLMLSPIPAVALTRDRVADAARMRQLLEKGDLVICPEGTTCREPYLLRFSALFAELSDRIVPVAMNCKQGMFNGTTVRGVKFWDPYFFFMNPRPSYEATFLDRLPEEMTVNGGGKTPFEVANYVQKVIGGVLGFECTELTRKDKYLLLGGNDGKVESINKTKSME
VIMSS10078715 1 635 0.337252598425196 Type I inositol polyphosphate 5-phosphatase 13; At5PTase13; EC 3.1.3.56 1136 0 16 635 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYK4 1 SwissProt MDSLIIEEEDEEALATLVPVPPRRKTHSYSLQFDHKPHHQIRKHSLDEVPRSATLASEAVYFDSSDDEFSTGGNITENAADETNAGAEEYTIVNPPPNVGLGDDDTEPLPEFIGAGGGSGIFKVPVRAAVHPGRPPCLELRPHPLRETQTGRFLRNIACTETQLWAGQENGIRFWNLEDAYEAGCGIGGQVPRGDEDTAPFHESVTTSPTMCLVADQSNKLLWSGHKDGKIRAWKMDQSSVSHDDDDSDPFKERVSWLAHRGPVNSIVISSYGDMWSCSEGGVIKIWPWDTLEKSLLLKPEEKHMAALLVERSAIDLRSQVTVNGTCSISSSEVKFLLADSVRAKVWAVQSLSFSIWDARSKDLLKVLNVDGQVENRGDLPPIQDQQVDDEMKLKFFSASKREKPQGFLQRSRNAIMGAAGAVRRVATRSAGAFSEDTRKTEAIVLAVDGTIWTGSISGLIVQWDGNGNRLRDVNHHHRPVLCFCTFGDRIYVGYASGYIQVLDLDGKLISSWVSHNEPVIKLAAGGGFIFSLATHGGVRGWYVTSPGPLDNIIRTELSQKETLYARQDNVRILIGTWNVGQGRASHDALMSWLGSVTSDVGIVAVGLQEVEMGAGFLAMSAAKETVGLEGSAVG
VIMSS10078841 1 274 0.590286861313869 PF00538.19:Linker_histone:62:128 Histone H1.1 274 67 16 274 0 Arabidopsis thaliana P26568 1 SwissProt/TReMBL MSEVEIENAATIEGNTAADAPVTDAAVEKKPAAKGRKTKNVKEVKEKKTVAAAPKKRTVSSHPTYEEMIKDAIVTLKERTGSSQYAIQKFIEEKRKELPPTFRKLLLLNLKRLVASGKLVKVKASFKLPSASAKASSPKAAAEKSAPAKKKPATVAVTKAKRKVAAASKAKKTIAVKPKTAAAKKVTAKAKAKPVPRATAAATKRKAVDAKPKAKARPAKAAKTAKVTSPAKKAVAATKKVATVATKKKTPVKKVVKPKTVKSPAKRASSRVKK
VIMSS10079557 1 642 0.388248286604362 PF00646.33:F-box:68:107 SCRM2 (SCREAM 2); DNA binding / transcription factor (RefSeq) 828 40 16 642 0 Arabidopsis thaliana VIMSS10079557 1 MicrobesOnline MESREDSFISKEKKSTMKKEKQAIASQRNRRRVIKNRGNGKRLIASLSQRKRRRIPRGRGNEKAVFAPSSLPNDVVEEIFLRLPVKAIIQLKSLSKQWRSTIESRSFEERHLKIVERSRVDFPQVMVMSEEYSLKGSKGNQPRPDTDIGFSTICLESASILSSTLITFPQGFQHRIYASESCDGLFCIHSLKTQAIYVVNPATRWFRQLPPARFQILMQKLYPTQDTWIDIKPVVCYTAFVKANDYKLVWLYNSDASNPNLGVTKCEVFDFRANAWRYLTCTPSYRIFPDQVPAATNGSIYWFTEPYNGEIKVVALDIHTETFRVLPKINPAIASSDPDHIDMCTLDNGLCMSKRESDTLVQEIWRLKSSEDSWEKFDMNSDGVWLDGSGESPEVNNGEAASWVRNPDEDWFNNPPPPQHTNQNDFRFNGGFPLNPSENLLLLLQQSIDSSSSSSPLLHPFTLDAASQQQQQQQQQQEQSFLATKACIVSLLNVPTINNNTFDDFGFDSGFLGQQFHGNHQSPNSMNFTGLNHSVPDFLPAPENSSGSCGLSPLFSNRAKVLKPLQVMASSGSQPTLFQKRAAMRQSSSSKMCNSESSSEMRKSSYEREIDDTSTGIIDISGLNYESDDHNTNNNKGKKKGM
VIMSS10079606 1 179 0.666522346368715 Transcription factor HRS1; MYB-domain transcription factor HRS1; NIGT1 protein homolog; AtNIGT1; Protein HYPERSENSITIVITY TO LOW PI-ELICITED PRIMARY ROOT SHORTENING 1 344 0 16 179 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FX67 1 SwissProt MIKKFSNMDYNQKRERCGQYIEALEEERRKIHVFQRELPLCLDLVTQAIEACKRELPEMTTENMYGQPECSEQTTGECGPVLEQFLTIKDSSTSNEEEDEEFDDEHGNHDPDNDSEDKNTKSDWLKSVQLWNQPDHPLLPKEERLQQETMTRDESMRKDPMVNGGEGRKREAEKDGGGG
VIMSS10080175 1 260 0.486428461538462 PF00010.26:HLH:155:202 Transcription factor BEE 1; Basic helix-loop-helix protein 44; AtbHLH44; bHLH 44; Protein Brassinosteroid enhanced expression 1; Transcription factor EN 77; bHLH transcription factor bHLH044 260 48 16 260 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GZ13 1 SwissProt MANFENLSSDFQTIAMDIYSSITQAADLNNNNSNLHFQTFHPSSTSLESLFLHHHQQQLLHFPGNSPDSSNNFSSTSSFLHSDHNIVDETKKRKALLPTLSSSETSGVSDNTNVIATETGSLRRGKRLKKKKEEEDEKEREVVHVRARRGQATDSHSLAERVRRGKINERLRCLQDMVPGCYKAMGMATMLDEIINYVQSLQNQVEFLSMKLTAASSFYDFNSETDAVDSMQRAKARETVEMGRQTRDGSPVFHLSTWSL
VIMSS10080665 1 119 0.172995798319328 PF02704.14:GASA:60:119 gibberellin-responsive protein, putative (RefSeq) 119 60 16 99 1 Arabidopsis thaliana VIMSS10080665 1 MicrobesOnline MKKMNVVAFVTLIISFLLLSQVLAELSSSSNNETSSVSQTNDENQTAAFKRTYHHRPRINCGHACARRCSKTSRKKVCHRACGSCCAKCQCVPPGTSGNTASCPCYASIRTHGNKLKCP
VIMSS10080959 1 205 0.625411707317073 myb family transcription factor (RefSeq) 344 0 16 205 0 Arabidopsis thaliana VIMSS10080959 1 MicrobesOnline MMMFKSGDMDYTQKMKRCHEYVEALEEEQKKIQVFQRELPLCLELVTQAIESCRKELSESSEHVGGQSECSERTTSECGGAVFEEFMPIKWSSASSDETDKDEEAEKTEMMTNENNDGDKKKSDWLRSVQLWNQSPDPQPNNKKPMVIEVKRSAGAFQPFQKEKPKAADSQPLIKAITPTSTTTTSSTAETVGGGKEFEEQKQSH
VIMSS10081054 1 643 0.244404199066874 PF00337.22:Gal-bind_lectin:172:362,PF01762.21:Galactosyl_T:406:589 Beta-1,3-galactosyltransferase GALT1; Beta-1,3-galactosyltransferase 15; Galactosyltransferase 1; EC 2.4.1.- 643 375 16 643 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L7F9 1 SwissProt MKRFYGGLLVVSMCMFLTVYRYVDLNTPVEKPYITAAASVVVTPNTTLPMEWLRITLPDFMKEARNTQEAISGDDIAVVSGLFVEQNVSKEEREPLLTWNRLESLVDNAQSLVNGVDAIKEAGIVWESLVSAVEAKKLVDVNENQTRKGKEELCPQFLSKMNATEADGSSLKLQIPCGLTQGSSITVIGIPDGLVGSFRIDLTGQPLPGEPDPPIIVHYNVRLLGDKSTEDPVIVQNSWTASQDWGAEERCPKFDPDMNKKVDDLDECNKMVGGEINRTSSTSLQSNTSRGVPVAREASKHEKYFPFKQGFLSVATLRVGTEGMQMTVDGKHITSFAFRDTLEPWLVSEIRITGDFRLISILASGLPTSEESEHVVDLEALKSPTLSPLRPLDLVIGVFSTANNFKRRMAVRRTWMQYDDVRSGRVAVRFFVGLHKSPLVNLELWNEARTYGDVQLMPFVDYYSLISWKTLAICIFGTEVDSAKFIMKTDDDAFVRVDEVLLSLSMTNNTRGLIYGLINSDSQPIRNPDSKWYISYEEWPEEKYPPWAHGPGYIVSRDIAESVGKLFKEGNLKMFKLEDVAMGIWIAELTKHGLEPHYENDGRIISDGCKDGYVVAHYQSPAEMTCLWRKYQETKRSLCCREW
VIMSS10081239 69 189 0.600270247933884 Ethylene-responsive transcription factor 12; AtERF12; Ethylene-responsive element-binding factor 12; EREBP-12 189 0 16 121 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94ID6 1 SwissProt SPLSLDLNHLPSAPSAATAAANNQPHQHQQLWFAAPPPVPPSSDHHHQHHRIFLRTGVLNDKTSDYSSTEAPLYFTSSPNTATSSPGYQVVGFPMMNSSPSPVTVRRGLAIDLNEPPPLWL
VIMSS10081359 1 225 0.0959884444444445 PF05562.11:WCOR413:49:216 Cold-regulated 413 inner membrane protein 1, chloroplastic; AtCOR413-IM1; Cold-regulated 413 thylakoid membrane 1; AtCOR413-TM1 225 168 16 143 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94AL8 1 SwissProt MASLCLSSSRIVSLHHQKPFLSLKLRSRPSDLSGLGRHTSPVCFNPLRLSGDRQRTATVSTRVEKRRKRGSSVVCYAAPISANSLQWISTISCLALMLARGTGIHKSVVVPLFALHAPSSIVAWIKGEYGVWAAFLALIARLFFTFPGELELPFIALLLVIVAPYQVMNIRGKQEGAIIAIAISGFLAFQHFSRAGSLEKAYEKGSVLATVAIIGVTVVSLLLLL
VIMSS10081697 1 214 0.696477102803738 Probable transcription factor KAN2; Protein KANADI 2 388 0 16 214 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C616 1 SwissProt MELFPAQPDLSLQISPPNSKPSSTWQRRRSTTDQEDHEELDLGFWRRALDSRTSSLVSNSTSKTINHPFQDLSLSNISHHQQQQQHHHPQLLPNCNSSNILTSFQFPTQQQQQHLQGFLAHDLNTHLRPIRGIPLYHNPPPHHHPHRPPPPCFPFDPSSLIPSSSTSSPALTGNNNSFNTSSVSNPNYHNHHHQTLNRARFMPRFPAKRSMRAP
VIMSS10081735 1 134 0.824657462686567 PF03760.15:LEA_1:1:70 Late embryogenesis abundant protein 6; Late embryogenesis abundant protein 4-1; AtLEA4-1 134 70 16 134 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39138 1 SwissProt MQSAKQKISDMASTAKEKMVICQAKADEKAERAMARTKEEKEIAHQRRKAKEAEANMDMHMAKAAHAEDKLMAKQSHYHVTDHGPHVPQQAPVPAPAPVMGHGYGHNPTGVTSVPPQTYHPTYPPTGHHNHHHY
VIMSS10084590 1 465 0.0398933333333333 PF03062.19:MBOAT:106:416 Lysophospholipid acyltransferase 2; AtLPLAT2; 1-acylglycerophosphocholine O-acyltransferase 2; 1-acylglycerophosphoethanolamine O-acyltransferase; 1-acylglycerophosphoserine O-acyltransferase; Lysophosphatidylcholine acyltransferase 2; LPCAT2; Lysophosphatidylethanolamine acyltransferase; LPEAT; Lysophosphatidylglycerol acyltransferase; LPGAT; Lysophosphatidylserine acyltransferase; LPSAT; EC 2.3.1.-; EC 2.3.1.23; EC 2.3.1.n7; EC 2.3.1.n6 465 311 16 287 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAN8 1 SwissProt MELLDMNSMAASIGVSVAVLRFLLCFVATIPISFLWRFIPSRLGKHIYSAASGAFLSYLSFGFSSNLHFLVPMTIGYASMAIYRPLSGFITFFLGFAYLIGCHVFYMSGDAWKEGGIDSTGALMVLTLKVISCSINYNDGMLKEEGLREAQKKNRLIQMPSLIEYFGYCLCCGSHFAGPVFEMKDYLEWTEEKGIWAVSEKGKRPSPYGAMIRAVFQAAICMALYLYLVPQFPLTRFTEPVYQEWGFLKRFGYQYMAGFTARWKYYFIWSISEASIIISGLGFSGWTDETQTKAKWDRAKNVDILGVELAKSAVQIPLFWNIQVSTWLRHYVYERIVKPGKKAGFFQLLATQTVSAVWHGLYPGYIIFFVQSALMIDGSKAIYRWQQAIPPKMAMLRNVLVLINFLYTVVVLNYSSVGFMVLSLHETLVAFKSVYYIGTVIPIAVLLLSYLVPVKPVRPKTRKEE
VIMSS10084941 197 429 0.0957630901287553 PF00931.22:NB-ARC:9:215 disease resistance protein (TIR-NBS class), putative (RefSeq) 429 207 16 233 0 Arabidopsis thaliana VIMSS10084941 1 MicrobesOnline DEMVGIKAHLTKIESLLSLDYDKVKIVGISGPAGIGKSTIARALHNLLSSSFHLSCFMENLISQSNPHSSLEYSSKLSLQEQLLSQVLNEKDIRIRHLGAIQERLHDQRVLIILDDVTSLEQLEVLANIKWYGPGSRIIVITKKKDILVQHGICDIYHVGFPTDADALKIFCLSAYRQTSPPDGSMKIHECEMFIKICGNLPLHLHVLGSALRGRSYGRVQSLCNLVSLADFV
VIMSS10085024 1 233 0.4789330472103 Zinc finger C-x8-C-x5-C-x3-H type family protein 310 0 16 233 0 Arabidopsis thaliana NP_001321733.1 1 RefSeq MEKLAASTVTDLACVTAINSSPPPLSPISEQSFNNKHQEEFAASFASLYNSIFSPESLSPSPPSSSSPPSRVDTTTEHRLLQAKLILEYDELNEHYELCLNRLQSLMTELDSLRHENDSLRFENSDLLKLIRISTSSSSSVSPPAPIHNRQFRHQISDSRSAKRNNQERNSLPKSISVRSQGYLKINHGFEASDLQTSQLSSNSVSSSQKVCVVQTKGEREALELEVYRQGMM
VIMSS10085762 118 243 0.354042063492064 Transcription factor MYB54; Myb-related protein 54; AtMYB54 243 0 16 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FX36 1 SwissProt STTSSSSLMASEQIMMSSGGYNHNYSSDDRKKIFPADFINFPYKFSHINHLHFLKEFFTGKIALNHKANQSKKPMEFYNFLQVNTDSNKSEIIDQDSGQSKRSDSDTKHESHVPFFDFLSVGNSAS
VIMSS10085964 1 223 0.618245291479821 PF04770.12:ZF-HD_dimer:74:126 Zinc-finger homeodomain protein 5; AtZHD5; Homeobox protein 33; AtHB-33 309 53 16 223 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FRL5 1 SwissProt MDMRSHEMIERRREDNGNNNGGVVISNIISTNIDDNCNGNNNNTRVSCNSQTLDHHQSKSPSSFSISAAAKPTVRYRECLKNHAASVGGSVHDGCGEFMPSGEEGTIEALRCAACDCHRNFHRKEMDGVGSSDLISHHRHHHYHHNQYGGGGGRRPPPPNMMLNPLMLPPPPNYQPIHHHKYGMSPPGGGGMVTPMSVAYGGGGGGAESSSEDLNLYGQSSGE
VIMSS10086267 1 368 0.385878532608696 PF14144.6:DOG1:170:244,PF00170.21:bZIP_1:92:134,PF07716.15:bZIP_2:94:135 Transcription factor TGA7; bZIP transcription factor 50; AtbZIP50 368 119 16 368 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93ZE2 1 SwissProt MMSSSSPTQLASLRDMGIYEPFQQIVGWGNVFKSDINDHSPNTATSSIIQVDPRIDDHNNNIKINYDSSHNQIEAEQPSSNDNQDDDGRIHDKMKRRLAQNREAARKSRLRKKAYVQQLEESRLKLSQLEQELEKVKQQGHLGPSGSINTGIASFEMEYSHWLQEQSRRVSELRTALQSHISDIELKMLVESCLNHYANLFQMKSDAAKADVFYLISGMWRTSTERFFQWIGGFRPSELLNVVMPYLQPLTDQQILEVRNLQQSSQQAEDALSQGIDKLQQSLAESIVIDAVIESTHYPTHMAAAIENLQALEGFVNQADHLRQQTLQQMAKILTTRQSARGLLALGEYLHRLRALSSLWAARPQEPT
VIMSS10086368 180 441 0.168743511450382 EP1-like glycoprotein 3; Curculin-like (Mannose-binding) lectin family protein; Putative receptor-like protein kinase-like protein 441 0 16 262 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVA4 1 SwissProt VSRLSPSVNTNGPYSLVMEAKKLVLYYTTNKTPKPIAYFEYEFFTKITQFQSMTFQAVEDSDTTWGLVMEGVDSGSKFNVSTFLSRPKHNATLSFIRLESDGNIRVWSYSTLATSTAWDVTYTAFTNADTDGNDECRIPEHCLGFGLCKKGQCNACPSDKGLLGWDETCKSPSLASCDPKTFHYFKIEGADSFMTKYNGGSSTTESACGDKCTRDCKCLGFFYNRKSSRCWLGYELKTLTRTGDSSLVAYVKAPNANKKSTL
VIMSS10086992 1 99 0.217485858585859 Probable E3 ubiquitin-protein ligase XERICO; RING-type E3 ubiquitin transferase XERICO; EC 2.3.2.27 162 0 16 99 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SI09 1 SwissProt MGLSSLPGPSEGMLCVILVNTALSISIVKGIVRSFLGIVGISLSPSSSSPSSVTVSSENSSTSESFDFRVCQPESYLEEFRNRTPTLRFESLCRCKKQA
VIMSS10088128 83 234 0.440565131578947 PF01486.17:K-box:4:87 MADS-box transcription factor ANR1; Protein AGAMOUS-LIKE 44; Protein ARABIDOPSIS NITRATE REGULATED 1 234 84 16 152 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SI38 1 SwissProt HASEIKFWQREVASLQQQLQYLQECHRKLVGEELSGMNANDLQNLEDQLVTSLKGVRLKKDQLMTNEIRELNRKGQIIQKENHELQNIVDIMRKENIKLQKKVHGRTNAIEGNSSVDPISNGTTTYAPPQLQLIQLQPAPREKSIRLGLQLS
VIMSS10088703 155 601 0.25149485458613 PF13418.6:Kelch_4:130:180,PF13418.6:Kelch_4:181:230,PF13418.6:Kelch_4:233:279,PF13415.6:Kelch_3:139:188,PF13415.6:Kelch_3:192:241,PF13415.6:Kelch_3:246:295,PF13854.6:Kelch_5:179:216,PF07646.15:Kelch_2:352:397,PF01344.25:Kelch_1:129:170,PF12937.7:F-box-like:42:80,PF00646.33:F-box:34:78 LKP2 (LOV KELCH PROTEIN 2); protein binding / ubiquitin-protein ligase (RefSeq) 601 260 16 447 0 Arabidopsis thaliana VIMSS10088703 1 MicrobesOnline SPDLSAKEIPRISRSFTSALPIGERNVSRGLCGIFELSDEVIAIKILSQLTPGDIASVGCVCRRLNELTKNDDVWRMVCQNTWGTEATRVLESVPGAKRIGWVRLAREFTTHEATAWRKFSVGGTVEPSRCNFSACAVGNRIVIFGGEGVNMQPMNDTFVLDLGSSSPEWKSVLVSSPPPGRWGHTLSCVNGSRLVVFGGYGSHGLLNDVFLLDLDADPPSWREVSGLAPPIPRSWHSSCTLDGTKLIVSGGCADSGALLSDTFLLDLSMDIPAWREIPVPWTPPSRLGHTLTVYGDRKILMFGGLAKNGTLRFRSNDVYTMDLSEDEPSWRPVIGYGSSLPGGMAAPPPRLDHVAISLPGGRILIFGGSVAGLDSASQLYLLDPNEEKPAWRILNVQGGPPRFAWGHTTCVVGGTRLVVLGGQTGEEWMLNEAHELLLATSTTAST
VIMSS10089562 1 250 0.0757056000000001 PF05608.12:DUF778:60:109,PF05608.12:DUF778:111:195 Protein REVERSION-TO-ETHYLENE SENSITIVITY1 250 135 16 207 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4ITL6 1 SwissProt MSRGRGVPMMDLKRSYDVEDRVVSVSIPSIIEADEADLWPLPEIDTKKSKFPCCIVWTPLPVVSWLAPFIGHIGLCREDGVILDFAGSNFINVDDFAFGPPARYLQLDRTKCCLPPNMGGHTCKYGFKHTDFGTARTWDNALSSSTRSFEHKTYNIFTCNCHSFVANCLNRLCYGGSMEWNMVNVAILLMIKGKWINGSSVVRSFLPCAVVTSLGVVLVGWPFLIGLSSFSLLLFAWFIIATYCFKNIIT
VIMSS10089888 103 257 0.211310967741936 PF01357.21:Pollen_allerg_1:62:139,PF03330.18:DPBB_1:2:50 ATEXPA6 (ARABIDOPSIS THALIANA EXPANSIN A6) (RefSeq) 257 127 16 155 0 Arabidopsis thaliana VIMSS10089888 1 MicrobesOnline FITATNFCPPNFAQPSDNGGWCNPPRPHFDLAMPMFLKIAEYRAGIVPVSFRRVPCRKRGGIRFTINGFRYFNLVLVTNVAGAGNIVRLGVKGTHTSWMTMSRNWGQNWQSNSVLVGQSLSFRVTSSDRRSSTSWNIAPANWKFGQTFMGKNFRV
VIMSS10090167 1 238 0.333505882352941 PF00643.24:zf-B_box:4:44,PF00643.24:zf-B_box:55:95 B-box zinc finger protein 25; Protein SALT TOLERANCE HOMOLOG 1; Salt tolerance-like protein 238 82 16 238 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SID1 1 SwissProt MKIQCDVCEKAPATLICCADEAALCAKCDVEVHAANKLASKHQRLFLDSLSTKFPPCDICLEKAAFIFCVEDRALLCRDCDEATHAPNTRSANHQRFLATGIRVALSSTSCNQEVEKNHFDPSNQQSLSKPPTQQPAAPSPLWATDEFFSYSDLDCSNKEKEQLDLGELDWLAEMGLFGDQPDQEALPVAEVPELSFSHLAHAHSYNRPMKSNVPNKKQRLEYRYDDEEEHFLVPDLG
VIMSS10090409 1 224 0.861042410714286 PF00786.28:PBD:28:61 CRIB domain-containing protein RIC1; ROP-interactive CRIB motif-containing protein 1; Target of ROP protein RIC1 224 34 16 224 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IVV0 1 SwissProt MATTMKGLLKGLRYITQIFDEEKEQEMQIGFPTDVKHVAHIGSDGPTNTTPSWMNDFKTQEHEKGQVVSRGNSNKYNPQGTNQRGAGLKELLPSNTNEKPKQKTRRKPGGAASPNHNGSPPRKSSGNAASSDEPSKHSRHNRSAHGSTDSSNDQEPSVRRRRGGIPAPDTEVPNQIPDGSAPPRKATSRPRKLKGSSAGGEGSIKKSSKGKPENSVDTTCNDII
VIMSS10090422 1 377 0.25318700265252 PF01476.20:LysM:197:233 Protein LYK5; LysM domain receptor-like kinase 5; LysM-containing receptor-like kinase 5 664 37 16 354 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22808 1 SwissProt MAACTLHALSVTLFLLLFFAVSPAKAQQPYVNNHQLACEVRVYDNITNGFTCNGPPSCRSYLTFWSQPPYNTADSIAKLLNVSAAEIQSINNLPTATTRIPTRELVVIPANCSCSSSSGGFYQHNATYNLSGNRGDETYFSVANDTYQALSTCQAMMSQNRYGERQLTPGLNLLVPLRCACPTAKQTTAGFKYLLTYLVAMGDSISGIAEMFNSTSAAITEGNELTSDNIFFFTPVLVPLTTEPTKIVISPSPPPPPVVATPPQTPVDPPGSSSSHKWIYIGIGIGAGLLLLLSILALCFYKRRSKKKSLPSSLPEENKLFDSSTKQSIPTTTTTQWSIDLSNSSEAFGLKSAIESLTLYRFNDLQSATSNFSDENR
VIMSS10091253 1 82 0.191413414634146 Two-component response regulator ARR16 164 0 16 82 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SHC2 1 SwissProt MNSSGGSCSSLMDVVAYDHHLHHGHDEELHVLAVDDNLIDRKLVERLLKISCCKVTTAENALRALEYLGLGDQNQHIDALTC
VIMSS10091453 1 310 0.598655483870967 PF00170.21:bZIP_1:188:247 bZIP transcription factor family protein (RefSeq) 310 60 16 310 0 Arabidopsis thaliana VIMSS10091453 1 MicrobesOnline MAQLPPKIPNMTQHWPDFSSQKLSPFSTPTATAVATATTTVQNPSWVDEFLDFSASRRGNHRRSISDSIAFLEAPTVSIEDHQFDRFDDEQFMSMFTDDDNLHSNPSHINNKNNNVGPTGSSSNTSTPSNSFNDDNKELPPSDHNMNNNINNNYNDEVQSQCKMEPEDGTASNNNSGDSSGNRILDPKRVKRILANRQSAQRSRVRKLQYISELELLSPRVAFLDHQRLLLNVDNSALKQRIAALSQDKLFKDAHQEALKREIERLRQVYNQQSLTNVENANHLSATGAGATPAVDIKSSVETEQLLNVS
VIMSS10091646 1 325 0.276013230769231 PF03168.13:LEA_2:89:182,PF03168.13:LEA_2:214:308 Late embryogenesis abundant protein, group 2 325 189 16 325 0 Arabidopsis thaliana NP_181934.1 1 RefSeq MSTSEDKPEIISRVVHQEGDVEIVDRSQKDKDEEKEEGKGGFLDKVKDFIHDIGEKLEGTIGFGKPTADVSAIHIPKINLERADIVVDVLVKNPNPVPIPLIDVNYLVESDGRKLVSGLIPDAGTLKAHGEETVKIPLTLIYDDIKSTYNDINPGMIIPYRIKVDLIVDVPVLGRLTLPLEKCGEIPIPKKPDVDIEKIKFQKFSLEETVAILHVRLQNMNDFDLGLNDLDCEVWLCDVSIGKAEIADSIKLDKNGSGLINVPMTFRPKDFGSALWDMIRGKGTGYTIKGNIDVDTPFGAMKLPIIKEGGETRLKKEDDDDDDEE
VIMSS10092207 1 137 0.459156204379562 calmodulin-related protein, putative (RefSeq) 137 0 16 137 0 Arabidopsis thaliana VIMSS10092207 1 MicrobesOnline MKSENVNKRDEYQRVFSCFDKSHQGKVSVSTIERCVDAIKSGKRAVVDQEDTTNPNPEESTDDKSLELEDFVKLVEEGEEADKEKDLKEAFKLYEESEGITPKSLKRMLSLLGKKGVIGAVEVCRQEGVAMNPEVRL
VIMSS10092562 287 700 0.493826811594203 Serine/threonine-protein kinase WNK1; AtWNK1; Protein kinase with no lysine 1; EC 2.7.11.1 700 0 16 414 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAV6 1 SwissProt EFDLRSVDMEDSVGPLYRQPHHLPDYYNYPSNSSSLNRQYSNGNYPSNSSSLNRQYSNGYNSHHEYQNGWAYNPAETEETHGIELFESRNNDDQEEEKKSGNVDITIKGKRRDDGGLFLRLRIADKEGRVRNIYFPFDIETDTALSVATEMVAELDMDDHGVTKIANMIDGEISSLVPSWRPGPEFEECLAAAAAANAASICNNCVSNRTSMGSVMDFLRTNPGANVIQCCRNGCGETHGRFEEITIRETEVRLRELWKLQQQQESRELSSIDSGHNHSEEEEEEEVLYEDPENMFSCEAGNEINHISGSGSFSFMPSKYCDEPSEKTENQVQQELRWLKAKCQIELRDIQDEQLKTRWPESGEEVEISPKDGFLGSVSGLGREEDTVKEMFGERLVPKCLKRTTSLPVDAIDS
VIMSS10093638 189 379 0.505302617801047 Ethylene-responsive transcription factor RAP2-2; AtRAP2.2; Protein RELATED TO APETALA2 2 379 0 16 191 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LUM4 1 SwissProt PSVVSQKRPSAKTNNLQKSVAKPNKSVTLVQQPTHLSQQYCNNSFDNSFGDMSFMEEKPQMYNNQFGLTNSFDAGGNNGYQYFSSDQGSNSFDCSEFGWSDHGPKTPEISSMLVNNNEASFVEETNAAKKLKPNSDESDDLMAYLDNALWDTPLEVEAMLGADAGAVTQEEENPVELWSLDEINFMLEGDF
VIMSS10094351 106 244 0.558696402877698 Ethylene-responsive transcription factor 7; AtERF7; Ethylene-responsive element-binding factor 7; EREBP-7 244 0 16 139 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDE4 1 SwissProt PPVIQNQIDPFMDHRLYGGGNFQEQQQQQIISRPASSSMSSTVKSCSGPRPMEAAAASSSVAKPLHAIKRYPRTPPVAPEDCHSDCDSSSSVIDDGDDIASSSSRRKTPFQFDLNFPPLDGVDLFAGGIDDLHCTDLRL
VIMSS10094656 1 400 0.54028725 CRC domain-containing protein TSO1 695 0 16 400 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LUI3 1 SwissProt MDKSQKNPTSQIGTSTPKSKFEDSPVFNYISNLSPIESVKSISTAQTFSSLSFTSPPPVFTSPHVISHRESRFFRCHNSVDRSKHLESLDGSAVKGEVVVPLVEDLNKEASLEDEEETSVETSSELPQIMKFDSQTSEHSDSPCTEDVVIEASSDPPRGDNGSSSEDVTMGLQNMLVVREGNDTPGCGRLISDATELLVFRSPNDSEAFRCLVDKISSSERRFCAGVKSTKRPDINKDIPANGSSNENQPLAVLPTNESVFNLHRGGMRRRCLDFEMPGKRKKDIVDDQQSVCDNNVAGESSSSCVVPGIGLHLNAVAMSAKDSNISVIHGYSISGEIQKSFSGSTTPIQSQDTVQETSDQAENEPVEEVPKALVFPELNLGSLKKKMRKSEQAGEGESC
VIMSS10095343 1 370 0.14106 PF00917.26:MATH:98:213,PF00917.26:MATH:244:358 meprin and TRAF homology domain-containing protein / MATH domain-containing protein (RefSeq) 370 231 16 350 1 Arabidopsis thaliana VIMSS10095343 1 MicrobesOnline MSEKGLIKKEDHMFQEEKRKTNYGAIGLACFISCFFAFQFMKIVTTQPSTTTTSSIAVIDSPMSSHKLSDRREIWRVIPPSTYCLKIESFIKFATSPNAEKYESRPFESGGYNWTLIVYPKGNIKEGAPLNYVSMYVQIDNSTLLNSPKEVYAEVKFFIYNRKEDKYLTYQETDAKRFFLFKPYWGYGNVRPYTDVANPNAGWLFDGDNVLFGVDVFVTEVFNKWEVFSFTKSLHDRLYKWTLPNFSSLEKQYYVSDKFVIGGRSWALKVYPSGDGEGQGNSLSLYVVAVDVKPYDKIYLKAKLRIINQRDSKHMEKKVESWSDQANSWGFQKFVPFADLKDTSKGLLVNDTLKMEIEFEDFSNTKYFPS
VIMSS10096660 1 665 0.221084210526316 PF02450.15:LCAT:117:625 lecithin:cholesterol acyltransferase family protein / LACT family protein (RefSeq) 665 509 16 642 1 Arabidopsis thaliana VIMSS10096660 1 MicrobesOnline MSPLLRFRKLSSFSEDTINPKPKQSATVEKPKRRRSGRCSCVDSCCWLIGYLCTAWWLLLFLYHSVPVPAMLQAPESPGTRLSRDGVKAFHPVILVPGIVTGGLELWEGRPCAEGLFRKRLWGASFSEILRRPLCWLEHLSLDSETGLDPSGIRVRAVPGLVAADYFAPCYFAWAVLIENLAKIGYEGKNLHMASYDWRLSFHNTEVRDQSLSRLKSKIELMYATNGFKKVVVVPHSMGAIYFLHFLKWVETPLPDGGGGGGPGWCAKHIKSVVNIGPAFLGVPKAVSNLLSAEGKDIAYARSLAPGLLDSELLKLQTLEHLMRMSHSWDSIVSLLPKGGEAIWGDLDSHAEEGLNCIYSKRKSSQLSLSNLHKQNYSLKPVSRVKEPAKYGRIVSFGKRASELPSSQLSTLNVKELSRVDGNSNDSTSCGEFWSEYNEMSRESIVKVAENTAYTATTVLDLLRFIAPKMMRRAEAHFSHGIADDLDDPKYGHYKYWSNPLETKLPEAPEMEMYCLYGVGIPTERSYIYKLATSSGKCKSSIPFRIDGSLDGDDVCLKGGTRFADGDESVPVISAGFMCAKGWRGKTRFNPSGMDTFLREYKHKPPGSLLESRGTESGAHVDIMGNVGLIEDVLRIAAGASGQEIGGDRIYSDVMRMSERISIKL
VIMSS10097031 1 610 0.343345901639343 PF01565.23:FAD_binding_4:128:255,PF04030.14:ALO:282:602 L-galactono-1,4-lactone dehydrogenase, mitochondrial; EC 1.3.2.3 610 449 16 610 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SU56 1 SwissProt MLRSLLLRRSVGHSLGTLSPSSSTIRSSFSPHRTLCTTGQTLTPPPPPPPRPPPPPPATASEAQFRKYAGYAALAIFSGVATYFSFPFPENAKHKKAQIFRYAPLPEDLHTVSNWSGTHEVQTRNFNQPENLADLEALVKESHEKKLRIRPVGSGLSPNGIGLSRSGMVNLALMDKVLEVDKEKKRVTVQAGIRVQQLVDAIKDYGLTLQNFASIREQQIGGIIQVGAHGTGARLPPIDEQVISMKLVTPAKGTIELSREKDPELFHLARCGLGGLGVVAEVTLQCVARHELVEHTYVSNLQEIKKNHKKLLSANKHVKYLYIPYTDTVVVVTCNPVSKWSGPPKDKPKYTTDEAVQHVRDLYRESIVKYRVQDSGKKSPDSSEPDIQELSFTELRDKLLALDPLNDVHVAKVNQAEAEFWKKSEGYRVGWSDEILGFDCGGQQWVSESCFPAGTLANPSMKDLEYIEELKKLIEKEAIPAPAPIEQRWTARSKSPISPAFSTSEDDIFSWVGIIMYLPTADPRQRKDITDEFFHYRHLTQKQLWDQFSAYEHWAKIEIPKDKEELEALQARIRKRFPVDAYNKARRELDPNRILSNNMVEKLFPVSTTA
VIMSS10097759 1 471 0.321234182590233 PF00294.24:PfkB:134:443 Fructokinase-like 1, chloroplastic; PEP-associated protein 6; pfkB-type carbohydrate kinase family protein 2 471 310 16 471 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M394 1 SwissProt MASLLIFPHLHHFDSSLDRREVLVVRHSQASRRFLTPKASINGSGITNGAAAETTSKPSRKGRKKKQTSTVIEKDNTETDPELNPELADYDDGIEFPYDDPPLVCCFGAVQKEFVPVVRVHDNPMHPDMYSQWKMLQWDPPEFGRAPGGPPSNVAISHVRLGGRAAFMGKVGEDDFGDELVLMMNQERVQTRAVKFDENSKTACTRVKIKFKDGKMMAETVKEPPEDSLFASELNLAVLKEARIFHFNSEVLTSPTMQSTLFTAIQWSKKFGGLIFFDLNLPLPLWRSRNETRKLIKKAWNEANIIEVSQQELEFLLDEDYYERRRNYTPQYFAEDFDQTKNRRDYYHYTPEEIKSLWHDKLKLLVVTDGTLRLHYYTPTFDGVVIGTEDVLITPFTCDRTGSGDAVVAGIMRKLTTCPEMFEDQDVMERQLRFAVAAGIIAQWTIGAVRGFPTESATQNLKEQVYVPSMW
VIMSS10098076 1 253 0.303137154150198 PF00010.26:HLH:72:124 Transcription factor ORG2; Basic helix-loop-helix protein 38; AtbHLH38; bHLH 38; OBP3-responsive gene 2; Transcription factor EN 8; bHLH transcription factor bHLH038 253 53 16 253 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M1K1 1 SwissProt MCALVPSFFTNFGWPSTNQYESYYGAGDNLNNGTFLELTVPQTYEVTHHQNSLGVSVSSEGNEIDNNPVVVKKLNHNASERDRRKKINTLFSSLRSCLPASDQSKKLSIPETVSKSLKYIPELQQQVKRLIQKKEEILVRVSGQRDFELYDKQQPKAVASYLSTVSATRLGDNEVMVQVSSSKIHNFSISNVLGGIEEDGFVLVDVSSSRSQGERLFYTLHLQVENMDDYKINCEELSERMLYLYEKCENSFN
VIMSS10098733 1 313 0.282882428115016 PF01190.17:Pollen_Ole_e_I:188:275 Proline-rich protein 3; AtPRP3 313 88 16 313 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZJ7 1 SwissProt MAITRSSLAICLILSLVTITTADYYSPSSPPVYKSPEHKPTLPSPVYTPPVYKPTLSPPVYTKPTIPPPVYTPPVYKHTPSPPVYTKPTIPPPVYTPPVYKPTLSPPVYTKPTIPPPVYTPPVYKPTPVYTKPTIPPPVYTPPVYKPTPSPPVYKKSPSYSSPPPPYVPKPTYTPTTKPYVPEILKAVDGIILCKNGYETYPILGAKIQIVCSDPASYGKSNTEVVIYSNPTDSKGYFHLSLTSIKDLAYCRVKLYLSPVETCKNPTNVNKGLTGVPLALYGYRFYPDKNLELFSVGPFYYTGPKAAPATPKY
VIMSS10098847 1 198 0.508807575757576 PF00010.26:HLH:127:167 Transcription factor IND; Basic helix-loop-helix protein 40; AtbHLH40; bHLH 40; Protein INDEHISCENT; Transcription factor EN 120; bHLH transcription factor bHLH040 198 41 16 198 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81313 1 SwissProt MENGMYKKKGVCDSCVSSKSRSNHSPKRSMMEPQPHHLLMDWNKANDLLTQEHAAFLNDPHHLMLDPPPETLIHLDEDEEYDEDMDAMKEMQYMIAVMQPVDIDPATVPKPNRRNVRISDDPQTVVARRRRERISEKIRILKRIVPGGAKMDTASMLDEAIRYTKFLKRQVRILQPHSQIGAPMANPSYLCYYHNSQP
VIMSS10100698 1 403 0.27660347394541 PF02469.22:Fasciclin:37:132,PF02469.22:Fasciclin:200:328 Fasciclin-like arabinogalactan protein 2 403 225 16 403 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SU13 1 SwissProt MAYLRRAATALVLIFQLHLFLSLSNAHNITRILAKDPDFSTFNHYLSATHLADEINRRQTITVLAVDNSAMSSILSNGYSLYQIRNILSLHVLVDYFGTKKLHQITDGSTSTASMFQSTGSATGTSGYINITDIKGGKVAFGVQDDDSKLTAHYVKSVFEKPYNISVLHISQVLTSPEAEAPTASPSDLILTTILEKQGCKAFSDILKSTGADKTFQDTVDGGLTVFCPSDSAVGKFMPKFKSLSPANKTALVLYHGMPVYQSLQMLRSGNGAVNTLATEGNNKFDFTVQNDGEDVTLETDVVTAKVMGTLKDQEPLIVYKIDKVLLPREIYKAVKTSAPAPKSSKKKPKNAEADADGPSADAPSDDDVEVADDKNGAVSAMITRTSNVVTAIVGLCFGVWLM
VIMSS10101031 1 827 0.281929504232165 PF03101.15:FAR1:66:154,PF10551.9:MULE:275:368,PF04434.17:SWIM:557:590 Protein FAR-RED IMPAIRED RESPONSE 1 827 217 16 827 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SWG3 1 SwissProt MDLQENLVSDAGDDHMVDIVVEPHSNRDIGIVDEFNIGGDVGFSGDLDLEPRNGIDFDTHEAAYIFYQEYAKSMGFTTSIKNSRRSKKTKDFIDAKFACSRYGVTPESESSGSSSRRSTVKKTDCKASMHVKRRPDGKWIIHEFVKDHNHELLPALAYHFRIQRNVKLAEKNNIDILHAVSERTKKMYVEMSRQSGGYKNIGSLLQTDVSSQVDKGRYLALEEGDSQVLLEYFKRIKKENPKFFYAIDLNEDQRLRNLFWADAKSRDDYLSFNDVVSFDTTYVKFNDKLPLALFIGVNHHSQPMLLGCALVADESMETFVWLIKTWLRAMGGRAPKVILTDQDKFLMSAVSELLPNTRHCFALWHVLEKIPEYFSHVMKRHENFLLKFNKCIFRSWTDDEFDMRWWKMVSQFGLENDEWLLWLHEHRQKWVPTFMSDVFLAGMSTSQRSESVNSFFDKYIHKKITLKEFLRQYGVILQNRYEEESVADFDTCHKQPALKSPSPWEKQMATTYTHTIFKKFQVEVLGVVACHPRKEKEDENMATFRVQDCEKDDDFLVTWSKTKSELCCFCRMFEYKGFLCRHALMILQMCGFASIPPQYILKRWTKDAKSGVLAGEGADQIQTRVQRYNDLCSRATELSEEGCVSEENYNIALRTLVETLKNCVDMNNARNNITESNSQLNNGTHEEENQVMAGVKATKKKTVYRKRKGQQEASQMLESQQSLQPMETISSEAMDMNGYYGPQQNVQGLLNLMEPPHEGYYVDQRTIQGLGQLNSIAPAQDSFFTNQQAMSGMVGQIDFRPPPNFTYTLQEEHLSSAQLPGSSSRQL
VIMSS10101277 189 1449 0.12721919111816 PF07725.12:LRR_3:409:427,PF07725.12:LRR_3:546:565,PF07725.12:LRR_3:706:725,PF00931.22:NB-ARC:4:237,PF00560.33:LRR_1:455:476,PF00560.33:LRR_1:752:774 Disease resistance protein RPP5; Protein RECOGNITION OF PERONOSPORA PARASITICA 5 1449 338 16 1261 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4JNB7 1 SwissProt VGIEAHIEAIKSVLCLESKEARMVGIWGQSGIGKSTIGRALFSQLSIQFPLRAFLTYKSTSGSDVSGMKLSWEKELLSEILGQKDIKIEHFGVVEQRLKHKKVLILLDDVDNLEFLKTLVGKAEWFGSGSRIIVITQDRQFLKAHDIDLVYEVKLPSQGLALTMLCRSAFGKDSPPDDFKELAFEVAKLAGHLPLGLNVLGSSLRRRGKKEWMEMMPRLRNGLNGDIMKTLRVSYDRLHQKDQDMFLCIACLFNGFEVSYVKDLLEDNVGLTMLSEKSLIRITPDGHIEMHNLLEKLGREIDRAKSKGNPGKRQFLTNFEDIHEVVTEKTGTETLLGIRLPFEEYFSTRPLLIDKESFKGMRNLQYLKIGDWSDGGQPQSLVYLPLKLRLLDWDDCPLKSLPSTFKAEYLVNLIMKYSKLEKLWEGTLPLGSLKKMNLLCSKNLKEIPDLSNARNLEELDLEGCESLVTLPSSIQNAIKLRKLHCSGVILIDLKSLEGMCNLEYLSVDCSRVEGTQGIVYFPSKLRLLLWNNCPLKRLHSNFKVEYLVKLRMENSDLEKLWDGTQPLGRLKQMFLRGSKYLKEIPDLSLAINLEEVDICKCESLVTFPSSMQNAIKLIYLDISDCKKLESFPTDLNLESLEYLNLTGCPNLRNFPAIKMGCSDVDFPEGRNEIVVEDCFWNKNLPAGLDYLDCLMRCMPCEFRPEYLVFLNVRCYKHEKLWEGIQSLGSLEEMDLSESENLTEIPDLSKATNLKHLYLNNCKSLVTLPSTIGNLQKLVRLEMKECTGLEVLPTDVNLSSLETLDLSGCSSLRTFPLISKSIKWLYLENTAIEEILDLSKATKLESLILNNCKSLVTLPSTIGNLQNLRRLYMKRCTGLEVLPTDVNLSSLGILDLSGCSSLRTFPLISTNIVWLYLENTAIGEVPCCIEDFTRLRVLLMYCCQRLKNISPNIFRLRSLMFADFTDCRGVIKALSDATVVATMEDSVSCVPLSENIEYTCERFWGELYGDGDWDLGTEYFSFRNCFKLDRDARELILRSCFKPVALPGGEIPKYFTYRAYGDSLTVTLPRSSLSQSFLRFKACLVVDPLSEGKGFYRYLEVNFGFNGKQYQKSFLEDEELEFCKTDHLFFCSFKFESEMTFNDVEFKFCCSNRIKECGVRLMYVSQETEYNQQTTRSKKRMRMTSGTSEEYINLAGDQIVADTGLAALNMELSLGEGEASSSTSLEGEALSVDYMITKEQDEDIPFLDPVSDGTWRSFYSAE
VIMSS10101578 1 391 0.159376214833759 PF03283.13:PAE:23:369 Pectin acetylesterase 7; EC 3.1.1.- 391 347 16 368 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q940J8 1 SwissProt MGRLKQCWSSLLVLAVLVIGTGAVPITYLQSAVAKGAVCLDGSAPAYHFDKGFGSGVNNWIVHMEGGGWCTDVASCNERKGTMKGSSKFMNKDFGFSGILGGKQSTNPDFYNWNRIKVRYCDGSSFTGNVEAVNPANKLFFRGARVWRAVVDDLMAKGMKNAQNAILSGCSAGALAAILHCDTFRAILPRTASVKCVSDAGYFIHGKDITGGSYIQSYYSKVVALHGSAKSLPVSCTSKMKPELCFFPQYVVPSMRTPLFVINAAFDSWQIKNVLAPTAVDKGKEWKNCKLDLKKCSAAQLKTVQGFRDQMMRALSPVHSTPSRGLFLDSCHAHCQGGSAASWSGDKGPQVANTRIAKAVGNWFYGRSAFQKIDCPSPTCNPTCPAISTED
VIMSS10103329 1 382 0.120653664921466 PF08392.12:FAE1_CUT1_RppA:75:362 3-ketoacyl-CoA synthase 17; KCS-17; Very long-chain fatty acid condensing enzyme 17; VLCFA condensing enzyme 17; EC 2.3.1.199 487 288 16 336 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65677 1 SwissProt MDANGGPVQIRTQNYVKLGYHYLITHFFKLMFLPLMAVLFMNVSLLSLNHLQLYYNSTGFIFVITLAIVGSIVFFMSRPRSIYLLDYSCYLPPSSQKVSYQKFMNNSSLIQDFSETSLEFQRKILIRSGLGEETYLPDSIHSIPPRPTMAAAREEAEQVIFGALDNLFENTKINPREIGVLVVNCSLFNPTPSLSAMIVNKYKLRGNIKSFNLGGMGCSAGVIAVDLASDMLQIHRNTFALVVSTENITQNWYFGNKKAMLIPNCLFRVGGSAVLLSNKPLDRKRSKYKLVHTVRTHKGSDENAFNCVYQEQDECLKTGVSLSKDLMAIAGEALKTNITSLGPLVLPISEQILFFATFVAKRLFNDKKKKPYIPDFKLALDH
VIMSS10103781 1 1494 0.409146184738957 E3 ubiquitin-protein ligase UPL3; Ubiquitin-protein ligase 3; HECT ubiquitin-protein ligase 3; HECT-type E3 ubiquitin transferase UPL3; Protein KAKTUS; EC 2.3.2.26 1888 0 16 1494 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6WWW4 1 SwissProt METRSRKRAEATSAAPSSSSSSPPPPPSASGPTTRSKRARLSSSSSSSLAPTPPSSSTTTRSRSSRSAAAAAPMDTSTDSSGFRRGGRGNRGNNNDNSDKGKEKEHDVRIRERERERDRAREQLNMDAAAAAARSADEDDDNDSEDGNGGFMHPNMSSASSALQGLLRKLGAGLDDLLPSSGIGSASSSHLNGRMKKILSGLRAEGEEGKQVEALTQLCEMLSIGTEDSLSTFSVDSFVPVLVGLLNHESNPDIMLLAARALTHLCDVLPSSCAAVVHYGAVSCLVARLLTIEYMDLAEQSLQALKKISQEHPTACLRAGALMAVLSYLDFFSTGVQRVALSTAANMCKKLPSDASDYVMEAVPLLTNLLQYHDSKVLEYASICLTRIAEAFAPYPEKLDELCNHGLVTQAASLISTSNSGGGQASLSVSTYTGLIRLLSTCASGSPLGFRTLLLLGISSILKDILLGSGVSANASVSPALSRPADQIYEIVNLANELLPPLPEGVISLPTSTNALVKGSCQKKSSPSTSGKQEDILKISPREKLLGDQPELLQQFGLDLLPVLVQIYGSSVNGTIRHKCLSVIGKLMYFSSSEMIQSLIGDTNISSFLAGVLAWKDPQVLVPALQVAEILMEKLPETFSKVFVREGVVHAVDQLVLVGKPSHASPTDKDNDCVPGSARSRRYRRRSSNANSDGNQSEEPKNPASLTIGANHNSLDTPTASFMLRETVSSCAKAFKDKYFPSDGGDVDVGVTDDLLHLKNLCTKLTAGIDDHKVKGKGKSKASGPFLGDFSASKEEYLIGVISEILGEISKGDGVSTFEFIGSGVVAALLNYFSCGYFSKEKISELNLPKLRQEGLRRFKAFLEVALPFDGNEGKVPPMTVLIQKLQNALSSLERFPVVLSHPSRSLSGSARLSSGLSALAHPLKLRLCRASGEKTLRDYSSNIVLIDPLASLAAVEEFLWPRVQRSESALKPAAPIGNTEPGTLPSGAGVSSPSSSTPASTTRRHSSRSRSAINIGDTSKKDPVHEKGTSSSKGKGKGVMKPAQADKGPQTRSNAQKRAVLDKDTQMKPASGDSSSEDEELEISPVDIDDALVIEEDDISDDEDDDNEDVLDDSLPMCTPDKVHDVKLADSVDDDGLATSGRQMNPASGGTSGAAAARASDSIDTGIGNSYGSRGALSFAAAAMAGLGAASGRGIRGSRDLHGRTLNRSSDEPSKLIFTAAGKQLSRHLTIYQAVQRQLMLDEDDDDRFGGSDLVSSDGSRFNDIYTIMYQRPDSQVNRLSVGGASSTTPSKSTKSATTNSSVESQSHRASLLDSILQGELPCDLEKSNSTYNVLALLRVLEGLNQLCPRLRAQTLSDRFAEGKITSLDDLSTTAAKVPLDEFVNSKLTPKLARQIQDALALCSGSLPSWCYQLTRACPFLFPFQTRRQYFYSTAFGLSRALNRLQQQQGADGSGSTNEREMRIGRLQRQKVRVSRNRILDSAAKVMEMYSSQ
VIMSS10103870 1 209 0.548317703349282 WRKY DNA-binding protein 13 304 0 16 209 0 Arabidopsis thaliana NP_195651.1 1 RefSeq MGAINQGISLFDESQTVINPINTNHLGFFFSFPSHSTLSSSSSSSSSSPSSLVSPFLGHNSLNSFLHNNPSSFISHPQDSINLMTNLPETLISSLSSSKQRDDHDGFLNLDHHRLTGSISSQRPLSNPWAWSCQAGYGSSQKNNHGSEIDVDDNDDEVGDGGGINDDDNGRHHHHDTPSRHDKHNTASLGVVSSLKMKKLKTRRKVREP
VIMSS10104265 1 591 0.508000846023688 PF13837.6:Myb_DNA-bind_4:119:205,PF13837.6:Myb_DNA-bind_4:420:506 Trihelix transcription factor PTL; Trihelix DNA-binding protein PETAL LOSS 591 174 16 591 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZS0 1 SwissProt MDQDQHPQYGIPELRQLMKGGGRTTTTTPSTSSHFPSDFFGFNLAPVQPPPHRLHQFTTDQDMGFLPRGIHGLGGGSSTAGNNSNLNASTSGGGVGFSGFLDGGGFGSGVGGDGGGTGRWPRQETLTLLEIRSRLDHKFKEANQKGPLWDEVSRIMSEEHGYQRSGKKCREKFENLYKYYRKTKEGKAGRQDGKHYRFFRQLEALYGDSNNLVSCPNHNTQFMSSALHGFHTQNPMNVTTTTSNIHNVDSVHGFHQSLSLSNNYNSSELELMTSSSEGNDSSSRRKKRSWKAKIKEFIDTNMKRLIERQDVWLEKLTKVIEDKEEQRMMKEEEWRKIEAARIDKEHLFWAKERARMEARDVAVIEALQYLTGKPLIKPLCSSPEERTNGNNEIRNNSETQNENGSDQTMTNNVCVKGSSSCWGEQEILKLMEIRTSMDSTFQEILGGCSDEFLWEEIAAKLIQLGFDQRSALLCKEKWEWISNGMRKEKKQINKKRKDNSSSCGVYYPRNEENPIYNNRESGYNDNDPHQINEQGNVGSSTSNANANANVTTGNPSGAMAASTNCFPFFMGDGDQNLWESYGLRLSKEENQ
VIMSS10104408 1 320 0.187071875 PF03059.16:NAS:5:276 nicotianamine synthase (EC 2.5.1.43) 320 272 16 320 0 Arabidopsis thaliana BRENDA::Q9FF79 1 BRENDA MACQNNLVVKQIIDLYDQISKLKSLKPSKNVDTLFGQLVSTCLPTDTNIDVTNMCEEVKDMRANLIKLCGEAEGYLEQHFSTILGSLQEDQNPLDHLHIFPYYSNYLKLGKLEFDLLSQHSSHVPTKIAFVGSGPMPLTSIVLAKFHLPNTTFHNFDIDSHANTLASNLVSRDPDLSKRMIFHTTDVLNATEGLDQYDVVFLAALVGMDKESKVKAIEHLEKHMAPGAVLMLRSAHALRAFLYPIVDSSDLKGFQLLTIYHPTDDVVNSVVIARKLGGPTTPGVNGTRGCMFMPCNCSKIHAIMNNRGKKNMIEEFSAIE
VIMSS10105784 1 90 0.16609 PF02519.14:Auxin_inducible:17:88 Auxin-responsive protein SAUR23; Protein SMALL AUXIN UP RNA 23 90 72 16 90 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJF6 1 SwissProt MALVRSLLVAKKILSRSAAAVSAPPKGFLAVYVGESQKKRYLVPLSYLNQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFINVTSRLH
VIMSS10106332 1 625 0.530668639999998 PF03468.14:XS:299:410,PF03470.14:zf-XS:228:265 Protein SUPPRESSOR OF GENE SILENCING 3; AtSGS3 625 150 16 625 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDX1 1 SwissProt MSSRAGPMSKEKNVQGGYRPEVEQLVQGLAGTRLASSQDDGGEWEVISKKNKNKPGNTSGKTWVSQNSNPPRAWGGQQQGRGSNVSGRGNNVSGRGNGNGRGIQANISGRGRALSRKYDNNFVAPPPVSRPPLEGGWNWQARGGSAQHTAVQEFPDVEDDVDNASEEENDSDALDDSDDDLASDDYDSDVSQKSHGSRKQNKWFKKFFGSLDSLSIEQINEPQRQWHCPACQNGPGAIDWYNLHPLLAHARTKGARRVKLHRELAEVLEKDLQMRGASVIPCGEIYGQWKGLGEDEKDYEIVWPPMVIIMNTRLDKDDNDKWLGMGNQELLEYFDKYEALRARHSYGPQGHRGMSVLMFESSATGYLEAERLHRELAEMGLDRIAWGQKRSMFSGGVRQLYGFLATKQDLDIFNQHSQGKTRLKFELKSYQEMVVKELRQISEDNQQLNYFKNKLSKQNKHAKVLEESLEIMSEKLRRTAEDNRIVRQRTKMQHEQNREEMDAHDRFFMDSIKQIHERRDAKEENFEMLQQQERAKVVGQQQQNINPSSNDDCRKRAEEVSSFIEFQEKEMEEFVEEREMLIKDQEKKMEDMKKRHHEEIFDLEKEFDEALEQLMYKHGLHNEDD
VIMSS10106541 68 186 0.456390756302521 SHN2 (shine2); DNA binding / transcription factor (RefSeq) 186 0 16 119 0 Arabidopsis thaliana VIMSS10106541 1 MicrobesOnline NSLEINSALRSPKSLSELLNAKLRKNCKDQTPYLTCLRLDNDSSHIGVWQKRAGSKTSPNWVKLVELGDKVNARPGGDIETNKMKVRNEDVQEDDQMAMQMIEELLNWTCPGSGSIAQV
VIMSS10106631 55 216 0.288434567901235 PF04752.12:ChaC:4:127 Gamma-glutamylcyclotransferase 2-1; AtGGCT2;1; Gamma-glutamyl cyclotransferase 2;1; EC 4.3.2.9 216 124 16 162 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GY54 1 SwissProt EQSTGAICWGAAYCVRGGPEKEKLAMEYLERRECEYDSKTLVEFYTENDTSTPIVTGVIVFTSTPDKVSNKYYLGPAPLEEMARQIATASGPCGNNREYLFKLEKAMFDIEHEEEYVIELANEVRKQLDLPEEVKALLKPIVSHVSVKSQAHVSTRQRVFAS
VIMSS10108706 1 318 0.242406603773585 PF07224.11:Chlorophyllase:2:301,PF12740.7:Chlorophyllase2:40:296 Chlorophyllase-2, chloroplastic; AtCLH2; Chlorophyll-chlorophyllido hydrolase 2; Chlase 2; EC 3.1.1.14 318 300 16 318 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M7I7 1 SwissProt MSSSSSRNAFEDGKYKSNLLTLDSSSRCCKITPSSRASPSPPKQLLVATPVEEGDYPVVMLLHGYLLYNSFYSQLMLHVSSHGFILIAPQLYSIAGPDTMDEIKSTAEIMDWLSVGLNHFLPAQVTPNLSKFALSGHSRGGKTAFAVALKKFGYSSNLKISTLIGIDPVDGTGKGKQTPPPVLAYLPNSFDLDKTPILVIGSGLGETARNPLFPPCAPPGVNHREFFRECQGPAWHFVAKDYGHLDMLDDDTKGIRGKSSYCLCKNGEERRPMRRFVGGLVVSFLKAYLEGDDRELVKIKDGCHEDVPVEIQEFEVIM
VIMSS10109586 1 626 0.263891853035144 PF09733.9:VEFS-Box:485:619 EMF2 (EMBRYONIC FLOWER 2); DNA binding / transcription factor (RefSeq) 626 135 16 626 0 Arabidopsis thaliana VIMSS10109586 1 MicrobesOnline MPGIPLVSRETSSCSRSTEQMCHEDSRLRISEEEEIAAEESLAAYCKPVELYNIIQRRAIRNPLFLQRCLHYKIEAKHKRRIQMTVFLSGAIDAGVQTQKLFPLYILLARLVSPKPVAEYSAVYRFSRACILTGGLGVDGVSQAQANFLLPDMNRLALEAKSGSLAILFISFAGAQNSQFGIDSGKIHSGNIGGHCLWSKIPLQSLYASWQKSPNMDLGQRVDTVSLVEMQPCFIKLKSMSEEKCVSIQVPSNPLTSSSPQQVQVTISAEEVGSTEKSPYSSFSYNDISSSSLLQIIRLRTGNVVFNYRYYNNKLQKTEVTEDFSCPFCLVKCASFKGLRYHLPSTHDLLNFEFWVTEEFQAVNVSLKTETMISKVNEDDVDPKQQTFFFSRRRQKSQVRSSRQGPHLGLGCEVLDKTDDAHSVRSEKSRIPPGKHYERIGGAESGQRVPPGTSPADVQSCGDPDYVQSIAGSTMLQFAKTRKISIERSDLRNRSLLQKRQFFHSHRAQPMALEQVLSDRDSEDEVDDDVADFEDRRMLDDFVDVTKDEKQMMHMWNSFVRKQRVLADGHIPWACEAFSRLHGPIMVRTPHLIWCWRVFMVKLWNHGLLDARTMNNCNTFLEQLQI
VIMSS10110104 1 424 0.314026179245283 PF02469.22:Fasciclin:36:103,PF02469.22:Fasciclin:203:325 Fasciclin-like arabinogalactan protein 1 424 191 16 424 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FM65 1 SwissProt MAKKMSSLIIIFNILLLLTTQTHAHNVTRLLANHPSFSSFSHFLTQTHLADEINRRRTITVCAVDNAAMSALTSKGYTLSTLKNILSLHVLLDYFGTKKLHQIRDGSALAATLFQATGAAPGTSGFVNITDLRGGKVGFGPDGGDLSSFFVKSIEEVPYNISIIQISRVLPSETAAAPTPAPAEMNLTGIMSAHGCKVFAETLLTNPGASKTYQESLEGGMTVFCPGDDAMKGFLPKYKNLTAPKKEAFLDFLAVPTYYSMAMLKSNNGPMNTLATDGANKFELTVQNDGEKVTLKTRINTVKIVDTLIDEQPLAIYATDKVLLPKELFKASAVEAPAPAPAPEDGDVADSPKAAKGKAKGKKKKAAPSPDNDPFGDSDSPAEGPDGEADDATADDAGAVRIIGGAKAGLVVSLLCLFASSWLL
VIMSS10111430 1 245 0.575882857142857 PF13912.6:zf-C2H2_6:97:121,PF13912.6:zf-C2H2_6:164:188,PF13894.6:zf-C2H2_4:97:119 Zinc finger protein AZF1; Zinc finger protein OZAKGYO; Zinc-finger protein 1 245 50 16 245 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SSW1 1 SwissProt MALETLNSPTATTTARPLLRYREEMEPENLEQWAKRKRTKRQRFDHGHQNQETNKNLPSEEEYLALCLLMLARGSAVQSPPLPPLPSRASPSDHRDYKCTVCGKSFSSYQALGGHKTSHRKPTNTSITSGNQELSNNSHSNSGSVVINVTVNTGNGVSQSGKIHTCSICFKSFASGQALGGHKRCHYDGGNNGNGNGSSSNSVELVAGSDVSDVDNERWSEESAIGGHRGFDLNLPADQVSVTTS
VIMSS102991 1 159 0.348891194968553 PF01476.20:LysM:53:93 hypothetical protein (NCBI) 279 41 16 159 0 Staphylococcus aureus subsp. aureus N315 VIMSS102991 1 MicrobesOnline MKKTLTVTVSSVLAFLALNNAAHAQQHGTQVKTPVQHNYVSNVQAQTQSPTTYTVVAGDSLYKIALEHHLTLNQLYSYNPGVTPLIFPGDVISLVPQNKVKQTKAVKSPVRKASQAKKVVKQPVQQASKKVVVKQAPKQAVAKTVNVAYKPAQVQKSVP
VIMSS109975 1 332 0.152669277108434 PF01545.21:Cation_efflux:45:237,PF16916.5:ZT_dimer:241:317 Probable cation efflux system protein Rv2025c 332 270 16 243 4 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WGF5 1 SwissProt MTHDHAHSRGVPAMIKEIFAPHSHDAADSVDDTLESTAAGIRTVKISLLVLGLTALIQIVIVVMSGSVALAADTIHNFADALTAVPLWIAFALGAKPATRRYTYGFGRVEDLAGSFVVAMITMSAIIAGYEAIARLIHPQQIEHVGWVALAGLVGFIGNEWVALYRIRVGHRIGSAALIADGLHARTDGFTSLAVLCSAGGVALGFPLADPIVGLLITAAILAVLRTAARDVFRRLLDGVDPAMVDAAEQALAARPGVQAVRSVRMRWIGHRLHADAELDVDPALDLAQAHRIAHDAEHELTHTVPKLTTALIHAYPAEHGSSIPDRGRTVE
VIMSS11731 1 374 0.0821612299465241 PF05982.12:Sbt_1:18:369 unknown protein (NCBI ptt file) 374 352 16 198 8 Synechocystis sp. PCC 6803 VIMSS11731 1 MicrobesOnline MDFLSNFLTDFVGQLQSPTLAFLIGGMVIAALGTQLVIPEAISTIIVFMLLTKIGLTGGMAIRNSNLTEMLLPVAFSVILGILIVFIARFTLAKLPNVRTVDALATGGLFGAVSGSTMAAALTTLEESKISYEAWAGALYPFMDIPALVTAIVVANIYLNKRKRKSAAASIEESFSKQPVAAGDYGDQTDYPRTRQEYLSQQEPEDNRVKIWPIIEESLQGPALSAMLLGLALGIFTKPESVYEGFYDPLFRGLLSILMLIMGMEAWSRIGELRKVAQWYVVYSLIAPIVHGFIAFGLGMIAHYATGFSLGGVVVLAVIAASSSDISGPPTLRAGIPSANPSAYIGSSTAIGTPIAIGVCIPLFIGLAQTLGAG
VIMSS1291212 1 63 0.0849730158730159 hypothetical protein 63 0 16 63 0 Staphylococcus argenteus WP_001224379.1 1 RefSeq MRKEIEALIFSDVSSYDIYVNTGVNQGLIGDIKDGYLTIDSMPYIDAERLYHFAMERKSLVTN
VIMSS1292770 1 523 0.0030944550669216 PF03706.13:LPG_synthase_TM:14:289 Phosphatidylglycerol lysyltransferase; Lysylphosphatidylglycerol synthase; LPG synthase; Multiple peptide resistance factor; EC 2.3.2.3 840 276 16 207 14 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2G2M2 0 SwissProt MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKI
VIMSS1293167 1 137 0.279072262773723 PF00582.26:Usp:1:137 hypothetical protein (NCBI) 137 137 16 137 0 Staphylococcus aureus subsp. aureus USA300_FPR3757 VIMSS1293167 1 MicrobesOnline MYKNILLGVDTQLKNEKALKEVSKLAGEGTVVTVLNAISEQDAQASIKAGVHLNKLTEERSKRLEKTRKALEDYGIDYDQIIVRGNAKEELLKHANSGKYEIVVLSNRKAEDKKKFVLGSVSHKVAKRATIPVLIVK
VIMSS141179 1 305 0.710671147540984 transferrin-binding protein-like protein 488 0 16 305 0 Neisseria meningitidis MC58 NP_275117.1 1 RefSeq MFKRSVIAMACIFALSACGGGGGGSPDVKSADTLSKPAAPVVSEKETEAKEDAPQAGSQGQGAPSAQGSQDMAAVSEENTGNGGAVTADNPKNEDEVAQNDMPQNAAGTDSSTPNHTPDPNMLAGNMENQATDAGESSQPANQPDMANAADGMQGDDPSAGGQNAGNTAAQGANQAGNNQAAGSSDPIPASNPAPANGGSNFGRVDLANGVLIDGPSQNITLTHCKGDSCSGNNFLDEEVQLKSEFEKLSDADKISNYKKDGKNDKFVGLVADSVQMKGINQYIIFYKPKPTSFARFRRSARSRR
VIMSS14566 1 296 0.0122648648648649 PF01040.18:UbiA:19:265 heme O synthase (EC 2.5.1.141) 296 247 16 97 9 Escherichia coli K-12 substr. MG1655 ecocyc::HEMEOSYN-MONOMER 0 ecocyc MMFKQYLQVTKPGIIFGNLISVIGGFLLASKGSIDYPLFIYTLVGVSLVVASGCVFNNYIDRDIDRKMERTKNRVLVKGLISPAVSLVYATLLGIAGFMLLWFGANPLACWLGVMGFVVYVGVYSLYMKRHSVYGTLIGSLSGAAPPVIGYCAVTGEFDSGAAILLAIFSLWQMPHSYAIAIFRFKDYQAANIPVLPVVKGISVAKNHITLYIIAFAVATLMLSLGGYAGYKYLVVAAAVSVWWLGMALRGYKVADDRIWARKLFGFSIIAITALSVMMSVDFMVPDSHTLLAAVW
VIMSS150178 85 553 0.149690191897655 Transcriptional regulator HilA; Protein IagA 553 0 16 469 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::P43015 1 SwissProt ILSEDKEHRYIETLYGQGYRFNRPVVVVSPPAPQPTTHTLAILPFQMQDQVQSESLHYSIVKGLSQYAPFGLSVLPVTITKNCRSVKDILELMDQLRPDYYISGQMIPDGNDNIVQIEIVRVKGYHLLHQESIKLIEHQPASLLQNKIANLLLRCIPGLRWDTKQISELNSIDSTMVYLRGKHELNQYTPYSLQQALKLLTQCVNMSPNSIAPYCALAECYLSMAQMGIFDKQNAMIKAKEHAIKATELDHNNPQALGLLGLINTIHSEYIVGSLLFKQANLLSPISADIKYYYGWNLFMAGQLEEALQTINECLKLDPTRAAAGITKLWITYYHTGIDDAIRLGDELRSQHLQDNPILLSMQVMFLSLKGKHELARKLTKEISTQEITGLIAVNLLYAEYCQNSERALPTIREFLESEQRIDNNPGLLPLVLVAHGEAIAEKMWNKFKNEDNIWFKRWKQDPRLIKLR
VIMSS15287 1 90 0.351627777777778 putative two-component system connector protein YmgA 90 0 16 90 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6605-MONOMER 1 ecocyc MKTSDNERIKYEITGQAVLQILRMKINFSLQTLIKQLLVMKSAEEDAFRRDLIDSIIRDFSNSDSGGPNRRTATADNKSMFNGKKINRIH
VIMSS15403 1 72 0.184625 PF05433.15:Rick_17kDa_Anti:34:71 osmotically-inducible lipoprotein OsmB 72 38 16 72 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10679-MONOMER 1 ecocyc MFVTSKKMTAAVLAITLAMSLSACSNWSKRDRNTAIGAGAGALGGAVLTDGSTLGTLGGAAVGGVIGHQVGK
VIMSS15654 1 299 0.00531973244147157 PF00892.20:EamA:7:130,PF00892.20:EamA:143:286 cysteine/O-acetylserine exporter EamA 299 268 16 80 10 Escherichia coli K-12 substr. MG1655 ecocyc::EG11639-MONOMER 0 ecocyc MSRKDGVLALLVVVVWGLNFVVIKVGLHNMPPLMLAGLRFMLVAFPAIFFVARPKVPLNLLLGYGLTISFAQFAFLFCAINFGMPAGLASLVLQAQAFFTIMLGAFTFGERLHGKQLAGIALAIFGVLVLIEDSLNGQHVAMLGFMLTLAAAFSWACGNIFNKKIMSHSTRPAVMSLVIWSALIPIIPFFVASLILDGSATMIHSLVTIDMTTILSLMYLAFVATIVGYGIWGTLLGRYETWRVAPLSLLVPVVGLASAALLLDERLTGLQFLGAVLIMTGLYINVFGLRWRKAVKVGS
VIMSS158893 1 268 0.118235820895522 PF12833.7:HTH_18:185:257 weakly similar to transcription regulator (NCBI ptt file) 268 73 16 268 0 Listeria monocytogenes EGD-e VIMSS158893 1 MicrobesOnline MAKLETFYPIVATPKRAGYKEYLPSAALTGYIRCFWEADDKNFPGNNLVVPDLCADIIFTIDSKTGLVTDAIFVGVSDASFESDDESNTELFAVRFYAWSLFLFVEQDLTGSMNRVKEPEEMFAGFVSFFQERFAEMTTNSERIALLEEFLLRKLMMLGKQVHPDFLNSIDKLLQNPNQFVLGAVSVRQLERLFQKHMGLAPKQTAKLIRFQKVLQALYENPSVPGAELAYLHGFTDQAHLIKQFKRYSNHTPEEMKQIFLQNVANIQ
VIMSS158894 1 156 0.208542948717949 PF00582.26:Usp:4:143 conserved hypothetical protein (NCBI ptt file) 156 140 16 156 0 Listeria monocytogenes EGD-e VIMSS158894 1 MicrobesOnline MSAYKRILVGVDGSNEAEAALRRAVQFAKMDGATLGIGFVADVRRIAPLIDYEQTYAKKAKAYGEELVEMYKKEAEKAGVAHVETFVHFGTPKTTFNKKITRNFEPDLILVGATGLSATEQFILGSVSEYTATHAPCDVIIVHAKPWRNRKTVEKL
VIMSS16775 1 512 0.067734765625 PF07690.16:MFS_1:19:413 multidrug efflux pump membrane subunit EmrB 512 395 16 231 13 Escherichia coli K-12 substr. MG1655 ecocyc::EMRB-MONOMER 1 ecocyc MQQQKPLEGAQLVIMTIALSLATFMQVLDSTIANVAIPTIAGNLGSSLSQGTWVITSFGVANAISIPLTGWLAKRVGEVKLFLWSTIAFAIASWACGVSSSLNMLIFFRVIQGIVAGPLIPLSQSLLLNNYPPAKRSIALALWSMTVIVAPICGPILGGYISDNYHWGWIFFINVPIGVAVVLMTLQTLRGRETRTERRRIDAVGLALLVIGIGSLQIMLDRGKELDWFSSQEIIILTVVAVVAICFLIVWELTDDNPIVDLSLFKSRNFTIGCLCISLAYMLYFGAIVLLPQLLQEVYGYTATWAGLASAPVGIIPVILSPIIGRFAHKLDMRRLVTFSFIMYAVCFYWRAYTFEPGMDFGASAWPQFIQGFAVACFFMPLTTITLSGLPPERLAAASSLSNFTRTLAGSIGTSITTTMWTNRESMHHAQLTESVNPFNPNAQAMYSQLEGLGMTQQQASGWIAQQITNQGLIISANEIFWMSAGIFLVLLGLVWFAKPPFGAGGGGGGAH
VIMSS17001 1 246 0.326117479674797 PF04402.14:SIMPL:30:230 uncharacterized protein YggE 246 201 16 246 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11244-MONOMER 1 ecocyc MKFKVIALAALMGISGMAAQANELPDGPHIVTSGTASVDAVPDIATLAIEVNVAAKDAATAKKQADERVAQYISFLELNQIAKKDISSANLRTQPDYDYQDGKSILKGYRAVRTVEVTLRQLDKLNSLLDGALKAGLNEIRSVSLGVAQPDAYKDKARKAAIDNAIHQAQELANGFHRKLGPVYSVRYHVSNYQPSPMVRMMKADAAPVSAQETYEQAAIQFDDQVDVVFQLEPVDQQPAKTPAAQ
VIMSS18813 1 311 0.14874501607717 PF01584.19:CheW:19:162,PF00072.24:Response_reg:183:301 chemotaxis protein (cheV) (NCBI ptt file) 311 263 16 311 0 Helicobacter pylori 26695 VIMSS18813 1 MicrobesOnline MAEKTANDLKLSEIELVDFRIYGMQEGVPYEGIYGINVAKVQEIIPMPTLFEYPTNLDYIIGVFDLRSIIIPLIDLAKWIGIIPDKSKENEKIVIITEFNNVKMGFLVHSARRIRRISWKDVEPASFSASNSINKENITGTTRIENDKTLLILDLESILDDLKLNEDAKNAKDTHKERFEGEVLFLDDSKTARKTLKNHLSKLGFSITEAVDGEDGLNKLEMLFKKYGDDLRKHLKFIISDVEMPKMDGYHFLFKLQKDPRFAYIPVIFNSSICDNYSAERAKEMGAVAYLVKFDAEKFTEEISKILDKNA
VIMSS19452 1 368 0.0690983695652174 PF00771.20:FHIPEP:35:338 Flagellar biosynthesis protein FlhA 733 304 16 198 7 Helicobacter pylori (strain ATCC 700392 / 26695) O06758 1 SwissProt/TReMBL MANERSKLAFKKTFPVFKRFLQSKDLALVVFVIAILAIIIVPLPPFVLDFLLTISIALSVLIILIGLYIDKPTDFSAFPTLLLIVTLYRLALNVATTRMILTQGYKGPSAVSDIITAFGEFSVSGNYVIGAIIFSILVLVNLLVVTNGSTRVTEVRARFALDAMPGKQMAIDADLNSGLIDDKEAKKRRAALSQEADFYGAMDGASKFVKGDAIASIIITLINIIGGFLVGVFQRDMSLSFSASTFTILTIGDGLVGQIPALIIATATGIVATRTTQNEEEDFASKLITQLTNKSKTLVIVGAILLLFATIPGLPTFSLAFVGTLFLFIAWLISREGKDGLLTKLENYLSQKFGLDLSEKPHSSKIKP
VIMSS19533 1 76 0.370247368421053 PF04316.13:FlgM:38:69 hypothetical protein 76 32 16 76 0 Helicobacter pylori 26695 NP_207913.1 1 RefSeq MNIKLKDFTMINAVSSLAPVQSLGNYKRVEKNEKVENNEAALDRVAEIKKAIENNQYKINLHETSHKMAKDLLGIS
VIMSS2093103 1 282 0.243401418439716 PF11685.8:DUF3281:10:280 Bacterial lipoprotein FTN_1103; BLP 282 271 16 264 1 Francisella tularensis subsp. novicida (strain U112) SwissProt::A0Q6X4 1 SwissProt MKYGNLMMTKKKLLIGMVTISGIVILGSCGKTETVNELLIVDQCNDVRDLCRLELANAQVSRYTNFLGKTIKRLQSQTPLRDIQGTVTWNASAGTSLADNSDVQSELGLSCQDDNCTANSNSTAYTLPVGSNTISVSGTVTVDGKTIDLATDVPALVINTSAAGSSVHVFPTELEGNLTLQDLVDSLNQGRHYAHATFSADGSNLKIQCDPGYVWLDDINPEYGGQSSAASARSVAMVSWVEELEEFRVDEFRFLHFDMSSLTLNGVRLGNHVFWEMGCWPT
VIMSS217 1 547 0.146715904936015 hypothetical protein (NCBI ptt file) 547 0 16 501 2 Chlamydia trachomatis D/UW-3/CX VIMSS217 1 MicrobesOnline MRTDSLFNPPDSTRGVFQFLETQCDRAVARSRQSQFIGLVSAVAAAALLLLLVVALSVPGFPVAASIVVGVLFALSIVALTASFLVYIANAKLVAIRIKFLSSGLQDHFSESSILGTLRKGRGASIPLISGQADDPLPNRIGIKKSTEMRVLQKGIGTDYKKYKQHLDRVNNDFTFVCEGISALIPTEKDAPFPIEPSHLAGVFLVSFSPDKNPILKITRHAEKMLQPPQGGFPNGLVWLCGALSDPKKFAAPFLSLIEKTHQGILVSKDLKDNKERKLALEASLLSLNIFFSGWCLGNPEYNQYITTAVAEKYRDVSVRNCIYDFLDTGNVISALALASSYSQDSAWAAGLQKVLREEDKKTKKKSREEVSCLYRDIDPGCCLRALPKRFESKSSGSQGSPKEQLSSLLKALDQKIPSGILGLIAKASSADLKADFAGMLEVIKQLQALFDSYPPLCEDNILLWLSASLEQVGLQKKLRTFLPSSEKKLLERVLSTFLLGLYTRGVFSVGQVNQLATICNTQDSTEFCQRVSDLSLIKRALPALFG
VIMSS2195177 1 640 0.2169990625 PF08298.11:AAA_PrkA:20:376,PF06798.12:PrkA:378:632 putative protein kinase (NCBI) 640 612 16 640 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2195177 1 MicrobesOnline MSIFSHFQERFEATRQEEYSLQEYLDLCKQDKTAYASAAERLLMAIGEPELLDTSVDSRLSRIFSNKVIRRYPAFADFHGMEECIDQIVAFFRHAAQGLEEKKQILYLLGPVGGGKSSLAEKLKQLMEKVPFYAIKGSPVFESPLGLFNPDEDGAILEEDYGIPRRYLRSIMSPWATKRLNEFGGDISQFRVVKLYPSILNQIAIAKTEPGDENNQDISALVGKVDIRKLEEYPQNDADAYSYSGALCRANQGLMEFVEMFKAPIKVLHPLLTATQEGNYNSTEGLGALPYSGIILAHSNESEWHSFRNNKNNEAFIDRIYIVKVPYCLRVADEIKIYDKLLVNSSLAHAHCAPDTLKMLSQFSVLSRLKEPENSNIYSKMRVYDGENLKDTDPKAKSIQEYRDSAGVDEGMAGLSTRFAFKILSKVFNFDPHEVAANPVHLLYVLEQQIEQEQFQPETRERYLRFIKEYLAPRYVEFIGKEIQTAYLESYSEYGQNIFDRYVLYADFWIQDQEYRDPETGEILNRAALNEELEKIEKPAGISNPKDFRNEIVNFVLRARAGNNGKNPSWLSYEKLRVVIEKKMFSNTEDLLPVISFNAKASKEDQQKHNDFVKRMVERGYTEKQVRLLSEWYLRVRKSQ
VIMSS2196355 107 551 0.0234206741573034 PF00474.17:SSF:1:364 putative sodium/proline:solute symporter (NCBI) 551 364 16 202 11 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2196355 0 MicrobesOnline YSIGFLVGWPIILFLIAERLRNLGKYTFADVASYRLKQKQIRTLSACGSLVVVAFYLIAQMVGAGKLIELLFGLNYHVAVVLVGILMVLYVLFGGMLATTWVQIIKAVLLLSGASFMAIMVLKHVNFDVSTLFSEAIKVHPKGEAIMSPGGLVKDPISAFSLGFALMFGTAGLPHILMRFFTVSDAKEARKSVFYATGFIGYFYILTFIIGFGAILLVSTNPDFKDATGALIGGNNMAAVHLADAVGGSLFLGFISAVAFATILAVVAGLTLAGASAVSHDLYASVFKGGKANEKDELRVSKMTTVALGVVAIVLGILFEKQNIAFMVGLAFSIAASCNFPVLLLSMYWKKLTTRGAMIGGWMGLITAVGLMVLGPTIWVQILGHEKAIYPYEYPALFSMIVAFVGIWFFSITDKSAAADEERARFFPQFIRSQTGLGASGAVAH
VIMSS2199886 1 318 0.106404402515723 PF13524.6:Glyco_trans_1_2:212:287 hypothetical protein (NCBI) 318 76 16 318 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2199886 1 MicrobesOnline MKVLFLVQKEQRAILDRLYDGIAAHCECDTRWLSSEEQADLRGYFRKHVDVSRYDRILFFLRFKKEMRQVRFIRSVPNLVILEHDAYQNYIPCKYTGKFSAHYRRLPWARVISSGHTVSERLRQEGFDAVFVPKGYDQTLLHDLGLARDIELGFVGSTGSVAYSGRKALLDELGGVENLLVTKTKSGEEYLRTLNRIRFFVSADVGMGEYMIKNFEAMACGCVLLAYDQGERENEALGFRDMENIVLYRSVADIQEKLAILRLDTALAERIARSGQALAIERFSFAAVGQSIVEAMRPALREPERPGWLEKTWRRIFT
VIMSS314249 1 302 0.285000331125828 conserved hypothetical protein (NCBI ptt file) 302 0 16 279 1 Staphylococcus epidermidis ATCC 12228 VIMSS314249 1 MicrobesOnline MDFSSPTVIGLIVAILVAVLFFVLFLVANHSKKKVKNQTEAHYKEKEQHLKESHEEALEKERVENKKVVTKQKEDFDVTVSNKNREIDALKLFSKNHSEYVTDMRLIGIRERLVNEKRIRPEDMHIMANIFLPSNELTNIERVSHLVLTRTGLYIIDSQLLKGHVYNGISGAQFKELPTMSQVFDTLDLDSSQPQTLVLDQNEDQHSLSFVNYSDKIKHIEKLAGDLQNELNTKYTPTSILYFNPKKDNDVTISHYTQSSNVKVLVGPEQLDEFFNKFVFHGRIQYNVDDLQDIMDKIESFN
VIMSS31843 1 349 0.184116618911175 PROBABLE GLUTAMINE-TRANSPORT TRANSMEMBRANE PROTEIN ABC TRANSPORTER (NCBI) 349 0 16 257 4 Mycobacterium tuberculosis H37Rv VIMSS31843 1 MicrobesOnline MLFAALRDMQWRKRRLVITIISTGLIFGMTLVLTGLANGFRVEARHTVDSMGVDVFVVRSGAAGPFLGSIPFPDVDLARVAAEPGVMAAAPLGSVGTIMKEGTSTRNVTVFGAPEHGPGMPRVSEGRSPSKPDEVAASSTMGRHLGDTVEVGARRLRVVGIVPNSTALAKIPNVFLTTEGLQKLAYNGQPNITSIGIIGMPRQLPEGYQTFDRVGAVNDLVRPLKVAVNSISIVAVLLWIVAVLIVGSVVYLSALERLRDFAVFKAIGTPTRSIMAGLALQALVIALLAAVVGVVLAQVLAPLFPMIVAVPVGAYLALPVAAIVIGLFASVAGLKRVVTVDPAQAFGGP
VIMSS31938 1 265 0.0242116981132075 PF02405.16:MlaE:46:256 ABC transporter permease 265 211 16 127 6 Mycobacterium tuberculosis H37Ra WP_003401074.1 0 RefSeq MTTSTTLGGYVRDQLQTPLTLVGGFFRMCVLTGKALFRWPFQWREFILQCWFIMRVGFLPTIMVSIPLTVLLIFTLNILLAQFGAADISGSGAAIGAVTQLGPLTTVLVVAGAGSTAICADLGARTIREEIDAMEVLGIDPIHRLVVPRVLASMLVATLLNGLVITVGLVGGFLFGVYLQNVSGGAYLATLTLITGLPEVVIATIKAATFGLIAGLVGCYRGLTVRGGSKGLGTAVNETVVLCVIALFAVNVILTTIGVRFGTGR
VIMSS32020 1 273 0.0474827838827839 Probable succinate dehydrogenase [membrane anchor subunit] (Succinic dehydrogenase) 273 0 16 158 5 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O53671 0 SwissProt/TReMBL MSAPTANRPAIGVFTPTRAQIPERTLRTDLWWLPPLLTNLGLLAFICYATTRAFWGSQYWVEKYHYLTPFYSPCVSASCQPGASHLGVWFGHFPGWIPLGAMVLPFLLGFRLTCYYYRKAYYRSVWQSPTSCAVPEPRAHYTGETRLPLIVQNTHRYFFYIAVVVSLINTYDAIAAFHSPSGFGFGLGNVILTINVVLLWAYTISCHSCRHATGGRLKHFSKHPVRYWIWTQVSKLNTRHMQFAWITLGTLALTDFYIMLVASGSITDLRFIG
VIMSS33463 1 127 0.218758267716535 PF05305.14:DUF732:26:116 Putative lipoprotein LprJ 127 91 16 84 2 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O33192 1 SwissProt MTAHTHDGTRTWRTGRQATTLLALLAGVFGGAASCAAPIQADMMGNAFLTALTNAGIAYDQPATTVALGRSVCPMVVAPGGTFESITSRMAEINGMSRDMASTFTIVAIGTYCPAVIAPLMPNRLQA
VIMSS33751 1 282 0.277454609929078 PF13649.6:Methyltransf_25:82:174,PF08242.12:Methyltransf_12:83:162,PF08241.12:Methyltransf_11:83:175,PF05175.14:MTS:75:152 hypothetical protein (NCBI) 282 101 16 282 0 Mycobacterium tuberculosis H37Rv VIMSS33751 1 MicrobesOnline MGEANIREQAIATMPRGGPDASWLDRRFQTDALEYLDRDDVPDEVKQKIIGVLDRVGTLTNLHEKYARIALKLVSDIPNPRILELGAGHGKLSAKILELHPTATVTISDLDPTSVANIAAGELGTHPRARTQVIDATAIDGHDHSYDLAVFALAFHHLPPTVACKAIAEATRVGKRFLIIDLKRQKPLSFTLSSVLLLPLHLLLLPWSSMRSSMHDGFISALRAYSPSALQTLARAADPGMQVEILPAPTRLFPPSLAVVFSRSSSAPTESSECSADRQPGE
VIMSS34165 1 142 0.320527464788732 PF01507.19:PAPS_reduct:61:135 phosphoadenosine phosphosulfate reductase 254 75 16 142 0 Mycobacterium tuberculosis H37Rv NP_216908.1 1 RefSeq MSGETTRLTEPQLRELAARGAAELDGATATDMLRWTDETFGDIGGAGGGVSGHRGWTTCNYVVASNMADAVLVDLAAKVRPGVPVIFLDTGYHFVETIGTRDAIESVYDVRVLNVTPEHTVAEQDELLGKDLFARNPHECCR
VIMSS342913 1 368 0.312192119565217 PF05785.12:CNF1:88:360 cytotoxic necrotizing factor (NCBI ptt file) 368 273 16 368 0 Vibrio parahaemolyticus RIMD 2210633 VIMSS342913 1 MicrobesOnline MPILNISKFSNTEYAFVNNRKLKEPCIKVKSVRTSREGGEILFINMPSKSKYKDLRAMVKSSVVVSESNQTAASKFENNSRFNRNDINVKKADAKSITALKSGDLHILKGKGIIGMKGGDNKLPFKCTIVNDDKNGAHLSQGTNLATNGIKSMAGDSVRAAQLIPGTPLGQFYNSAPLDDSFNVVHLPNGQRGVNGLKIPLSEFYSEKKFLFSNGALSGCMTCTAIDKNNLYIFHVGKDGNDTSPWKTNVDGSSLIQKNMKMLLGQNSDSLNNGIQGLIDYCSKNFDKAIIQYCGHGEQYSGRKNIHLFDYNTPQKNNPLRVGNNLTLISHSDNGSLSISTLCDDMIINSKTCETNSVNSKLVLLKNG
VIMSS34418 1 143 0.46116993006993 hypothetical protein (NCBI) 143 0 16 143 0 Mycobacterium tuberculosis H37Rv VIMSS34418 1 MicrobesOnline MTTTPRQPLFCAHADTNGDPGRCACGQQLADVGPATPPPPWCEPGTEPIWEQLTERYGGVTICQWTRYFPAGDPVAADVWIAADDRVVDGRVLRTQPAIHYTEPPVLGIGPAAARRLAAELLNAADTLDDGRRQLDDLGEHRR
VIMSS34589 1 113 0.0637362831858407 PF09827.9:CRISPR_Cas2:26:91 CRISPR-associated endoribonuclease Cas2; EC 3.1.-.- 113 66 16 113 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WPJ3 1 SwissProt MPTRSREEYFNLPLKVDESSGTIGKMFVLVIYDISDNRRRASLAKILAGFGYRVQESAFEAMLTKGQLAKLVARIDRFAIDCDNIRIYKIRGVAAVTFYGRGRLVSAEEFVFF
VIMSS35581 1 165 0.164433939393939 PF01569.21:PAP2:53:152,PF14378.6:PAP2_3:30:148 decaprenylphosphoryl-5-phosphoribose phosphatase 165 123 16 104 3 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) metacyc::G185E-8103-MONOMER 1 metacyc MVAVQSALVDRPGMLATARGLSHFGEHCIGWLILALLGAIALPRRRREWLVAGAGAFVAHAIAVLIKRLVRRQRPDHPAIAVNVDTPSQLSFPSAHATSTTAAALLMGRATGLPLPVVLVPPMALSRILLGVHYPSDVAVGVALGATVGAIVDSVGGGRQRARKR
VIMSS3691596 1 210 0.175937619047619 PF16701.5:Ad_Cy_reg:18:185 adenylate cyclase (EC 4.6.1.1) 378 168 16 210 0 Mycobacterium tuberculosis BRENDA::P9WMU7 1 BRENDA MYDSLDFDALEAAGIANPRERAGLLTYLDELGFTVEEMVQAERRGRLFGLAGDVLLWSGPPIYTLATAADELGLSADDVARAWSLLGLTVAGPDVPTLSQADVDALATWVALKALVGEDGAFGLLRVLGTAMARLAEAESTMIRAGSPNIQMTHTHDELATARAYRAAAEFVPRIGALIDTVHRHHLASARTYFEGVIGDTSASVTCGIG
VIMSS3693312 57 134 0.412648717948718 histone-like protein Hns 134 0 16 60 1 Mycobacterium tuberculosis H37Rv NP_218369.1 1 RefSeq PKPAEAPVSLQQRIETNGQLAAAAKDAAAQAKSTVEGANDALARNASVPAPSHSPVPLIVAVTLSLLALLLIRQLRRR
VIMSS3707934 1 219 0.560194520547945 hypothetical protein (RefSeq) 219 0 16 196 1 Staphylococcus aureus subsp. aureus str. Newman VIMSS3707934 1 MicrobesOnline MKRTDKYRDSYQYDNQNQNHRRQSEDASYRQQYAKGDPEEHPERYYNGRDYRREQILEEENEKSRRSKKWLYIIIAILLIIVAIFVTRALLNNDSDKVSNDPKVSQNYKKQVENQDGQINQQVDNAKENIKNNQKTDDIIKNLQNQIDNLKQQEQNKADSKLTQFYQDQINKLTEANNALKNNASQGKIESMLNDINTKFDSIKSKLESLFKDDNGGAN
VIMSS44843 1 417 0.247009592326139 antigen, S1 (NCBI ptt file) 417 0 16 417 0 Borrelia burgdorferi B31 VIMSS44843 1 MicrobesOnline MNKIGIAFIISFLLFVNCKGKSLEEDLKSTTSNNKQNLISNEKKSLNSKNNRLKDSRLSNFESKKNDQTLKKSKDFKKDLQTLRNSKNLMPKDLDQSSNDFENLDNSESLQEASSKHNIGKSRYGKALLKNDHDEIWIPHLNLEEDKNFEFFKKSLQNDENRYALGGWLLNNDEVLVKYRYSEKDVNQFLIDIGKKRWGDLSSKMSTLVRLIGNYSDKSDREDEISLLDMNLCQQFYLTKINAGGSSADILVALEKTIDQQISGVSKELLELKNFSLTTKSELDWYLNWKRNLTDEEEETLQCCRVLLGGELDFENLDDLFKRLGKEYSRLILRKLEEITLNYDVNRFLKEMEKSRKSFKQALGSIRNKSKRVVIFKVRNSLLEIFKLYYNNIGRNKKLYDYINRMLNSLIKEISRR
VIMSS47015 86 389 0.051533552631579 putative galactosyltransferase (NCBI ptt file) 389 0 16 304 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47015 1 MicrobesOnline KLKNKTQTIKENSLIEFNIEGNNPYEIYTVYKSYKAFNNEQDLTNFTYPIIDYIIFLDSDDYWELNCIEECVPRMDGVDVVWFDSIEYHDIEKSYFKHHSRLKDINIKKECRINPIEWLKLLRQNKIKDFAFAWSGIIDFDYIKDKKMKFKDAIFAEDHLFGILLFSQAKNIYVYPKVFYYYRIRANSLTNQDKKITKDNILPYFKDIFIAFEENATLAKEYFKYVSWVETSLELVRFVENYHDKKISSLLKDTILYFYIKNAFKIKKFDKDPLCIKEKLQLLKPYKISKIRYKVMKFLISLRN
VIMSS53100 1 653 0.269038131699846 PF12532.8:DUF3732:465:648 conserved hypothetical protein (NCBI ptt file) 653 184 16 653 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53100 1 MicrobesOnline MFFQIEKVVLWSKEAKHKPRVIEFALNKVNLITGSSKSGKSSLIPIIDYCLGSSKCSIPVNTIRDTTAWYGVQIKTKHSRLLIARRDPSNQLSTSNAFFVEAENIEIPQNIEKHNVNIDTVKNRLNEISGVSNISFDFYDTGRIDKKRTSTRDLSAFNYQPQNIIANPNALFYKTDSFEHKSKLVTILPYVLGALSNTDIENQHRIKNLEEEYRKVERRLLKLKRQNEDWLSSAQAYVIKAMELGLVNSDKDIYQLKPERLLNVLKNIATKEIDYSTSAANIKYASEQEAEITKRSRDISNNLAKVKSRLQNINSMNRLANTHSDASRLKRERLSLSKWLLTQNDINSSLFSEPNEIRSLVLEPLARAFSNLEAELEVPIHVQGALSREKIYLEGELTRLASEMKDVNTQLKILRGNKRKLGYDAFSVGKFVGEVEKALSLMGESESESELSKEYKRLKKELSVLRLKIDPREFERKTKLQLAKVNKLASDWLPHLDTENPNAPISLHEKELTITVNSSGREDYLWEIGSGANWLSYHVAITLALHQHFSSLEASPVPNYIIYDQPSQVYFPSKLRHQATPEEDELALLEQDEDIVQVKKIFEAFNGAIEKTKDNLQIIVLDHAPSTLVKSIPKGHLVEEWRNGIKLIPLDWL
VIMSS53123 101 413 0.302760063897764 PF00589.22:Phage_integrase:114:276,PF14659.6:Phage_int_SAM_3:6:55 phage integrase (NCBI ptt file) 413 213 16 313 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53123 1 MicrobesOnline AELENTFGKYSDRWLTLKRESVKPETADKAYRALEKHILPKLGNVPIQDIKPRTVLSILEPVKAQGTLETVKRLCRIINEVMRLAVASGDIEVNYLADITKLFPAPKRQHMVTIEPERLPELMQAIANANITLATRCLLEWQLHTMTRPIESATARWQDIDFKNKVWVIPAERMKMKRPHTIPLTEQTLALLEIMKPISSHREYIFPSNKNPKSHVNSQSANMALKRMGYKGQLVSHGLRALASTTLNEQGFNPDIIEAALAHVDKNEVRKAYNRAEYLEQRRKLMSWWSSHITSASNCNTSLAERKVLKLAG
VIMSS540775 1 509 0.255494695481336 PF00672.25:HAMP:462:509 adenylate cyclase (EC 4.6.1.1) 730 48 16 463 2 Mycobacterium tuberculosis BRENDA::P71914 1 BRENDA MTSGEALDSVAESESTPAKKRHKNVLRRRPRFRASIQSKLMVLLLLTSIVSVAAIAAIVYQSGRTSLRAAAYERLTQLRESQKRAVETLFSDLTNSLVIYERGLTVVDAVVRFTAGFDQLADATISPAQQQAIVNYYNNEFITPVERTTGDKLDITALLPTSPAQRYLQAYYTAPFTSDQDAMRLDDAGDGSAWSAANAQFNSYFREIVTRFDYDDAVLLDTRGNIVYTLSKDPDLGTNILTGPYRESNLRDAYLKALGANAVDFTWITDFKPYQPQLGVPTAWLVAPVEAGGKTQGVLALPLPIDKINKIMTADRQWQAAGMGSGTETYLAGPDSLMRSDSRLFLQDPEEYRKQVVAAGTSLDVVNRAIQFGGTTLLQPVATEGLRAAQRGQTGTVTSTDYTGSRELEAYAPLNVPDSDLHWSILATRNDSEAFAAVASFSRALVLVTVGIIVVICVASMLIAHAMVRPIRRLEVGTQKISAGDYEVNIPVKSRDEIGDLTAAFNEMS
VIMSS541307 1 245 0.209476734693878 PF04989.12:CmcI:50:244 Rhamnosyl O-methyltransferase; EC 2.1.1.- 245 195 16 245 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WIM5 1 SwissProt MGLVWRSRTSLVGQLIGLVRLVASFAAQLFYRPSDAVAEEYHKWYYGNLVWTKTTYMGINCWKSVSDMWNYQEILSELQPSLVIEFGTRYGGSAVYFANIMRQIGQPFKVLTVDNSHKALDPRARREPDVLFVESSSTDPAIAEQIQRLKNEYPGKIFAILDSDHSMNHVLAEMKLLRPLLSAGDYLVVEDSNINGHPVLPGFGPGPYEAIEAYEDEFPNDYKHDAERENKFGWTSAPNGFLIRN
VIMSS55468 1 338 0.146737573964497 PF06996.11:T6SS_TssG:28:324 hypothetical protein (NCBI ptt file) 338 297 16 338 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55468 1 MicrobesOnline MGHTERNAAVDLNSDPAQASPLSLPGNVREYNFYQLVELLQKLADINPESEEWERDCRLVFSANPSLGFSPSDVMALEARDDERWVMLTNFFGLSGAQSPLPGFILEQLVTEEPGGYKQPFLDFFNNRLINLVYRVWRKYRYYVRFQPGAQDVFSAQLFALVGLGDPDLRGETPINWCKMLAYAGTLAGRSRSPQVVAGIIAHCFDLPDVSIRQWVRRRVSIDRSQQLALGKQNARLGMDTVIGESVIDCSGKFIICIKRLSRSRFADFLPSGKEYQPLCKLVEFILREQMAYDLELAMDEREAPLFCLDTRQNIALGWTSFLGQDAANKHVLIQVRQ
VIMSS5689449 1 354 0.128279378531074 PF00528.22:BPD_transp_1:163:324 glycine betaine ABC transporter membrane subunit ProW (EC 7.6.2.9) 354 162 16 216 6 Escherichia coli K-12 substr. MG1655 ecocyc::PROW-MONOMER 1 ecocyc MADQNNPWDTTPAADSAAQSADAWGTPTTAPTDGGGADWLTSTPAPNVEHFNILDPFHKTLIPLDSWVTEGIDWVVTHFRPVFQGVRVPVDYILNGFQQLLLGMPAPVAIIVFALIAWQISGVGMGVATLVSLIAIGAIGAWSQAMVTLALVLTALLFCIVIGLPLGIWLARSPRAAKIIRPLLDAMQTTPAFVYLVPIVMLFGIGNVPGVVVTIIFALPPIIRLTILGINQVPADLIEASRSFGASPRQMLFKVQLPLAMPTIMAGVNQTLMLALSMVVIASMIAVGGLGQMVLRGIGRLDMGLATVGGVGIVILAIILDRLTQAVGRDSRSRGNRRWYTTGPVGLLTRPFIK
VIMSS57066 1 171 0.322098830409357 hypothetical protein (NCBI) 171 0 16 171 0 Pseudomonas aeruginosa PAO1 VIMSS57066 1 MicrobesOnline MPEQAVTLEALYAAIEQVLRERLPEAQLIGFWPGVPENTPAVSLEIAELLPERDPGTGESALLCRLQARIMVPPGADRQAVSIACGIVRTLREQTWNLSLQPARFVRSAVDGSREELKSLRVWLVEWTQSLRLGDPEWAWEDQPPGSLMLGFDPQTGPGHEPDYFAPEALA
VIMSS579 1 147 0.143163265306122 hypothetical protein (NCBI ptt file) 147 0 16 101 2 Chlamydia trachomatis D/UW-3/CX VIMSS579 0 MicrobesOnline MADEMQKESSSQEPSASKFGSLKQKVRDLHTNPKVGGMKRFLSRHACEAISGALIVFGIIANCVSWVGGLFVASGIVLGFYPEILAVLQNLQSYYAKNGPIKNALVCGVALFWLIKAPSFVLSFIVLCIIVILLAQGQHKDTTSRHE
VIMSS5797492 1 309 0.195854692556634 PF12833.7:HTH_18:229:305,PF00165.23:HTH_AraC:272:305 AraC family transcriptional regulator 309 77 16 309 0 Salmonella enterica subsp. enterica serovar Typhimurium str. LT2 NP_461796.1 1 RefSeq MENVTFVSNSHQRPAADNLQKLKSLLTNTRQQIKSQTQQVTIKNLYVSSFTLVCFRSGKLTISNNHDTIYCDEPGMLVLKKEQVVNVTLEEVNGHMDFDILEIPTQRLGALYALIPNEQQTKMAVPTEKAQKIFYTPDFPARREVFEHLKTAFSCTKDTSKGCSNCNNKSCIENEELIPYFLLFLLTAFLRLPESYEIILSSAQITLKERVYNIISSSPSRQWKLTDVADHIFMSTSTLKRKLAEEGTSFSDIYLSARMNQAAKLLRIGNHNVNAVALKCGYDSTSYFIQCFKKYFKTTPSTFIKMANH
VIMSS58010 1 243 0.216462962962963 PF07993.12:NAD_binding_4:21:217,PF01370.21:Epimerase:19:211,PF16363.5:GDP_Man_Dehyd:20:170 hypothetical protein (NCBI) 243 199 16 220 1 Pseudomonas aeruginosa PAO1 VIMSS58010 1 MicrobesOnline MNMHADGEQITAIDTRERILLTGATGFLGGSVAAQLIAAGHGANLSFLVRAESRQQGLERLRGNLLMHGVDEADCLALRAEQILCGDFLDTSWLARETPRLMQVERVINCAAVASFSKNPTIWPVNVDGTFAFADVLSRSKRLKRFLHVGTAMCCGPQRESPISESWEFPAAEQQLVDYTASKAEIERRMREELPGLPLVVARPSIVVGHRTLGCQASGSIFWSSAWASPWKASPAAWTSRST
VIMSS58176 1 214 0.197396728971963 PF02108.16:FliH:74:194,PF06635.12:NolV:20:199 type III secretion protein (NCBI) 214 180 16 214 0 Pseudomonas aeruginosa PAO1 VIMSS58176 1 MicrobesOnline MLPFVELDASRVRLAPGQALLRARDYQDYLSANRLVEAARERAAEIEREAHEVYQEQKRLGWEAGLEEARLRQAGLIQETLLRCNRYYRQVDRQLGEVVLQAVRKVLRHYDAVELTLAATREALALVSNQKQVILHVQPEQLAAVREQVARVLKDFPEVGYLEVVGDARLDQGGCILETEIGIIDASLDSQLAALQAALTESVARSGEEEGDAG
VIMSS58681 1 317 0.0346230283911672 PF13727.6:CoA_binding_3:70:248 biofilm formation protein PslA 478 179 16 205 5 Pseudomonas aeruginosa PAO1 NP_250921.1 1 RefSeq MHSKSVDSLSLTRAGFIEYFLVATKLVHALTAIAPALFLLYYPGLVPVELRSNMLGLLLFFGALTVIMFQALDVYSDDIFSNRLRFRIMFFAWASAFCLLLFMYQGLGLFPYLSSKLVIFWFTGSLLLFGVQRLLVLRLYRAWMKRGMYLQRTVILGFTESGMHLAEYLVRNHDIRSGIIGFIDDRSERVPENYNSLPLLGNTKDLEKLIRQEQVDQVLVALPWFAEGRIGAIVHRLRQLPVNVLLVPDMAAFRHAHNRIVDVSGIPMFNASELPLRGWSPLIKRCEDLVLASIALVLFAPLMALVALAIRLDSKGP
VIMSS58855 1 109 0.208834862385321 hypothetical protein (NCBI) 109 0 16 109 0 Pseudomonas aeruginosa PAO1 VIMSS58855 1 MicrobesOnline MSIHTRPWRLPLAALLLGLSGAALAHNPICECEEVQAGEIRCKGGFSDGSGAPGVTLDVIGYDEQILVPGKLGADSTLTFKRPDGEFYVLFDAGPGHVVEIDHADIAAP
VIMSS593 1 439 0.500892938496583 PF04888.12:SseC:141:256,PF04888.12:SseC:258:435 hypothetical protein (NCBI ptt file) 439 294 16 439 0 Chlamydia trachomatis D/UW-3/CX VIMSS593 1 MicrobesOnline MTTGVRGDNAPDPSLLAQLTQNANSASAASTGKNGQVAGAKQENVDASFEDLLQDAQGTGGSKKATANQTSKSGKSEKAQASSGTSTTTSVAQASQTATAQAVHGARDSGFNSDGSATLPSPTGTEVNGVVLRKGMGTLALMGLIMTLLAQASAKSWSSSFQQQNQAIQNQVAMAPEIGNAIRTQANHQAQATELQAQQSLISGITNIVGFAVSVGGGILSASKSLGGLKSAAFTNETASATTSATSSLAKTATSALDDVAGTATAVGAKATSGAASAASSAATKLTQNMAESASKTLSQTASKSAGGLFGQALNTPSWSEKVSRGMNVVKTQGTRAAKFAGRALSSAMNISQMVHGLTAGIDGIVGGVIGAQVAQEQRMAGMAEARAEELKSLNSVQAQYASQAQQLQEQSQQSFNSALQTLQSISDSALQTTASMFN
VIMSS59797 1 101 0.151233663366337 PF13466.6:STAS_2:16:94,PF01740.21:STAS:14:98 STAS-domain containing protein PA14_20770 101 85 16 101 0 Pseudomonas aeruginosa (strain UCBPP-PA14) SwissProt::Q02QI1 1 SwissProt MAITALPSADGQELTIQIQGRFDFGAHQDFRDAYERVAITPRRYVVDLRNATYLDSSALGMLLLLRDHAGGENAQISLANCSPEVRKILAISNFEQLFKIS
VIMSS59992 123 389 0.321758052434457 PF13437.6:HlyD_3:140:235,PF13533.6:Biotin_lipoyl_2:141:173 Mannuronan synthase; Alginate biosynthesis protein Alg44; EC 2.4.1.33 389 96 16 244 1 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9HY69 1 SwissProt VIGVGDMLNTLQRENFTKARKQGGGNGGMGFFGRVRAVTLSTAIFVVGVGAFAFILNQMYNLYFVTHADSGVVSVPNQQITMPREGTVQSLLGPNAEVAKGAPIATFSANLLDMLKGNLTEEQLNPGNIEKLFGHQMKGTLTSPCDCRVVQQLVADGQYANKGQVIFTLAPRDSVASIEARFPYRNAAELAPGTRVNFQVAGDGVNRSGRIVNTAPVDGDLSSEIRVQIQPDQPLDAQYAGRPAEVSIGGLPGRTLLNKAVTLATAR
VIMSS60423 1 418 0.0753083732057416 PF07695.11:7TMR-DISM_7TM:184:386,PF07696.11:7TMR-DISMED2:32:171 histidine kinase (EC 2.7.13.3) 795 343 16 260 7 Pseudomonas aeruginosa BRENDA::Q9HX42 1 BRENDA MRHWLILFLLALPCLAGAVSFNEQVERLPLGQSIDVFEDVRGSADINDITSRAIDSSFRRHDKDVLNAGYSRSVFWLRLDLDYRPVASSDPRTWLLELAYPPLDKLDLYLPDGQGGYRLAQRTGDTLPFASRPIRQNNYLFELGLEPNKPQRVYLRLESQGSIQAPLTLWSPKAYLEEQPERIYVLGIIYGVLLVMLIYNLFIFLSVRDTSYLYYILYIASFGLYQVSVNGAGIEYFWPDSPWWANAATPFLIGSAALFGCQFARSFLHTRDHSVWVDRGLLALMAVGALVMLMALTMSYAVALRLATYLALAFTGLIFAAGILAWLRGMRVARYFIIAWTAFLLGGIVNTLMVLGYLPNMFLTMYASQIGSALEVGLLSLALADRINAMKEERARILQESSRKLEALNQELANSNRL
VIMSS61917 1 107 0.127693457943925 peptide chain release factor 2 (NCBI) 204 0 16 107 0 Pseudomonas aeruginosa PAO1 VIMSS61917 1 MicrobesOnline MILLQLSAAQGPAECCLAVAKAFERLCLEAAQAGVEVEVIEEVAGERPRTWRSLLLGLRGTAAEALAERWCGGIQWICPSPYRARHARKNWFIGAERFAAPPASLEG
VIMSS61977 1 435 0.0544209195402299 PF07690.16:MFS_1:58:376,PF07690.16:MFS_1:257:430,PF00083.24:Sugar_tr:27:228,PF00083.24:Sugar_tr:219:426 probable MFS dicarboxylate transporter (NCBI) 435 404 16 175 12 Pseudomonas aeruginosa PAO1 VIMSS61977 0 MicrobesOnline MESANAISASAQPRTTSQRIKSIFSGSVGNLVEWYDWYVYAAFSLYFAKAFFPQGDMTAQLLNTAAIFAVGFLMRPIGGWLMGIYADRKGRKAALLASVLLMCFGSLIIALTPSYETIGVAAPILLVVARLLQGLSVGGEYGTSATYLSEMANKEQRGFFSSFQYVTLISGQLIALAVLIVLQQTLTVEQLESWGWRVPFFIGALCAVVAMFLRRGMEETESFSKKKEEPKESLLRTLLRHPKEVLTVVGLTMGGTLAFYTYTTYMQKYLVNTVGMSKTDSTMISAATLFLFMLLQPIVGALSDKIGRRPILIAFGVLGTVFTYPILSTLHSVDSWWGAFFLIMAALVIVSGYTSINAVVKAELFPTEIRALGVGLPYALTVSIFGGTAEYVALWFKSVGLESGFYWYVTACIACSLLVYVFMKDTQKHSKITTD
VIMSS6579465 1 650 0.413517846153846 PF02185.16:HR1:8:66,PF02185.16:HR1:125:188,PF00130.22:C1_1:406:455,PF00130.22:C1_1:474:525 Protein kinase C-like 2; EC 2.7.11.13 1016 225 16 650 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P36583 1 SwissProt MDMIDEAITEVVRKIERERSVIHGALSMKRLTQNQTVHQQLHSNIEESKKSIIYLEERLEKLKLRKNGVRKSNSEKPSVGIEKNPSFSTTKSAKSFSSTSSNIDSNLDLLNYDTPLTISKISFLLQQLEFKLSVEEQYRKGIEKMAKLYEREHDRRSIAEAEKKYVESAQKITLLKQALKRYHDLHIEIDEEDVPSTESRGNLNARRPQSGLLKITVGSLRNVTHSAGISKQTEMIVAIRAEDLERARTRPSRTDRFNETFEIDLEKTNEVEIVVYEKKNEKLLLPVGLLWIRLSDLVEKQRRKKVEQEVSDKGWVSADKMINQRLSIFLPSALNNISKPESTDRPNTASGNQSVSAWFSLEPMGQINLTMNFTKHNTRKRPMDAGLGRQGAIRQRKESVHEVYGHKFLQHQFYQIMRCALCGEFLKNAAGMQCIDCHYTCHKKCYPKVVTKCISKSSDSASSEYEKINHRIPHHFESHTNIGANWCCHCGYILPLGRKTARKCTECGITAHAQCVHLVPDFCGMSMEMANRVISEIRTTKIYKAQQHKQKSSHHKHHHHKKSKSSSSKHKENDKASVSITTTTTPSITPADPVPTSPKPLAIEPVKRKPVHAGNLEVTSVSDNKLGATVQVVEQKVDDKADALTKPPSL
VIMSS6580858 1 548 0.113366423357664 PF07690.16:MFS_1:109:490 fluconazole resistance protein 1 548 382 16 272 12 Saccharomyces cerevisiae CharProtDB::CH_091444 1 CharProtDB MVYTSTYRHTIVVDLLEYLGIVSNLETLQSAREDETRKPENTDKKECKPDYDIECGPNRSCSESSTDSDSSGSQIEKNDPFRVDWNGPSDPENPQNWPLLKKSLVVFQIMLLTCVTYMGSSIYTPGQEYIQEEFHVGHVVATLNLSLYVLGYGLGPIIFSPLSETARYGRLNLYMVTLFFFMIFQVGCATVHNIGGLIVMRFISGILCSPSLATGGGTVADIISPEMVPLVLGMWSAGAVAAPVLAPLLGAAMVDAKNWRFIFWLLMWLSAATFILLAFFFPETQHHNILYRRALKLRKETGDDRYYTEQDKLDREVDARTFLINTLYRPLKMIIKEPAILAFDLYIAVAYGCFYLFFEAFPIVFVGIYHFSLVEVGLAYMGFCVGCVLAYGLFGILNMRIIVPRFRNGTFTPEAFLIVAMCVCWCLPLSLFLFGWTARVHWILPVISEVFFVLAVFNIFQATFAYLATCYPKYVASVFAGNGFCRASFACAFPLFGRAMYDNLATKNYPVAWGSSLVGFLTLGLAIIPFILYKYGPSLRTRSSYTEE
VIMSS6580909 1 501 0.215999201596806 Uncharacterized glycosyl hydrolase YBR056W; EC 3.2.1.- 501 0 16 501 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38081 1 SwissProt MIGSLRNKFEHFKVSEKGGQNLSTTLPKLPPAKDLDRSTIYKYRYNYGVNLGALFVLEPWIFSKETICTIDGKEYDSEFDAISQQLKKHSSEDVAKMLSDHYKKYIDRIDWEWLSKDAHITALRIPIGYWHVEDGKHLDSLPFAPLRKVYELAKPWEKLGELINNAKKMSIGVLIDLHGLPGGANCDSHSGSKSGEAAFFHKEKYMTKVYKDILPAIINTMTLGNENIIGIQVVNEACFDNNPKGQKFYYSEAINTVEKLQPGLPVIISDGWWPQQWADWVKEKHFSEIVVIDSHVYRCFSDSDKSKDANSIIKDLPNTVNFPHEDADYTVGEFSGVLDGQTWNKTSGDRDAIVQKYVQTQADVFSHVASWGWFFWTLQFEYGDGGEWGLAPMMQKGNLPKRPHGDDLQVDKKKIDSIIHEHEAYWNGKGKNFEHWRFEDGIKTAVDDIIAFRKFDNSLIGRWHSWKSQRRAEYVSAKKDSEFMWEWDQGYQRGLDEFNKY
VIMSS6580921 1 210 0.321632857142857 PF00660.17:SRP1_TIP1:17:110 Temperature shock-inducible protein 1; EC 3.1.1.- 210 94 16 210 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P27654 1 SwissProt MSVSKIAFVLSAIASLAVADTSAAETAELQAIIGDINSHLSDYLGLETGNSGFQIPSDVLSVYQQVMTYTDDAYTTLFSELDFDAITKTIVKLPWYTTRLSSEIAAALASVSPASSEAASSSEAASSSKAASSSEATSSAAPSSSAAPSSSAAPSSSAESSSKAVSSSVAPTTSSVSTSTVETASNAGQRVNAGAASFGAVVAGAAALLL
VIMSS6581087 1 303 0.564694389438944 PF07572.12:BCNT:231:289 SWR1-complex protein 5 303 59 16 303 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38326 1 SwissProt MPEVETKIIPNEKEDEDEDGYIEEEDEDFQPEKDKLGGGSDDSDASDGGDDYDDGVNRDKGRNKVDYSRIESESGGLIKTRRARQAEEEYAKTHKYESLTVESIPAKVNSIWEELQEASKNRLLSSSGKVGSVLDGSKEARSTTAAQQEDKILIERNYKFAGETVHEKKWVSRSSAEGQEYLNSLKFKQQAPAAPVQLEKAVRTKSNESRQHLRRPLKRPPLLEQIISGGLRPKLTTLEKSQLDWASYVDRAGLNDELVLHNKDGFLARQEFLQRVGSAEDERYKELRRQQLAQQLQQDSEAS
VIMSS6581102 1 387 0.161617054263566 Diphthine methyltransferase; Diphthamide biosynthesis protein 7; Endosomal recycling protein 1; Regulator of rDNA transcription protein 2; EC 3.1.1.97 387 0 16 387 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38332 1 SwissProt MDSIQESDVLNAVKTKLPPCCLRIFRNKIILVGTYDLDKSTGYRSGSLDVFTMDLKLLCSNNTYGAILDLKLSPFDDTLICTAHSTGNIMLWRIRCTDKDDFQSNELDIHAIANLQLFEKDVLIASCHFSPLDCKKLLVTNTAGEAATIDIRTLSVQFTASAIAQAYSKLDKIDYEVQGATEKVIHVESGQFLKPHELECWTAEFGSLQPFQDVVFTGGDDSRIMAHDLRSKEFIWSNNRIHDAGVVSIKCSQPNFRNNKPTSIITGSYDDNIRSLDLRMMGESIFPGANVPTVNKLACDLGGGVWRFVESPIDQEQSHHNGSDRLLVCCMYNGAKVVTMNDNSDEYFQIQHYLKKGHDSMCYGGDWSNSLIATCSFYDNSLQTWIV
VIMSS6581124 1 105 0.293277142857143 PF08561.10:Ribosomal_L37:26:66 54S ribosomal protein L37, mitochondrial; Mitochondrial large ribosomal subunit protein mL54; YmL37 105 41 16 105 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36532 1 SwissProt MLARSLGYRLISTSRILYNKPTVKSVVSSCPAGTSLNLNIWKSGKDAVALEDKEYPNWLWSVLDSDHVVEHAAEDPEGQALLKRRKNIRKANRQRIKQNNFLSQL
VIMSS6581231 1 239 0.136656066945607 PF00674.18:DUP:80:169 DUP240 protein YCR007C 239 90 16 196 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25354 1 SwissProt MQPHLDNNSNNDDVKLDTLGEQNVLSSAENITLPEDTFKSYMTYLLYEMAHYKPMIFSFLALSVSILIVVIFHNVKACDVVFGFSIFVTSILFLSTLIPFNVYISDEGFRIKLLLEVITHRPAVKGKEWRAITDNMNQYLLDNGLWSTRYYFYSSERCYKFFRFLVKEKPPGVNVNSSVKDATSTQIDAPANEASNEVIKCFSFSSDPIFEAYFVKAVEVEKQAQQEYWRKQYPDADIP
VIMSS6581459 1 885 0.329952203389831 Cytokinesis protein 3 885 0 16 885 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07533 1 SwissProt MATNLTSLKPPFKVKARYGWSGQTKGDLGFLEGDIMEVTRIAGSWFYGKLLRNKKCSGYFPHNFVILLEERLNSSTENGRQPSKIVESFEKSNKVVIPPVPSRYSDERPRPKKKLSSSMPNSPKKPVDSLTKARKAKSKEMVNEKNIYNTQSSRHHNNSAPNLPLASHSKPQVRNFEESMNNPLPPLPPLPDLDNMRKTDKRAPKKSYSANDLHMARSSREYNYYKDNQKFYDGFIPEKRYSLEEDSISSGLFSNSQYLNDSACSSENSFALMSDFSATSAGSFARHKYAQSFSDSLQRSQNANGCSTKINDSQEFGDSNASSRNGKMGDILRKIIIPKRNTNIYSSSVSSPKSPKAYPKLPDIQNLNLSATPDEARDWIAVKCHLNRARTLTKYDKHPRYMRALEENRDLILHPQDSIYNGLNTNEVKGNTKPGLVDVELAELNIEYIDKMTWKRCIRDGTMTLDSWAQTTFSARYSTVLEKLRGIYIFCTEMFALTDDNGTSDFSAEPQNLEKILYRKHCTPYELTWLFKKLANSLGITCEIVIGFLKTPSAINWEFKYNHCWLRILVNKEWRFIDVILGNVTNPIHEFVNNRKIKKAENSYFLMAPLEMIYTHIPPREFEQHIVPSIDQLSALYLPLVFPSFFKNELKLYKFSTALSFLEDSEIYECSLEIPNDVEVFASVVIPTDNEEASSAYRNMELALTQIKKQKAESGRRIALIKAVLPPNVNKGSLYIHSGVRGTQTSIANIHPLSMMVPLTHKGSNMKYEFVIKIPSESIQKIELYIVEPQSRYLFVGNEYSFEVIQSPSDGIVYSSDEGPNQNRKQPMAIKSPSGRVHELVKSDPHFPYGTWKGSIKIKEPGVWSALVIADSGIGWSVFAEWLCV
VIMSS6581463 1 640 0.461765624999999 PF00787.24:PX:158:296 Autophagy-related protein 20; Cytoplasm to vacuole targeting protein 20; Sorting nexin-42 640 139 16 640 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07528 1 SwissProt MSDLNDVQENAKLNSETRNTGKAEPPHGTTEYVAEAEISKNGVGSPKKSPKKGKVGKGDNNKVETELVHTALLEKDNPFMEEGPTGFTKSALLEIPGMRSHNLKNPNEDYEDDSEGLLPLNQESNAETCRTSLSGSINSMNGETSASEEPSVSNRKKSARIHILEAKRVSEGQGRAYIAYVIQFENSTVQRRYSDFESLRSILIRLFPMTLIPPIPEKQSIKNYGKSITGSSSKYLLPSEGSGSVDLSLSVIHASVNNSDEKLIRHRIRMLTEFLNKLLTNEEITKTSIITDFLDPNNHNWHEFVNSSSTFSSLPKSILQCNPLDPTNTTRIHAMLPIPGSSSQLLLNKESNDKKMDKERSKSFTNIEQDYKQYENLLDNGIYKYNRRTTKTYHDLKSDYNEIGEVFAQFAHEQAQVGELAEQLSYLSNAFSGSSISLEKLVGRLYYNINEPLNESVHMATSARELIKYRKLKYLQNEMIKKSLNSKRAQLEKLEAQNNEYKDVDKIIDNEMSKSHTINLERPNNNTGSGGKSYGGKLFNGFNKLASMVKDSVKYQETDPHTASINLKKEIEQLSESLEVTENDLEVISKVIKNDQLPKFSKEREVDLSEILKHYSRYMRNYARQNLEIWKEVKRHQDFA
VIMSS6581568 1 203 0.507728078817734 PF00505.19:HMG_box:94:158,PF09011.10:HMG_box_2:91:158 Non-histone protein 10; High mobility group protein 2 203 68 16 203 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03435 1 SwissProt MSVEEKKRRLEELKDQNVVLGLAIQRSRLSVKRLKLEYGVLLERLESRIELDPELNCEDPLPTLASFKQELLTKPFRKSKTKRHKVKERDPNMPKRPTNAYLLYCEMNKERIRQNGSLDVTRDLAEGWKNLNEQDRKPYYKLYSEDRERYQMEMEIYNKKISNIDADDDKEENEQKIKNNEEGSSTKVADSKGGEDGSLVSSN
VIMSS6581771 1 533 0.436848780487805 RNA end formation protein 2 533 0 16 533 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P42073 1 SwissProt MSAPVPQLVNISHALQASTIQQIRLDMVDFNKDCKLSSIQLARIDKYIDSLQAALNQFTKDNLHIERKEKNVTEADIQLYSGLKSMYLDYLNQLIKLKHEKQHHSTPPIANDVSLDFFVNQLPKFSPEERKNYIDNLILNKNSHNRLSKMDGLVDAVINLCVLDTSVAENVRSYMKLLDTLGFQKGSNSTGTKANLKKKLASSKAKIKDSEKEKEKEKDKSKVKMKTKLKPSPLLNNDDKNSSPSPTASTSSMKKLKSGLFNKNEAKSTESLPTSSKKKLSFSKYLNKDDADMTKLGTKRSIDVDFKVNPEASTVASNIISSSTSGSSTTTVATPASSEEPLKKKTKISVQDSNVQSILRNGKPKKARISSIKFLDDSQLIKVYGDDLPNQGLQVSPTQLKKILKPFKEGEPKEIILFEDMSIKLKPLDLMFLKNTNSDDYMDISETKGGPIHCETRTPLIYRKNFNHFNPDLNKRPPREPIEFDLNGNTNSTPTIAKAFGKNSLLLRKDRGGLPYKHVPIVKRNKYPPRPVH
VIMSS6581866 1 303 0.267327722772277 PF01454.19:MAGE:31:268 Non-structural maintenance of chromosome element 3; Non-SMC element 3 303 238 16 303 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05541 1 SwissProt MSSIDNDSDVDLTEDLAVAKIVKENPVARKMVRYILSRGESQNSIITRNKLQSVIHEAAREENIAKPSFSKMFMDINAILYNVYGFELQGLPSKNNMNAGGNGSNSNTNKSMPEPLGHRAQKFILLNNVPHSKNFDDFKILQSAHTYEELIVTGEYIGDDIASGTSNTLESKLSTDRDLVYKGVLSVILCIVFFSKNNILHQELIKFLETFGIPSDGSKIAILNITIEDLIKSLEKREYIVRLEEKSDTDGEVISYRIGRRTQAELGLESLEKLVQEIMGLEKEQTKSLHDDIIKSIGDSYSI
VIMSS6581947 1 628 0.573027388535031 PF08159.12:NUC153:547:575 Pre-rRNA-processing protein ESF1; 18S rRNA factor 1 628 29 16 628 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06344 1 SwissProt MAGENPKKEGVDARFAGIYSDPKFKNTKTKDHKIKLDSRFSKKDLEVQHKSKVDKYGRKIKNAQNNRELEDFDKYFEKEAENDEDSEVNAKTVVDRARGEVPDDYVSSSDEFTSSDSESSGESEVESEEENEVEIENAKPESGDISKNLAVVNLDWDHVKSEDLMITFSSFVPKGGKIERVAIYPSEFGKERMQREEVEGPPKELFQKKNKNKTSKKKKTDDSDSDMDIGIKDLYEEGDADKDVDSRALRQYQLDRLRYYYAIVYCSDTTTSKAIYDNCDGTEYESTANMFDLRYVPDGMTFDDDVRDECSILPKNYRPHQFSTDALQHSSVKLTWDETPADRVEVAKRAFTQKEIDDMDFKAYLASDSDESDGQVDEEAKNKLKSLVGDFGFNSKKETPNDEDEEVDMEITFTPALEGGNEKSSEDKEETTIEKIRRKEKERRKARKQKVKELKQQSEKDKKSKLKSVNKKHTNDEEEIEKNAKSKAELELLMDDDDDTETQGTINNKAHFNMNEILRSEKEKHKKGRYQKKERIVEDTFTPDLEDPRFKEVFEDHDFAIDPTQPEFKGTQAMSKILKERSKRVKNKKRKLGGSENNMTNNADDNEDIGNLVNKLKKKSKSSKKVKV
VIMSS6581971 1 275 0.120440363636364 PF01184.19:Gpr1_Fun34_YaaH:76:272 Ammonia transport outward protein 3 275 197 16 144 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12359 1 SwissProt MTSSASSPQDLEKGVNTLENIETLPQQGSIAGVSQGFPNIQEIYSDRDFITLGSSTYRRRDLLNALDRGDGEEGNCAKYTPHQFANPVPLGLASFSLSCLVLSLINANVRGVTDGKWALSLFMFFGGAIELFAGLLCFVIGDTYAMTVFSSFGGFWICYGYGLTDTDNLVSGYTDPTMLNNVIGFFLAGWTVFTFLMLMCTLKSTWGLFLLLTFLDLTFLLLCIGTFIDNNNLKMAGGYFGILSSCCGWYSLYCSVVSPSNSYLAFRAHTMPNAP
VIMSS6582049 1 108 0.805626851851852 COMPASS component SDC1; Complex proteins associated with SET1 protein SDC1; Set1C component SDC1; Suppressor of CDC25 protein 1 175 0 16 108 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03323 1 SwissProt MNESENSPQHNEVTVPMVEDTSSNADIPMEQIQREDNKNYDKHDNECFDMNGNHNNNSDNLQFDSVPSSATKDLKNIKSVTNQNVKIEESSSTNSVIEESSEPKISKL
VIMSS6582114 1 528 0.56417481060606 PF17357.2:FIT1_2:170:255 Facilitator of iron transport 1 528 86 16 528 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04433 1 SwissProt MKLSSAFVLSAITVAALGESITTTITATKNGHVYTKTVTQDATFVWAGEGAAVTSAVTEASTVAATSAAAETSVAAETSIVEPSTSAQGTSADEGSGSSITTTITATKNGHVYTKTVTQDATFVWTGEGERAPASTVATVETSVAAETSVAEPSTSAQGTSADEGSGSSITTTITATKNGHVYTKTVTQDATFVWTGEGERAPVSTVATVETAASPVTSVAEPSASTDEGSGSSITTTITATKNGHVYTKTVTQDATFVWTGEGERAPASTVATSSISAIEIPSTTEASIVEASSAVETSSAAETSSAVETSSAVETSSAVETSSAAETSSAAETSSAVETSSAVEISSAVETSAVETSSSSSTIETTSVKSLSPTQTSLSSSVQASSPIETSSAAKTSSVVPTFSSTTTENSSNSKSTSAVVASTTTSSESSATIVTPTRIGQAYTESSSRDAQSVRTHESNNWSSSSSASTKMVSSITRVQTTTAGIFTNGKSSTTPQIVNYTGAADSIAAGTGLMGAALAAVIFL
VIMSS6582136 1 615 0.26869674796748 PF06218.11:NPR2:10:523 Nitrogen permease regulator 2 615 514 16 615 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39923 1 SwissProt MLSYFQGFVPIHTIFYSVFHPTEGSKIKYEFPPNNLKNHGINFNTFKNYIIPKPILCHKLITFKYGTYRIVCYPVTINSPIYARNFFSFNFVFVFPYDCETSPYEPAITRLGKMFKVLEEQNQLLSKSERDPVFFDLKVLENSTTTPSTAGPSSTPNPSSNTTPTHPTSEKDTKDMRSSRYSDLIKDLGLPQSAFSIQDLLMRIFQDLNNYSECLIPIDEGNAVDIKIFPLLRPPTTCVSLEDVPLSSVNLKKIIDVNWDPTMMSIVPYIDGLNSIAKISKLSNSDPGLVIECIRHLIYYKCVTLSDIFQFSNIYAPSSLIRNFLTDPLMASDCQSYVTFPEVSKISNLPLNKSLGSGDQDSPSFSVRRKSKSSSIPSNPDSRTTSFSSTSRVSQNSSLNSSFSSIYKDWRQSQTSCSSSNIHVINNRNRFLPTRSCLFDLYRSLSQGQTLKTWYESKYMILKENNIDIRRFITFGLEKRIIYRCYSFPVMINAGSREPKEMTPIITKDLVNNDKLLEKRNHNHLLSATGSRNTAQSGNLKPERPSKVSFEMQRVSSLATGKSTMPKLSDEEEGILEESIRNAETFDKICVLLSKPKLEVESYLNELGEFKVINS
VIMSS6582157 1 95 0.694035789473685 Chromatin-remodeling complex subunit IES6; Ino eighty subunit 6 166 0 16 95 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32617 1 SwissProt MSGSRGNSSNSSVSNNSNNNNNNDGGDERLLFLRSVGERNEIGFPSRFKSAHYKKPTRRHKSARQLISDENKRINALLTKANKAAESSTAARRLV
VIMSS6582289 1 129 0.0649418604651163 PF02656.15:DUF202:24:84 Vacuolar transporter chaperone 1; Negative regulator of CDC42 protein 1; Phosphate metabolism protein 4 129 61 16 60 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40046 0 SwissProt MSSAPLLQRTPGKKIALPTRVEPKVFFANERTFLSWLNFTVMLGGLGVGLLNFGDKIGRVSAGLFTFVAMGTMIYALVTYHWRAAAIRRRGSGPYDDRLGPTLLCFFLLVAVIINFILRLKYNDANTKL
VIMSS6582420 1 303 0.0377554455445544 PF04479.13:RTA1:84:286 Protoporphyrin uptake protein 1 303 203 16 148 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40100 0 SwissProt MSTTDSGFVLYHYTPSKAAAIVFVVLFIIMTVIFAVQTLYAARKSSKALKNNPFESSDDKVDSLEDAEYKQLKITPTVFAFIPFFTGCIMEAVGYIGRALSSSNPERTTPYIIQSVLLLVAPALIAATIYMIFGRLLHVMRCQSLILISARFGTTFFVVGDVFSFFLQAAGGGLMSKAGSTKTGSGLITAGLFVQVIFFGFFIINEIRFTVNVKRRCLFYEDISRKWIFVNATLLLSSMLILLRSIVRIVEFIQGFNGYIISHEYFIYVFDAVPMLLVIIAFSVGSFFGNVFDVIKECQTLSN
VIMSS6582421 1 306 0.0979983660130719 Putative protein of unknown function (RefSeq) 306 0 16 306 0 Saccharomyces cerevisiae VIMSS6582421 1 MicrobesOnline MLWHPDGYEPRVKAIEEEIYANEDRKDVPDKFKFDTVTKTGMVKLRVFKDDLIFKSQRSINLFASRKHPFKSFTADGEGLPLFAFRTKKPFFVRRDYVGFLFYQYEILKNGDFPEESDYEVKGECDGFTLFKVLFCTVKVKKTSYYRNKERISHILELNFGKKEDFRILTLVRCSEIRSVYVVEDKKVIMKWVFTSESKFNLNSSLFIIKAAIGCLPEVGDSIEDIPKFDWDSCPTIGCMCRTKEALFQPESRKDMHICPQLFLGETGPPHYNESSVPWLTKMNICISVLINFLEYTDFMSWMQDN
VIMSS6582976 1 211 0.446815165876777 Extender of the chronological lifespan protein 1 211 0 16 211 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48235 1 SwissProt MSTAFNDYCTVCDRLIPTSPQKTNINTRKIQRDNETKSSLQSNKLYCSEDCKLKDSNPLNEKLLSHLHKKSKTSHSHNLTPPLSYSKNLTASNLFEPTTSLSSSPTSSTIPFDELEKLESLLISPLLLPQDGIVNPKQESNPSRVDEYDENEHYLNLADSLRLDSSYQLHSKAHLGYENNLPRSNDLIDDHLISDQIIENNYNLWFRLSSS
VIMSS6583117 1 386 0.338588341968912 PF00332.18:Glyco_hydro_17:283:384 Probable family 17 glucosidase SCW4; Soluble cell wall protein 4; EC 3.2.1.- 386 102 16 386 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53334 1 SwissProt MRLSNLIASASLLSAATLAAPANHEHKDKRAVVTTTVQKQTTIIVNGAASTPVAALEENAVVNSAPAAATSTTSSAASVATAAASSSENNSQVSAAASPASSSAATSTQSSSSSQASSSSSSGEDVSSFASGVRGITYTPYESSGACKSASEVASDLAQLTDFPVIRLYGTDCNQVENVFKAKASNQKVFLGIYYVDQIQDGVNTIKSAVESYGSWDDVTTVSIGNELVNGNQATPSQVGQYIDSGRSALKAAGYTGPVVSVDTFIAVINNPELCDYSDYMAVNAHAYFDKNTVAQDSGKWLLEQIQRVWTACDGKKNVVITESGWPSKGETYGVAVPSKENQKDAVSAITSSCGADTFLFTAFNDYWKADGAYGVEKYWGILSNE
VIMSS6583142 1 585 0.107409743589744 PF00856.28:SET:50:281 Protein-lysine N-methyltransferase EFM1; Elongation factor methyltransferase 1; EC 2.1.1.- 585 232 16 585 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38732 1 SwissProt MITQTELDNCLQWAQNNGAFIDPKISFRITEDAGVSAFVNEKFSPKPDQALIRVPETLLITSQQALSEFSQAANERSLLNSVTQLYLSKLKFGTDAVHLKSFYKPYLDVLPLHLPQPYFWSTDEVMNLHGTDVYLTMRDTLNKLVKEWRMLFQALSIEHSSQDKQFLSLFQENKDSAVVPLEQFCAHINGCKLEDSEWNSFVAYLWSYCIFNSRAFPRVILGRAGTDRTNLNEGFLYPIVDLLNHKNDVPVRWEMNEQNELCFMSQTTTFSAQDELFNNYGNISNEKCLLNYGFWDSSNKFDFSRLTLKLPSTLVSGLPVDFNKSGNFVTDDGETTILQFSLKISEPLPPVLLALFAYLSKLKSEETPTVRSVLEGIDQLTSVVSQRLLFYKNFKIKTSSTQKLRPHVIKLIKLYYQDNKKILNATTEKLSVLQKKIYSNNKEFSLSFKTIFKNDKIFANSLLLVFGAINYEDLITKDCLNDALLLWIVKLINDKSNNQGGFIKQTFKEVSDSIVIEKEDVMEFLPFYKKYFPNLSERIPEIYSVGDWGIRQFIVADTAIDRLVWIRKSNKEPIFLMKKAYDLQI
VIMSS6583274 129 320 0.240080729166667 PF07228.12:SpoIIE:3:178 Protein phosphatase 2C homolog 7, mitochondrial; PP2C-7; EC 3.1.3.16 343 176 16 192 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38797 1 SwissProt CKKMDEISTALAENSSKETLLTPKKIIGAAYAKIRDEKVVKVGGTTAIVAHFPSNGKLEVANLGDSWCGVFRDSKLVFQTKFQTVGFNAPYQLSIIPEEMLKEAERRGSKYILNTPRDADEYSFQLKKKDIIILATDGVTDNIATDDIELFLKDNAARTNDELQLLSQKFVDNVVSLSKDPNYPSVFAQEIS
VIMSS6583279 1 737 0.593251017639077 PF02893.20:GRAM:549:657 Membrane-anchored lipid-binding protein LAM4; Lipid transfer at contact site protein 3; Lipid transfer protein anchored at membrane contact sites 1 1345 109 16 737 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38800 1 SwissProt MTRDSKKKHHWGTAFLRTIGVKRKHKKDRNFLNNTTGENVSTTASAERFRRVGGNPDIPSLLKPETFTESPAKGSQKAAASSLAHSQGVFNIPIVIDPMETNRLEKTNTNLTAGSLKGRFQDGNSNSNSVPSLSVQALEKEKLQSGKREGSSNQAEEKTPDGHDEHTAFETFLSFAHNAVSHIPKINVQDADNGTISRNEPKDRKKNSSNISGALSENSTNNKNTSSTKESDGPFLKNLDNILAASKSSTPSNQQLNTTEAGSKSKPSSLSRLAFGNLKGHIHSNSHSSSNAISGDDTSLDDTRKMTDDMARKVVFEPIRHSHDKPTPGVGNLKLEHFDDSQATLEGLEAMSAESLPEADHLDSRGPVQQSNLERKTVPSKWSVVSSSTTDGVKPRRRAKSMISAMADKQNTSSDVLQDCKKRLSFNSSNGLTNNDPEYEDREPREMSKKFLNRRSFSPGSISMGMKVLPSTALKYSLNKVKNSTDIASTIIPRPSMSNGRPSSGLRRSSSKSFSSTPVNIIEPSEENGRQSSIRIKGVEYASEKKDAEFHAIFKDSGVSPNERLILDHSCALSRDILLQGRMYISDQHIGFYSNILGWVSTVFIPFKTIVQIEKRATAGIFPNGIVIDTLHTKYTFASFTSRDATYDLITEVWNQIILGKRFRSNSNNTNSSSNSISDDENDDYDDDYDDYGDDDDDLYDNSNNISDSTDMTSSVSIGKPEDLPMPLQTDTPYGTG
VIMSS6583419 1 203 0.252596059113301 Putative protein of unknown function; predicted to be a glycosylphosphatidylinositol-modified (GPI) protein (RefSeq) 203 0 16 203 0 Saccharomyces cerevisiae VIMSS6583419 1 MicrobesOnline MFNRFNKFQAAVALALLSRGALGDSYTNSTSSADLSSITSVSSASASATASDSLSSSDGTVYLPSTTISGDLTVTGKVIATEAVEVAAGGKLTLLDGEKYVFSSDLKVHGDLVVEKSEASYEGTAFDVSGETFEVSGNFSAEETGAVSASIYSFTPSSFKSSGDISLSLSKAKKGEVTFSPYSNAGTFSLSNAILNGGSVSGL 1
VIMSS6583439 1 542 0.0817660516605166 PF07690.16:MFS_1:90:465 Putative protein with similarity to the allantoate permease (Dal5p) subfamily of the major facilitator superfamily; mRNA expression is elevated by sulfur limitation; YIL166C is a non-essential gene (RefSeq) 542 376 16 274 12 Saccharomyces cerevisiae VIMSS6583439 1 MicrobesOnline MSVQKEEYDIVEKAQLSVSAESLTSDSESISHNPFDDFHKAERWRKVYESSGYEGLSKFDPEFTWTKDEEKKLVRKMDLKIFLWVFIMFAFLDLIRKNIARAVSDNFIVDLKMNTNDYNLGQTVYLVIFLASELPGNLLSKRFGPERVIPVQIVLWSVICITQAGLKNRGQFIATRCLLGMVQGGFIPDNILYLSYYYTGAELTFRLSFFWCAIPLFQILGSLLASGIIEMRGIHNLAGWQYLFIIEGFLSLSVGVASFYLMRRGPTQTGESAFHKGKSLFTEYEEKIMVNRILRDDPSKGDMSNRQPVTFKEILYTLTEFDLWPLFIQGITAFISLQTVGSYLSLILKSLNYSTFLSNILAIPGQALLLINLPLAALLSRKLKEKSLCVGIANVWVLPFIVSLVALPTDTNPWIKYILLTGILGLPYTHSILAGWVSEISNSVRSRTVGTALYNMSAQVGAIIASNMYRNDDKPYYTRGNKILLGFTCFNICMAVATKFYYISRNKYKDRKWNSMTKEEQINYLDTTKDKGMKRLDYRFIH
VIMSS6583489 1 318 0.452408805031447 Pheromone-regulated membrane protein 5 318 0 16 295 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40476 1 SwissProt MTVITIAKRGLPKLTTSTSSTTTASSSSTITSVASSSSSLPLLSNSTSSSIIPSITPPSRNGNPYILDSGDMPNGTVFIVVGGIAGVIFLAILLWWVITTYSSHRLTRSVQDYESKMFSTQHTQFYGDSPYMDYPAKENFQDQVHISESDISPGNKDESVKDALVSHTNNEKPFLSNFERPLFSLASESNRNSLFISPTGDILYKTRLSKLYQESPRLLQKPVIMTSDNVSTNSLVSTISSSSASSLDNGNEKEVGEDIRKPAKIASSPSRKLLNSPESDGSVNRNHSKGNLLVVQSKRKPTPSTYLEHMLEGKEQDE
VIMSS6583765 1 387 0.597727906976744 PF06738.12:ThrE:345:384 Uncharacterized UPF0442 protein YJL107C 387 40 16 387 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P42947 1 SwissProt MDGRNEKPTTPVSDFRVGSSEQSQAGVNLEDSSDHRTSNSAESKKGNLSGKSISDLGISNNDNKNVRFTADTDALENDLSSRSTETSDNSKGTDGQDEEDRPARHKRKPKVSFTHLRNNGKDGDDETFIKKIINNLTGNQGGLVPGLAPIPSENENGKNDIEKNNRNEEIPLSDLADASKIVDVHEGDDKEKLEALKLEGDVNCTSDGETLGSSSKNSFLAPAVDHFDDYAENNSSDDNEGFIETSTYVPPPSQVKSGVLGSLLKLYQNEDQNSSSIFSDSQAVTTDDEGISSTAGNKDVPVAKRSRLQNLKGKAKKGRMPRLKKRLKTEAKITVHIADILQRHRFILRMCRALMMYGAPTHRLEEYMVMTSRVLEIDGQFCIFQVV
VIMSS6583999 1 116 0.210181896551724 Protein of unknown function; essential for growth under anaerobic conditions; mutation causes decreased expression of ATP2, impaired respiration, defective sterol uptake, and altered levels/localization of ABC transporters Aus1p and Pdr11p (RefSeq) 116 0 16 116 0 Saccharomyces cerevisiae VIMSS6583999 1 MicrobesOnline MRWDVIILYAISRPYATRRTGSHTHPRDSRYIAANQRRPPSACRVGPSPAKQRKDIPIFELLDTTLIKNALFALTSFLYYRTNILTCPFLNFLYLSRTGQLDKFCKDQTVTQILAT
VIMSS6584316 1 304 0.130901315789474 PF00153.27:Mito_carr:22:109,PF00153.27:Mito_carr:118:203,PF00153.27:Mito_carr:207:304 Mitochondrial RNA-splicing protein MRS4 304 272 16 304 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P23500 1 SwissProt MNTSELSIAEEIDYEALPSHAPLHSQLLAGAFAGIMEHSLMFPIDALKTRVQAAGLNKAASTGMISQISKISTMEGSMALWKGVQSVILGAGPAHAVYFGTYEFCKARLISPEDMQTHQPMKTALSGTIATIAADALMNPFDTVKQRLQLDTNLRVWNVTKQIYQNEGFAAFYYSYPTTLAMNIPFAAFNFMIYESASKFFNPQNSYNPLIHCLCGGISGATCAALTTPLDCIKTVLQVRGSETVSIEIMKDANTFGRASRAILEVHGWKGFWRGLKPRIVANIPATAISWTAYECAKHFLMKN
VIMSS6584334 1 325 0.279807384615385 Uroporphyrinogen-III C-methyltransferase; Urogen III methylase; SUMT; Uroporphyrinogen III methylase; UROM; EC 2.1.1.107 593 0 16 325 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36150 1 SwissProt MVRDLVTLPSSLPLITAGFATDQVHLLIGTGSTDSVSVCKNRIHSILNAGGNPIVVNPSSPSHTKQLQLEFGKFAKFEIVEREFRLSDLTTLGRVLVCKVVDRVFVDLPITQSRLCEEIFWQCQKLRIPINTFHKPEFSTFNMIPTWVDPKGSGLQISVTTNGNGYILANRIKRDIISHLPPNISEVVINMGYLKDRIINEDHKALLEEKYYQTDMSLPGFGYGLDEDGWESHKFNKLIREFEMTSREQRLKRTRWLSQIMEYYPMNKLSDIKLEDFETSSSPNKKTKQETVTEGVVPPTDENIENGTKQLQLSEVKKEEGPKKL
VIMSS6584654 1 489 0.428572801635992 PF00498.26:FHA:118:168 Protein TOS4; Target of SBF protein 4 489 51 16 489 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06266 1 SwissProt MSSQFPSSPYRTVDPYSPPNYKQQPNCPSSNYEKAGKTASESIGNFGKGDYPTPFPSSSIGRVSSPVRSNKVDAIPSSPAFPGQLAETSPKFSSKLSSPSRHTRVINAELDPSKISTITVGRNSSQCDVALCKNKFISRVHASITYLPQTNEVKIHCFSMNGLIVTYRKQFDCYQLKDTMNNNNRAYRLVPRFSNEKCVKEIQDEGGFINFTLEEGDTVYMTYYKGIMLDFRQVLLRISLKEKNSSSEPLRFEKKAEFESESETKHMGSIRKHPLIFTDTSMDRPKKILKDSNKISIGSDSGVAERMLNHFLNSKSSPLSSVSSVDHEEQTLRQDSLSSDKNPMTMKKPKLNKRVLPSKPKKSVKENLDELSRRNIDVMHLQHILTNHLAFANVQQTPLFQLQQVNSQISELSRDELRSILSDAKCVGVIYRHGKDAAGKPLDEEYFYDLENDDDYERRNLVSSLKGGRTGLRSCRRTHKQYFWKKPAK
VIMSS6584671 1 436 0.234812844036697 PF13824.6:zf-Mss51:85:135 Protein MSS51, mitochondrial; Mitochondrial splicing suppressor protein 51 436 51 16 436 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32335 1 SwissProt MTVLYAPSGATQLYFHLLRKSPHNRLVVSHQTRRHLMGFVRNALGLDPPPSPEDPTPENRFHPWDQSPSVDLRERAAKIRTLAHCPVTGKDINYTCPLSGIPTHHSREAWEMDKAYHDSKKYEILKKVNIYEHDLRSGRPFPEFDFPQQQGYDKAVNLTNWDLFFYTRSFYSMDTEFQLAAVTKMLSYPITIGSLLHKFSPYSLNPKGPITLEGLKSLAALRYTLYPLENRSLPTTTKNRAMRIFILGARAEAQLPGHVWKQLQFLFPEQSFEIHFIGPECLYKRDKQEYVKSTTPVVQRVDETLKFIYRTNFFEVFHEAQDFFPYDPYMDVFFTFHPGYASPESHGSWMGETMKALLETKCAIFTTGFNKKDLTDDINLVKSKYGKEMDVLMEPVRNVFGSTKWELNDMNPQEVYQFNMYIAGFRGKRYHTIKRQ
VIMSS6584693 1 395 0.182221518987342 Protein BUR2; Bypass UAS requirement protein 2; Chromosome stability protein 4 395 0 16 395 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05949 1 SwissProt MSATSSSGDVKKFQAVPKPTSNASPPPASSGFNARTLWPDLIETPENQWVFECKDIIEKIGTNGPIAVEIKKNMEKCLMYFYTLKKKLNLFDHTYTASCILFYRYWFIYGIPTAITECIHISQGILVTACKTMENNRPIEAYIKATCEFLMQNIPSLKSRTNIDKLKWEFRDKLVTNEKKILCLFGFDLNISNPKELIEEVFSGYYRFNRDHNLPENFKKAFPKILQESRNFMVQAVTQPVSLLCDGYTFIVLSLIYCGLEYKKLVDKDFRYPKNFFKDRFPIEVTPENFANIFTDYKLLEENFFNLKSNKGAKLQIDSSMIDSVIDESGDVENEVSEISDPFNYELIKSGEVKEEFLNHIETRVKDLLDKAKQESMKRKAKDPIRTPDAKKPKI
VIMSS6584847 1 408 0.267978921568628 PF00650.20:CRAL_TRIO:164:312,PF03765.15:CRAL_TRIO_N:83:130 Phosphatidylinositol transfer protein CSR1; CHS5 SPA2 rescue protein 1; SEC14 homolog protein 2 408 197 16 408 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06705 1 SwissProt MSFDRQLTEDQEVVLKQIWTHLFHLWQVPVDGTHIFPNNSLHSSSTPAKKKKSSWFSKLQSSDHTQDSSEAAEAAHLYEKGKIHKALANLDPQTTKKQFWHDIKNETPDATILKFIRARKWNADKTIAMLGHDLYWRKDTINKIINGGERAVYENNETGVIKNLELQKATIQGYDNDMRPVILVRPRLHHSSDQTEQELEKFSLLVIEQSKLFFKENYPASTTILFDLNGFSMSNMDYAPVKFLITCFEAHYPESLGHLLIHKAPWIFNPIWNIIKNWLDPVVASKIVFTKNIDELHKFIQPQYIPRYLGGENDNDLDHYTPPDGSLDVHLKDTETRAMIEKEREELVEQFLTVTAQWIEHQPLNDPAYIQLQEKRVQLSTALCENYSKLDPYIRSRSVYDYNGSLKV
VIMSS6584868 1 668 0.348860179640718 PF01207.17:Dus:297:481,PF01207.17:Dus:501:575 tRNA-dihydrouridine(47) synthase [NAD(P)(+)]; tRNA-dihydrouridine synthase 3; EC 1.3.1.89 668 260 16 668 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06053 1 SwissProt MEQNAEKRSIVGDDNSTVKRQDTSPSKGIAHIKPEYIVPLKQNENQKVAIYDEEMSSDRMTNEFAGGTNKKNKNGRGKKRGQNKNRDNRQVKEQNVLCPRLIHGDISKCSFGDNCRFVHDINLYLSTKKPEVESNIFPSCPVFNSLGFCPMGFKCRFLSSHLNKEDNILISKKEIDPDAQTIWSVKGEVNHISPERKLDLIKRRFPFTKSNEILEIIDSFQQECRDSMKPEEEVESTPQLKKQDPDVEQPVAPQVEQRNKELSEHRMKQREVYLKYKDTRYFAQEKKPLDLYHKKIVSPLTTVGNLPYRRLMRKLGADVTYSEMALAVPLIQGTNSEWALPKAHTSEFPGFGVQVACSKAWQAAKAAEALANSVSEISEINLNSGCPIDLLYRQGSGSALLDNPARMIRCLNAMNYVSKDIPITVKIRTGTKEGHPIAEGLVKRLVNETDVAAITLHGRSRQQRYTKSADWDYVSQVADTLRSAEADFIETEQGKEGRDSKNRIQFVGNGDVNNFEDWYRYLNGNENIDSVMVARGALIKPWIFEEVESQQYLDKTSTERLDILRDYAQFSMEHWGTDEYGISQCRRFFCEFMSFFHRYVPMGICERYPVKLNERPPNWCGRDELETLMGSTDVNDWIKLSDLFFGKTDENFVFVPKHKSSSYANRDS
VIMSS6584956 1 296 0.267047297297297 PF12350.8:CTK3_C:226:289 CTD kinase subunit gamma; CTDK-I gamma subunit; CTD kinase 32 kDa subunit; CTD kinase subunit 3 296 64 16 296 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46963 1 SwissProt MDSLEARLQFIQVLKNLQKTLHKTRDSITSSSTTTPPSSQQKLNNDPIQFYLRNYRHHYEDFHQCLFDTTMKMDPLDRLDVVIYYVRIIRNLYPHSHSNTNVTKVLNEVLLMDIDLVFELCLPCQDWKSLTNQATCKELFLDLSKLIHYDATSVTHTPSDTTLIDATTWYSVKTERTTKDYKESLQRTESLLKDRDLKKLAFFQQFNSDTTAINPDLQTQPTNANILLHRMEADRELHKRSKETSWYIERPSNDILDESEFKSLWTHFETTDSGFDKDDYKNIKALNDIAKASYIY 2
VIMSS6584992 1 944 0.313476059322034 Weak acid resistance protein 1 944 0 16 944 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03631 1 SwissProt MDTQIAITGVAVGKEINNDNSKTDQKVSLPKADVPCIDKATQTIIEGCSKDDPRLSYPTKLETTEKGKTKRNSFACVCCHSLKQKCEPSDVNDIYRKPCRRCLKHKKLCKFDLSKRTRKRKPRSRSPTPFESPMVNVSTKSKGPTDSEESSLKDGTSYLASFPSDPNAKQFPNSRTVLPGLQQSLSDLWSTLSQPPSYGAREAETTSTGEITTNNHTKSNGSVPTNPAVLASNDEHTNISDAPVIYSTYNSPVPISSAPTSINSEALFKHRPKIVGDEETQNVKVKRQKKSYSRHMTRSFRKQLQSLIISQKGKIRDISMKLDTWSKQWNDLVEKSMFLPTIADPVSVGIISHEEATLRLHLYKTEISYLSKLPFIKVEENVSVDELRKKKPILFSVIMSCVSIVLTPKQTTRGTIMKLDSFVLNLITNQIFKANNKSIEIIESLSTLCLWYNFFEWSSKTRYHIFNYICCCLTRDLGPTYVNRSFGMFSDEDPKRFKSPLELYSNGASLTLLVYISALNISIFLRQSIQARWSHVTEKACEDLVKETKKSRHYDNDKLLLDSADDPILVQFAKMNHVLENIHTHLHERDLNDDEFDDPIFTKKYLNKLMEKYHKQLQEIFTKLDRNRPRVIAFYYSVEAYLYQYKLAVFIGEMSHTINEKVELPREIMDDFVKCYHCCKSALEEFSKLEPILITSLPLFHTSRIIYTVGMLLLKLRYSVVAIPSFHDLMPLTDDAIALVIGVNNLLEKTSELYPFNNSLYKFRYVIALFCQTYANKVIDVADRYNAEREKLKEKQVIDEVSNGHDGTKPINAYVTESQKMPTEEDPIIDNNTNQNITAVPDEMLPVYSRVRDDTAAMNLNINSTSYMNESPHEHRESMTGTTLLPPPFISNDVTNSADSTNIKPSPSSSVDNLNDYLTDINSLAWGVNSLNDEFWTDLFMNDI
VIMSS6585020 1 302 0.179998675496689 PF06687.12:SUR7:10:205,PF12351.8:Fig1:62:210 Protein SUR7 302 201 16 210 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54003 1 SwissProt MVKVWNIVLRLVVLLFLAGNTLLLILMIISGATDHYPVNRFYWVQGNTTGIPNAGDETRWTFWGACLQDKDGSDTCTSNLAPAYPISPVDNFNTHINVPHQFISKRDAFYYLTRFSFCFFWIALAFVGVSFILYVLTWCSKMLSEMVLILMSFGFVFNTAAVVLQTAASAMAKNAFHDDHRSAQLGASMMGMAWASVFLCIVEFILLVFWSVRARLASTYSIDNSRYRTSSRWNPFHREKEQATDPILTATGPEDMQQSASIVGPSSNANPVTATAATENQPKGINFFTIRKSHERPDDVSV
VIMSS6585414 1 317 0.209712302839117 PF02598.17:Methyltrn_RNA_3:20:313 Putative methyltransferase YMR310C; EC 2.1.1.- 317 294 16 317 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04867 1 SwissProt MSSTRKFKKVEKPLSQTRHYSLCIPTTLVSDCRNLSQITHKVYQVAKFASLFNVSEVVILEDNSQVDATKKKISTAKLILALLQYFVTPPYLRNTVFNEKFRPYLTAASKLPRLSTLPFTRYQKQDHGRYREGLTIKMQKPTLARKKIGKVFKQTKYINIGKSKALALQSQLVPINARVTIDTITRKIVSPQEAYGDFTGLDSQYGYYTRIASSFTDLFMKGPLKEGYTQSVYVPLTTRDTSIPELSSLPTAETNPHILLVFSTWDTLARAFKLDQDQFVDCQGPQEFFDAQLPCPVSNSDVADAIPMTLTTLSTVF
VIMSS6585566 1 261 0.719318007662835 Uncharacterized protein YNL195C 261 0 16 261 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40168 1 SwissProt MLGLGQSAQAYASDDALNMNQAKDKTYSVPGCGRASDLKYPHRDGHSSSHEQRSGILPTECPGPTLNTGAGSIGIPGCGKVTNRVVSDYNKNARSTLANFDSSKMTEARMNSKNVPIGCQDTSDPHFNGPIDQHVPGAGSPQSQPHHIDAWNSVSSRRADNNNQDMMDPQTASSDRYNEKMMREENSGVSASSYTTKVQGYPASIPSFNQETEEKETYAYGVGDRHNVPRNQIMDETNPSANVLNATDHSISHPENKVLHK
VIMSS6585776 1 212 0.541245754716981 PF15700.5:DUF4667:10:208 Uncharacterized protein YNR014W 212 199 16 212 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53719 1 SwissProt MSSTDIKPCAVNIPVSAHITFHYKSIADRSSSRSSSSSSCSSATSKACSPRGSSVGLPPALSTDNEIVETVLNVSAPVVADPTRPSLFKSNYTAASCLTSDPTSPSLLPSSRRNSVLPASDFHQCAHHKNFQRRASEPQLPSFDNRSSSEMKRSVSYAQHSMMFPISDQQEPQTSASPNDHSDPSCPCNRHHHRRNSVAVKFDKPLYERLET
VIMSS6585858 1 620 0.0916985483870968 PF08030.12:NAD_binding_6:423:595,PF01794.19:Ferric_reduct:161:280,PF08022.12:FAD_binding_8:327:414 Ferric/cupric reductase transmembrane component 7; Ferric-chelate reductase 7; EC 1.16.1.9 620 381 16 439 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12333 1 SwissProt MIEERDLVLSNGIHCIADIHSELYARLKKESQAATPWVYQKQYGKFVTYFVAVIIFLSLIKKLAFMYYDSSEEFLPEKKNSPTTPSVFLARIMTKLVAFNRYICYRKFPTLIFSYLGIPTSVGTFLVVMATTLYTLLYCFVPHPFYRPCAGFGSPPLSVRAGIMAISLVPFVFSLSGKINVIGWLVGLSYEKINIYHQWASILCLFFSWVHVIPFLRQARHEGGYERMHQRWKASDMWRSGVPPILFLNLLWLSSLPIARRHFYEIFLQLHWILAVGFYISLFYHVYPELNSHMYLVATIVVWFAQLFYRLAVKGYLRPGRSFMASTIANVSIVGEGCVELIVKDVEMAYSPGQHIFVRTIDKGIISNHPFSIFPSAKYPGGIKMLIRAQKGFSKRLYESNDDMKKILIDGPYGGIERDIRSFTNVYLICSGSGISTCLPFLQKYGPILHKTNLEVITLDWVVRHREDISWIRDEMCTLSNNLRQLFLDGKIVVRIYVCSDSTVPGIIKTFPQTIDTASDQSDLAKREKDTEFGQDDTESNSTFDKSNNEYKGLITIIPSKPDLNQVINDYQIGFRNCFICSGSDSLRYTVGNSVAGLQAKVFSNKNVEECYLHSESFGY
VIMSS6585878 1 859 0.443486030267752 PF01544.18:CorA:456:641,PF01544.18:CorA:682:793 Magnesium transporter ALR1; Aluminum resistance protein 1 859 298 16 836 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08269 1 SwissProt MSSSSSSSESSPNLSRSNSLANTMVSMKTEDHTGLYDHRQHPDSLPVRHQPPTLKNKEIAKSTKPSIPKEQKSATRYNSHVDVGSVPSRGRMDFEDEGQGMDETVAHHQLRASAILTSNARPSRLAHSMPHQRQLYVESNIHTPPKDVGVKRDYTMSSSTASSGNKSKLSASSSASPITKVRKSSLVSPVLEIPHESKSDTHSKLAKPKKRTYSTTSAHSSINPAVLLTKSTSQKSDADDDTLERKPVRMNTRASFDSDVSQASRDSQETEEDVCFPMPPQLHTRVNGIDFDELEEYAQFANAEKSQFLASLQVPNEQKYSNVSQDIGFTSSTSTSGSSAALKYTPRVSQTGEKSESTNETEIHEKKEDEHEKIKPSLHPGISFGKNKVEGEENENIPSNDPAYCSYQGTDFQIPNRFSFFCSESDETVHASDIPSLVSEGQTFYELFRGGEPTWWLDCSCPTDDEMRCIAKAFGIHPLTAEDIRMQETREKVELFKSYYFVCFHTFENDKESEDFLEPINVYIVVCRSGVLTFHFGPISHCANVRRRVRQLRDYVNVNSDWLCYALIDDITDSFAPVIQSIEYEADAIEDSVFMARDMDFAAMLQRIGESRRKTMTLMRLLSGKADVIKMFAKRCQDEANGIGPALTSQINIANLQARQDNASHIKNNSSTTVPNNYAPTTSQPRGDIALYLGDIQDHLLTMFQNLLAYEKIFSRSHTNYLAQLQVESFNSNNKVTEMLGKVTMIGTMLVPLNVITGLFGMNVKVPGENSSIAWWFGILGVLLLLAVLGWFLASYWIKRIDPPATLNEAAESGAKSVISSFLPKRNKRFNDRSKNINVRAGPSNKSVASLPSRYSRYD
VIMSS6585924 390 1116 0.412706327372765 PF11816.8:DUF3337:520:710 DUB-associated factor 1 1116 191 16 727 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99247 1 SwissProt GGILDIALLPNEKLLFSFCTDSNLNVLDLTNNHFSVNEGGFALTRSSLLTNRRHVITENTKGQMQRWDIVSCELLNTFDSSEGSFDDIVMKYTSKEILSHWCTVSVKVGMLFVKINPKFLKTEVYGSALKDYQVVNNIEINSDERYNLGKIVINSLFNEFISYEVQKDKLLRKKIFSLKKKDLTNSLTLDTGYNSESKKNNKDKKRKSTFKISSTLSIGNTNSSGTPPNSAPATPVMAETIVLEEQPLLQSASDKAIDDSLELVQPLPASKKPYFRTQSSGSLLSRKFKSFRSTSGRATTGLNTPEEPKGILPDTPHVINDDSAFPQAINTTQQSKDATPESMLWNHPFKLEQKLSAISSQDLPSNNTHNKLRSSENSRANSTSTLEGNEKKKPEFMPDLLEQIQESYKQQYMNTSSLKYLTKRLPVTKIIKASSCPIIRVKSATLVLVHLWKEGSCGGRVLFSTLLPPSHVDNETVSGGKENSKPPDDEEVDLQAVDDDKLGKYDLIDGELGSRLNRRQIFEQLEENLPYWFAKALFRDIKTVEEQPKLNFLIMPWSSVGGSEAAGNENKKKFISASDTTESSGNDSSDSSLGNGNEAVSPSTQQQFHNMLKFGRPKTSEQELNPTDLPRISEANVKLVAPGMIRVKKIKLYVADRFETKTPEMKAKMEPSLWLDLLCRGQVLDNDMTLNTVRTLYWKSQGDIVLEYRRKVHNSPLVHEVNGNEGK
VIMSS6585943 1 177 0.544945197740113 PF00010.26:HLH:14:97 Retrograde regulation protein 1 177 84 16 177 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32607 1 SwissProt MSSIPAGTDPGSCGANFKNDRKRRDKINDRIQELLSIIPKDFFRDYYGNSGSNDTLSESTPGALGLSSKAKGTGTKDGKPNKGQILTQAVEYISHLQNQVDTQNREEVELMVKATQLAKQTGTIVNDINLENTSAEVALSRIGVGPLAATNDDSVRPPAKRLSSFEYGGYGEYGNGS
VIMSS6586100 1 746 0.156234316353888 PF00646.33:F-box:223:254,PF00560.33:LRR_1:595:615 Dia2p (RefSeq) 746 53 16 746 0 Saccharomyces cerevisiae VIMSS6586100 1 MicrobesOnline MSYKFITKNKKYTPMSSPGNSGVAIDSTVLKAIELGTRLFKSGEYLQAKRIFTNALRVCDSYSQEQIMRIRNAYQLDTARPDNKRLYHPRYIKILDNICACYEKLNDLKSCLDVSQRLLKLEPGNIKCYIRCTRTLIKLKDWKRAYKTCSRGLQLCNNDSNHLRQQKQFIKNNMVQKQDGKRSYIDPLEETKIAKKKKNNNVLESLPKKKIKGSTKKTDLVGNLPIEILPIIFQRFTTKELVTLSLVCNKWRDKILYHLDCFQEFNLAPINFKNFVKFMDFLQQNFTRTYRKYILSQVKVSSRITSEELRITQLLFSKMPKCINIERLILSMPTLTTTQIFKLMVRGGTDFFTRLLELSLMITYRPDKQHELEILQTCPLLKKIELIFVNSLVPIFDGNNSVGRDGSFNVMARHTNMQISTADNDEQGIVEEKVIYSELEKITLICDKKKIKNFPLCRALLRGQFPLLQKLTITGVTFPMNNQDIMNFQWLLNFPDLKELWIEDNDNCELSKFLQLLKFSNVWKNLEKLTFRENKLYPIVNLDEDQPVTNDDEVPSMLFYKENLQNLEKLDLMGTSISGSALTRLCEQEYLDGRKLRSLNIGNCPNIQFPNNHAHTARMILDVNAVLKRLSKLEEINLSHLSSLNDSTMKSFIINVPFLENLKRLDISHNFEITGISIYEFLKKFQMDHDNEAGGQPLAYLNIDGCSQVSHITVNMIRAQNLVTQVDCVYERDVWRKFGINSYSYS
VIMSS6586107 33 436 0.0476118811881187 Calcium channel YVC1; TRP homolog; Yeast vacuolar conductance protein 1 675 0 16 298 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12324 1 SwissProt RQILRVTLNLKYLIDKVVPIVYDPNDIVCDHSEILSPKVVKLAYEACGGNPKDKANKRKYQSVIIFSLLKVCEWYSILATMEVHNAKLYETRNLASQQLCKLLIEREETRDLQFLFMQLLLRRYVINENDEDQEPLNALELATDMHCTTVIGSSGFQRCLKWIWRGWIVQNGLDPTTFIKDDSLAEVSLISHFNPVRLKAPVYQNYLQMIFSFLFLGLYTLVVNGKDSERVQSFDLLESIFYVFNTGFILDELTKLYYIGYAHLSFWNLFNDTTYLIITFAMGFRAMSVTPLNAKYSSEDWDKISYRVLSCAAPFVWSRLLLYLESQRFIGIMLVILKHMMKESIVFFFLLFLIMIGFTQGFLGLDSADGKRDITGPILGNLTITVLGLGSFDVFEEFAPPYAA
VIMSS6586250 1 228 0.466652192982457 PF01025.19:GrpE:55:224 GrpE protein homolog, mitochondrial 228 170 16 228 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38523 1 SwissProt MRAFSAATVRATTRKSFIPMAPRTPFVTPSFTKNVGSMRRMRFYSDEAKSEESKENNEDLTEEQSEIKKLESQLSAKTKEASELKDRLLRSVADFRNLQQVTKKDIQKAKDFALQKFAKDLLESVDNFGHALNAFKEEDLQKSKEISDLYTGVRMTRDVFENTLRKHGIEKLDPLGEPFDPNKHEATFELPQPDKEPGTVFHVQQLGFTLNDRVIRPAKVGIVKGEEN
VIMSS6586325 1 521 0.144511516314779 PF07690.16:MFS_1:138:472,PF07690.16:MFS_1:386:506,PF00083.24:Sugar_tr:116:216 riboflavin transporter MCH5 521 391 16 252 12 Saccharomyces cerevisiae CharProtDB::CH_090977 1 CharProtDB MSSDSLTPKDTIVPEEQTNQLRQPDLDEDSIHYDPEADDLESLETTASYASTSVSAKVYTKKEVNKGTDIESQPHWGENTSSTHDSDKEEDSNEEIESFPEGGFKAWVVTFGCFLGLIACFGLLNSTGVIESHLQDNQLSSESVSTIGWLFSLFLFVCSASCIISGTYFDRNGFRTIMIVGTVFHVAGLFATANSTKYWHFILSFAIVCGFGNGIVLSPLVSVPAHYFFKRRGTALAMATIGGSVGGVVFPIMLRSFFSMKSDTDPTYGFVWGIRTLGFLDLALLTLSIILVKERLPHVIENSKDGESRWRYILRVYILQCFDAKAFLDMKYLFCVLGTVFSELSINSALTYYGSYATSHGISANDAYTLIMIINVCGIPGRWVPGYLSDKFGRFNVAIATLLTLFIVMFVGWLPFGTNLTNMYVISALYGFCSGSVFSLLPVCCGQISKTEEFGKRYSTMYFVVGFGTLVGIPITGAIISIKTTADYQHYIIFSGLATFVSAVCYIISRAYCVGFKWVRF
VIMSS6586677 1 381 0.503705249343832 Uncharacterized protein YPL014W 381 0 16 381 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02606 1 SwissProt MLLERLHKRLHAGSSRRSQENKDKNCKPEDALPIQPEAQHQTQDPQPLLNCDYDDMIAFDRNLSTPVFTPVMTPINNSSSNQAKSSDASYFPPYLNANRTRQNSASSLASSVSDFAQNFKQHTFYNNNAQFTSFTPQFVGLLLEVYQNTCSDPTITPFDTTNPPSGILNRVAKAAIQQSELQQLDIGCDRNSWLLTLVRQRLLQEVRKDGYLSRNTSLTSLPPPPPPQFSEMLRVPSPFVNADITDPIPLSNTNSNPNVSSTTSLTNTLNWYSLQRSNVSMKNRNGSSQYISELQPQPILARTNSNNSVSNSNAFSLLTPTPTTDSAFNFNIALLSRQRSNIISSPLASTRLPTANVSTEESSILPNESLKLKRDLLRLKR
VIMSS6586846 1 467 0.196998286937902 Putative vacuolar protein sorting-associated protein TDA6; Topoisomerase I damage affected protein 6 467 0 16 467 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06466 1 SwissProt MHCVLARILLWFLIVDLSVIRALVLPPLKDYDPLEPLMKRDMAMGQRNRFKVDGQLPPILNSTDVTDDQRSLHTPGEIPSYVINHCPLVHLYSEEKYWPSDIAEYVQNFQIKDKNGNSISTHENLTLHDLKAEYHVDLFGNKTETHIPSSEVFLTSLDDFDKDPKWLLGHLPEYGTGYNSKAPAILIVVDKGNGWVDAFWFFFYPFNHGPFIMGHGPWGNHVGDWEHSLVRFYKGIPKYLWMSAHSSGTGYRYEAVEKFKKLRKRKQQDSDDGGDTILERPLIFSARGTHANYASAGQHAHDIPFFFMPLSDFTDRGPLWDPSLNFYSYTFDGKTVTPSSEREESLGLDWLHFQGGWGDQQLPARDPRQKWCVAQWKYIGGPRGPLFKKLDRLNLCGGVKKWNFWNGGCPARRLIKKAEGLDSESTDLMGDNCGVLLYRIRPKWLRGILRFLMWRGILCSLMEFFTN
VIMSS729 1 767 0.228452281616688 PF04518.12:Effector_1:380:765 hypothetical protein 767 386 16 767 0 Chlamydia trachomatis D/UW-3/CX NP_220230.1 1 RefSeq MSIQPTSISLTKNITAALAGEQVDAAAVYMPQAVFFFQQLDEKSKGLKQALGLLEEVDLEKFIPSLEKSPTPITTGTTSKISADGIEIVGELSSETILADPNKAAAQVFGEGLADSFDDWLRLSENGGIQDPTAIEEEIVTKYQTELNTLRNKLKQQSLTDDEYTKLYAIPQNFVKEIESLKNENNVRLIPKSKVTNFWQNIMLTYNSVTSLSEPVTDAMNTTMAEYSLYIERATEAAKLIREITNTIKDIFNPVWDVREQTGIFGLKGAEYNALEGNMIQSLLSFAGLFRQLMSRTATVDEIGALYPKNDKNEDVIHTAIDDYVNSLADLKANEQVKLNGLLSLVYAYYASTLGFAKKDVFNNAQASFTDYTNFLNQEIQYWTPRETSSFNISNQALQTFKNKPSADYNGVYLFDNKGLETNLFNPTFFFDVVSLMTADPTKTMSRQDYNKVITASESSIQKINQAITAWELAIAECGTKKAKLEPSSLNYFNAMVEAKKTFVETSPIQMVYSSLMLDKYLPNQQYILETLGSQMTFSNKAARYLNDIIAYAVSFQTADVYYSLGMYLRQMNQQEFPEVISRANDTVKKEIDRSRADLFHCKKAIEKIKELVTSVNADTELTSSQRAELLETLASYAFEFENLYHNLSNVYVMVSKVQISGVSKPDEVDEAFTAKIGSKEFDTWIQQLTTFESAVIEGGRNGVMPGGEQQVLQSLESKQQDYTSFNQNQQLALQMESAAIQQEWTMVAAALALMNQIFAKLIRRFK
VIMSS744992 1 620 0.359060806451613 PF04888.12:SseC:286:616,PF16535.5:T3SSipB:99:261 Translocator protein BipB 620 494 16 564 2 Burkholderia pseudomallei (strain K96243) SwissProt::Q63K34 1 SwissProt MSSGVQGGPAANANAYQTHPLRDAASALGTLSPQAYVDVVSAAQRNFLERMSQLASEQCDAQPAAHDARLDDRPALRAPQERDAPPLGASDTGSRASGAAKLTELLGVLMSVISASSLDELKQRSDIWNQMSKAAQDNLSRLSDAFQRATDEAKAAADAAEQAAAAAKQAGADAKAADAAVDAAQKRYDDAVKQGLPDDRLQSLKAALEQARQQAGDAHGRADALQADATKKLDAASALATQARACEQQVDDAVNQATQQYGASASLRTPQSPRLSGAAELTAVLGKLQELISSGNVKELESKQKLFTEMQAKREAELQKKSDEYQAQVKKAEEMQKTMGCIGKIVGWVITAVSFAAAAFTGGASLALAAVGLALAVGDEISRATTGVSFMDKLMQPVMDAILKPLMEMISSLITKALVACGVDQQKAELAGAILGAVVTGVALVAAAFVGASAVKAVASKVIDAMAGQLTKLMDSAIGKMLVQLIEKFSEKSGLQALGSRTATAMTRMRRAIGVEAKEDGMLLANRFEKAGTVMNVGNQVSQAAGGIVVGVERAKAMGLLADVKEAMYDIKLLGDLLKQAVDAFAEHNRVLAQLMQQMSDAGEMQTSTGKLILRNARAV
VIMSS76063 1 99 0.287007070707071 PF06066.11:SepZ:1:99 sepZ (NCBI ptt file) 99 99 16 53 2 Escherichia coli O157:H7 EDL933 VIMSS76063 0 MicrobesOnline MEAANLSPSGAVMPLATSLSGNNSVDEKTGVIKPENGTNRTVRVIAGLALTTTALAALGTGIAAACSETSSTEYLALGITSGVLGTLTAVGGALAMKYA
VIMSS76251 1 299 0.0389548494983278 PF00892.20:EamA:3:128,PF00892.20:EamA:139:274 biotin transporter 299 262 16 83 10 Escherichia coli K-12 substr. MG1655 ecocyc::EG11471-MONOMER 0 ecocyc MALLIITTILWAFSFSFYGEYLAGHVDSYFAVLVRVGLAALVFLPFLRTRGNSLKTVGLYMLVGAMQLGVMYMLSFRAYLYLTVSELLLFTVLTPLYITLIYDIMSKRRLRWGYAFSALLAVIGAGIIRYDQVTDHFWTGLLLVQLSNITFAIGMVGYKRLMETRPMPQHNAFAWFYLGAFLVAVIAWFLLGNAQKMPQTTLQWGILVFLGVVASGIGYFMWNYGATQVDAGTLGIMNNMHVPAGLLVNLAIWHQQPHWPTFITGALVILASLWVHRKWVAPRSSQTADDRRRDCALSE
VIMSS846618 1 482 0.258063485477179 PF13486.6:Dehalogenase:50:331,PF13484.6:Fer4_16:344:417 reductive dehalogenase, putative (NCBI) 482 356 16 482 0 Dehalococcoides ethenogenes 195 VIMSS846618 1 MicrobesOnline MSSFHSIVSRRDFMKALGLAGAGVGTTAAVAPVFRDLDEVISTSAESDYANPWWVKEVDQPTTEIDWNILQRFQKGSYNNFTAHLTTEEVKAIQAKTKQEAIARMTSSSKPGQTLRDNAIKVGGWAGVWYRMTQPNLTKDLVEGWDTVPTPEMLGVPKWQGTPEEGSNMITQALRFFGASSVSFAEINENTRKMIWAQMPQGTYPDITFEEAPKPSFNSASNKVIIPDTGIYAVVHTVRQSLDTSSRVGYLSDGAAGQAYDNCDIAQWRLQAFLKVLGYFSVSQNIQGNGPIVGWGVMSGLGEQGRLAHLITPGWGPMIRQSTMNIVNLPVAPKKPIDFGARKFCITCKKCADLCPSGALSKETKLTWDIVQAYDSVKPNLFNNPGLNNWPLDHFKCNRYWNESDTYCGVCQAVCVFSKDDASSVHEIVKATLAKTTMLNSFFVNMDKGFGYGLKPEDTIEEWWTNSFPVNGIHYDNDAYYN
VIMSS911719 1 584 0.148142123287671 PF07694.12:5TM-5TMR_LYT:26:206,PF06580.13:His_kinase:378:457,PF02518.26:HATPase_c:476:579 Sensor protein LytS 584 365 16 456 6 Staphylococcus aureus (strain Mu50 / ATCC 700699) P60612 1 SwissProt/TReMBL MLSLTMLLLERVGLIIILAYVLMNIPYFKNLMNRRRTWKARWQLCIIFSLFALMSNLTGIVIDHQHSLSGSVYFRLDDDVSLANTRVLTIGVAGLVGGPFVGLFVGVISGIFRVYMGGADAQVYLISSIFIGIIAGYFGLQAQRRKRYPSIAKSAMIGIVMEMIQMLSILTFSHDKAYAVDLISLIALPMIIVNSVGTAIFMSIIISTLKQEEQMKAVQTHDVLQLMNQTLPYFKEGLNRESAQQIAMIIKNLMKVSAVAITSKNEILSHVGAGSDHHIPTNEILTSLSKDVLKSGKLKEVHTKEEIGCSHPNCPLRAAIVIPLEMHGSIVGTLKMYFTNPNDLTFVERQLAEGLANIFSSQIELGEAETQSKLLKDAEIKSLQAQVSPHFFFNSINTISALVRINSEKARELLLELSYFFRANLQGSKQHTITLDKELSQVRAYLSLEQARYPGRFNININVEDKYRDVLVPPFLIQILVENAIKHAFTNRKQGNDIDVSVIKETATHVRIIVQDNGQGISKDKMHLLGETSVESESGTGSALENLNLRLKGLFGKSAALQFESTSSGTTFWCVLPYERQEEE
VIMSS92628 1 75 0.405129333333333 PF13993.6:YccJ:9:75 PF13993 family protein YccJ 75 67 16 75 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12703-MONOMER 1 ecocyc MPTQEAKAHHVGEWASLRNTSPEIAEAIFEVAGYDEKMAEKIWEEGSDEVLVKAFAKTDKDSLFWGEQTIERKNV
VIMSS96019 1 248 0.707543548387096 PF04806.12:EspF:72:106 T3SS secreted effector EspF 248 35 16 248 0 Escherichia coli O157:H7 str. Sakai NP_312577.1 1 RefSeq MLNGISNAASTLGRQLVGIASRVSSAGGTGFSVAPQAVRLTPVKVHSPFSPGSSNVNARTIFNVSSQVTSFTPSRPAPPPPTSGQASGASRPLPPIAQALKEHLAAYEKSKGPEALGFKPARQAPPPPTSGQASGASRPLPPIAQALKEHLAAYEKSKGPEALGFKPARQAPPPPTSGQASGASRPLPPIAQALKEHLAAYEKSKGPEALGFKPARQAPPPPTGPSGLPPLAQALKDHLAAYEQSKKG
VIMSS96022 1 135 0.10769037037037 PF09621.10:LcrR:13:133 hypothetical protein (NCBI ptt file) 135 121 16 135 0 Escherichia coli O157:H7 str. Sakai VIMSS96022 1 MicrobesOnline MVDTFNDEVFNYYLEQKGYTIQKEFLCGSAFFIGWRIETPFFSLAYRLDEQELILCSFEARNQTGLNGPVLSLTHLLEELYHHFSGIKKISAMKSKIGSDSERQKREELFNYFIRKGAVQQETEDGIWFVMNVNS
WP_001295233.1 1 551 0.0132709618874773 PF02652.14:Lactate_perm:16:542 lactate/glycolate:H+ symporter LldP 551 527 16 289 12 Escherichia coli K-12 substr. MG1655 ecocyc::LCTP-MONOMER 1 ecocyc MNLWQQNYDPAGNIWLSSLIASLPILFFFFALIKLKLKGYVAASWTVAIALAVALLFYKMPVANALASVVYGFFYGLWPIAWIIIAAVFVYKISVKTGQFDIIRSSILSITPDQRLQMLIVGFCFGAFLEGAAGFGAPVAITAALLVGLGFKPLYAAGLCLIVNTAPVAFGAMGIPILVAGQVTGIDSFEIGQMVGRQLPFMTIIVLFWIMAIMDGWRGIKETWPAVVVAGGSFAIAQYLSSNFIGPELPDIISSLVSLLCLTLFLKRWQPVRVFRFGDLGASQVDMTLAHTGYTAGQVLRAWTPFLFLTATVTLWSIPPFKALFASGGALYEWVINIPVPYLDKLVARMPPVVSEATAYAAVFKFDWFSATGTAILFAALLSIVWLKMKPSDAISTFGSTLKELALPIYSIGMVLAFAFISNYSGLSSTLALALAHTGHAFTFFSPFLGWLGVFLTGSDTSSNALFAALQATAAQQIGVSDLLLVAANTTGGVTGKMISPQSIAIACAAVGLVGKESDLFRFTVKHSLIFTCIVGVITTLQAYVLTWMIP
WP_002356101.1 1 411 0.0279941605839416 PF07690.16:MFS_1:19:271,PF07690.16:MFS_1:252:390 MFS transporter 411 372 16 168 11 Enterococcus faecalis WP_002356101.1 0 RefSeq MTEQTPTKLLNKGFISITVINFIVYLVYYLLMVIIAVIAQDSLHATLGQAGLASGIYIIGTLLARLFMGKLLELIGRKQVLRYGALFYLLTTVAYMYMPSMGILYLVRFLNGFGYGTVSTATNAIVTAYIPKNKKGEGINYYGLSTSLAAGIGPFIGMLLLNVSNFHVIINFSIILILLTTIACFIFPVKNIELTPEHREALSKWNFDSFVEKKVLFITFIAFLMGLAYSSVLSFLSSYVKVIDLVDVSTFFFIVYAVVITLTRPSTGRIFDVKGERYVMYPSYIFLTLGLFLLSMTTSGWMLLVSGGLIGLGYGTFMSNGQAVCLQESPSPHRIGIALSTYFIGLDLGLGVGPYVLGELRNFMSFQQMYFLAGCIPIVCTILYMVFHKAKNDAKDLSLETIEEIEHGSEL
WP_003097282.1 1 295 0.148301016949153 PF03279.13:Lip_A_acyltrans:8:285 Kdo2-lipid IVA lauroyltransferase (EC 2.3.1.241) 295 278 16 295 0 Pseudomonas aeruginosa BRENDA::Q9I7B5 1 BRENDA MEKFKGALVVGALRLFALLPWRAVQGVGAGIGWLMWKLPNRSREVVRINLSKCFPELSETELEKLVGQSLMDIGRTLTESACAWIWPPEKSLRYIREVEGMEVLEEALASGDGLVGITSHLGNWEVLNHFYCSYAKPIIFYRPPKLKAVDELLKKQRVQLGNRVAPSTPEGILSVIKEVKKGGCVGIPADPEPARTAGLFVPYLGTTALISKFVPQLLSRGKARGVFFHAVRLPDGSGYKVILEAAPADMYDKDLEVSVAAMSRELAKYVRAYPSQYMWSMKRFKNRPDGEKKWY
WP_003102235.1 1 286 0.236185314685315 hypothetical protein 286 0 16 286 0 Pseudomonas aeruginosa LESB65 WP_003102235.1 1 RefSeq MSAAPLASVPLAVNGAPCLLHRVRFRSAADGGGLPLLATLRDPQPALAVLAQRIELSEDAELPETAVDDELLVIFANAGLQTGHAWRQRLEAWMAAGEDERQPTLEAPSFGERVLWRPGRALVIGNPERCRELLEGLAVFAWHEGHLRRLEGETAAAWEPAQADVELTQLPRRAALRRQEHVNRQVRRTTLWRMAYARLESHLEKPPLQLNGAVRRLYNELAMQAEVHDRLATLDDRIEVLQDLYELAADRLGEYRYFRGELRVEWLIVAILLLEAGLSLWELWNH
WP_010881576.1 1 229 0.0833087336244541 PF10895.8:DUF2715:25:229 DUF2715 domain-containing protein 229 205 16 229 0 Treponema pallidum subsp. pallidum str. Nichols WP_010881576.1 1 RefSeq MLGWGMDMSKSVMLCCLLSVQPCYAGYVFVSPKLGVYGEALGGPDTVGKAVKQADGTKIAPKIWYYAPRTPLFGVDIGYQADNGLLFRVNLDAALTRLMFRSQCVVGYSLRFGWGGGYVSIASGIECSATVDDAQYEPYTKNEQGTTVASNTVFPCTVLEALVRDPALTADYLLYGMQSCYAIPLHVGVSYYLAKRWGIECALTASLGISMRTDVRVPYAVRIGPVFRV
WP_010923279.1 1 806 0.114669727047146 PF00496.22:SBP_bac_5:43:266 peptide ABC transporter substrate-binding protein 906 224 16 783 1 Saccharolobus solfataricus WP_010923279.1 1 RefSeq MYSVLSIKDKKIISLLILVATAISPIFAIAQSASSSPASTAITIISYNGNDANGILAFEHGQIAFYAYAVPPSEYTSLPPGAKAYLLPNTYYDILVNPLNTTFGFNPFQFQEVRFALNYIVNRTYFVDSILHGYGIPTISLYAGEPDVIHLQQTLSKYANVHYNFTYANETIYKVLTAHGAKYINGQWYYNGKPITVYVFVRTDATVRREYAQYFITQLQKLGFTVQQIQGNLQKEISVVYGSDPANTTWNILIEAWGGTYGYYDSSLAVGLYSTLGASDPFSSYYGLSMGTYNDTRYESSLLLKEANELDNLSLIIAQSQFTSAQEYYQIYNKIVELGINMSVRIGLGMSLTPIYALSNINGVYPSFAQSTLLSFQTYYSITNGSYPNVTIGVRYLSQGSANPGAGFTDSYTDEIGNALFTPSSLTVPGSGYPVPFIYTYKIVNITPHAVVSVPSNALWWNPTTQQITKVSPNTTAQMAVIYNLAPLFNNDKWADGQNITLADIIYEYIVASEMSLNSSNPIYDSTASSVYAPALQTIKGFKIINSSAIEIWGNDWFFDPTEAVVSLFGSFNPLGYALAGGGYFPWQMYVGMKDVVAQGKAAWSEGAAQSKGIDWLNLVSPTDIGYITSALQNASATGYIPKSLQIVENLSGITLVTPQEAKAGYEAAINFMKTYGNGLIGDGPYILVAWNPSASPPYAKLIRNPYFHLVPPSNALALPTMYSVSLSIPSTVSPGQTLTGTVMGTPAGSTTAIPTPNAVVNIELLYPNGSIISGYQLMTNSSGQFTFTVPSSLSPGSYLLSVSAY
XP_001702680.1 1 650 0.359898153846153 flagellar associated protein 740 0 16 650 0 Chlamydomonas reinhardtii XP_001702680.1 1 RefSeq MKASGGPQKLRFTIHACSGEDTDYPVRELLYHSPQTRGWQSPRFCKYPQEIVLRLEQTCKVQQIQILAHEYKIATRVEVFVGAPQNPLDTDPNNCIFKRLGYLSFDSNERSNHQARELKSVHVNVQAFLIRLLIHRCHVNKLNIYNQVGIIALNLIGERVAPAPEGPHGFLQLHPNIPTAQPYYNAAAADVADINLDLHVDTVTAVKIRELARQKDEAVAREDYDTAKMLKASIERLKVVGQKIAQLEARKRAAVEKEDYDTAKVIKADIDKLRAAGEGAAMAAGAVANASKNPDEIFNRVLGKKGSVGPGGGNQPMLQLPYDDQPGNFPGGPTVEEPDEEYHAGPQTFQSQASGNSRHQAYDERPAMGRGRYTPSEEQMAAVSAARKAPVHQAEDDGVSAPAGWPGDLPAPEQLAGAIAKDAEALGDMAGEYVARAFFSKNWQLRDAAVAYLTKEVSSGGMEGKREAFRTLVGVVRRGMKDKVANVYLSCLALLPALVDSGLGGGGREIEGMSEQLLPALVEKLGDNNARLREASKESIMFLAGIKDAALPAHTSIFVKPIKNQTAWRPVLGILQLLRDLVPLVGVSKAGDGFDLAELMEFVGKAYNSPNADVRSEAVRVTKEVHDLVGPAIRKCMPKDINPKIKEQAC
XP_005205448.1 1 147 0.0638707482993197 PF01146.17:Caveolin:12:143 caveolin-1 isoform X1 147 132 16 124 1 Bos taurus XP_005205448.1 1 RefSeq MAEEMNEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSALFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTFCDPLFEAIGKIFSNIRINTQKEI
XP_005244808.1 72 198 0.599509448818898 PF07527.13:Hairy_orange:48:82 transcription factor HES-5 isoform X1 198 35 16 127 0 Homo sapiens XP_005244808.1 1 RefSeq SKGERARAPRAPSSHRAPAPPRPAARSPPPRLPAAFVAAAGPKSLHQDYSEGYSWCLQEAVQFLTLHAASDTQMKLLYHFQRPPAAPAAPAKEPKAPGAAPPPALSAKATAAAAAAHQPACGLWRPW
XP_005245572.1 72 381 0.638077419354838 NUAK family SNF1-like kinase 2 isoform X1 381 0 16 310 0 Homo sapiens XP_005245572.1 1 RefSeq EGGHPGSDSARASMADWLRRSSRPLLENGAKVCSFFKQHAPGGGSTTPGLERQHSLKKSRKENDMAQSLHSDTADDTAHRPGKSNLKLPKGILKKKVSASAEGVQEDPPELSPIPASPGQAAPLLPKKGILKKPRQRESGYYSSPEPSESGELLDAGDVFVSGDPKEQKPPQASGLLLHRKGILKLNGKFSQTALELAAPTTFGSLDELAPPRPLARASRPSGAVSEDSILSSESFDQLDLPERLPEPPLRGCVSVDNLTGLEEPPSEGPGSCLRRWRQDPLGDSCFSLTDCQEVTATYRQALRVCSKLT
XP_005258022.1 1 215 0.112679534883721 PF10914.8:DUF2781:80:202 sigma intracellular receptor 2 isoform X1 215 123 16 149 3 Homo sapiens XP_005258022.1 1 RefSeq MEAVCLALAGARRRPRRVGLGRRADLAPLLTSAGPGPTDRLWGLRQPGAAWSGCWASTSSATSPSPCSWTCRRCCRASSTQSRNLLKWYAKEFKDPLLQEPPAWFKSFLFCELVFQLPFFPIATYAFLKGSCKWIRTPAIIYSVHTMTTLIPILSTFLFEDFSKASGFKGQRPETLHERLTLVSVYAPYLLIPFILLIFMLRSPYYKYEEKRKKK
XP_005273009.1 1 184 0.486995108695652 E3 ubiquitin-protein ligase RNF115 isoform X1 271 0 16 184 0 Homo sapiens XP_005273009.1 1 RefSeq MIPESKVEGPFHNQEKLHILHFLGGGGSRIDNTTTTHFAELWGHLDHTMFFQDFRPFLSSSPLDQDNRANERGHQTHTDFWGARPPRLPLGRRYRSRGSSRPDRSPAIEGILQHIFAGFFANSAIPGSPHPFSWSGMLHSNPGDYAWGQTGLDAIVTQLLGQLENTGPPPADKEKITSLPTVTV
XP_006253717.1 137 581 0.762846292134831 drebrin isoform X1 706 0 16 445 0 Rattus norvegicus XP_006253717.1 1 RefSeq LARLSSPVLHRLRLREDENAEPVGTTYQKTDAAVEMKRINREQFWEQAKKEEELRKEEERKKALDARLRFEQERMEQERQEQEERERRYREREQQIEEHRRKQQSLEAEEAKRRLKDQSIFGDQRDEEEESQMKKSESEVEEAAAIIAQRPDNPREFFRQQERVASASGGSCDAPSPFNHRPGRPYCPFIKASDSGPSSSSSSSSSPPRTPFPYITCHRTPNLSSSLPCSHLDSHRRMAPTPIPTRSPSDSSTASTPITEQIERALDEVTSSQPPPPPPPPPPAQEAQESAPRLDGEEVCKEAKVAAAPQVWAGCAEEPPRAQEPPLLQSSPTEDLMCTESPEQAVLAASPEPDASVTSVADAHAADTIETTTATTATTIADNVTPAAASLIDLWPGNGEEASTPQAEPRVPTPPSGAEASLAEVPLLNEAAQEPLPPVGEGCAN
XP_006499080.1 1 394 0.104738578680203 PF10579.9:Rapsyn_N:1:80,PF13181.6:TPR_8:209:238,PF13639.6:zf-RING_2:345:385 43 kDa receptor-associated protein of the synapse isoform X1 394 151 16 394 0 Mus musculus XP_006499080.1 1 RefSeq MGQDQTKQQIEKGLQLYQSNQTEKALQVWMKVLEKGSDLVGRFRVLGCLVTAHSEMGRYKEMLKFAVVQIDTARGLEDADFLLESYLNLARSNEKLCEFHKTISYCKTCLGLPGTRAGAQLGGQVSLSMGNAFLGLSLFQKALESFEKALRYAHNNDDTMLECRVCCSLGSFYAQVKDYEKALFFPCKAAELVNDYGKGWSLKYRAMSQYHMAVAYRLLGHLGSAMECCEESMKIALQHGDRPLQALCLLCFADIHRSRGDLETAFPRYDSAMSIMTEIGNRLGQVHVLLGVAKCWMARKVQDKLSQLKLHCLSESIYRSKGLQRELRTHVVRFHECVEETELYCGLCGESIGERNSRLQALPCSHIFHLRCLQNNGTRSCPNCRRSSMKPGFV
XP_006513398.1 1 430 0.479623720930232 PF00859.18:CTF_NFI:208:415,PF10524.9:NfI_DNAbd_pre-N:2:38,PF03165.16:MH1:61:161 nuclear factor 1 C-type isoform X1 430 346 16 430 0 Mus musculus XP_006513398.1 1 RefSeq MDEFHPFIEALLPHVRAFAYTWFNLQARKRKYFKKHEKRMSKDEERAVKDELLGEKAEVKQKWASRLLAKLRKDIRPECREDFVLAVTGKKAPGCVLSNPDQKGKMRRIDCLRQADKVWRLDLVMVILFKGIPLESTDGERLVKAAACAHPVLCVQPHHIGVAVKELDLYLAYFVRERDAEQSSSPRTGVGSDQEDSKPITLDTTDFQESFVTSGVFSVTELIQVSRTPVVTGTGPNFSLGELQGHLAYDLNPASAGMRRTLPSTSSSGSKRHKSGSMEEDVDTSPGGDYYTSPNSPTSSSRNWTEDIEGGISSPVKKTEMDKSPFNSPSPQDSPRLSSFTQHHRPVIAVHSGIARSPHPTSALHFPATPILPQTASTYFPHTAIRYPPHLNPQDPLKDLVSLACDPATQQPGPPALRPTRPLQTVPLWD
XP_006513441.1 1 1153 0.318635646140503 PF00041.21:fn3:406:477,PF00041.21:fn3:492:562,PF00041.21:fn3:580:652,PF00041.21:fn3:675:742,PF00041.21:fn3:758:829,PF00041.21:fn3:844:917,PF00041.21:fn3:933:1008,PF00041.21:fn3:1021:1095 receptor-type tyrosine-protein phosphatase beta isoform X1 2285 581 16 1153 0 Mus musculus XP_006513441.1 1 RefSeq MEAEFYMTILTCLILGSLEGFQIVHVRKQQCLSINQKVVMGFCNGSSRNQQWLSTEDGKFLHIKSGLCLGISNSSRGPFQPAVATPCAQAPRWTCHAQEGFLEVENTSLFLKKQNHKVVVKKISKYLDSWMKLVINKEGRLANESLCLKQAGLGTEVSVRTARNSAASQIATTANTVPYSPSHISNTTETFLGSTTETLRSTAETLGSTAETLRNTAKTLGSTAETLRNTAKTLGSTAETLGSTAKTLGSTAKTLGSTAKTLGSTSEAYSQSSSKRGLPHLHTAGATDESWSPLTTPPFSSITTETGVAEQVKCNFTLLESRVSSLSASIQWRTFASPCNFSLIYSSDTSGPMWCHPIRIDNFTYGCNPKDLQAGTVYNFRIVSLDGEESTLVLQTDPLPPARFEVNREKTASTTLQVRWTPSSGKVSWYEVQLFDHNNQKIQEVQVQESTTWSQYTFLNLTEGNSYKVAITAVSGEKRSFPVYINGSTVPSPVKDLGISPNPNSLLISWSRGSGNVEQYRLVLMDKGAIVQDTNVDRRDTSYAFHELTPGHLYNLTIVTMASGLQNSRWKLVRTAPMEVSNLKVTNDGRLTSLNVKWQKPPGDVDSYSITLSHQGTIKESKTLAPPVTETQFKDLVPGRLYQVTISCISGELSAEKSAAGRTVPEKVRNLVSYNEIWMKSFTVNWTPPAGDWEHYRIVLFNESLVLLNTTVGKEETHYALDGLELIPGRQYEIEVIVESGNLRNSERCQGRTVPLAVLQLRVKHANETSLGITWRAPLGEWEKYIISLMDRELLVIHKSLSKDAKEFTFTDLMPGRNYKATVTSMSGDLKQSSSIKGRTVPAQVTDLHVNNQGMTSSLFTNWTKALGDVEFYQVLLIHENVVVKNESVSSDTSRYSFRALKPGSLYSVVVTTVSGGISSRQVVAEGRTVPSSVSGVTVNNSGRNDYLSVSWLPAPGEVDHYVVSLSHEGKVDQFLIIAKSVSECSFSSLTPGRLYNVTVTTKSGNYASHSFTEERTVPDKVQGISVSNSARSDYLKVSWVHATGDFDHYEVTIKNRESFIQTKTIPKSENECEFIELVPGRLYSVTVSTKSGQYEASEQGTGRTIPEPVKDLTLLNRSTEDLHVTWSRANGDVDQYEVQLLFNDMKVFPHIH
XP_006518475.1 102 263 0.644574074074074 PF03826.17:OAR:100:117 Dorsal root ganglia homeobox protein; Dorsal root ganglion 11; Homeobox protein DRG11; Paired-related homeobox protein-like 1 263 18 16 162 0 Mus musculus (Mouse) SwissProt::Q8BYH0 1 SwissProt AKEPMAEVTPPPVRNINSPPPGDQTRSKKEALEAQQSLGRTVGPTGPFFPSCLPGTLLNTATYAQALSHVASLKGGPLCSCCVPDPMGLSFLPTYGCQSNRTASVAALRMKAREHSEAVLQSANLLPSTSSSPGPASKQAPPEGSQDKTSPTKEQSEGEKSV
XP_006523575.3 1 219 0.685852511415526 FAD-linked sulfhydryl oxidase ALR isoform X1 333 0 16 219 0 Mus musculus XP_006523575.3 1 RefSeq MGVMREASRNSSQSKISAQPQRAFHDTLHICRRKPEPHHGPRTPGSRRVTRRKSTRRAGAAAASAASAWASGAASSRPEASYASATRAAASAPSPAPPPGPASCPGLSPAPGCGSRSCRRAPAVACTLLRTVAGTPSWPRCPHASKMAAPSEPAGFPRGSRFSFLPGGARSEMTDDLVTDARGRGARHRDDTTPAAAPAPQGLEHGKRPCRACVDFKSW
XP_006523720.1 92 349 0.427660852713178 PF15975.5:Flot:140:218 flotillin-1 isoform X2 349 79 16 258 0 Mus musculus XP_006523720.1 1 RefSeq QVQKDARIGEAEAKRDAGIREAKAKQEKVSAQCLSEIEMAKAQRDYELKKATYDIEVNTRRAQADLAYQLQVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAEAERYRLERLAEAEKAQLIMQAEAEAESVRMRGEAEAFAIGARARAEAEQMAKKAEAFQMYQEAAQLDMLLEKLPQVAEEISGPLTSANKITLVSSGSGTMGAAKVTGEVLDILSRLPESVERLTGVSISQVNHNKPLRTA
XP_006526703.1 1 785 0.17317643312102 PF07810.13:TMC:540:655 transmembrane channel-like protein 1 isoform X1 785 116 16 647 6 Mus musculus XP_006526703.1 1 RefSeq MVKKKAFGPSRQNNEFGRERSGWPPESVTVQIQVEEKEEDTEESSSEEEEDKLPRRESLRPKRKRTRDVINEDDPEPEPEDEETRKAREKERRRRLRRGAEEEEEIDEEELERLKALLDENRQMIATVKCKPWKMEKKIEVLKEAKKFVSENEGALGKGKGKKWFAFKMMMAKKWAKFLRDFENFKAACVPWENKIKAIESQFGSSVASYFLFLRWMYGVNMVLFVLTFSLIMLPEYLWGLPYGSLPRKTVPRAEEASAANFGVLYDFNGLAQYSVLFYGYYDNKRTIGWLNFRLPLSYFLVGIMCIGYSFLVVLKAMTKNIGDDGGGDDNTFNFSWKVFCSWDYLIGNPETADNKFNSITMNFKEAIIEERAAQVEENIHLIRFLRFLANFFVFLTLGASGYLIFWAVKRSQEFAQQDPDTLGWWEKNEMNMVMSLLGMFCPTLFDLFAELEDYHPLIALKWLLGRIFALLLGNLYVFILALMDEINNKIEEEKLVKANITLWEANMIKAYNESLSGLSGNTTGAPFFVHPADVPRGPCWETMVGQEFVRLTVSDVLTTYVTILIGDFLRACFVRFCNYCWCWDLEYGYPSYTEFDISGNVLALIFNQGMIWMGSFFAPSLPGINILRLHTSMYFQCWAVMCCNVPEARVFKASRSNNFYLGMLLLILFLSTMPVLYMIVSLPPSFDCGPFSGKNRMFEVIGETLEHDFPSWMAKILRQLSNPGLVIAVILVMVLTIYYLNATAKGQKAANLDLKKKMKQQALENKMRNKKMAAARAAAAAGGQ
XP_006534251.1 210 910 0.14555392296719 PF10540.9:Membr_traf_MHD:579:622,PF10540.9:Membr_traf_MHD:621:684 Protein unc-13 homolog D; Munc13-4 1085 106 16 701 0 Mus musculus (Mouse) SwissProt::B2RUP2 1 SwissProt ESVRQKLGELTDLHGLRRIFKEARKDKGQDDFLGNVVLRLQDLRCREDQWFPLEPCTETYPDRGQCHLQFQFIHKRRATAASRSQPSYTVHFHLLQQLVSHEVTQHQAGSTSWDASLSPQAVTILFLHATQKDLSDFHQSMAQWLAYSRLYQSLEFPSSCLLHPITSIEYQWIQGRLKAEQREELATSFTSLLAYGLSLIRKFRSVFPLSVSDSPSRLQSLLRVLVQMCKMKAFGELCPDSAPLSQLVSEALRMGTVEWFHLMQQHHQPMVQGILEAGKALLNLVQDVMGDLYQCRRTWNKIFHNVLKIDLFSMAFLELQWLVAKRVQDHTVAAGNLVSPDIGESLFQLYVSLKELCQLGPVPSDSREVLALDGFHRWFQPAIPSWLQKTYSVALERVQRAVQMDTLVPLGELTKHSTSAVDLSTCFAQISHTARQLDWPDPEEAFMITVKFVEDTCRLALVYCSLIKARARELSAVQKDQSQAADMLCVVVNNMEQLRLIIDKLPTQLAWEALEQRVGAVLEEGQLQNTLHAQLQGALAGLGHEIRTGVRTLAEQLEVGIATHIQKLIGVKESVLPEDAILPLMKFLEVKLCYMNTNLVQENFSSLLTLLWTHTLTVLVEVASSQRSSSLASGRLKVALQNLEVCFHAEGCGLPPEALHTDTFQALQNDLELQAASSRELIQKYFCSRIQQQAETTSERL
XP_006714354.1 1 362 0.394696961325967 PF00688.18:TGFb_propeptide:53:223 bone morphogenetic protein 3 isoform X1 439 171 16 362 0 Homo sapiens XP_006714354.1 1 RefSeq MAGASRLLFLWLGCFCVSLAQGERPKPPFPELRKAVPGDRTAGGGPDSELQPQDKVSEHMLRLYDRYSTVQAARTPGSLEGGSQPWRPRLLREGNTVRSFRAAAAETLERKGLYIFNLTSLTKSENILSATLYFCIGELGNISLSCPVSGGCSHHAQRKHIQIDLSAWTLKFSRNQSQLLGHLSVDMAKSHRDIMSWLSKDITQLLRKAKENEEFLIGFNITSKGRQLPKRRLPFPEPYILVYANDAAISEPESVVSSLQGHRNFPTGTVPKWDSHIRAALSIERRKKRSTGVLLPLQNNELPGAEYQYKKDEVWEERKPYKTLQAQAPEKSKNKKKQRKGPHRKSQTLQFDEQTLKKARRK
XP_006719335.1 1 1793 0.486649470161741 PF01388.21:ARID:18:101,PF02257.15:RFX_DNA_binding:522:602 AT-rich interactive domain-containing protein 2 isoform X1 1793 165 16 1793 0 Homo sapiens XP_006719335.1 1 RefSeq MANSTGKAPPDERRKGLAFLDELRQFHHSRGSPFKKIPAVGGKELDLHGLYTRVTTLGGFAKVSEKNQWGEIVEEFNFPRSCSNAAFALKQYYLRYLEKYEKVHHFGEDDDEVPPGNPKPQLPIGAIPSSYNYQQHSVSDYLRQSYGLSMDFNSPNDYNKLVLSLLSGLPNEVDFAINVCTLLSNESKHVMQLEKDPKIITLLLANAGVFDDTLGSFSTVFGEEWKEKTDRDFVKFWKDIVDDNEVRDLISDRNKSHEGTSGEWIWESLFHPPRKLGINDIEGQRVLQIAVILRNLSFEEGNVKLLAANRTCLRFLLLSAHSHFISLRQLGLDTLGNIAAELLLDPVDFKTTHLMFHTVTKCLMSRDRFLKMRGMEILGNLCKAEDNGVLICEYVDQDSYREIICHLTLPDVLLVISTLEVLYMLTEMGDVACTKIAKVEKSIDMLVCLVSMDIQMFGPDALAAVKLIEHPSSSHQMLSEIRPQAIEQVQTQTHVASAPASRAVVAQHVAPPPGIVEIDSEKFACQWLNAHFEVNPDCSVSRAEMYSEYLSTCSKLARGGILTSTGFYKCLRTVFPNHTVKRVEDSSSNGQAHIHVVGVKRRAIPLPIQMYYQQQPVSTSVVRVDSVPDVSPAPSPAGIPHGSQTIGNHFQRTPVANQSSNLTATQMSFPVQGVHTVAQTVSRIPQNPSPHTHQQQNAPVTVIQSKAPIPCEVVKATVIQNSIPQTGVPVSIAVGGGPPQSSVVQNHSTGPQPVTVVNSQTLLHHPSVIPQQSPLHTVVPGQIPSGTPVTVIQQAVPQSHMFGRVQNIPACTSTVSQGQQLITTSPQPVQTSSQQTSAGSQSQDTVIIAPPQYVTTSASNIVSATSVQNFQVATGQMVTIAGVPSPQASRVGFQNIAPKPLPSQQVSSTVVQQPIQQPQQPTQQSVVIVSQPAQQGQTYAPAIHQIVLANPAALPAGQTVQLTGQPNITPSSSPSPVPATNNQVPTAMSSSSTPQSQGPPPTVSQMLSVKRQQQQQHSPAPPPQQVQVQVQQPQQVQMQVQPQQSNAGVGQPASGESSLIKQLLLPKRGPSTPGGKLILPAPQIPPPNNARAPSPQVVYQVASNQAAGFGVQGQTPAQQLLVGQQNVQLVPSAMPPSGGVQTVPISNLQILPGPLISNSPATIFQGTSGNQVTITVVPNTSFAPATVSQGNATQLIAPAGITMSGTQTGVGLPVQTLPATQASPAGQSSCTTATPPFKGDKIICQKEEEAKEATGLHVHERKIEVMENPSCRRGATNTSNGDTKENEMHVGSLLNGRKYSDSSLPPSNSGKIQSETNQCSLISNGPSLELGENGASGKQNSEQIDMQDIKSDLRKPLVNGICDFDKGDGSHLSKNIPNHKTSNHVGNGEISPMEPQGTLDITQQDTAKGDQLERISNGPVLTLGGSSVSSIQEASNAATQQFSGTDLLNGPLASSLNSDVPQQRPSVVVSPHSTTSVIQGHQIIAVPDSGSKVSHSPALSSDVRSTNGTAECKTVKRPAEDTDRETVAGIPNKVGVRIVTISDPNNAGCSATMVAVPAGADPSTVAKVAIESAVQQKQQHPPTYVQNVVPQNTPMPPSPAVQVQGQPNSSQPSPFSGSSQPGDPMRKPGQNFMCLWQSCKKWFQTPSQVFYHAATEHGGKDVYPGQCLWEGCEPFQRQRFSFITHLQDKHCSKDALLAGLKQDEPGQAGSQKSSTKQPTVGGTSSTPRAQKAIVNHPSAALMALRRGSRNLVFRDFTDEKEGPITKHIRLTAALILKNIGKYSECGRRNMNED
XP_008758466.1 1 379 0.0425366754617414 PF00487.24:FA_desaturase:92:352 fatty acid desaturase 2 isoform X1 379 261 16 313 3 Rattus norvegicus XP_008758466.1 1 RefSeq MTGEDAFRAFHLDLDFVGKFLKPLLIGELAPEEPSLDRGKSSQITEDFRALKKTAEDMNLFKTNHLFFFLLLSHIIVMESIAWFILSYFGNGWIPTVITAFVLATSQAQAGWLQHDYGHLSVYKKSIWNHIVHKFVIGHLKGASANWWNHRHFQHHAKPNIFHKDPDIKSLHVFVLGEWQPLEYGKKKLKYLPYNHQHEYFFLIGPPLLIPMYFQYQIIMTMIRRRDWVDLAWAISYYARFFYTYIPFYGILGALVFLNFIRFLESHWFVWVTQMNHIVMEIDLDHYRDWFSSQLAATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNLHKIAPLVKSLCAKHGIEYQEKPLLRALLDIVSSLKKSGELWLDAYLHK
XP_011236750.1 1 1589 0.694983511642544 PF08377.10:MAP2_projctn:376:1510 microtubule-associated protein 2 isoform X1 1859 1135 16 1589 0 Mus musculus XP_011236750.1 1 RefSeq MADERKDEGKAPHWTSASLTEAAAHPHSPEMKDQGGAGEGLSRNANGFPYREEEEGAFGEHRSQGTYSDTKENGINGELTSADRETAEEVSARIVQVVTAEAVAVLKGEQEKEAQHKDQPAALPLAAEETANLPPSPPPSPASEQTATVEEDLLTASKMEFPEQEKFPSSFAEPLDKGEMEFKMPSKPGEDFEHAALVPDTSKTPQDKKDLQGMEGEKLPPVPFAQTFGTNLEDRKQSTEPSIVMPSIGLSAEPPAPKEPKDWFIEMPTESKKDEWGLAAPISPGPLTPMREKDVLEDIPRWEGKQFDSPMPSPFHGGSFTLPLDTMKNERVSEGPRPFAPVFFQSDDKVSLQDPSALATSKESSKDEEPLKDKADKVADVSISEVTTLLGNVHSPVVEGYVGENISGEVKVTTDQEKKETSAPSVQEPTLTETEPQTKLDEKSTVSIEEAVAKKEESFKLRDDKTGVIQTSTEQSFSKEDQKGQEHTIDELKQDSFPISLEQAVTDAAMTSKTLGKVTSEPEAVSERREIQGLFEEKTADKNKLEGAGSATIAEVEMPFYEDKSGMSKYFETSALKEDMTRSTELGSDYYELSDSRGSAQESLDTISPKNQHDEKELQAKASQPSPPAQEAGYSTLAQSYTPDHPSELPEEPSSPQERMFTIDPKVYGEKRDLHSKNKDDLTLSRSLGLGGRSAIEQRSMSINLPMSCLDSIALGFNFGRGHDLSPLASDILTNTSGSMDEGDDYLPPTTPAVEKMPCFPIESKEEEDKAEQAKVTGGQTIQVETSSESPFPAKEYYKNGTVMAPDLPEMLDLAGTRSRLASVSADAEVARRKSVPSEAMLAESSTSLPPVADESPVTVKPDSQLEDMGYCVFNKYTVPLPSPVQDSENLSGESGSFYEGTDDKVRRDLATDLSLIEVKLAAAGRVKDEFTAEKEASPPTSADKSRLSREFDHDRKANDKLDTVLEKSEEHIDSKEHAKESEEMGGKVELFGLGITYDQASTKELITTKDTSPEKTEKGLSSVPEVAEVEPTTKADQGLDFAATKAEPSQLDIKVSDFGQMASGMNVDAGKAIELKFEVAQELTLSSEAPQEADSFMGVESGHIKEGGKVNETEVKEKVTKPDLVHQEAVDKEESYESSGEHESLTMESLKPDEGKKETSPETSLIQDEVALKLSVEIPCPPPVSEADLSTDEKGEVQMEFIQLPKEESTETPDIPAIPSDVTQPQPEAIVSEPAEVPSEEEEIEAGGEYDKLLFRSDTLQISDLLVSESREEFVETCPGELKGVVESVVTIEDDFITVVQTTTDEGESGSHSVRFAAPAQPEEERRPRPHDEELEIEMAAEAQAEPKDGSPDAPATPEKEEVAFSEYKTETYDDYKDETTIDDSIMDADSLWVDTQDDDRSILTEQLETIPKEERAEKDARRPSLEKHRKEKPFKTGRGRISTPERKVAKKEPSTVSRDEVRRKKAVYKKAELAKKSEVQAHSPSRKLILKPAIKYTRPTHLSCVKRKTTAASGDLAQAPGAFKQAKDKVTDGISKSPEKRSSLPRPSSILPPRRGVSGDREENSFSLNSSISSARRTTRSEPIRRA
XP_011243710.1 175 457 0.60496925795053 PF11956.8:KCNQC3-Ank-G_bd:185:277,PF03520.14:KCNQ_channel:7:60 potassium voltage-gated channel subfamily KQT member 3 isoform X1 457 147 16 283 0 Mus musculus XP_011243710.1 1 RefSeq AFTYPSQQSPRNEPYVARAATSETEDQSMMGKFVKVERQVHDMGKKLDFLVDMHMQHMERLQVHVTEYYPTKGASSPAEGEKKEDNRYSDLKTIICNYSETGPPDPPYSFHQVPIDRVGPYGFFAHDPVKLTRGGPSSTKAQANLPSSGSTYAERPTVLPILTLLDSCVSYHSQTELQGPYSDHISPRQRRSITRDSDTPLSLMSVNHEELERSPSGFSISQDRDDYVFGPSGGSSWMREKRYLAEGETDTDTDPFTPSGSMPMSSTGDGISDSIWTPSNKPT
XP_011245113.1 1 139 0.0243388489208633 PF01099.17:Uteroglobin:1:91 secretoglobin family 3A member 2 isoform X1 139 91 16 119 1 Mus musculus XP_011245113.1 1 RefSeq MKLVSIFLLVTIGICGYSATALLINRLPVVDKLPVPLDDIIPSFDPLKMLLKTLGISVEHLVTGLKKCVDELGPEASEAVKKLLVIIICSYFPGRSLCYVNNLPSFVSVLFLPMICAYPRDSKKQTFAFIERVFEQSKL
XP_011395151.1 511 1097 0.518965247018739 PF08447.12:PAS_3:89:165,PF00320.27:GATA:424:457,PF00989.25:PAS:71:174,PF13426.7:PAS_9:85:170 White collar 1 protein 1167 138 16 587 0 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) Q01371 1 SwissProt/TReMBL AIIGQEGNGPMQVNYTHSDIGQYIWTPPTQKQLEPADGQTLGVDDVSTLLQQCNSKGVASDWHKQSWDKMLLENADDVVHVLSLKGLFLYLSPACKKVLEYDASDLVGTSLSSICHPSDIVPVTRELKEAQQHTPVNIVFRIRRKNSGYTWFESHGTLFNEQGKGRKCIILVGRKRPVFALHRKDLELNGGIGDSEIWTKVSTSGMFLFVSSNVRSLLDLLPENLQGTSMQDLMRKESRAEFGRTIEKARKGKIASCKHEVQNKRGQVLQAYTTFYPGDGGEGQRPTFLLAQTKLLKASSRTLAPATVTVKNMSPGGVPLSPMKGIQTDSDSNTLMGGMSKSGSSDSTGAMVSARSSAGPGQDAALDADNIFDELKTTRCTSWQYELRQMEKVNRMLAEELAQLLSNKKKRKRRKGGGNMVRDCANCHTRNTPEWRRGPSGNRDLCNSCGLRWAKQTGRVSPRTSSRGGNGDSMSKKSNSPSHSSPLHREVGNDSPSTTTATKNSPSLRGSSTTAPGTITTDSGPAVASSASGTGSTTIATSANSAASTVNALGPPATGPSGGSPAQHLPPHLQGTHLNAQAMQRVH
XP_011515277.1 1 160 0.356268125 E3 SUMO-protein ligase NSE2; E3 SUMO-protein transferase NSE2; MMS21 homolog; hMMS21; Non-structural maintenance of chromosomes element 2 homolog; Non-SMC element 2 homolog; EC 2.3.2.- 247 0 16 160 0 Homo sapiens (Human) SwissProt::Q96MF7 1 SwissProt MPGRSSSNSGSTGFISFSGVESALSSLKNFQACINSGMDTASSVALDLVESQTEVSSEYSMDKAMVEFATLDRQLNHYVKAVQSTINHVKEERPEKIPDLKLLVEKKFLALQSKNSDADFQNNEKFVQFKQQLKELKKQCGLQADREADGTEGVDEDIIV
XP_011522598.1 1 191 0.517765968586388 Usher syndrome type-1G protein isoform X1 358 0 16 191 0 Homo sapiens XP_011522598.1 1 RefSeq MAAMKGHMECVRYLDSIAAKQSSLNPKLVGKLKDKAFREAERRIRECAKLQRRHHERMERRYRRELAERSDTLSFSSLTSSTLSRRLQHLALGSHLPYSQATLHGTARGKTKMQKKLERRKQGGEGTFKVSEDGRKSARSLSGLQLGSDVMFVRQGTYANPKEWGRAPLRDMFLSDEDSVSRATLAAEPAH
XP_011529307.1 1 173 0.537774566473989 tumor necrosis factor receptor superfamily member 27 isoform X10 173 0 16 173 0 Homo sapiens XP_011529307.1 1 RefSeq MSTGTNGDGVSPANGVVLDRSYPRCLPVELSGGRYTHSAPSGGHTCCTGGLLQFEADKTAKEESLFPVPPSKETSAESQVSENIFQTQPLNPILEDDCSSTSGFPTQESFTMASCTSESHSHWVHSPIECTELDLQKFSSSASYTGAETLGGNTVESTGDRLELNVPFEVPSP
XP_011534264.1 106 263 0.257959493670886 decaprenyl-diphosphate synthase subunit 2 isoform X8 263 0 16 158 0 Homo sapiens XP_011534264.1 1 RefSeq IGISTWKEQTFLSHGALLAKSCQAAMELAKHDAEVQNMAFQYGKHMAMSHKINSDVQPFIKEKTSDSMTFNLNSAPVVLHQEFLGRDLWIKQIGEAQEKGRLDYAKLRERIKAGKGVTSAIDLCRYHGNKALEALESFPPSEARSALENIVFAVTRFS
XP_011534507.1 1 515 0.0475328155339806 PF00083.24:Sugar_tr:150:494,PF07690.16:MFS_1:160:493 solute carrier family 22 member 16 isoform X2 515 345 16 272 11 Homo sapiens XP_011534507.1 1 RefSeq MGSRHFEGIYDHVGHFGRFQRVLYFICAFQNISCGIHYLASVFMGVTPHHVCRPPGNVSQVVFHNHSNWSLEDTGALLSSGQKDYVTVQLQNGEIWELSRCSRNKRENTSSLGYEYTGSKKEFPCVDGYIYDQNTWKSTAVTQWNLVCDRKWLAMLIQPLFMFGVLLGSVTFGYFSDRLGRRVVLWATSSSMFLFGIAAAFAVDYYTFMAARFFLAMVASGYLVVGFVYVMEFIGMKSRTWASVHLHSFFAVGTLLVALTGYLVRTWWLYQMILSTVTVPFILCCWVLPETPFWLLSEGRYEEAQKIVDIMAKWNRASSCKLSELLSLDLQGPVSNSPTEVQKHNLSYLFYNWSITKRTLTVWLIWFTGSLGFYSFSLNSVNLGGNEYLNLFLLGVVEIPAYTFVCIAMDKVGRRTVLAYSLFCSALACGVVMVIPQKHYILGVVTAMVGKFAIGAAFGLIYLYTAELYPTIVRSLAVGSGSMVCRLASILAPFSVDLSSIWIFIPQLLGQHLQE
XP_014948575.2 1 92 0.23609347826087 PF00446.17:GnRH:24:33 progonadoliberin-1 92 10 16 69 1 Ovis aries XP_014948575.2 1 RefSeq MELTPKLLAGLILLTFCVVGCSGQHWSYGLRPGGKRNAENVIDSFQEIAKEVDQPVEPKCCGCIVHQSHSPLRDLKEALESLIEEETGQRKI
XP_016856616.1 1 736 0.192331793478261 PF01823.19:MACPF:71:144 BMP/retinoic acid-inducible neural-specific protein 3 isoform X2 736 74 16 736 0 Homo sapiens XP_016856616.1 1 RefSeq MPQAPSTGSSLIRDPSIAHRNTQILWTEAGRDLAQDTRYTGRVEDKSAGEFGRWKVNNLAVERRNFLGSPLPLAPEFFRNIRLLGRRPTLQQITENLIKKYGTHFLLSATLGGEESLTIFVDKRKLSKRAEGSDSTTNSSSVTLETLHQLAASYFIDRDSTLRRLHHIQIASTAIKVTETRTGPLGCSNYDNLDSVSSVLVQSPENKIQLQGLQVLLPDYLQERFVQAALSYIACNSEGEFICKENDCWCHCGPKFPECNCPSMDIQAMEENLLRITETWKAYNSDFEESDEFKLFMKRLPMNYFLNTSTIMHLWTMDSNFQRRYEQLENSMKQLFLKAQKIVHKLFSLSKRCHKQPLISLPRQRTSTYWLTRIQSFLYCNENGLLGSFSEETHSCTCPNDQVVCTAFLPCTVGDASACLTCAPDNRTRCGTCNTGYMLSQGLCKPEVAESTDHYIGFETDLQDLEMKYLLQKTDRRIEVHAIFISNDMRLNSWFDPSWRKRMLLTLKSNKYKSSLVHMILGLSLQICLTKNSTLEPVLAVYVNPFGGSHSESWFMPVNENSFPDWERTKLDLPLQCYNWTLTLGNKWKTFFETVHIYLRSRIKSNGPNGNESIYYEPLEFIDPSRNLGYMKINNIQVFGYSMHFDPEAIRDLILQLDYPYTQGSQDSALLQLLEIRDRVNKLSPPGQRRLDLFSCLLRHRLKLSTSEVVRIQSALQAFNAKLPNTMDYDTTKLCS
XP_016858334.1 23 684 0.540142598187311 zinc finger FYVE domain-containing protein 9 isoform X2 1167 0 16 662 0 Homo sapiens XP_016858334.1 1 RefSeq EDETVSSTLLDTKWNKILDPPSHRLSFNPTLASVNESAVSNESQPQLKVFSLAHSAPLTTEEEDHCANGQDCNLNPEIATMWIDENAVAEDQLIKRNYSWDDQCSAVEVGEKKCGNLACLPDEKNVLVVAVMHNCDKRTLQNDLQDCNNYNSQSLMDAFSCSLDNENRQTDQFSFSINESTEKDMNSEKQMDPLNRPKTEGRSVNHLCPTSSDSLASVCSPSQLKDDGSIGRDPSMSAITSLTVDSVISSQGTDGCPAVKKQENYIPDEDLTGKISSPRTDLGSPNSFSHMSEGILMKKEPAEESTTEESLRSGLPLLLKPDMPNGSGRNNDCERCSDCLVPNEVRADENEGYEHEETLGTTEFLNMTEHFSESQDMTNWKLTKLNEMNDSQVNEEKEKFLQISQPEDTNGDSGGQCVGLADAGLDLKGTCISESEECDFSTVIDTPAANYLSNGCDSYGMQDPGVSFVPKTLPSKEDSVTEEKEIEESKSECYSNIYEQRGNEATEGSGLLLNSTGDLMKKNYLHNFCSQVPSVLGQSSPKVVASLPSISVPFGGARPKQPSNLKLQIPKPLSDHLQNDFPANSGNNTKNKNDILGKAKLGENSATNVCSPSLGNISNVDTNGEHLESYEAEISTRPCLALAPDSPDNDLRAGQFGISARK
XP_016860403.1 104 1110 0.365014796425025 structural maintenance of chromosomes protein 6 isoform X1 1110 0 16 1007 0 Homo sapiens XP_016860403.1 1 RefSeq SSLKGFVKDGQNSADISITLRNRGDDAFKASVYGNSILIQQHISIDGSRSYKLKSATGSVVSTRKEELIAILDHFNIQVDNPVSVLTQEMSKQFLQSKNEGDKYKFFMKATQLEQMKEDYSYIMETKERTKEQIHQGEERLTELKRQCVEKEERFQSIAGLSTMKTNLESLKHEMAWAVVNEIEKQLNAIRDNIKIGEDRAARLDRKMEEQQVRLNEAEQKYKDIQDKLEKISEETNARAPECMALKADVVAKKRAYNEAEVLYNRSLNEYKALKKDDEQLCKRIEELKKSTDQSLEPERLERQKKISWLKERVKAFQNQENSVNQEIEQFQQAIEKDKEEHGKIKREELDVKHALSYNQRQLKELKDSKTDRLKRFGPNVPALLEAIDDAYRQGHFTYKPVGPLGACIHLRDPELALAIESCLKGLLQAYCCHNHADERVLQALMKRFYLPGTSRPPIIVSEFRNEIYDVRHRAAYHPDFPTVLTALEIDNAVVANSLIDMRGIETVLLIKNNSVARAVMQSQKPPKNCREAFTADGDQVFAGRYYSSENTRPKFLSRDVDSEISDLENEVENKTAQILNLQQHLSALEKDIKHNEELLKRCQLHYKELKMKIRKNISEIRELENIEEHQSVDIATLEDEAQENKSKMKMVEEHMEQQKENMEHLKSLKIEAENKYDAIKFKINQLSELADPLKDELNLADSEVDNQKRGKRHYEEKQKEHLDTLNKKKRELDMKEKELEEKMSQARQICPERIEVEKSASILDKEINRLRQKIQAEHASHGDREEIMRQYQEARETYLDLDSKVRTLKKFIKLLGEIMEHRFKTYQQFRSFRQKNKPNLYYYINLFQMQCLTLRCKLYFDNLLSQRAYCGKMNFDHKNETLSISVQPGEGNKAAFNDMRALSGGERSFSTVCFILSLWSIAESPFRCLDEFDVYMDMVNRRIAMDLILKMADSQRFRQFILLTPQSMSSLPSSKLIRILRMSDPERGQTTLPFRPVTQEEDDDQR
XP_016864074.1 1 281 0.0427900355871886 PF00201.18:UDPGT:23:281 UDP-glucuronosyltransferase 2B10 isoform X1 525 259 16 281 0 Homo sapiens XP_016864074.1 1 RefSeq MALKWTTVLLIQLSFYFSSGSCGKVLVWAAEYSLWMNMKTILKELVQRGHEVTVLASSASILFDPNDSSTLKLEVYPTSLTKTEFENIIMQLVKRLSEIQKDTFWLPFSQEQEILWAINDIIRNFCKDVVSNKKLMKKLQESRFDIVFADAYLPCGELLAELFNIPFVYSHSFSPGYSFERHSGGFIFPPSYVPVVMSKLSDQMTFMERVKNMLYVLYFDFWFQIFNMKKWDQFYSEVLGRPTTLSETMRKADIWLMRNSWNFKFPHPFLPNVDFVGGLHC
XP_016868307.1 1 774 0.159468863049096 PF00612.27:IQ:26:43 ubiquitin-protein ligase E3C isoform X1 1062 18 16 774 0 Homo sapiens XP_016868307.1 1 RefSeq MEEKASLLHRTQEERRKREEERRRLKNAIIIQSFIRGYRDRKQQYSIQRSAFDRCATLSQSGGAFPIANGPNLTLLVRQLLFFYKQNEDSKRLIWLYQNLIKHSSLFVKQLDGSERLTCLFQIKRLMSLCCRLLQNCNDDSLNVALPMRMLEVFSSENTYLPVLQDASYVVSVIEQILHYMIHNGYYRSLYLLINSKLPSSIEYSDLSRVPIAKILLENVLKPLHFTYNSCPEGARQQVFTAFTEEFLAAPFTDQIFHFIIPALADAQTVFPYEPFLNALLLIESRCSRKSGGAPWLFYFVLTVGENYLGALSEEGLLVYLRVLQTFLSQLPVSPASASCHDSASDSEEESEEADKPSSPEDGRLSVSYITEECLKKLDTKQQTNTLLNLVWRDSASEEVFTTMASVCHTLMVQHRMMVPKVRLLYSLAFNARFLRHLWFLISSMSTRMITGSMVPLLQVISRGSPMSFEDSSRIIPLFYLFSSLFSHSLISIHDNEFFGDPIEVVGQRQSSMMPFTLEELIMLSRCLRDACLGIIKLAYPETKPEVREEYITAFQSIGVTTSSEMQQCIQMEQKRWIQLFKVITNLVKMLKSRDTRRNFCPPNHWLSEQEDIKADKVTQLYVPASRHVWRFRRMGRIGPLQSTLDVGLESPPLSVSEERQLAVLTELPFVVPFEERVKIFQRLIYADKQEVQGDGPFLDGINVTIRRNYIYEDAYDKLSPENEPDLKKRIRVHLLNAHGLDEAGIDGGGIFREFLNELLKSGFNPNQGFFKTT
XP_016869838.1 1 2944 0.627183322010869 PF12496.8:BNIP2:2800:2915,PF02833.14:DHHA2:212:351 protein prune homolog 2 isoform X18 3075 256 16 2944 0 Homo sapiens XP_016869838.1 1 RefSeq MEEFLQRAKSKLNRSKRLEKVHVVIGPKSCDLDSLISTFTYAYFLDKVSPPGVLCLPVLNIPRTEFNYFTETRFILEELNISESFHIFRDEINLHQLNDEGKLSITLVGSSVLASEDKTLESAVVKVINPVEQSDANVEFRESSSSLVLKEILQEAPELITEQLAHRLRGSILFKWMTMESEKISEKQEEILSILEEKFPNLPPREDIINVLQETQFSAQGLSIEQTMLKDLKELSDGEIKVAISTVSMNLENCLFHSNITSDLKAFTDKFGFDVLILFSSYLSEEQQPRRQIAVYSENMELCSQICCELEECQNPCLELEPFDCGCDEILVYQQEDPSVTCDQVVLVVKEVINRRCPEMVSNSRTSSTEAVAGSAPLSQGSSGIMELYGSDIEPQPSSVNFIENPPDLNDSNQAQVDANVDLVSPDSGLATIRSSRSSKESSVFLSDDSPVGEGAGPHHTLLPGLDSYSPIPEGAVAEEHAWSGEHGEHFDLFNFDPAPMASGQSQQSSHSADYSPADDFFPNSDLSEGQLPAGPEGLDGMGTNMSNYSSSSLLSGAGKDSLVEHDEEFVQRQDSPRDNSERNLSLTDFVGDESPSPERLKNTGKRIPPTPMNSLVESSPSTEEPASLYTEDMTQKATDTGHMGPPQTHARCSSWWGGLEIDSKNIADAWSSSEQESVFQSPESWKEHKPSSIDRRASDSVFQPKSLEFTKSGPWESEFGQPELGSNDIQDKNEESLPFQNLPMEKSPLPNTSPQGTNHLIEDFASLWHSGRSPTAMPEPWGNPTDDGEPAAVAPFPAWSAFGKEDHDEALKNTWNLHPTSSKTPSVRDPNEWAMAKSGFAFSSSELLDNSPSEINNEAAPEIWGKKNNDSRDHIFAPGNPSSDLDHTWTNSKPPKEDQNGLVDPKTRGKVYEKVDSWNLFEENMKKGGSDVLVPWEDSFLSYKCSDYSASNLGEDSVPSPLDTNYSTSDSYTSPTFAGDEKETEHKPFAKEEGFESKDGNSTAEETDIPPQSLQQSSRNRISSGPGNLDMWASPHTDNSSEINTTHNLDENELKTEHTDGKNISMEDDVGESSQSSYDDPSMMQLYNETNRQLTLLHSSTNSRQTAPDSLDLWNRVILEDTQSTATISDMDNDLDWDDCSGGAAIPSDGQTEGYMAEGSEPETRFTVRQLEPWGLEYQEANQVDWELPASDEHTKDSAPSEHHTLNEKSGQLIANSIWDSVMRDKDMSSFMLPGSSHITDSEQRELPPEIPSHSANVKDTHSPDAPAASGTSESEALISHLDKQDTERETLQSDAASLATRLENPGYFPHPDPWKGHGDGQSESEKEAQGATDRGHLDEEEVIASGVENASGISEKGQSDQELSSLVASEHQEICIKSGKISSLAVTFSPQTEEPEEVLEYEEGSYNLDSRDVQTGMSADNLQPKDTHEKHLMSQRNSGETTETSDGMNFTKYVSVPEKDLEKTEECNFLEPENVGGGPPHRVPRSLDFGDVPIDSDVHVSSTCSEITKNLDVKGSENSLPGAGSSGNFDRDTISSEYTHSSASSPELNDSSVALSSWGQQPSSGYQEENQGNWSEQNHQESELITTDGQVEIVTKVKDLEKNRINEFEKSFDRKTPTFLEIWNDSVDGDSFSSLSSPETGKYSEHSGTHQESNLIASYQEKNEHDISATVQPEDARVISTSSGSDDDSVGGEESIEEEIQVANCHVAEDESRAWDSLNESNKFLVTADPKSENIYDYLDSSEPAENENKSNPFCDNQQSSPDPWTFSPLTETEMQITAVEKEKRSSPETGTTGDVAWQISPKASFPKNEDNSQLEMLGFSADSTEWWKASPQEGRLIESPFERELSDSSGVLEINSSVHQNASPWGVPVQGDIEPVETHYTNPFSDNHQSPFLEGNGKNSHEQLWNIQPRQPDPDADKFSQLVKLDQIKEKDSREQTFVSAAGDELTPETPTQEQCQDTMLPVCDHPDTAFTHAEENSCVTSNVSTNEGQETNQWEQEKSYLGEMTNSSIATENFPAVSSPTQLIMKPGSEWDGSTPSEDSRGTFVPDILHGNFQEGGQLASAAPDLWIDAKKPFSLKADGENPDILTHCEHDSNSQASDSPDICHDSEAKQETEKHLSACMGPEVESSELCLTEPEIDEEPIYEPGREFVPSNAELDSENATVLPPIGYQADIKGSSQPASHKGSPEPSEINGDNSTGLQVSEKGASPDMAPILEPVDRRIPRIENVATSIFVTHQEPTPEGDGSWISDSFSPESQPGARALFDGDPHLSTENPALVPDALLASDTCLDISEAAFDHSFSDASGLNTSTGTIDDMSKLTLSEGHPETPVDGDLGKQDICSSEASWGDFEYDVMGQNIDEDLLREPEHFLYGGDPPLEEDSLKQSLAPYTPPFDLSYLTEPAQSAETIEEAGSPEDESLGCRAAEIVLSALPDRRSEGNQAETKNRLPGSQLAVLHIREDPESVYLPVGAGSNILSPSNVDWEVETDNSDLPAGGDIGPPNGASKEISELEEEKTIPTKEPEQIKSEYKEERCTEKNEDRHALHMDYILVNREENSHSKPETCEERESIAELELYVGSKETGLQGTQLASFPDTCQPASLNERKGLSAEKMSSKSDTRSSFESPAQDQSWMFLGHSEVGDPSLDARDSGPGWSGKTVEPFSELGLGEGPQLQILEEMKPLESLALEEASGPVSQSQKSKSRGRAGPDAVTLQAVTHDNEWEMLSPQPVQKNMIPDTEMEEETEFLELGTRISRPNGLLSEDVGMDIPFEEGVLSPSAADMRPEPPNSLDLNDTHPRRIKLTAPNINLSLDQSEGSILSDDNLDSPDEIDINVDELDTPDEADSFEYTGHDPTANKDSGQESESIPEYTAEEEREDNRLWRTVVIGEQEQRIDMKVIEPYRRVISHGGYYGDGLNAIIVFAACFLPDSSRADYHYVMENLFL
XP_016876614.1 1 1807 0.301647205312673 zinc finger FYVE domain-containing protein 26 isoform X2 2503 0 16 1807 0 Homo sapiens XP_016876614.1 1 RefSeq MNHPFGKEEAASQKQLFGFFCECLRRGEWELAQACVPQLQEGQGDIPKRVEDILQALVVCPNLLRCGQDINPQRVAWVWLLVLEKWLAREKKLLPVVFRRKLEFLLLSEDLQGDIPENILEELYETLTQGAVGHVPDGNPRRESWTPRLSSEAVSVLWDLLRQSPQPAQALLELLLEEDDGTGLCHWPLQNALVDLIRKALRALQGPDSVPPGVVDAIYGALRTLRCPAEPLGVELHLLCEELLEACRTEGSPLREERLLSCLLHKASRGLLSLYGHTYAEKVTEKPPRATASGKVSPDHLDPERAMLALFSNPNPAEAWKVAYFYCLSNNKHFLEQILVTALTLLKEEDFPNLGCLLDREFRPLSCLLVLLGWTHCQSLESAKRLLQTLHRTQGPGCDELLRDACDGLWAHLEVLEWCIQQSSNPIPKRDLLYHLHGGDSHSVLYTLHHLTNLPALREEDVLKLLQKVPAKDPQQEPDAVDAPVPEHLSQCQNLTLYQGFCAMKYAIYALCVNSHQHSQCQDCKDSLSEDLASATEPANDSLSSPGAANLFSTYLARCQQYLCSIPDSLCLELLENIFSLLLITSADLHPEPHLPEDYAEDDDIEGKSPSGLRSPSESPQHIAHPERKSERGSLGVPKTLAYTMPSHVKAEPKDSYPGPHRHSFLDLKHFTSGISGFLADEFAIGAFLRLLQEQLDEISSRSPPEKPKQESQSCSGSRDGLQSRLHRLSKVVSEAQWRHKVVTSNHRSEEQPSRRYQPATRHPSLRRGRRTRRSQADGRDRGSNPSLESTSSELSTSTSEGSLSAMSGRNELHSRLHPHPQSSLIPMMFSPPESLLASCILRGNFAEAHQVLFTFNLKSSPSSGELMFMERYQEVIQELAQVEHKIENQNSDAGSSTIRRTGSGRSTLQAIGSAAAAGMVFYSISDVTDKLLNTSGDPIPMLQEDFWISTALVEPTAPLREVLEDLSPPAMAAFDLACSQCQLWKTCKQLLETAERRLNSSLERRGRRIDHVLLNADGIRGFPVVLQQISKSLNYLLMSASQTKSESVEEKGGGPPRCSITELLQMCWPSLSEDCVASHTTLSQQLDQVLQSLREALELPEPRTPPLSSLVEQAAQKAPEAEAHPVQIQTQLLQKNLGKQTPSGSRQMDYLGTFFSYCSTLAAVLLQSLSSEPDHVEVKVGNPFVLLQQSSSQLVSHLLFERQVPPERLAALLAQENLSLSVPQVIVSCCCEPLALCSSRQSQQTSSLLTRLGTLAQLHASHCLDDLPLSTPSSPRTTENPTLERKPYSSPRDSSLPALTSSALAFLKSRSKLLATVACLGASPRLKVSKPSLSWKELRGRREVPLAAEQVARECERLLEQFPLFEAFLLAAWEPLRGSLQQGQSLAVNLCGWASLSTVLLGLHSPIALDVLSEAFEESLVARDWSRALQLTEVYGRDVDDLSSIKDAVLSCAVACDKEGWQYLFPVKDASLRSRLALQFVDRWPLESCLEILAYCISDTAVQEGLKCELQRKLAELQVYQKILGLQSPPVWCDWQTLRSCCVEDPSTVMNMILEAQEYELCEEWGCLYPIPREHLISLHQKHLLHLLERRDHDKALQLLRRIPDPTMCLEVTEQSLDQHTSLATSHFLANYLTTHFYGQLTAVRHREIQALYVGSKILLTLPEQHRASYSHLSSNPLFMLEQLLMNMKVDWATVAVQTLQQLLVGQEIGFTMDEVDSLLSRYAEKALDFPYPQREKRSAFSLSTDSVIHLQEIVHQAADPETLPRSPSAEFSPAAPPGISSIHSPSLRERSFPPTQPSQEFVPPA
XP_016877669.1 1 337 0.0924329376854599 PF10204.9:DuoxA:10:302 dual oxidase maturation factor 2 isoform X1 337 293 16 222 5 Homo sapiens XP_016877669.1 1 RefSeq MTLWNGVLPFYPQPRHAAGFSVPLLIVILVFLALAASFLLILPGIRGHSRWFWLVRVLLSLFIGAEIVAVHFSAEWFVGTVNTNTSYKAFSAARVTARVRLLVGLEGINITLTVARSPTAGSPMSPPHPTGTPVHQLNETIDYNEQFTWRLKENYAAEYANALEKGLPDPVLYLAEKFTPSSPCGLYHQYHLAGHYASATLWVAFCFWLLSNVLLSTPAPLYGGLALLTTGAFALFGVFALASISSVPLCPLRLGSSALTTQYGAAFWVTLATGVLCLFLGGAVVSLQYVRPSALRTLLDQSAKDCSQERGGSPLILGDPLHKQAALPDLKCITTNL
XP_016878990.1 436 1099 0.624557680722891 myocardin-related transcription factor B isoform X1 1099 0 16 664 0 Homo sapiens XP_016878990.1 1 RefSeq VNSSGLAAGGIVAVSSSAIVTSNPEVTVALPVTTLHNTVTSSVSTLKAELPPTGTSNATRVENVHSPLPISPSPSEQSSLSTDDTNMADTFTEIMTMMSPSQFLSSSPLRMTNNEDSLSPTSSTLSNLELDAAEKDRKLQEKEKQIEELKRKLEQEQKLVEVLKMQLEVEKRGQQQRPLEAQPSAPGHSVKSDQKHGSLGSSIKDEASLPDCSSSRQPIPVASHAVGQPVSTGGQTLVAKKAVVIKQEVPVGQAEQQSVVSQFYVSSQGQPPPAVVAQPQALLTTQTAQLLLPVSIQGSSVTSVQLPVGSLKLQTSPQAGMQTQPQIATAAQIPTAALASGLAPTVPQTQDTFPQHVLSQPQQVRKVFTNSASSNTVLPYQRHPAPAVQQPFINKASNSVLQSRNAPLPSLQNGPNTPNKPSSPPPPQQFVVQHSLFGSPVAKTKDPPRYEEAIKQTRSTQAPLPEISNAHSQQMDDLFDILIKSGEISLPIKEEPSPISKMRPVTASITTMPVNTVVSRPPPQVQMAPPVSLEPMGSLSASLENQLEAFLDGTLPSANEIPPLQSSSEDREPFSLIEDLQNDLLSHSGMLDHSHSPMETSETQFAAGTPCLSLDLSDSNLDNMEWLDITMPNSSSGLTPLSTTAPSMFSADFLDPQDLPLPWD
XP_016883966.1 163 559 0.482062216624685 PF15512.6:CAF-1_p60_C:219:391,PF00400.32:WD40:3:36 Chromatin assembly factor 1 subunit B; CAF-1 subunit B; Chromatin assembly factor I p60 subunit; CAF-I 60 kDa subunit; CAF-I p60; M-phase phosphoprotein 7 559 207 16 397 0 Homo sapiens (Human) SwissProt::Q13112 1 SwissProt KISIFNEHKSYVQGVTWDPLGQYVATLSCDRVLRVYSIQKKRVAFNVSKMLSGIGAEGEARSYRMFHDDSMKSFFRRLSFTPDGSLLLTPAGCVESGENVMNTTYVFSRKNLKRPIAHLPCPGKATLAVRCCPVYFELRPVVETGVELMSLPYRLVFAVASEDSVLLYDTQQSFPFGYVSNIHYHTLSDISWSSDGAFLAISSTDGYCSFVTFEKDELGIPLKEKPVLNMRTPDTAKKTKSQTHRGSSPGPRPVEGTPASRTQDPSSPGTTPPQARQAPAPTVIRDPPSITPAVKSPLPGPSEEKTLQPSSQNTKAHPSRRVTLNTLQAWSKTTPRRINLTPLKTDTPPSSVPTSVISTPSTEEIQSETPGDAQGSPPELKRPRLDENKGGTESLDP
XP_017444275.1 1 172 0.41171511627907 PF00123.20:Hormone_2:71:98 secretin isoform X1 172 28 16 172 0 Rattus norvegicus XP_017444275.1 1 RefSeq MGALSPSHIWRPHFSLCTSTGLRHYRSLGWNRTSQNPTMEPLLPTPPLLLLLLLLLSSSFVLPAPPRTPRHSDGTFTSELSRLQDSARLQRLLQGLVGKRSEEDTENIPENSVARPKPLEDQLCLLWSNTQALQDWLLPRLSLDGSLSLWLPPGPRPAVDHSEWTETTRQPR
XP_017452981.1 1 146 0.469034931506849 receptor activity-modifying protein 2 isoform X1 146 0 16 146 0 Rattus norvegicus XP_017452981.1 1 RefSeq MGSGSRFMGYRGSCPAPRSELEARVGQGNPGRVNYGCGSDSLCSPPPTWPPPCQPLRGSGAASCGWGPGYRRPRPGQLSSAAPPRHLAQGVMAPLRVERAPGGSQLAVTSAQRPAALRLPPLLLLLAAAAGRCLNLSGVPESISSY
XP_018121628.1 1 433 0.471962124711316 F-box only protein 43; Early mitotic inhibitor 2; Emi1-related protein 1; F-box protein 26; Xerp1 651 0 16 433 0 Xenopus laevis (African clawed frog) SwissProt::Q8AXF4 1 SwissProt MANLLENFAAHHSMTAGAKKKADHQDTSVSQDSGYSDSLKGFSPDSHKSGNFLETVTEGYENSENIDPTLILSPIKYELSWGADTRESKQLAPLYETPRVGKKEFSLRRRLLISKATSGGNLDFDVSVCSAESCGREKSLRRIPSHEGSLSNSFADSPRDGSYEPIATSTLKTESESGTSCKKWRLSFAQQRSSTLDDSKSDSIPLPEVENISPVQHSLASSTDDSILYEETIFGAPTTPTCNFIVKEEFQTPISNLAANFRFNLCTPDVGHVSDFDISVTEDSAFHSLSLDKSQDSITDHEGSFQELIQKPRETSKAVNNKSRLRKLDRCRRLSTLRERGSQSEVEEEGNEVPVLSSAYKLKVARASVDEENEFSSDESRVHSLLSSDDLTGKPALRVLHEMLLRSTRKRPQQATVQDLLGSSGCFELPEDS
XP_750859.2 1 273 0.202910622710623 Gamma-glutamyl cyclotransferase gliK; GGCT gliK; Gliotoxin biosynthesis protein K; EC 4.3.2.9 273 0 16 273 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::E9R9Y3 1 SwissProt MGKAALQDPHGGIWYFAYGSNLRLSVLENRGIKALDIKAVIVPSHYLTFDIFGIPYAEPSFASVAPFAREKKTTLRLGDSPASRDVPPVQGLAYLLNPRDYRQLVISEGGGVAYDEVEVHASILDKDGKPDPGATLIARTLQAKYPWRPNGAPSARYLGLISTGCKQNEPLTAYSDYIDSLPAYEPPTSLHAKVGGLLFLMFWRPPLRLLIRLIRVNTDQDGHCPQWLGWIILTLYGLMWSYHDNIHSKIWGRGDGRKLHFEETPAKEVPVRH
XP_750861.1 1 282 0.33057695035461 PF13489.6:Methyltransf_23:33:204 N-methyltransferase gliN; Gliotoxin biosynthesis protein N; EC 2.1.1.- 282 172 16 282 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WMJ1 1 SwissProt MTVLPDSENGYLLNYEDQEKRRMNMQHDLIKTYMGKLILAPLPFDQPNLRVLDSGTFNGLWLEDASTLLQSPTLVGTDVSPTAFPAKRPPNTEFHVQSISDPWPTEWRDSFDLVHQKLVIACVPPDEGRQALYRLIDLAKPGTGWVQFTEGSLEHLTPEQRKQYPVLARFQSLVAEMLPHFRWNPRPGKLVRQWLEEYGLQEVQEKVMEIPIGAGNPDPKLGEMAKQNMLEVVSNFRTAATRLPDGTGIRAEDFDPILRDIKVEFETVGGILRFNTVWGRRP
XP_752835.1 1 373 0.299750402144772 PF13489.6:Methyltransf_23:133:286,PF13649.6:Methyltransf_25:140:227,PF08241.12:Methyltransf_11:140:230 Secondary metabolism regulator laeA; Methyltransferase laeA; Velvet complex subunit laeA; EC 2.1.1.- 373 154 16 373 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WRY5 1 SwissProt MFLNGQGGQRPPMVAFPPLNVRGSISSGFNALGRSRNNSDAMDIYTITDRGPAAERDPAAGRWHANGSPSINSTSSKNPDRYPCYQENGRTYHGYRKGIYMLPCDEQEQDRLDIFHKLFTVARVSDGLIYAPHPTNGRFLDLGCGTGIWAIDVANKYPEAFVVGVDLAPIQPPNHPRNCDFYAPFDFESLWALGEDSWDLIHMQMGSGSVASWPNLYRRIYSHLRPGAWFEQVEIDFEPRCDDRSLEGLAIRQWYQLLKQATEETMRPVAHNSRETIRNLQEAGFTEIDHQMVGLPLNPWHEDEHERRVARWYNLAISESIETMSLAPFSRVFGWPIERIKQIAADVKSEAFNKEIHTYNILHIYQARKPLAN
XP_963819.3 1 530 0.568035471698113 PF00320.27:GATA:468:502,PF08447.12:PAS_3:188:257 White collar 2 protein; WC2 530 105 16 530 0 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) SwissProt::P78714 1 SwissProt MSHGQPPPGSSMYGFGAMGMGSGMGSGMGSGMGTGMGTGMGTGMSASQMTSDPQDMMSLLDTSVFPGFDGMSMSLDVGDSMSNPFTPVSVPPPLPAGNAGPSHVGVCGGHGAPDQLFSPDDLIATSMSSAGPMIATPTTTTSGPSGGPSSGGGSTLTEFTKRRNWPAKVVEELQDWEHILDANGRIKHVSPSVEPLTGYKPPEIIDLFLRDLIHPDDVGVFTAELNEAIATGSQLRLFYRFRKKDGNWTIFETVGHAHIAAAKFAPNPQNQSPFCQAVFMMARPYPTKNAGLLDSFLEHKIENERLKRRIAELRREEQEEQEESHRTWRMSQEGRSDVTPSDDTATQMGMTPFYIPMNAQADVMMPPPSQPASSLNIALTRENLEGIAGSRPDSIREKMLRYEGNHADTIEMLTGLKYQEGERSHGITTGNASPTLIKGDAGIAIPLDRDPRTGEKKKKIKVAEEYVCTDCGTLDSPEWRKGPSGPKTLCNACGLRWAKKEKKKNANNNNNGGGIGGHNDIHTPMGDHMG
YP_009137129.1 1 338 0.0442979289940828 PF01621.17:Fusion_gly_K:4:337 Envelope glycoprotein K; Syncytial protein 338 334 16 205 6 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P68331 1 SwissProt MLAVRSLQHLSTVVLITAYGLVLVWYTVFGASPLHRCIYAVRPTGTNNDTALVWMKMNQTLLFLGAPTHPPNGGWRNHAHICYANLIAGRVVPFQVPPDAMNRRIMNVHEAVNCLETLWYTRVRLVVVGWFLYLAFVALHQRRCMFGVVSPAHKMVAPATYLLNYAGRIVSSVFLQYPYTKITRLLCELSVQRQNLVQLFETDPVTFLYHRPAIGVIVGCELMLRFVAVGLIVGTAFISRGACAITYPLFLTITTWCFVSTIGLTELYCILRRGPAPKNADKAAAPGRSKGLSGVCGRCCSIILSGIAVRLCYIAVVAGVVLVALHYEQEIQRRLFDV
YP_025302.1 1 483 0.151340579710145 PF08125.13:Mannitol_dh_C:203:458,PF01232.23:Mannitol_dh:17:172 tagaturonate reductase (EC 1.1.1.58) 483 412 16 483 0 Escherichia coli K-12 substr. MG1655 ecocyc::ALTRO-OXIDOREDUCT-MONOMER 1 ecocyc MKTLNRRDFPGAQYPERIIQFGEGNFLRAFVDWQIDLLNEHTDLNSGVVVVRPIETSFPPSLSTQDGLYTTIIRGLNEKGEAVSDARLIRSVNREISVYSEYDEFLKLAHNPEMRFVFSNTTEAGISYHAGDKFDDAPAVSYPAKLTRLLFERFSHFNGALDKGWIIIPCELIDYNGDALRELVLRYAQEWALPEAFIQWLDQANSFCSTLVDRIVTGYPRDEVAKLEEELGYHDGFLDTAEHFYLFVIQGPKSLATELRLDKYPLNVLIVDDIKPYKERKVAILNGAHTALVPVAFQAGLDTVGEAMNDAEICAFVEKAIYEEIIPVLDLPRDELESFASAVTGRFRNPYIKHQLLSIALNGMTKFRTRILPQLLAGQKANGTLPARLTFALAALIAFYRGERNGETYPVQDDAHWLERYQQLWSQHRDRVIGTQELVAIVLAEKDHWEQDLTQVPGLVEQVANDLDAILEKGMREAVRPLC
YP_081531.1 1 561 0.375049554367201 PF05784.11:Herpes_UL82_83:15:356 tegument protein pp65 561 342 16 561 0 Human betaherpesvirus 5 YP_081531.1 1 RefSeq MESRGRRCPEMISVLGPISGHVLKAVFSRGDTPVLPHETRLLQTGIHVRVSQPSLILVSQYTPDSTPCHRGDNQLQVQHTYFTGSEVENVSVNVHNPTGRSICPSQEPMSIYVYALPLKMLNIPSINVHHYPSAAERKHRHLPVADAVIHASGKQMWQARLTVSGLAWTRQQNQWKEPDVYYTSAFVFPTKDVALRHVVCAHELVCSMENTRATKMQVIGDQYVKVYLESFCEDVPSGKLFMHVTLGSDVEEDLTMTRNPQPFMRPHERNGFTVLCPKNMIIKPGKISHIMLDVAFTSHEHFGLLCPKSIPGLSISGNLLMNGQQIFLEVQAIRETVELRQYDPVAALFFFDIDLLLQRGPQYSEHPTFTSQYRIQGKLEYRHTWDRHDEGAAQGDDDVWTSGSDSDEELVTTERKTPRVTGGGAMASASTSAGRKRKSASSATACTAGVMTRGRLKAESTVAPEEDTDEDSDNEIHNPAVFTWPPWQAGILARNLVPMVATVQGQNLKYQEFFWDANDIYRIFAELEGVWQPAAQPKRRRHRQDALPGPCIASTPKKHRG
YP_500743.1 1 189 0.00515820105820105 PF04647.15:AgrB:9:177 Accessory gene regulator protein B; EC 3.4.-.- 189 169 16 88 5 Staphylococcus aureus SwissProt::P0C1P7 0 SwissProt MNYFDNKIDQFATYLQKRNNLDHIQFLQVRLGMQVLAKNIGKLIVMYTIAYILNIFLFTLITNLTFYLIRRHAHGAHAPSSFWCYVESIILFILLPLVIVNFHINFLIMIILTVISLGVISVYAPAATKKKPIPVRLIKRKKYYAIIVSLTLFIITLIIKEPFAQFIQLGIIIEAITLLPIFFIKEDLK
CharProtDB::CH_123420 1 564 0.118510638297873 PF07690.16:MFS_1:123:511,PF06609.13:TRI12:101:300 cycloheximide resistance protein 564 411 15 288 12 Candida albicans CharProtDB::CH_123420 1 CharProtDB MHYRFLRDSFVGRVTYHLSKHKYFAHPEEAKDYIVPEKYLADYKPTLADDTSINFEKEEIDNQGEPNSSQSSSSNNTIVDNNNNNDNDVDGDKIVVTWDGDDDPENPQNWPTLQKAFFIFQISFLTTSVYMGSAVYTPGIEELMHDFGIGRVVATLPLTLFVIGYGVGPLVFSPMSENAIFGRTSIYIITLFLFVILQIPTALVNNIAGLCILRFLGGFFASPCLATGGASVADVVKFWNLPVGLAAWSLGAVCGPSFGPFFGSILTVKASWRWTFWFMCIISGFSFVMLCFTLPETFGKTLLYRKAKRLRAITGNDRITSEGEIENSKMTSHELIIDTLWRPLEITVMEPVVLLINIYIAMVYSILYLFFEVFPIYFVGVKHFTLVELGTTYMSIVIGIVIAAFIYIPVIRQKFTKPILRQEQVFPEVFIPIAIVGGILLTSGLFIFGWSANRTTHWVGPLFGAATTASGAFLIFQTLFNFMGASFKPHYIASVFASNDLFRSVIASVFPLFGAPLFDNLATPEYPVAWGSSVLGFITLVMIAIPVLFYLNGPKLRARSKYAN
NP_001011578.1 393 1770 0.296264078374456 PF01347.22:Vitellogenin_N:30:349,PF09172.11:DUF1943:383:642,PF00094.25:VWD:1052:1221 Vitellogenin 1770 750 15 1378 0 Apis mellifera (Honeybee) SwissProt::Q868N5 1 SwissProt LEDAPQNSLLPNFVGYKGKHIGKSGKVDVINAAKELIFQIANELEDASNIPVHATLEKFMILCNLMRTMNRKQISELESNMQISPNELKPNDKSQVIKQNTWTVFRDAITQTGTGPAFLTIKEWIERGTTKSMEAANIMSKLPKTVRTPTDSYIRSFFELLQNPKVSNEQFLNTAATLSFCEMIHNAQVNKRSIHNNYPVHTFGRLTSKHDNSLYDEYIPFLERELRKAHQEKDSPRIQTYIMALGMIGEPKILSVFEPYLEGKQQMTVFQRTLMVGSLGKLTETNPKLARSVLYKIYLNTMESHEVRCTAVFLLMKTNPPLSMLQRMAEFTKLDTNRQVNSAVKSTIQSLMKLKSPEWKDLAKKARSVNHLLTHHEYDYELSRGYIDEKILENQNIITHMILNYVGSEDSVIPRILYLTWYSSNGDIKVPSTKVLAMISSVKSFMELSLRSVKDRETIISAAEKIAEELKIVPEELVPLEGNLMINNKYALKFFPFDKHILDKLPTLISNYIEAVKEGKFMNVNMLDTYESVHSFPTETGLPFVYTFNVIKLTKTSGTVQAQINPDFAFIVNSNLRLTFSKNVQGRVGFVTPFEHRHFISGIDSNLHVYAPLKISLDVNTPKGNMQWKIWPMKGEEKSRLFHYSVVPFVSNHDILNLRPLSMEKGTRPMIPDDNTSLALPKNEGPFRLNVETAKTNEEMWELIDTEKLTDRLPYPWTMDNERYVKVDMYMNLEGEQKDPVIFSTSFDSKVMTRPDTDSENWTPKMMAVEPTDKQANSKTRRQEMMREAGRGIESAKSYVVDVRVHVPGESESETVLTLAWSESNVESKGRLLGFWRVEMPRSNADYEVCIGSQIMVSPETLLSYDEKMDQKPKMDFNVDIRYGKNCGKGERIDMNGKLRQSPRLKELVGATSIIKDCVEDMKRGNKILRTCQKAVVLSMLLDEVDISMEVPSDALIALYSQGLFSLSEIDNLDVSLDVSNPKNAGKKKIDVRAKLNEYLDKADVIVNTPIMDAHFKDVKLSDFGFSTEDILDTADEDLLINNVFYEDETSCMLDKTRAQTFDGKDYPLRLGPCWHAVMTTYPRINPDNHNEKLHIPKDKSVSVLSRENEAGQKEVKVLLGSDKIKFVPGTTSQPEVFVNGEKIVVSRNKAYQKVEENEIIFEIYKMGDRFIGLTSDKFDVSLALDGERVMLKASEDYRYSVRGLCGNFDHDSTNDFVGPKNCLFRKPEHFVASYALISNQCEGDSLNVAKSLQDHDCIRQERTQQRNVISDSESGRLDTEMSTWGYHHNVNKHCTIHRTQVKETDDKICFTMRPVVSCASGCTAVETKSKPYKFHCMEKNEAAMKLKKRIEKGANPDLSQKPVSTTEELTVPFVCKA
NP_001019411.1 1 188 0.387968617021277 PF11938.8:DUF3456:48:177 marginal zone B- and B1-cell-specific protein precursor 188 130 15 188 0 Rattus norvegicus NP_001019411.1 1 RefSeq MRLPLPLLLLFGCRAILGSFGDRVSLSATAPTLDDEEKYASHMPTHLRCDACRAVAYQMGQHLAKAEAKSHTPDSSGSQELSESTYTDVLDRTCSQNWQSYGVQEVNQMKRLMGPGLSKGPEPSISVMITGGPWPNRLSMTCFHYLGEFGEDQIYEAYRQGHETLEALLCGGTHGSCSQEIPAQREEL
NP_001034794.1 651 1431 0.33036914212548 trophinin isoform 5 1431 0 15 781 0 Homo sapiens NP_001034794.1 1 RefSeq AVAVAEAEARAEARAQMGIGEEAVAGPWNWDDMDIDCLTREELGDDAQAWSRFSFEIEARAQENADASTNVNFSRGASTRAGFSDGASISFNGAPSSSGGFSGGPGITFGVAPSTSASFSNTASISFGGTLSTSSSFSSAASISFGCAHSTSTSFSSEASISFGGMPCTSASFSGGVSSSFSGPLSTSATFSGGASSGFGGTLSTTAGFSGVLSTSTSFGSAPTTSTVFSSALSTSTGFGGILSTSVCFGGSPSSSGSFGGTLSTSICFGGSPCTSTGFGGTLSTSVSFGGSSSTSANFGGTLSTSICFDGSPSTGAGFGGALNTSASFGSVLNTSTGFGGAMSTSADFGGTLSTSVCFGGSPGTSVSFGSALNTNAGYGGAVSTNTDFGGTLSTSVCFGGSPSTSAGFGGALNTNASFGCAVSTSASFSGAVSTSACFSGAPITNPGFGGAFSTSAGFGGALSTAADFGGTPSNSIGFGAAPSTSVSFGGAHGTSLCFGGAPSTSLCFGSASNTNLCFGGPPSTSACFSGATSPSFCDGPSTSTGFSFGNGLSTNAGFGGGLNTSAGFGGGLGTSAGFSGGLSTSSGFDGGLGTSAGFGGGPGTSTGFGGGLGTSAGFSGGLGTSAGFGGGLVTSDGFGGGLGTNASFGSTLGTSAGFSGGLSTSDGFGSRPNASFDRGLSTIIGFGSGSNTSTGFTGEPSTSTGFSSGPSSIVGFSGGPSTGVGFCSGPSTSGFSGGPSTGAGFGGGPNTGAGFGGGPSTSAGFGSGAASLGACGFSYG 1
NP_001035287.1 1 147 0.225930612244898 type-1 angiotensin II receptor-associated protein isoform e 147 0 15 147 0 Homo sapiens NP_001035287.1 1 RefSeq MELPAVNLKVILLGHWLLTTCFWRHFSAKPRLETIELTCALCKLRSAAHRATAGLHCILRLLCLGQLHHPGLGRVGCGSAGLHRRHKHVSGWLAGHHLPGHRAHQHLLPAGQPHGHGPLWRGHGHPQLAAQAALLLLRLPHVPGARG
NP_001074740.1 92 348 0.213870038910506 PF14798.6:Ca_hom_mod:2:163 Calcium homeostasis modulator protein 1 348 162 15 214 2 Mus musculus (Mouse) SwissProt::D3Z291 1 SwissProt PAVLRYMFCSMAQRALIAPVVWVAVTLLDGKCFLCAFCTAVPVATLGNGSLVPGLPAPELARLLARVPCPEIYDGNWLLAREVAVRYLRCISQALGWSFVLLTTLLAFVVRSVRPCFTQVAFLKSKYWSHYIDIERKLFDETCTEHAKAFAKVCIQQFFEAMNHDLELGHTHGVLATATATATATEAVQSPSDRTEEEREKLRGITDQGTMNRLLTSWHKCKPPLRLGQEAPLMSNGWAGGEPRPPRKEVATYFSKV
NP_001079305.1 1 132 0.466014393939394 Homeobox protein siamois 246 0 15 132 0 Xenopus laevis (African clawed frog) SwissProt::Q91848 1 SwissProt MTYEAEMEQIVSTALTLQDDYIKFTPRNQNMACHAEIIGIFHDIHPTVEIKEPHQDKSVLQETLVELYSVLGIPQEPQVSKTMKFEEPEQHKESSSTVTRSDSLVNSLQSTGLKRPFCEDEHREYKKPLIQA
NP_001081649.1 1 467 0.597763383297644 PF05920.11:Homeobox_KN:144:183,PF00046.29:Homeodomain:146:186 Iroquois-class homeodomain protein irx-1-A; Iroquois homeobox protein 1-A; Xiro-1; Xiro1 467 43 15 467 0 Xenopus laevis (African clawed frog) SwissProt::Q9YGK8 1 SwissProt MSFPQLGYPQYLTAGQAAVYGGERPGVLAAAAAAAAAAAAAGSGRPTGAELGSSSTAAVTSVLGMYASPYSAPNYSAFLPYTTDLTLFSQMGSQYELKDNPGVHPATFAAHTTPGYYPYGQFQYGDPGRPKNATRESTGTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKVTWGARSKEDDNIFGSDNEGDHEKNEDDEEIDLESIDIDKIDDNDGEQSNEEEDEKLEHLRQGEKESLKKESEVMIPSSDGLKPKDSMSLGKESSDTSNTRIVSPGGQGNIQVPPHSKPKIWSLAETATSPDGALKSSPPPSQGNHTSPPIQHPAFLPSHGLYTCQIGKFHNWTNGAFLTQSSLINMRSLLGVNPHHAAHHNHHHLQAHQQAPFLATNLSSLSSDKTPERTSPKHSDRENVPRTDSPPQLKPSFQAVRENTLSQQEGTSRILTALPSA
NP_001094096.1 1 348 0.236541666666667 PF01145.25:Band_7:27:209 Erlin-1; Endoplasmic reticulum lipid raft-associated protein 1; Protein KE04; Stomatin-prohibitin-flotillin-HflC/K domain-containing protein 1; SPFH domain-containing protein 1 348 183 15 328 1 Homo sapiens (Human) SwissProt::O75477 1 SwissProt MNMTQARVLVAAVVGLVAVLLYASIHKIEEGHLAVYYRGGALLTSPSGPGYHIMLPFITTFRSVQTTLQTDEVKNVPCGTSGGVMIYIDRIEVVNMLAPYAVFDIVRNYTADYDKTLIFNKIHHELNQFCSAHTLQEVYIELFDQIDENLKQALQKDLNLMAPGLTIQAVRVTKPKIPEAIRRNFELMEAEKTKLLIAAQKQKVVEKEAETERKKAVIEAEKIAQVAKIRFQQKVMEKETEKRISEIEDAAFLAREKAKADAEYYAAHKYATSNKHKLTPEYLELKKYQAIASNSKIYFGSNIPNMFVDSSCALKYSDIRTGRESSLPSKEALEPSGENVIQNKESTG
NP_001098060.1 354 901 0.156540693430657 PF09848.9:DUF2075:242:322 Schlafen family member 11; EC 3.6.-.- 901 81 15 548 0 Homo sapiens (Human) SwissProt::Q7Z7L1 1 SwissProt TDPDLLQLSEDFECQLSLSSGPPLSRPVYSKKGLEHKKELQQLLFSVPPGYLRYTPESLWRDLISEHRGLEELINKQMQPFFRGILIFSRSWAVDLNLQEKPGVICDALLIAQNSTPILYTILREQDAEGQDYCTRTAFTLKQKLVNMGGYTGKVCVRAKVLCLSPESSAEALEAAVSPMDYPASYSLAGTQHMEALLQSLVIVLLGFRSLLSDQLGCEVLNLLTAQQYEIFSRSLRKNRELFVHGLPGSGKTIMAMKIMEKIRNVFHCEAHRILYVCENQPLRNFISDRNICRAETRKTFLRENFEHIQHIVIDEAQNFRTEDGDWYGKAKSITRRAKGGPGILWIFLDYFQTSHLDCSGLPPLSDQYPREELTRIVRNADPIAKYLQKEMQVIRSNPSFNIPTGCLEVFPEAEWSQGVQGTLRIKKYLTVEQIMTCVADTCRRFFDRGYSPKDVAVLVSTAKEVEHYKYELLKAMRKKRVVQLSDACDMLGDHIVLDSVRRFSGLERSIVFGIHPRTADPAILPNVLICLASRAKQHLYIFPWGGH
NP_001103458.1 1 68 0.391014705882353 PF11092.8:Alveol-reg_P311:2:68 Neuronal regeneration-related protein; Neuronal protein 3.1; Protein p311 68 67 15 68 0 Mus musculus (Mouse) SwissProt::Q07475 1 SwissProt MVYYPELLVWVSQEPFAYKEMEGGLIKGRLPVPKEVNRKKMEETGAASLTPPGSREFTSPATSYLHPF
NP_001116241.1 1 697 0.553355380200861 PF15619.6:Lebercilin:100:292 Lebercilin; Leber congenital amaurosis 5 protein 697 193 15 697 0 Homo sapiens (Human) SwissProt::Q86VQ0 1 SwissProt MGERAGSPGTDQERKAGKHHYSYLSDFETPQSSGRSSLVSSSPASVRRKNPKRQTSDGQVHHQAPRKPSPKGLPNRKGVRVGFRSQSLNREPLRKDTDLVTKRILSARLLKINELQNEVSELQVKLAELLKENKSLKRLQYRQEKALNKFEDAENEISQLIFRHNNEITALKERLRKSQEKERATEKRVKDTESELFRTKFSLQKLKEISEARHLPERDDLAKKLVSAELKLDDTERRIKELSKNLELSTNSFQRQLLAERKRAYEAHDENKVLQKEVQRLYHKLKEKERELDIKNIYSNRLPKSSPNKEKELALRKNAACQSDFADLCTKGVQTMEDFKPEEYPLTPETIMCYENKWEEPGHLTLDLQSQKQDRHGEAGILNPIMEREEKFVTDEELHVVKQEVEKLEDEWEREELDKKQKEKASLLEREEKPEWETGRYQLGMYPIQNMDKLQGEEEERLKREMLLAKLNEIDRELQDSRNLKYPVLPLLPDFESKLHSPERSPKTYRFSESSERLFNGHHLQDISFSTPKGEGQNSGNVRSPASPNEFAFGSYVPSFAKTSERSNPFSQKSSFLDFQRNSMEKLSKDGVDLITRKEKKANLMEQLFGASGSSTISSKSSDPNSVASSKGDIDPLNFLPGNKGSRDQEHDEDEGFFLSEGRSFNPNRHRLKHADDKPAVKAADSVEDEIEEVALR
NP_001118388.1 1 290 0.33180275862069 heat shock transcription factor A2 290 0 15 267 1 Arabidopsis thaliana NP_001118388.1 1 RefSeq MVVTALWCGILISSQQLSFHVTSSIAISQVLFVSSILIWVSYESSAIKGFRKIDPDRWEFANEGFLAGQKHLLKNIKRRRNMGLQNVNQQGSGMSCVEVGQYGFDGEVERLKRDHGVLVAEVVRLRQQQHSSKSQVAAMEQRLLVTEKRQQQMMTFLAKALNNPNFVQQFAVMSKEKKSLFGLDVGRKRRLTSTPSLGTMEENLLHDQEFDRMKDDMEMLFAAAIDDEANNSMPTKEEQCLEAMNVMMRDGNLEAALDVKVEDLVGSPLDWDSQDLHDMVDQMGFLGSEP
NP_001119521.1 1 178 0.454238202247191 Killin 178 0 15 178 0 Homo sapiens (Human) SwissProt::B2CW77 1 SwissProt MDRPGPGSARPGRTVHVWGYRVEWKVRNGRKLQPSEWAGRGDLGGFKRRWKDTRATVGTTFRRRSRVSLVGELSKFPLPSDSSGGKSSSSFARGALAWCRQRNPNPSCAAAETGARTSLPKERCRGWRLGNWLHKHPHPNTCPRLPACWLPPILTERGERVPKLVPLLACYPKSKPKD
NP_001135799.1 275 760 0.502400617283951 PF00249.31:Myb_DNA-binding:1:55,PF13921.6:Myb_DNA-bind_6:2:73 Cyclin-D-binding Myb-like transcription factor 1; hDMTF1; Cyclin-D-interacting Myb-like protein 1; hDMP1 760 73 15 486 0 Homo sapiens (Human) SwissProt::Q9Y222 1 SwissProt KWTEEEEKRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADENDINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPTLLENKSGSGVPNSNTNSSVQHVQIRVARLEDNTAISSSPMAALQIPVQITHVSSADSPATVDSETITLNSGTLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTASPTVTLTAAAPASPEQIIVHALSPEHLLNTSDNVTVQCHTPRVIIQTVATEDITSSISQAELTVDSDIQSSDFPEPPDALEADTFPDEIHHPKMTVEPSFNDAHVSKFSDQNSTELMNSVMVRTEEEISDTDLKQEESPSDLASAYVTEGLESPTIEEQVDQTIDDETILIVPSPHGFIQASDVIDTESVLPLTTLTDPILQHHQEESNIIGSSLGSPVSEDSKDVEDLVNCH
NP_001139688.1 1 529 0.565768620037807 PF05010.14:TACC_C:404:529 transforming acidic coiled-coil-containing protein 1 isoform 3 610 126 15 529 0 Homo sapiens NP_001139688.1 1 RefSeq MTEGSMGVTLEASAEADLKAGNSCPELVPSRRSKLRKPKPVPLRKKAIGGEFSDTNAAVEGTPLPKASYHFSPEELDENTSPLLGDARFQKSPPDLKETPGTLSSDTNDSGVELGEESRSSPLKLEFDFTEDTGNIEARKALPRKLGRKLGSTLTPKIQKDGISKSAGLEQPTDPVARDGPLSQTSSKPDPSQWESPSFNPFGSHSVLQNSPPLSSEGSYHFDPDNFDESMDPFKPTTTLTSSDFCSPTGNHVNEILESPKKAKSRLITSGCKVKKHETQSLALDACSRDEGAVISQISDISNRDGHATDEEKLASTSCGQKSAGAEVKGEPEEDLEYFECSNVPVSTINHAFSSSEAGIEKETCQKMEEDGSTVLGLLESSAEKAPVSVSCGGESPLDGICLSESDKTAVLTLIREEIITKEIEANEWKKKYEETRQEVLEMRKIVAEYEKTIAQMIEDEQRTSMTSQKSFQQLTMEKEQALADLNSVERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQDYLARVK
NP_001159845.1 137 289 0.134101307189542 E3 ubiquitin-protein ligase MARCH1; Membrane-associated RING finger protein 1; Membrane-associated RING-CH protein I; MARCH-I; RING finger protein 171; RING-type E3 ubiquitin transferase MARCH1; EC 2.3.2.27 289 0 15 107 2 Homo sapiens (Human) SwissProt::Q8TCQ1 1 SwissProt KPLRKWEKLQMTTSERRKIFCSVTFHVIAITCVVWSLYVLIDRTAEEIKQGNDNGVLEWPFWTKLVVVAIGFTGGLVFMYVQCKVYVQLWRRLKAYNRVIFVQNCPDTAKKLEKNFSCNVNTDIKDAVVVPVPQTGANSLPSAEGGPPEVVSV
NP_001165896.1 1 332 0.261414759036145 PF00019.20:TGF_beta:228:319,PF00688.18:TGFb_propeptide:111:170 left-right determination factor 2 isoform 2 precursor 332 152 15 332 0 Homo sapiens NP_001165896.1 1 RefSeq MWPLWLCWALWVLPLAGPGAALTEEQLLGSLLRQLQLSEVPVLDRADMEKLVIPAHVRAQYVVLLRRSHGDRSRGKRFSQSFREVAGRFLASEAALHRHGRLSPRSAQARVTVEWLRVRDDGSNRTSLIDSRLVSVHESGWKAFDVTEAVNFWQQLSRPRQPLLLQVSVQREHLGPLASGAHKLVRFASQGAPAGLGEPQLELHTLDLRDYGAQGDCDPEAPMTEGTRCCRQEMYIDLQGMKWAKNWVLEPPGFLAYECVGTCQQPPEALAFNWPFLGPRQCIASETASLPMIVSIKEGGRTRPQVVSLPNMRVQKCSCASDGALVPRRLQP
NP_001166955.1 31 224 0.524585567010309 PF00880.18:Nebulin:37:65,PF00880.18:Nebulin:73:101 nebulette isoform 3 224 58 15 194 0 Homo sapiens NP_001166955.1 1 RefSeq HCEVCKMALNMNNYKGYEKKPYCNAHYPKQSFTTVADTPENLRLKQQSELQSQVKYKRDFEESKGRGFSIVTDTPELQRLKRTQEQISNVKYHEDFEKTKGRGFTPVVDDPVTERVRKNTQVVSDAAYKGVHPHIVEMDRRPGIIVAPVLPGAYQQSHSQGYGYMHQTSVSSMRSMQHSPNLDLPSHVRLQCPG
NP_001171032.1 1 362 0.0971262430939226 PF01758.16:SBF:33:210 sodium/bile acid cotransporter isoform 1 362 178 15 207 7 Mus musculus NP_001171032.1 1 RefSeq MEAHNVSAPFNFSLPPGFGHRATDTALSVILVVMLLLIMLSLGCTMEFSKIKAHFWKPKGVIIAIVAQYGIMPLSAFLLGKVFHLTSIEALAILICGCSPGGNLSNLFTLAMKGDMNLSIVMTTCSSFTALGMMPLLLYIYSKGIYDGDLKDKVPYKGIMLSLVMVLIPCAIGIFLKSKRPHYVPYVLKAGMIITFSLSVAVTVLSVINVGNSIMFVMTPHLLATSSLMPFTGFLMGYILSALFRLNPSCRRTISMETGFQNVQLCSTILNVTFPPEVIGPLFFFPLLYMIFQLAEGLLFIIIFRCYLKIKPQKDQTKITYKAAATEDATPAALEKGTHNGNNPPTQPGLSPNGLNSGQMAN
NP_001260013.1 384 1332 0.412735827186511 PF13927.6:Ig_3:54:125,PF13927.6:Ig_3:142:239,PF13927.6:Ig_3:258:341,PF07679.16:I-set:55:128,PF07679.16:I-set:261:352,PF13895.6:Ig_2:54:126,PF00047.25:ig:267:343,PF00041.21:fn3:360:458,PF08205.12:C2-set_2:157:219 echinoid, isoform B 1332 367 15 903 2 Drosophila melanogaster NP_001260013.1 1 RefSeq GDVLTLTSVRADHAGNYICRAVNIMQSQGMERSERVGNSTVALLVRHRPGQAYITPNKPVVHVGNGVTLTCSANPPGWPVPQYRWFRDMDGEFSSTQKILAQGPQYSIPKAHLGNEGKYHCHAVNELGIGMMATIVLEIHQPPQFLAKLQQHMTRRVADTDYTVTCSAKGKPAPSVKWLKDAVEILPEENLYEVQTNPDQGLNGMVTVQSQLKFRGKARPNGNALVPGDRGLYTCLYQNEVNSANSSMQLRIEHEPIVLHQYNKVAFDIRETAEVVCKVQAYPKPEFQWQFGNNPSPLTMSSDGHYEISTTTDNNDIYTSVLKINSLTHSDYGEYTCRVANTLDTIRAPIRLQQKGPPEKPTNLRATEVGHNYVSLSWDPGFDGGLSKTKFFVSYRRVAMPREEQLIPDCATLANSNSAWVEVDCQRDIPCKVTALEQHQSYAFKVKALNPKSDSPYSSEIMVTTKVSRIPPPLQVTYEPNTRTLGIDVGATCLNLVAVVESMVNADSPMAAWEVVTTMDNLQLSGNGPTHKEKIIDRIIGARRVGGGRALGHTISEDEDDNGLNSLALEDENSPTVRVKLCLRTNPEHCGDYTDAEIGRPYIAEANALATPTLIAIIVSCVVFALFAGLILMFCRCKRNQSKKSAAAKDYEMDSVRPSIVAAQQNQAPPPYYPASGLDNKALEHSMDLALSMEDQKTALYATQNGYSYHPGSGVVGVGMGGGVVGVGVGGSVVSGMGGGVGGIGGSGVGVNGIPGLSAHTMPGNEWVNMGYMENNYSNSNNGGSVNSQDSLWQVKMSAAAVGNQQGMVQAPMNQYVEQQPAYGYDPLTHGGYGAVDDYAPYPHLTATPSQVGDEYHNLRNSQNPSRQDYCSDPYASVQKPKKRVDQHLDSPYHDVSGLPNPYNMEHLEQDEVLPPQQHMSLSYDDSFEGEYSTTPNARNRRVIREIIV
NP_001261255.1 1 355 0.14247014084507 PF01694.22:Rhomboid:145:298 Protein rhomboid; Protein veinlet; EC 3.4.21.105 355 154 15 203 7 Drosophila melanogaster (Fruit fly) SwissProt::P20350 1 SwissProt MENLTQNVNETKVDLGQEKEKEASQEEEHATAAKETIIDIPAACSSSSNSSSYDTDCSTASSTCCTRQGEHIYMQREAIPATPLPESEDIGLLKYVHRQHWPWFILVISIIEIAIFAYDRYTMPAQNFGLPVPIPSDSVLVYRPDRRLQVWRFFSYMFLHANWFHLGFNIVIQLFFGIPLEVMHGTARIGVIYMAGVFAGSLGTSVVDSEVFLVGASGGVYALLAAHLANITLNYAHMKSASTQLGSVVIFVSCDLGYALYTQYFDGSAFAKGPQVSYIAHLTGALAGLTIGFLVLKNFGHREYEQLIWWLALGVYCAFTVFAIVFNLINTVTAQLMEEQGEVITQHLLHDLGVS
NP_001263990.1 1 342 0.495740350877193 PF05644.11:Miff:27:342 Mitochondrial fission factor 342 316 15 324 1 Homo sapiens (Human) SwissProt::Q9GZY8 1 SwissProt MSKGTSSDTSLGRVSRAAFPSPTAAEMAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQGFQEGVPNASVIMQVPERIVVAGNNEDVSFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPPTTPQNEEIRAVGRLKRERSMSENAVRQNGQLVRNDSLWHRSDSAPRNKISRFQAPISAPEYTVTPSPQQARVCPPHMLPEDGANLSSARGILSLIQSSTRRAYQQILDVLDENRRPVLRGGSAAATSNPHHDNVRYGISNIDTTIEGTSDDLTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR
NP_001265579.1 1 310 0.0918680645161289 PF01762.21:Galactosyl_T:72:260 β-1,3-galactosyltransferase 5 (EC 2.4.1.86) 310 189 15 290 1 Homo sapiens metacyc::MONOMER-20081 1 metacyc MAFPKMRLMYICLLVLGALCLYFSMYSLNPFKEQSFVYKKDGNFLKLPDTDCRQTPPFLVLLVTSSHKQLAERMAIRQTWGKERMVKGKQLKTFFLLGTTSSAAETKEVDQESQRHGDIIQKDFLDVYYNLTLKTMMGIEWVHRFCPQAAFVMKTDSDMFINVDYLTELLLKKNRTTRFFTGFLKLNEFPIRQPFSKWFVSKSEYPWDRYPPFCSGTGYVFSGDVASQVYNVSKSVPYIKLEDVFVGLCLERLNIRLEELHSQPTFFPGGLRFSVCLFRRIVACHFIKPRTLLDYWQALENSRGEDCPPV
NP_001268907.1 223 736 0.631901361867705 PF00612.27:IQ:10:28,PF00612.27:IQ:368:386 inversin isoform 3 736 38 15 514 0 Mus musculus NP_001268907.1 1 RefSeq LSIAAIQDIAAFKIQAVYKGYKVRKAFRDRKNLLMKHEQLRKDAAAKKREEENKRKEAEQQKGQLDTDPPRSHCSSSAPVLPCPPSPQNEASKQDATPSKQPPASHTVQSPDPEHSRPPGRCPGRASQGDSSIDLQGTASRKPSETPIEHCRGPSACVHPRSWEGGNSSKNQGTSSVEKRRGETNGKHRRCEEGPSSARQPLCTGSGRPAEKGEDSSPAVASASQQDHPRKPNKRQDRAARPRGASQKRRTHQLRDRCSPAGSSRPGSAKGEVACADQSSLHRHTPRSKVTQDKLIGGVSSGLPLSTEASRSGCKQLYEDICASPETGVAHGPPPGQCMNIHLLPVEQRLLIIQRERSRKELFRRKNKAAAVIQRAWRSYQLRKHLSRLLHLKQLGAREVLRCTQVCTALLLQVWRKELELKFPKSISVSRTSKSPSKGSSATKYARHSVLRQIYGCSQEGKGHHPIKSSKAPAVLHLSSVNSLQSIHLDNSGRSKKFSYNLQPSSQSKNKPKL
NP_001276785.1 1 239 0.0231912133891213 glucose-6-phosphatase 2 isoform 2 239 0 15 150 4 Mus musculus NP_001276785.1 1 RefSeq MGSSCVWYVMVTAALSYTISRMEESSVTLHRLTWSFLWSVFWLIQISVCISRVFIATHFPHQVILGVIGGMLVAEAFEHTPGVHMASLSVYLKTNVFLFLFALGFYLLLRLFGIDLLWSVPIAKKWCANPDWIHIDSTPFAGLVRNLGVLFGLGFAINSEMFLRSCQGENGTKPSFRLLCALTSLTTMQLYRFIKIPTHAEPLFYLLSFCKSASIPLMVVALIPYCVHMLMRPGDKKTK
NP_001278806.2 1 2795 0.773511413237927 PF05010.14:TACC_C:2669:2795 transforming acidic coiled-coil-containing protein 2 isoform f 2875 127 15 2795 0 Homo sapiens NP_001278806.2 1 RefSeq MGNENSTSDNQRTLSAQTPRSAQPPGNSQNIKRKQQDTPGSPDHRDASSIGSVGLGGFCTASESSASLDPCLVSPEVTEPRKDPQGARGPEGSLLPSPPPSQEREHPSSSMPFAECPPEGCLASPAAAPEDGPQTQSPRREPAPNAPGDIAAAFPAERDSSTPYQEIAAVPSAGRERQPKEEGQKSSFSFSSGIDQSPGMSPVPLREPMKAPLCGEGDQPGGFESQEKEAAGGFPPAESRQGVASVQVTPEAPAAAQQGTESSAVLEKSPLKPMAPIPQDPAPRASDRERGQGEAPPQYLTDDLEFLRACHLPRSNSGAAPEAEVNAASQESCQQPVGAYLPHAELPWGLPSPALVPEAGGSGKEALDTIDVQGHPQTGMRGTKPNQVVCVAAGGQPEGGLPVSPEPSLLTPTEEAHPASSLASFPAAQIPIAVEEPGSSSRESVSKAGMPVSADAAKEVVDAGLVGLERQVSDLGSKGEHPEGDPGEVPAPSPQERGEHLNTEQSHEVQPGVPPPPLPKEQSHEVQPGAPPPPLPKAPSESARGPPGPTDGAKVHEDSTSPAVAKEGSRSPGDSPGGKEEAPEPPDGGDPGNLQGEDSQAFSSKRDPEVGKDELSKPSSDAESRDHPSSHSAQPPRKGGAGHTDGPHSQTAEADASGLPHKLGEEDPVLPPVPDGAGEPTVPEGAIWEGSGLQPKCPDTLQSREGLGRMESFLTLESEKSDFPPTPVAEVAPKAQEGESTLEIRKMGSCDGEGLLTSPDQPRGPACDASRQEFHAGVPHPPQGENLAADLGLTALILDQDQQGIPSCPGEGWIRGAASEWPLLSSEKHLQPSQAQPETSIFDVLKEQAQPPENGKETSPSHPGFKDQGADSSQIHVPVEPQEDNNLPTHGGQEQALGSELQSQLPKGTLSDTPTSSPTDMVWESSLTEESELSAPTRQKLPALGEKRPEGACGDGQSSRVSPPAADVLKDFSLAGNFSRKETCCTGQGPNKSQQALADALEEGSQHEEACQRHPGASEAADGCSPLWGLSKREMASGNTGEAPPCQPDSVALLDAVPCLPALAPASPGVTPTQDAPETEACDETQEGRQQPVPAPQQKMECWATSDAESPKLLASFPSAGEQGGEAGAAETGGSAGAGDPGKQQAPEKPGEATLSCGLLQTEHCLTSGEEASTSALRESCQAEHPMASCQDALLPARELGGIPRSTMDFSTHQAVPDPKELLLSGPPEVAAPDTPYLHVDSAAQRGAEDSGVKAVSSADPRAPGESPCPVGEPPLALENAASLKLFAGSLAPLLQPGAAGGEIPAVQASSGSPKARTTEGPVDSMPCLDRMPLLAKGKQATGEEKAATAPGAGAKASGEGMAGDAAGETEGSMERMGEPSQDPKQGTSGGVDTSSEQIATLTGFPDFREHIAKIFEKPVLGALATPGEKAGAGRSAVGKDLTRPLGPEKLLDGPPGVDVTLLPAPPARLQVEKKQQLAGEAEISHLALQDPASDKLLGPAGLTWERNLPGAGVGKEMAGVPPTLREDERPEGPGAAWPGLEGQAYSQLERSRQELASGLPSPAATQELPVERAAAFQVAPHSHGEEAVAQDRIPSGKQHQETSACDSPHGEDGPGDFAHTGVPGHVPRSTCAPSPQREVLTVPEANSEPWTLDTLGGERRPGVTAGILEMRNALGNQSTPAPPTGEVADTPLEPGKVAGAAGEAEGDITLSTAETQACASGDLPEAGTTRTFSVVAGDLVLPGSCQDPACSDKAPGMEGTAALHGDSPARPQQAKEQPGPERPIPAGDGKVCVSSPPEPDETHDPKLQHLAPEELHTDRESPRPGPSMLPSVPKKDAPRVMDKVTSDETRGAEGTERSAKDIGLWKAMMPSLDTDTLDVLERRVVLIQHEFLHKKEANGHSRFMYSSPVADDIIQPAAPADLESPTLAASSYHGDVVGQVSTDLIAQRSSDSEEAFETPESTTPVKAPPAPPPPPPEVIPEPEVSTQPPPEEPGCGSETVPVPDGPRSDSVEGSPFRPPSHSFSAVFDEDKPIASSGTYNLDFDNIELVDTFQTLEPRASDAKNQEGKVNTRRKSTDSVPISKSTLSRSLSLQASDFDGASSSGNPEAVALAPDAYSTGSSSASSTLKRTKKPRPPSLKKKQTTKKPTETPPVKETQQEPDEESLVPSGENLASETKTESAKTEGPSPALLEETPLEPAVGPKAACPLDSESAEGVVPPASGGGRVQNSPPVGRKTLPLTTAPEAGEVTPSDSGGQEDSPAKGLSVRLEFDYSEDKSSWDNQQENPPPTKKIGKKPVAKMPLRRPKMKKTPEKLDNTPASPPRSPAEPNDIPIAKGTYTFDIDKWDDPNFNPFSSTSKMQESPKLPQQSYNFDPDTCDESVDPFKTSSKTPSSPSKSPASFEIPASAMEANGVDGDGLNKPAKKKKTPLKTDTFRVKKSPKRSPLSDPPSQDPTPAATPETPPVISAVVHATDEEKLAVTNQKWTCMTVDLEADKQDYPQPSDLSTFVNETKFSSPTEELDYRNSYEIEYMEKIGSSLPQDDDAPKKQALYLMFDTSQESPVKSSPVRMSESPTPCSGSSFEETEALVNTAAKNQHPVPRGLAPNQESHLQVPEKSSQKELEAMGLGTPSEAIEIREAAHPTDVSISKTALYSRIGTAEVEKPAGLLFQQPDLDSALQIARAEIITKEREVSEWKDKYEESRREVMEMRKIVAEYEKTIAQMIEDEQREKSVSHQTVQQLVLEKEQALADLNSVEKSLADLFRRYEKMKEVLEGFRKNEEVLKRCAQEYLSRVK
NP_001285402.1 1 413 0.510321791767554 PF15972.5:Unpaired:71:334 unpaired 1, isoform C 413 264 15 393 1 Drosophila melanogaster NP_001285402.1 1 RefSeq MARPLLLLLPILLVLLLAAQLPHLAEGRSTTSSGGLTVIDSERLRIRTTSSTASAQHPNQGTIPASAASPRKRHRKRNSNWIDYRNFDENTTALEWANPCGGNYHPSAGDRFNRQRPRQSFNQLKRHAFREYRSLNSSQDSAIDIRNMTMWSLHTHNYKFLPKLKPNSTIALKRWYRNMQTYVASFAYLRRQQIRWDQRSITRESSTARELRELLLSSRRILCELETAVNQTQSPRQKQRRSGAAVTAVGGTTMLGQQLPQISRLEMNKRLKLRSKTSGPGMGGAASSASMAAGEADSIDMRFVKHHYYDFLRTMYQLLRRDGKRVRSRPRKHHKKQQRSQKKLLQQQQQLADQLEQRWRSSTLNGKEFNEVSKPVAGGAAGVADVAGVSGGGSNQFARGRRGKRQSKRVQRT
NP_001285407.1 1 541 0.588588909426986 Protein Wnt-5; dWnt-3; dWnt-5 1004 0 15 541 0 Drosophila melanogaster (Fruit fly) SwissProt::P28466 1 SwissProt MSCYRKRHFLLWLLRAVCMLHLTARGAYATVGLQGVPTWIYLGLKSPFIEFGNQVEQLANSSIPLNMTKDEQANMHQEGLRKLGTFIKPVDLRDSETGFVKADLTKRLVFDRPNNITSRPIHPIQEEMDQKQIILLDEDTDENGLPASLTDEDRKFIVPMALKNISPDPRWAATTPSPSALQPNAKAISTIVPSPLAQVEGDPTSNIDDLKKHILFLHNMTKTNSNFESKFVKFPSLQKDKAKTSGAGGSPPNPKRPQRPIHQYSAPIAPPTPKVPAPDGGGVGGAAYNPGEQPIGGYYQNEELANNQSLLKPTDTDSHPAAGGSSHGQKNPSEPQVILLNETLSTETSIEADRSPSINQPKAGSPARTTKRPPCLRNPESPKCIRQRRREEQQRQRERDEWFRGQSQYMQPRFEPIIQTINNTKRFAVSIEIPDSFKVSSEGSDGELLSRVERSQPSISSSSSSSSSSSRKIMPDYIKVSMENNTSVTDYFKHDVVMTSADVASDREFLIKNMEEHGGAGSANSHHNDTTPTADAYSETI
NP_001290179.1 1 327 0.0658241590214068 PF00153.27:Mito_carr:222:323 solute carrier family 25 member 46 isoform 3 327 102 15 327 0 Homo sapiens NP_001290179.1 1 RefSeq MKTEQLNRFAGFGIGLASLFTENVLAHPCIVLRRQCQVNYHAQHYHLTPFTVINIMYSFNKTQGPRALWKGMGSTFIVQGVTLGAEGIISEFTPLPREVLHKWSPKQIGEHLLLKSLTYVVAMPFYSASLIETVQSEIIRDNTGILECVKEGIGRVIGMGVPHSKRLLPLLSLIFPTVLHGVLHYIISSVIQKFVLLILKRKTYNSHLAESTSPVQSMLDAYFPELIANFAASLCSDVILYPLETVLHRLHIQGTRTIIDNTDLGYEVLPINTQYEGMRDCINTIRQEEGVFGFYKGFGAVIIQYTLHAAVLQITKIIYSTLLQNNI
NP_001291469.1 138 482 0.13797884057971 PF00999.21:Na_H_Exchanger:20:235 sodium/hydrogen exchanger 8 isoform c 482 216 15 203 6 Mus musculus NP_001291469.1 1 RefSeq TNTAEGLTRKHMSDVSGWQTFSQALGYFLKMFFGSAALGTLTGLISALVLKHIDLRKTPSLEFGMMIIFAYLPYGLAEGISLSGIMAILFSGIVMSHYTHHNLSPVTQILMQQTLRTVAFLCETCVFAFLGLSIFSFPHKFEISFVIWCIVLVLFGRAVNIFPLSYLLNFFRDHKITPKMMFIMWFSGLRGAIPYALSLHLGLEPMEKRQLIGTTTIVIVLFTILLLGGSTMPLIRLVDIEDARARRRSKKDVNLSKTEKMGNAIESEHLSELTEEEYEAHYIRQQDLKGFMWLDAKYLNPFFTRRLTQEDLHHGRIQMKSLTNKWYEEVRQGPSGSEDDEQELF
NP_001295403.1 1 227 0.0329251101321586 PF03062.19:MBOAT:16:123 protein-serine O-palmitoleoyltransferase porcupine isoform E 227 108 15 163 3 Mus musculus NP_001295403.1 1 RefSeq MAQDARWLRAYESAVSFHFSNYFVGFLSEATATLAGAGFTEEKDHLEWDLTVSRPLNVELPRSMVEVVTSWNLPMSYWLNNYVFKNALRLGTFSAVLVTYAASALLHGFSFHLAAVLLSLAFITYVEHVLRKRLAQILSACILSKRCLPDCSHRHRLGLGVRALNLLFGALAIFHLSYLGSLFDVDVDDTTEEQGYGMAYTVHKWSELSWASHWVTFGCWIFYRLIG
NP_001296319.2 442 1012 0.54754465849387 Roundabout homolog 4 1012 0 15 551 1 Mus musculus (Mouse) SwissProt::Q8C310 1 SwissProt QAMEQSARDPRKHVPWTLEQLRATLRRPEVIASSAVLLWLLLLGITVCIYRRRKAGVHLGPGLYRYTSEDAILKHRMDHSDSPWLADTWRSTSGSRDLSSSSSLSSRLGLDPRDPLEGRRSLISWDPRSPGVPLLPDTSTFYGSLIAEQPSSPPVRPSPKTPAARRFPSKLAGTSSPWASSDSLCSRRGLCSPRMSLTPTEAWKAKKKQELHQANSSPLLRGSHPMEIWAWELGSRASKNLSQSPGPNSGSPGEAPRAVVSWRAVGPQLHRNSSELASRPLPPTPLSLRGASSHDPQSQCVEKLQAPSSDPLPAAPLSVLNSSRPSSPQASFLSCPSPSSSNLSSSSLSSLEEEEDQDSVLTPEEVALCLELSDGEETPTNSVSPMPRAPSPPTTYGYISIPTCSGLADMGRAGGGVGSEVGNLLYPPRPCPTPTPSEGSLANGWGSASEDNVPSARASLVSSSDGSFLADTHFARALAVAVDSFGLSLDPREADCVFTDASSPPSPRGDLSLTRSFSLPLWEWRPDWLEDAEISHTQRLGRGLPPWPPDSRASSQRSWLTGAVPKAGDSS
NP_001304130.1 1 253 0.527047826086956 CXXC-type zinc finger protein 5; CF5; Putative MAPK-activating protein PM08; Putative NF-kappa-B-activating protein 102; Retinoid-inducible nuclear factor; RINF 322 0 15 253 0 Homo sapiens (Human) SwissProt::Q7LFL8 1 SwissProt MSSLGGGSQDAGGSSSSSTNGSGGSGSSGPKAGAADKSAVVAAAAPASVADDTPPPERRNKSGIISEPLNKSLRRSRPLSHYSSFGSSGGSGGGSMMGGESADKATAAAAAASLLANGHDLAAAMAVDKSNPTSKHKSGAVASLLSKAERATELAAEGQLTLQQFAQSTEMLKRVVQEHLPLMSEAGAGLPDMEAVAGAEALNGQSDFPYLGAFPINPGLFIMTPAGVFLAESALHMAGLAEYPMQGELASAI
NP_001306087.1 1 437 0.511651258581235 PF03299.14:TF_AP-2:211:405 transcription factor AP-2-alpha isoform 2 437 195 15 437 0 Danio rerio NP_001306087.1 1 RefSeq MYHIQKEETRMSLMGKMGDWQDRHDGTSNGTARLPQLGSVGQSPYTSAPPLSHTPNSDFQPPYFPPPYQPIYPQSQDPYSHVNDPYSINSLHAQSQPQHPGWPGQRQSQESSLLHQHRGLPHQLCREYRREVLLPSGHGIDTGLTDSIPIHGIPHSLEDVQQVEDQGIHIPDQTVIKKGPVSISKNNSNISAIPINKDGLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAIAEYMNRQHSDPNEQVQRKNMLLATKQICKEFTDLLSQDRSPLGNSRPQPILEPGIQSCLTHFSLISHGFGTPAVCAALTALQNYLTEAIKAMDKMYLNNNPNSHSETGSKAGDKDEKHRK
NP_001319344.1 1 223 0.605373542600896 Zinc finger C-x8-C-x5-C-x3-H type family protein 308 0 15 223 0 Arabidopsis thaliana NP_001319344.1 1 RefSeq MENKIAPFSYSGSSAGNSSSGGVVSSSLYSDQLYKSTRNIMQQRQDMVNREALCYTRLHEASLEAEALRLENTELRSMNLRLKNELNSLIRSSIQNRFDHRSPLRMLSNLSIGGNDADEVENQNRTVNRDDVNDKSPTSVMENEDLNRSSLPKSISVRSNGYSKASQGGGGAAAQSGKPRGTVTKPGTCGQVSTTQKVYVRGGGKKEDQEEEIEVEVYNQGMT
NP_002117.1 1 248 0.684177419354838 PF07716.15:bZIP_2:224:248 hepatic leukemia factor isoform 1 295 25 15 248 0 Homo sapiens NP_002117.1 1 RefSeq MEKMSRPLPLNPTFIPPPYGVLRSLLENPLKLPLHHEDAFSKDKDKEKKLDDESNSPTVPQSAFLGPTLWDKTLPYDGDTFQLEYMDLEEFLSENGIPPSPSQHDHSPHPPGLQPASSAAPSVMDLSSRASAPLHPGIPSPNCMQSPIRPGQLLPANRNTPSPIDPDTIQVPVGYEPDPADLALSSIPGQEMFDPRKRKFSEEELKPQPMIKKARKVFIPDDLKDDKYWARRRKNNMAAKRSRDARRL
NP_002431.2 1 597 0.252782914572864 PF05192.18:MutS_III:316:511,PF05188.17:MutS_II:155:292,PF05190.18:MutS_IV:493:585 mutS protein homolog 4 936 408 15 597 0 Homo sapiens NP_002431.2 1 RefSeq MLRPEISSTSPSAPAVSPSSGETRSPQGPRYNFGLQETPQSRPSVQVVSASTCPGTSGAAGDRSSSSSSLPCPAPNSRPAQGSYFGNKRAYAENTVASNFTFGASSSSARDTNYPQTLKTPLSTGNPQRSGYKSWTPQVGYSASSSSAISAHSPSVIVAVVEGRGLARGEIGMASIDLKNPQIILSQFADNTTYAKVITKLKILSPLEIIMSNTACAVGNSTKLFTLITENFKNVNFTTIQRKYFNETKGLEYIEQLCIAEFSTVLMEVQSKYYCLAAVAALLKYVEFIQNSVYAPKSLKICFQGSEQTAMIDSSSAQNLELLINNQDYRNNHTLFGVLNYTKTPGGSRRLRSNILEPLVDIETINMRLDCVQELLQDEELFFGLQSVISRFLDTEQLLSVLVQIPKQDTVNAAESKITNLIYLKHTLELVDPLKIAMKNCNTPLLRAYYGSLEDKRFGIILEKIKTVINDDARYMKGCLNMRTQKCYAVRSNINEFLDIARRTYTEIVDDIAGMISQLGEKYSLPLRTSFSSARGFFIQMTTDCIALPSDQLPSEFIKISKVKNSYSFTSADLIKMNERCQESLREIYHMTYMIVC
NP_003028.1 141 335 0.33367641025641 Signaling lymphocytic activation molecule; CDw150; IPO-3; SLAM family member 1; CD150 antigen 335 0 15 172 1 Homo sapiens (Human) SwissProt::Q13291 1 SwissProt VSTPEIKVLNKTQENGTCTLILGCTVEKGDHVAYSWSEKAGTHPLNPANSSHLLSLTLGPQHADNIYICTVSNPISNNSQTFSPWPGCRTDPSETKPWAVYAGLLGGVIMILIMVVILQLRRRGKTNHYQTTVEKKSLTIYAQVQKPGPLQKKLDSFPAQDPCTTIYVAATEPVPESVQETNSITVYASVTLPES
NP_003645.1 1 411 0.188428467153285 PF00685.27:Sulfotransfer_1:60:384,PF13469.6:Sulfotransfer_3:227:325 Carbohydrate sulfotransferase 1; Galactose/N-acetylglucosamine/N-acetylglucosamine 6-O-sulfotransferase 1; GST-1; Keratan sulfate Gal-6 sulfotransferase; KS6ST; KSGal6ST; KSST; EC 2.8.2.21 411 325 15 391 1 Homo sapiens (Human) SwissProt::O43916 1 SwissProt MQCSWKAVLLLALASIAIQYTAIRTFTAKSFHTCPGLAEAGLAERLCEESPTFAYNLSRKTHILILATTRSGSSFVGQLFNQHLDVFYLFEPLYHVQNTLIPRFTQGKSPADRRVMLGASRDLLRSLYDCDLYFLENYIKPPPVNHTTDRIFRRGASRVLCSRPVCDPPGPADLVLEEGDCVRKCGLLNLTVAAEACRERSHVAIKTVRVPEVNDLRALVEDPRLNLKVIQLVRDPRGILASRSETFRDTYRLWRLWYGTGRKPYNLDVTQLTTVCEDFSNSVSTGLMRPPWLKGKYMLVRYEDLARNPMKKTEEIYGFLGIPLDSHVARWIQNNTRGDPTLGKHKYGTVRNSAATAEKWRFRLSYDIVAFAQNACQQVLAQLGYKIAASEEELKNPSVSLVEERDFRPFS
NP_003936.1 1 81 0.00405802469135803 PF05493.13:ATP_synt_H:9:70 V-type proton ATPase subunit e 1; V-ATPase subunit e 1; V-ATPase 9.2 kDa membrane accessory protein; V-ATPase M9.2 subunit; Vacuolar proton pump subunit e 1 81 62 15 35 2 Homo sapiens (Human) SwissProt::O15342 0 SwissProt MAYHGLTVPLIVMSVFWGFVGFLVPWFIPKGPNRGVIITMLVTCSVCCYLFWLIAILAQLNPLFGPQLKNETIWYLKYHWP
NP_004139.2 1 152 0.161738815789474 PF04923.12:Ninjurin:38:139 ninjurin-1 152 102 15 106 2 Homo sapiens NP_004139.2 1 RefSeq MDSGTEEYELNGGLPPGTPGSPDASPARWGWRHGPINVNHYASKKSAAESMLDIALLMANASQLKAVVEQGPSFAFYVPLVVLISISLVLQIGVGVLLIFLVKYDLNNPAKHAKLDFLNNLATGLVFIIVVVNIFITAFGVQKPLMDMAPQQ
NP_004844.1 1 147 0.379297959183674 syntaxin-8 236 0 15 147 0 Homo sapiens NP_004844.1 1 RefSeq MAPDPWFSTYDSTCQIAQEIAEKIQQRNQYERKGEKAPKLTVTIRALLQNLKEKIALLKDLLLRAVSTHQITQLEGDRRQNLLDDLVTRERLLLASFKNEGAEPDLIRSSLMSEEAKRGAPNPWLFEEPEETRGLGFDEIRQQQQKI
NP_005469.2 47 114 0.795373529411765 Insulin-like peptide INSL5; Insulin-like peptide 5 135 0 15 68 0 Homo sapiens (Human) SwissProt::Q9Y5Q6 1 SwissProt RRHQEGIPQAQQAETGNSFQLPHKREFSEENPAQNLPKVDASGEDRLWGGQMPTEELWKSKKHSVMSR
NP_006064.2 222 729 0.764941732283465 Triadin 729 0 15 508 0 Homo sapiens (Human) SwissProt::Q13061 1 SwissProt VKGGKQEKVKQTAAKVKEVQKTPSKPKEKEDKEKAAVSKHEQKDQYAFCRYMIDIFVHGDLKPGQSPAIPPPLPTEQASRPTPASPALEEKEGEKKKAEKKVTSETKKKEKEDIKKKSEKETAIDVEKKEPGKASETKQGTVKIAAQAAAKKDEKKEDSKKTKKPAEVEQPKGKKQEKKEKHVEPAKSPKKEHSVPSDKQVKAKTERAKEEIGAVSIKKAVPGKKEEKTTKTVEQEIRKEKSGKTSSILKDKEPIKGKEEKVPASLKEKEPETKKDEKMSKAGKEVKPKPPQLQGKKEEKPEPQIKKEAKPAISEKVQIHKQDIVKPEKTVSHGKPEEKVLKQVKAVTIEKTAKPKPTKKAEHREREPPSIKTDKPKPTPKGTSEVTESGKKKTEISEKESKEKADMKHLREEKVSTRKESLQLHNVTKAEKPARVSKDVEDVPASKKAKEGTEDVSPTKQKSPISFFQCVYLDGYNGYGFQFPFTPADRPGESSGQANSPGQKQQGQ
NP_006636.2 1 291 0.302756357388316 PF01852.19:START:37:221 START domain-containing protein 10; StARD10; Antigen NY-CO-28; PCTP-like protein; PCTP-L; Serologically defined colon cancer antigen 28; StAR-related lipid transfer protein 10 291 185 15 291 0 Homo sapiens (Human) SwissProt::Q9Y365 1 SwissProt MEKLAASTEPQGPRPVLGRESVQVPDDQDFRSFRSECEAEVGWNLTYSRAGVSVWVQAVEMDRTLHKIKCRMECCDVPAETLYDVLHDIEYRKKWDSNVIETFDIARLTVNADVGYYSWRCPKPLKNRDVITLRSWLPMGADYIIMNYSVKHPKYPPRKDLVRAVSIQTGYLIQSTGPKSCVITYLAQVDPKGSLPKWVVNKSSQFLAPKAMKKMYKACLKYPEWKQKHLPHFKPWLHPEQSPLPSLALSELSVQHADSLENIDESAVAESREERMGGAGGEGSDDDTSLT
NP_008884.1 1 287 0.241077700348432 Uroplakin-3a; UP3a; Uroplakin III; UPIII 287 0 15 264 1 Homo sapiens (Human) SwissProt::O75631 1 SwissProt MPPLWALLALGCLRFGSAVNLQPQLASVTFATNNPTLTTVALEKPLCMFDSKEALTGTHEVYLYVLVDSAISRNASVQDSTNTPLGSTFLQTEGGRTGPYKAVAFDLIPCSDLPSLDAIGDVSKASQILNAYLVRVGANGTCLWDPNFQGLCNAPLSAATEYRFKYVLVNMSTGLVEDQTLWSDPIRTNQLTPYSTIDTWPGRRSGGMIVITSILGSLPFFLLVGFAGAIALSLVDMGSSDGETTHDSQITQEAVPKSLGASESSYTSVNRGPPLDRAEVYSSKLQD
NP_009149.2 1 664 0.0931069277108434 PF13705.6:TRC8_N:20:516,PF13639.6:zf-RING_2:546:585,PF13923.6:zf-C3HC4_2:547:585,PF12678.7:zf-rbx1:541:585,PF00097.25:zf-C3HC4:547:585 E3 ubiquitin-protein ligase RNF139; RING finger protein 139; RING-type E3 ubiquitin transferase RNF139; Translocation in renal carcinoma on chromosome 8 protein; EC 2.3.2.27 664 542 15 396 12 Homo sapiens (Human) SwissProt::Q8WU17 1 SwissProt MAAVGPPQQQVRMAHQQVWAALEVALRVPCLYIIDAIFNSYPDSSQSRFCIVLQIFLRLFGVFASSIVLILSQRSLFKFYTYSSAFLLAATSVLVNYYASLHIDFYGAYNTSAFGIELLPRKGPSLWMALIVLQLTFGIGYVTLLQIHSIYSQLIILDLLVPVIGLITELPLHIRETLLFTSSLILTLNTVFVLAVKLKWFYYSTRYVYLLVRHMYRIYGLQLLMEDTWKRIRFPDILRVFWLTRVTAQATVLMYILRMANETDSFFISWDDFWDLICNLIISGCDSTLTVLGMSAVISSVAHYLGLGILAFIGSTEEDDRRLGFVAPVLFFILALQTGLSGLRPEERLIRLSRNMCLLLTAVLHFIHGMTDPVLMSLSASHVSSFRRHFPVLFVSACLFILPVLLSYVLWHHYALNTWLFAVTAFCVELCLKVIVSLTVYTLFMIDGYYNVLWEKLDDYVYYVRSTGSIIEFIFGVVMFGNGAYTMMFESGSKIRAFMMCLHAYFNIYLQAKNGWKTFMNRRTAVKKINSLPEIKGSRLQEINDVCAICYHEFTTSARITPCNHYFHALCLRKWLYIQDTCPMCHQKVYIEDDIKDNSNVSNNNGFIPPNETPEEAVREAAAESDRELNEDDSTDCDDDVQRERNGVIQHTGAAAEEFNDDTD
NP_009361.1 1 269 0.489524535315985 PF01265.17:Cyto_heme_lyase:1:253 Cytochrome c heme lyase; CCHL; Holocytochrome-c synthase; EC 4.4.1.17 269 253 15 269 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06182 1 SwissProt MGWFWADQKTTGKDIGGAAVSSMSGCPVMHESSSSSPPSSECPVMQGDNDRINPLNNMPELAASKQPGQKMDLPVDRTISSIPKSPDSNEFWEYPSPQQMYNAMVRKGKIGGSGEVAEDAVESMVQVHNFLNEGCWQEVLEWEKPHTDESHVQPKLLKFMGKPGVLSPRARWMHLCGLLFPSHFSQELPFDRHDWIVLRGERKAEQQPPTFKEVRYVLDFYGGPDDENGMPTFHVDVRPALDSLDNAKDRMTRFLDRMISGPSSSSSAP
NP_009542.1 1 735 0.233520408163266 PF01553.21:Acyltransferase:73:291 Glycerol-3-phosphate O-acyltransferase 1; G-3-P acyltransferase 1; Dihydroxyacetone phosphate acyltransferase 1; DHAP-AT 1; Glycerol-3-phosphate / dihydroxyacetone phosphate acyltransferase 1; Suppressor of choline-transport mutants 1; EC 2.3.1.15; EC 2.3.1.42 759 219 15 648 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32784 1 SwissProt MPAPKLTEKFASSKSTQKTTNYSSIEAKSVKTSADQAYIYQEPSATKKILYSIATWLLYNIFHCFFREIRGRGSFKVPQQGPVIFVAAPHANQFVDPVILMGEVKKSVNRRVSFLIAESSLKQPPIGFLASFFMAIGVVRPQDNLKPAEGTIRVDPTDYKRVIGHDTHFLTDCMPKGLIGLPKSMGFGEIQSIESDTSLTLRKEFKMAKPEIKTALLTGTTYKYAAKVDQSCVYHRVFEHLAHNNCIGIFPEGGSHDRTNLLPLKAGVAIMALGCMDKHPDVNVKIVPCGMNYFHPHKFRSRAVVEFGDPIEIPKELVAKYHNPETNRDAVKELLDTISKGLQSVTVTCSDYETLMVVQTIRRLYMTQFSTKLPLPLIVEMNRRMVKGYEFYRNDPKIADLTKDIMAYNAALRHYNLPDHLVEEAKVNFAKNLGLVFFRSIGLCILFSLAMPGIIMFSPVFILAKRISQEKARTALSKSTVKIKANDVIATWKILIGMGFAPLLYIFWSVLITYYLRHKPWNKIYVFSGSYISCVIVTYSALIVGDIGMDGFKSLRPLVLSLTSPKGLQKLQKDRRNLAERIIEVVNNFGSELFPDFDSAALREEFDVIDEEEEDRKTSELNRRKMLRKQKIKRQEKDSSSPIISQRDNHDAYEHHNQDSDGVSLVNSDNSLSNIPLFSSTFHRKSESSLASTSVAPSSSSEFEVENEILEEKNGLASKIAQAVLNKRIGENTAR
NP_009719.3 1 376 0.136853191489362 PF04488.15:Gly_transf_sug:76:158 Mannosyl phosphorylinositol ceramide synthase CSH1; CSG1/SUR1 homolog 1; EC 2.-.-.- 376 83 15 310 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38287 1 SwissProt MKKELKILIIANIALLISIIHYTFDLLTLCIDDTSKDALTDEQLNPPNGFNSTFYESPPQLIPKIIHQTYKTNDIPEQWVKGRQKCIDLHPDYTYILWTDEMSDTFIKQEYPWFLDTFRSYEYPIERADAIRYFILSHYGGIYIDLDDGCERRLDPLLKVPAFLRKTSPTGVSNDVMGSVPRHPFFLKVIKSLKHYKKNWYIPYMTIMGSTGPLFISVVWKQYKRWSNTAENGAVRILQPADYKMHNNSFFSISKGSSWHTGDANFMKTLENHILSCVVTGFIFGFFILYGEFTFYTWLCSGPFNNKRYYIQWLSDKFKLHKWKLTSSYKNKEKRRNPTRHEYNSRGKRLRKDSNIPYDSVFLDIEKNHAKFTDLT
NP_010207.1 1 294 0.384207482993197 PF08642.10:Rxt3:140:252 Transcriptional regulatory protein RXT3 294 113 15 294 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07458 1 SwissProt MSVSEQDPNRAYRETQSQIYKLQETLLNSARTKNKQEEGQESNTHSFPEQYMHYQNGRNSAYDLPNVSSQSVLAFTEKHYPNKLKNLGTLYYNRFKEGSFDEDSTSYSDRHSFPYNLYDNTLPPPFLPAIGIQNINNIATLKITYEDIQASFNNIESPRKRNNEIWGCDIYSDDSDPILVLRHCGFKIGAPSGGSFHKLRRTPVNVTNQDNVTGNLPLLEGTPFDLEVELLFLPTLQKYPSVKRFDITSREWGSEATVIHDGLSYGIYSIVIKQRLDRDKPHEPNGYIKNLKWT
NP_010452.1 1 506 0.394212252964427 PF03234.14:CDC37_N:3:127,PF08565.11:CDC37_M:240:364,PF08564.10:CDC37_C:389:478 Hsp90 co-chaperone Cdc37; Cell division control protein 37; Hsp90 chaperone protein kinase-targeting subunit 506 340 15 506 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06101 1 SwissProt MAIDYSKWDKIELSDDSDVEVHPNVDKKSFIKWKQQSIHEQRFKRNQDIKNLETQVDMYSHLNKRVDRILSNLPESSLTDLPAVTKFLNANFDKMEKSKGENVDPEIATYNEMVEDLFEQLAKDLDKEGKDSKSPSLIRDAILKHRAKIDSVTVEAKKKLDELYKEKNAHISSEDIHTGFDSSFMNKQKGGAKPLEATPSEALSSAAESNILNKLAKSSVPQTFIDFKDDPMKLAKETEEFGKISINEYSKSQKFLLEHLPIISEQQKDALMMKAFEYQLHGDDKMTLQVIHQSELMAYIKEIYDMKKIPYLNPMELSNVINMFFEKVIFNKDKPMGKESFLRSVQEKFLHIQKRSKILQQEEMDESNAEGVETIQLKSLDDSTELEVNLPDFNSKDPEEMKKVKVFKTLIPEKMQEAIMTKNLDNINKVFEDIPIEEAEKLLEVFNDIDIIGIKAILENEKDFQSLKDQYEQDHEDATMENLSLNDRDGGGDNHEEVKHTADTVD
NP_010519.3 1 295 0.280126779661017 PF02453.17:Reticulon:21:177 Reticulon-like protein 1 295 157 15 249 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04947 1 SwissProt MSASAQHSQAQQQQQQKSCNCDLLLWRNPVQTGKYFGGSLLALLILKKVNLITFFLKVAYTILFTTGSIEFVSKLFLGQGLITKYGPKECPNIAGFIKPHIDEALKQLPVFQAHIRKTVFAQVPKHTFKTAVALFLLHKFFSWFSIWTIVFVADIFTFTLPVIYHSYKHEIDATVAQGVEISKQKTQEFSQMACEKTKPYLDKVESKLGPISNLVKSKTAPVSSTAGPQTASTSKLAADVPLEPESKAYTSSAQVMPEVPQHEPSTTQEFNVDELSNELKKSTKNLQNELEKNNA
NP_011265.1 1 498 0.264109638554217 Protein ZIP2; Zipping up meiotic chromosomes protein 2 704 0 15 498 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53061 1 SwissProt MIIERWEVKLSKCNQNVGGYSVLSGNLKENIKLGRRAQKYLKELRNLQLKPLKIGGYENCGTINGEEYFLEVIHITSGRQKIDVAVGKTWNVTNIENDNKEELQYELFKEKLKVGKQDMLFFSWMKSLSVQLNAPLHQKMTEHGLADDNTRLEWFNIPLLRRSQYRKKVPYPSLRQMSSVLEVQCSTLTEEKLNFCVGFSDKPLSEWKPQIFEQTYNRYRLQRISPEKSFKYKSRCSKYNFKTSSQSWVVKVPEHDQQLNTFEKRYDELFDAQFNKLEFFKIRMKKLKKNKPIEKKNYKIWCLEKEDLKDLVWDPLKRICNHSRYAIFEHVTINREAYSIKPLRLTFQKLDSGSLDLIDNQKKTFGSIKLAMSMPDVKKTENQSIEESERHDETAIETQEFDENDCLSSKADINTSLAPQKRSFIDNELMSMLVTKKKIKKDKDVSDTGISSTSYLINSGTYANSHIEIPTSNSVYNGKEDCSFNNYSVKHSILEEDI
NP_011644.3 1 548 0.212898722627737 PF10395.9:Utp8:1:548 U3 small nucleolar RNA-associated protein 8; U3 snoRNA-associated protein 8; U three protein 8; U3 protein 8 required for transcription; t-UTP8 713 548 15 548 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53276 1 SwissProt MPSLSQPFRLATLPKIASLSNFSLQADYVQVADGTFNESTNNITLGISGSSISQYIINPTPKLTFDYPIPSTNIITACNAEKGQANIDGNIEASTDDEANNEKTINTQKKRNVEIWAFGLMVNKGNYTLNVITKALEDTTDTSNDHLSESDIDNKAYTGSDEFLSQYKIKAKAKVMSIKIDTKNSLVIAILQNGLIEIFDFKLTLLHSFDISYDNLKYAKWFTENGTEYVFVLCPLQDDKVCYKLLELTDCGSGESSPIKELSSTIIEGFSFENSKLCYQFGKLYKLNQGKIYIYSLPHCQLQQVIEFPMVDKLSPGDDLISFQPVSVNRVLLTVNNVIYLLDLLHCSTLSQRELTHVKTFQLLKSAVINSEKSHNSKTIAIGISTKNGPNPTSSLEIINIDVGTNTLKDSLGKSFQVGNNDSSVILKPLFDDKDINDKRVKCNDVSGDSSVPVLHCNEVIEKLSALQDNDITSFDDIFFKELKIKEEHYTEKDRYISDPGFLNKVLDLIFGKFSGNDYPKTLTFLLTHPLFPLSRTRNLLSLLRDQP
NP_011683.3 1 233 0.608923175965665 PF01086.17:Clathrin_lg_ch:1:233 Clathrin light chain; CLC 233 233 15 233 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17891 1 SwissProt MSEKFPPLEDQNIDFTPNDKKDDDTDFLKREAEILGDEFKTEQDDILETEASPAKDDDEIRDFEEQFPDINSANGAVSSDQNGSATVSSGNDNGEADDDFSTFEGANQSTESVKEDRSEVVDQWKQRRAVEIHEKDLKDEELKKELQDEAIKHIDDFYDSYNKKKEQQLEDAAKEAEAFLKKRDEFFGQDNTTWDRALQLINQDDADIIGGRDRSKLKEILLRLKGNAKAPGA
NP_011947.2 1 91 0.366413186813187 PF07061.11:Swi5:12:90 Pachytene arrest protein SAE3; Sporulation in the absence of SPO11 protein 3 91 79 15 91 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P89114 1 SwissProt MNYLETQLNKKQKQIQEYESMNGNLIKMFEQLSKEKKNDETPKKISSTYIKELKEYNELRDAGLRLAQIIADEKQCKIKDVFEEIGYSMKD
NP_012165.1 1 647 0.404517310664606 Transcriptional repressor XBP1; XhoI site-binding protein 1 647 0 15 647 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40489 1 SwissProt MKYPAFSINSDTVHLTDNPLDDYQRLYLVSVLDRDSPPASFSAGLNIRKVNYKSSIAAQFTHPNFIISARDAGNGEEAAAQNVLNCFEYQFPNLQTIQSLVHEQTLLSQLASSATPHSALHLHDKNILMGKIILPSRSNKTPVSASPTKQEKKALSTASRENATSSLTKNQQFKLTKMDHNLINDKLINPNNCVIWSHDSGYVFMTGIWRLYQDVMKGLINLPRGDSVSTSQQQFFCKAEFEKILSFCFYNHSSFTSEESSSVLLSSSTSSPPKRRTSTGSTFLDANASSSSTSSTQANNYIDFHWNNIKPELRDLICQSYKDFLINELGPDQIDLPNLNPANFTKRIRGGYIKIQGTWLPMEISRLLCLRFCFPIRYFLVPIFGPDFPKDCESWYLAHQNVTFASSTTGAGAATAATAAANTSTNFTSTAVARPRQKPRPRPRQRSTSMSHSKAQKLVIEDALPSFDSFVENLGLSSNDKNFIKKNSKRQKSSTYTSQTSSPIGPRDPTVQILSNLASFYNTHGHRYSYPGNIYIPQQRYSLPPPNQLSSPQRQLNYTYDHIHPVPSQYQSPRHYNVPSSPIAPAPPTFPQPYGDDHYHFLKYASEVYKQQNQRPAHNTNTNMDTSFSPRANNSLNNFKFKTNSKQ
NP_012384.1 1 103 0.19396213592233 PF01679.17:Pmp3:21:70 Protein SNA3 133 50 15 63 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P14359 0 SwissProt MDRDHINDHDHRMSYSINKDDLLLMVLAVFIPPVAVWKRKGMFNRDTLLNLLLFLLLFFPAIIHACYVVYETSSERSYDLSRRHATAPAVDRDLEAHPAEESQ
NP_013027.4 1 448 0.153675892857143 PF11603.8:Sir1:3:125 Regulatory protein SIR1; Heterochromatin protein SIR1; Silent information regulator 1 654 123 15 448 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21691 1 SwissProt MLQINSRLAVIDGWLVDTVKRKPINFRSPEVRLLLPNDDDYKKLSQQNLVDWTRLKKDSNSVLVGVKSMELFKHIKLVLREFFLLEDGRIILKRIRSKLRYKVVKKLTCKCCRLYLPKWGTVYIHPMLKDKEKPLAGVCEFSLDVNPDREYPLIEINVSHQYIIIEGFLLYLNERRLYRWNDNNLRSQVGLTKWAHLRKTYNPVSLDILYSLNSNFYFVKDDLLFQLLGKRVFVKFCKVMENGKCGKAPLWYRVKRTTTAKATHIAYAISNSTAPDSFKSKNNDYRFIVREKPIVENTISNLDYSDIKKQQFTEAEVVKRKISADISQIENVHTQFNSQKEKNNIRVNKVSSEVLDQISKFPVSRVTLLLMSAGQDKNYIELVEELARRLEKICIEKTTQSLEEIRDTFQANPEMQASFDKEYYQSIEEYKITLELIKEDLLITLIKQ
NP_014905.1 120 347 0.19388201754386 PF03029.17:ATP_bind_1:2:141 GPN-loop GTPase 2; ATP-binding domain 1 family member B homolog; EC 3.6.5.- 347 140 15 228 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08726 1 SwissProt LFNIFKKMEKELDIRFCVVNLIDCFYMTSPSQYISILLLALRSMLMMDLPHINVFSKIDMLKSYGELPFRLDYYTEVQDLDYLEPYIEKEGSSVLGKKYSKLTETIKELVSDFNLVSFEVLSVDDKESMINLQGVIDKANGYIFGASEVGGDTVWAEASREGALIANYDIQDRWIDNKEKYDKEEEEKRTALLKEQELQNKAVDVNEEDEWENALKEWEEKQGMDFVR
NP_015016.3 1 897 0.303026978818283 Guanine nucleotide-binding protein subunit beta 1; Gbeta mimic kelch protein 1 897 0 15 897 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08886 1 SwissProt MPQASTFGSHSLEAHPLHIQPAVHIKLSKEERSHYREQYDSLKYISNYVSVFDQALSDNIDSRIRKENEALLKKYYESRKPFTFTSFRQGSVISSSDSSTGFTERTKTYCFLNDFVSNCVNEVDPYTLKMTVRNRNTALNMENLDDERKSKDDIYDFEDNTDDECNAKCHGAFHYSSERLEILRSRSTISYFKYYKKLLTVDLRDSDVLKRHNLWMPMITRRFRFLLVSSSKPEDVRLTTPIPTFSESDLDIFKNKTCPLFINGTDCVPRSYDTFSGSSVIASIFSEYKLPSLSYHCSVELNDQLFIVGGLMACHRYDEEAPDLKDFYVDGIKNLPPPLIPELINNPSMIPNPHLYCFSLTSSRLTRPDISGYIPPPLVCTQGCKLTERHIFLYGGFEIKSETQVDDKGRYFIRKRAFLNNTGYILDTVTFNFSKIELVAPPYQFAIYNNFSPRFGHMQASISNSNNNVSNENTTTSAKGRRSISPYRQGNGDHKIDDLVGSPGSTDYLEDDAIPPVTNPRSTDSLSSKHCSTATHICSSVNTILIFGGYSQTGDDKYEAMNDMWKINIPVVSRGKRNYYKFADTVTATKIPIIDDPELWPSRRAFSACCVPDYFTKDVEPIETRLLRNLKNDFSIDLEIRPGNKPSQPLFPNIPHSRKEKKSGRDSMHISNSNNSTSEDTSSKSTRNTTSSPPTSPKHTPPLNPSKKCASIGRTIAFHGGSDGYDVCSDMWWFDFDSETWTKIDLYAKTQEESDGLVPINLCMVGHSMTTVGHKVVLIGGLRQGDVDRIYRDETLPEEVISGVPLGSGVINVVDLNTQCLQGCKLIRNDGDTKESVIMDPHVGTPHQVLAVAGTIELVKGTMTLIGGVVAGREDISSLYLRGAVLQFILPSMNLAN
NP_015018.3 283 851 0.326142003514938 PF12799.7:LRR_4:341:375,PF13516.6:LRR_6:283:297 Protein NUD1 851 50 15 569 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32336 1 SwissProt KVLKKPPLNTISPGQLGYQFNHTHGAWDPPLNQGLDVSSSHSLDNTSSNQSQFATMVPTGDNHTNGKAPSILDKKAYELTSTKPGDVGYRQKKIQEEENLANSDDTPLDTPKFNDLFTKNGTRAKVKGQMRTSRSISNSNLLEAHKKLKTFPAERVEDITSISEVNTSFNETEKQLISILTSKLSGSPSYDSDWEKILKVDLSRGKLKNMFGMQRLLPNVLVLNLSDNEMNTLEGIPSNVVQLFCSNNKITSAHCSLAGFHDLECLDLSYNLLNTSLKFLSLCHHLQEVNLSYNSIQSLEGIGSSRMKKLNLSNNEINGIIDFEQLILTNNSVVGGWLTVEVLDLSNNNIIGVRNINCLPRLKVLNLNGNPLVSIVESSKMENGTLRALSIKNTGGALSKLQNYKLDDQFTFPYQNLKILKLDGFAQLSKWQKWPATLQILEINGGLASSLPRFSSLKSTNLYSLTIANVRDFTHLPVDLSKELPFLQELHLPGNNLQNAHKLTKTLPRQSVKFLDLRNNPITTPRHDRASTSLHYRQLLQLAGLCQQQCPALATLWLDDTPAPTATNL
NP_015078.1 1 262 0.0570889312977099 PF01694.22:Rhomboid:51:192 Rhomboid protein 2; EC 3.4.21.- 262 142 15 151 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12270 1 SwissProt MNWKSYVFPGGHPPAALTTGLVVFLTAIYLLSFIFALREDLSLAPESLFKLQMSRLSLYPLIHLSLPHLLFNVLAIWAPLNLFEETHGTVYTGVFLNLSALFAGILYCLLGKLLYPEALVAGASGWCFTLFAYYSFKESQIRPRTRIFRTDYSIPTLYTPLVLLVAIAVVIPGSSFWGHFFGLCVGYAIGYKESWFNKITPPGWIITKIEKSLDGLIRLIPWGIKYYRDEDIDRTKDYEPLMSTETPLPLHNDNSGTVLGTA
NP_015122.1 194 416 0.490878475336323 Iron-regulated transcriptional activator AFT2; Activator of iron transcription protein 2 416 0 15 223 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08957 1 SwissProt EIEAFNNIFLPLSNPPLTSKKNLLKTNKNSVSKIKSRQMDNSKPRPRLKTKLDADLHDTGFLDNFKTRNSCVKIEKEDSLTNLNEIDFTNMFCNDNFIQNYNQGLMELLTEPTPGPSSSSCILPSTPTRPLSQSKMDIALSESTTSSPNFMETDAPYGDEIIKVSKDTKSNAPTADTDIATNLGKERNENFGMLNYNYEALLHFNDEHFNELNSIDPALISKY
NP_015453.1 1 328 0.105663719512195 PF00153.27:Mito_carr:4:105,PF00153.27:Mito_carr:123:212,PF00153.27:Mito_carr:219:305 Peroxisomal adenine nucleotide transporter 1 328 279 15 305 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06497 1 SwissProt MLTLESALTGAVASAMANIAVYPLDLSKTIIQSQVSPSSSEDSNEGKVLPNRRYKNVVDCMINIFKEKGILGLYQGMTVTTVATFVQNFVYFFWYTFIRKSYMKHKLLGLQSLKNRDGPITPSTIEELVLGVAAASISQLFTSPMAVVATRQQTVHSAESAKFTNVIKDIYRENNGDITAFWKGLRTGLALTINPSITYASFQRLKEVFFHDHSNDAGSLSAVQNFILGVLSKMISTLVTQPLIVAKAMLQSAGSKFTTFQEALLYLYKNEGLKSLWKGVLPQLTKGVIVQGLLFAFRGELTKSLKRLIFLYSSFFLKHNGQRKLAST
NP_015527.1 1 404 0.0364566831683169 PF01758.16:SBF:78:275 Arsenical-resistance protein 3; Arsenic compounds resistance protein 3; As(III)/H(+) and Sb(III)/H(+)antiporter 404 198 15 203 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06598 1 SwissProt MSEDQKSENSVPSKVNMVNRTDILTTIKSLSWLDLMLPFTIILSIIIAVIISVYVPSSRHTFDAEGHPNLMGVSIPLTVGMIVMMIPPICKVSWESIHKYFYRSYIRKQLALSLFLNWVIGPLLMTALAWMALFDYKEYRQGIIMIGVARCIAMVLIWNQIAGGDNDLCVVLVITNSLLQMVLYAPLQIFYCYVISHDHLNTSNRVLFEEVAKSVGVFLGIPLGIGIIIRLGSLTIAGKSNYEKYILRFISPWAMIGFHYTLFVIFISRGYQFIHEIGSAILCFVPLVLYFFIAWFLTFALMRYLSISRSDTQRECSCDQELLLKRVWGRKSCEASFSITMTQCFTMASNNFELSLAIAISLYGNNSKQAIAATFGPLLEVPILLILAIVARILKPYYIWNNRN
NP_031700.1 1 149 0.658037583892617 PF04731.12:Caudal_act:13:148 homeobox protein CDX-4 282 136 15 149 0 Mus musculus NP_031700.1 1 RefSeq MYGSCLLEKEAGMYPGTLRSPGGSSTAGVGTSGGSGSPLPASNFTAAPVYPHYVGYPHMSNMDPHGPSLGAWSSPYSPPREDWSTYPGPPSTMGTVPMNDMTSPVFGSPDYSTLGPTSGASNGGSLPDAASESLVSLDSGTSGATSPSR
NP_032756.1 1 296 0.446591554054054 neuronal pentraxin-1 precursor 432 0 15 296 0 Mus musculus NP_032756.1 1 RefSeq MLAGRAARTCALLALCLLGSGAQDFGPTRFICTSVPVDADMCAASVAAGGAEELRSNVLQLRETVLQQKETILSQKETIRELTTKLGRCESQSTLDSGPGEARSGGGRKQPGSGKNTMGDLSRTPAAETLSQLGQTLQSLKTRLENLEQYSRLNSSSQTNSLKDLLQSKIDDLERQVLSRVNTLEEGKGGPKNDTEERAKIESALTSLHQRISELEKGQKDNRPGDKFQLTFPLRTNYMYAKVKKSLPEMYAFTVCMWLKSSAAPGVGTPFSYAVPGQANELVLIEWGNNPMEILI
NP_033582.2 1 169 0.566815976331361 zinc finger protein 42 288 0 15 169 0 Mus musculus NP_033582.2 1 RefSeq MNEQKMNEQMKKTAKTSGQKGPGGRALDRLTLKQDEARPVQNTRVEAPRVTYTIRDESEISPETEEDGFPDGYLECIIRGEFSEPILEEDFLFKSFESLEEVEQNLSRQVLEASSLLESSLEYMTKGTKQEKREVTQETPPLRVGASSLLAGGPAEKPEGGVYCGVLSM
NP_034261.1 1 152 0.440983552631579 Homeobox protein EMX1; Empty spiracles homolog 1; Empty spiracles-like protein 1 257 0 15 152 0 Mus musculus (Mouse) SwissProt::Q04742 1 SwissProt MFQPAAKRGFTIESLVAKDGGTGGSPGSGGAGSHPLAVAASEEPLRPTALNYPHPSAAETAFVSGFPAAAAAGAGRSLYGGPELVFPEAMNHPALTVHPAHQLGSSSLQPPHSFFSAQHRDPLHFYPWVLRNRFFGHRFQASDVPQDGLLLH
NP_035650.2 1 383 0.796029242819843 Syndecan-3; SYND3 442 0 15 383 0 Mus musculus (Mouse) SwissProt::Q64519 1 SwissProt MKPGPPRRGTAQGQRVDTATHAPGARGLLLPPLLLLLLAGRAAGAQRWRNENFERPVDLEGSGDDDSFPDDELDDLYSGSGSGYFEQESGLETAMRFIPDMALAAPTAPAMLPTTVIQPVDTPFEELLSEHPSPEPVTSPPLVTEVTEVVEESSQKATTISTTTSTTAATTTGAPTMATAPATAATTAPSTPEAPPATATVADVRTTGIQGMLPLPLTTAATAKITTPAAPSPPTTVATLDTEAPTPRLVNTATSRPRALPRPVTTQEPDVAERSTLPLGTTAPGPTEMAQTPTPESLLTTIQDEPEVPVSGGPSGDFELQEETTQPDTANEVVAVEGAAAKPSPPLGTLPKGARPGPGLHDNAIDSGSSAAQLPQKSILERK
NP_038638.1 1 152 0.161128947368421 PF04923.12:Ninjurin:38:139 ninjurin-1 152 102 15 106 2 Mus musculus NP_038638.1 1 RefSeq MESGTEEYELNGDLRPGSPGSPDALPPRWGLRNRPINVNHYANKKSAAESMLDIALLMANASQLKAVVEQGNDFAFFVPLVVLISISLVLQIGVGVLLIFLVKYDLNNPAKHAKLDFLNNLATGLVFIIVVVNIFITAFGVQKPVMDVAPRQ
NP_038920.2 1 258 0.603095348837209 PF07259.12:ProSAAS:1:188 ProSAAS; IA-4; Proprotein convertase subtilisin/kexin type 1 inhibitor; Proprotein convertase 1 inhibitor; pro-SAAS 258 188 15 238 1 Mus musculus (Mouse) SwissProt::Q9QXV0 1 SwissProt MAGSPLLCGPRAGGVGILVLLLLGLLRLPPTLSARPVKEPRSLSAASAPLVETSTPLRLRRAVPRGEAAGAVQELARALAHLLEAERQERARAEAQEAEDQQARVLAQLLRAWGSPRASDPPLAPDDDPDAPAAQLARALLRARLDPAALAAQLVPAPAAAPRPRPPVYDDGPTGPDVEDAGDETPDVDPELLRYLLGRILTGSSEPEAAPAPRRLRRSVDQDLGPEVPPENVLGALLRVKRLENPSPQAPARRLLPP
NP_040190.1 1 377 0.36195676392573 PF02480.16:Herpes_gE:193:376 Envelope glycoprotein E; gE 623 184 15 359 1 Varicella-zoster virus (strain Dumas) (HHV-3) (Human herpesvirus 3) SwissProt::P09259 1 SwissProt MGTVNKPVVGVLMGFGIITGTLRITNPVRASVLRYDDFHTDEDKLDTNSVYEPYYHSDHAESSWVNRGESSRKAYDHNSPYIWPRNDYDGFLENAHEHHGVYNQGRGIDSGERLMQPTQMSAQEDLGDDTGIHVIPTLNGDDRHKIVNVDQRQYGDVFKGDLNPKPQGQRLIEVSVEENHPFTLRAPIQRIYGVRYTETWSFLPSLTCTGDAAPAIQHICLKHTTCFQDVVVDVDCAENTKEDQLAEISYRFQGKKEADQPWIVVNTSTLFDELELDPPEIEPGVLKVLRTEKQYLGVYIWNMRGSDGTSTYATFLVTWKGDEKTRNPTPAVTPQPRGAEFHMWNYHSHVFSVGDTFSLAMHLQYKIHEAPFDLLLE
NP_055081.1 1 93 0.156601075268817 PF07213.11:DAP10:1:26,PF07213.11:DAP10:35:93 Hematopoietic cell signal transducer; DNAX-activation protein 10; Membrane protein DAP10; Transmembrane adapter protein KAP10 93 85 15 70 1 Homo sapiens (Human) SwissProt::Q9UBK5 0 SwissProt MIHLGHILFLLLLPVAAAQTTPGERSSLPAFYPGTSGSCSGCGSLSLPLLAGLVAADAVASLLIVGAVFLCARPRRSPAQEDGKVYINMPGRG
NP_055150.1 1 187 0.703373796791444 EP300-interacting inhibitor of differentiation 1; 21 kDa pRb-associated protein; CREBBP/EP300 inhibitory protein 1; E1A-like inhibitor of differentiation 1; EID-1 187 0 15 187 0 Homo sapiens (Human) SwissProt::Q9Y6B2 1 SwissProt MSEMAELSELYEESSDLQMDVMPGEGDLPQMEVGSGSRELSLRPSRSGAQQLEEEGPMEEEEAQPMAAPEGKRSLANGPNAGEQPGQVAGADFESEDEGEEFDDWEDDYDYPEEEQLSGAGYRVSAALEEADKMFLRTREPALDGGFQMHYEKTPFDQLAFIEELFSLMVVNRLTEELGCDEIIDRE
NP_055586.1 1 930 0.550878602150538 E3 ubiquitin-protein ligase BRE1B; BRE1-B; 95 kDa retinoblastoma-associated protein; RBP95; RING finger protein 40; RING-type E3 ubiquitin transferase BRE1B; EC 2.3.2.27 1001 0 15 930 0 Homo sapiens (Human) SwissProt::O75150 1 SwissProt MSGPGNKRAAGDGGSGPPEKKLSREEKTTTTLIEPIRLGGISSTEEMDLKVLQFKNKKLAERLEQRQACEDELRERIEKLEKRQATDDATLLIVNRYWAQLDETVEALLRCHESQGELSSAPEAPGTQEGPTCDGTPLPEPGTSELRDPLLMQLRPPLSEPALAFVVALGASSSEEVELELQGRMEFSKAAVSRVVEASDRLQRRVEELCQRVYSRGDSEPLSEAAQAHTRELGRENRRLQDLATQLQEKHHRISLEYSELQDKVTSAETKVLEMETTVEDLQWDIEKLRKREQKLNKHLAEALEQLNSGYYVSGSSSGFQGGQITLSMQKFEMLNAELEENQELANSRMAELEKLQAELQGAVRTNERLKVALRSLPEEVVRETGEYRMLQAQFSLLYNESLQVKTQLDEARGLLLATKNSHLRHIEHMESDELGLQKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQNLAANEQAGPINREMRHLISSLQNHNHQLKGDAQRYKRKLREVQAEIGKLRAQASGSAHSTPNLGHPEDSGVSAPAPGKEEGGPGPVSTPDNRKEMAPVPGTTTTTTSVKKEELVPSEEDFQGITPGAQGPSSRGREPEARPKRELREREGPSLGPPPVASALSRADREKAKVEETKRKESELLKGLRAELKKAQESQKEMKLLLDMYKSAPKEQRDKVQLMAAERKAKAEVDELRSRIRELEERDRRESKKIADEDALRRIRQAEEQIEHLQRKLGATKQEEEALLSEMDVTGQAFEDMQEQNGRLLQQLREKDDANFKLMSERIKANQIHKLLREEKDELGEQVLGLKSQVDAQLLTVQKLEEKERALQGSLGGVEKELTLRSQALELNKRKAVEAAQLAEDLKVQLEHVQTRLREIQPCLAESRAAREKESFNLKRAQEDISRLRRKLEKQRKVEVYAD
NP_055625.4 1 2466 0.620170478507704 Centrosome-associated protein 350; Cep350; Centrosome-associated protein of 350 kDa 3117 0 15 2466 0 Homo sapiens (Human) SwissProt::Q5VT06 1 SwissProt MRSSKSKEVPLPNPRNSQSKDTVQADITTSWDALSQTKAALRHIENKLEVAPTSTAVCDSVMDTKKSSTSATRKISRKDGRYLDDSWVNAPISKSTKSRKEKSRSPLRATTLESNVKKNNRVEFREPLVSYREIHGAPSNFSSSHLESKHVYCVDVNEEKTESGNWMIGSREERNIRSCDFESSQSSVINDTVVRFLNDRPAIDALQNSECLIRMGASMRTEEEMPNRTKGSENNLKLSVNNMAHDTDPKALRLTDSSPSSTSTSNSQRLDILKRRQHDVKLEKLKERIRKQWEHSEETNGRGQKLGHIDHPVMVVNVDNSVTAKVRKVATAPPAPAYKGFNPSETKIRTPDGKVWQEAEFQNMSRELYRDLALHFADDISIKEKPAEKSKEKKVVKPVRKVQKVAQLSSTECRTGSSHLISTSSWRDGQKLVKKILGPAPRMEPKEQRTASSDRGGRERTAKSGGHIGRAESDPRLDVLHRHLQRNSERSRSKSRSENNIKKLASSLPDNKQEENTALNKDFLPIEIRGILDDLQLDSTAHTAKQDTVELQNQKSSAPVHAPRSHSPVKRKPDKITANEDPPVISKRRHYDTDEVRQYIVRQQEERKRKQNEEKKAQKEATEQKNKRLQELYRKQKEAFTKVKNVPPSEPSATRRLQETYSKLLLEKTLLEEPSHQHVTQETQAKPGYQPSGESDKENKVQERPPSASSSSDMSLSEPPQPLARKDLMESTWMQPERLSPQVHHSQPQPFAGTAGSLLSHLLSLEHVGILHKDFESILPTRKNHNMASRPLTFTPQPYVTSPAAYTDALLKPSASQYKSKLDRIEALKATAASLSSRIESEAKKLAGASINYGSAWNTEYDVQQAPQEDGPWTKAVTPPVKDDNEDVFSARIQKMLGSCVSHATFDDDLPGVGNLSEFKKLPEMIRPQSAISSFRVRSPGPKPEGLLAQLCKRQTDSSSSDMQACSQDKAKISLGSSIDSVSEGPLLSEGSLSEEEGDQDGQPLLKVAEILKEKEFCPGERNSYEPIKEFQKEAEKFLPLFGHIGGTQSKGPWEELAKGSPHSVINIFTKSYQLYGKGFEDKLDRGTSTSRPLNATATPLSGVSYEDDFVSSPGTGTSTEKKSTLEPHSTLSPQEDHSNRKSAYDPSSVDVTSQHSSGAQSAASSRSSTSSKGKKGKKEKTEWLDSFTGNVQNSLLDEEKAERGSHQGKKSGTSSKLSVKDFEQTLDTDSTLEDLSGHSVSVSSDKGRSQKTPTSPLSPSSQKSLQFDVAGTSSERSKSSVMPPTITGFKPNAPLTDLNPAASRTTTENMAPIPGSKRFSPAGLHHRMAAELSYLNAIEESVRQLSDVERVRGISLAQQESVSLAQIIKAQQQRHERDLALLKLKAEQEALESQRQLEETRNKAAQVHAESLQQVVQSQREVTEVLQEATCKIAAQQSETARLTTDAARQICEMAELTRTHISDAVVASGAPLAILYDHQRQHLPDFVKQLRTRTETDRKSPSVSLSQSKEGTLDSKHQKYSASYDSYSESSGYKNHDRRSSSGSSRQESPSVPSCKENEKKLNGEKIESSIDEQVQTAADDSLRSDSVPSLPDEKDSTSIATEYSLKFDESMTEDEIEEQSFRSLLPSESHRRFNMEKRRGHHDDSDEEASPEKTTLSTAKELNMPFSGGQDSFSKFTMEMVRQYMKEEEMRAAHQSSLLRLREKALKEKTKAELAWLEHQKKHLRDKGEDDKMPPLRKKQRGLLLRLQQEKAEIKRLQEANKAARKERQLILKQQEEIEKIRQTTIKLQEKLKSAGESKLDSHSDDDTKDNKATSPGPTDLETRSPSPISISSSETSSIMQKLKKMRSRMDEKFLTKREQKLMQRRQHAEELLEWKRRLDAEEAEIRQMEKQALAAWDKELIKPKTPKKELEDQRTEQKEIASEEESPVPLYSHLNSESSIPEELGSPAVEYVPSESIGQEQPGSPDHSILTEEMICSQELESSTSPSKHSLPKSCTSVSKQESSKGSHRTGGQCHLPIKSHQHCYSWSDESLSMTQSETTSDQSDIEGRIRALKDELRKRKSVVNQLKKEQKKRQKERLKAQEASLIKQLESYDEFIKKTEAELSQDLETSPTAKPQIKTLSSASEKPKIKPLTPLHRSETAKNWKSLTESERSRGSLESIAEHVDASLSGSERSVSERSLSAYAKRVNEWDSRTEDFQTPSPVLRSSRKIREESGDSLENVPALHLLKELNATSRILDMSDGKVGESSKKSEIKEIEYTKLKKSKIEDAFSKEGKSDVLLKLVLEQGDSSEILSKKDLPLDSENVQKDLVGLAIENLHKSEEMLKERQSDQDMNHSPNIQSGKDIHEQKNTKEKDLSWSEHLFAPKEIPYSEDFEVSSFKKEISAELYKDDFEVSSLLSLRKDSQSCRDKPQPMRSSTSGATSFGSNEEISECLSEKSLSIHSNVHSDRLLELKSPTELMK
NP_056230.1 1 127 0.0629078740157481 PF03650.13:MPC:28:125 Mitochondrial pyruvate carrier 2; Brain protein 44 127 98 15 127 0 Homo sapiens (Human) SwissProt::O95563 1 SwissProt MSAAGARGLRATYHRLLDKVELMLPEKLRPLYNHPAGPRTVFFWAPIMKWGLVCAGLADMARPAEKLSTAQSAVLMATGFIWSRYSLVIIPKNWSLFAVNFFVGAAGASQLFRIWRYNQELKAKAHK
NP_056279.1 1 206 0.347117961165049 PF05463.11:Sclerostin:7:205,PF03045.15:DAN:68:167,PF00007.22:Cys_knot:74:178 Sclerostin domain-containing protein 1; Ectodermal BMP inhibitor; Ectodin; Uterine sensitization-associated gene 1 protein; USAG-1 206 199 15 206 0 Homo sapiens (Human) SwissProt::Q6X4U4 1 SwissProt MLPPAIHFYLLPLACILMKSCLAFKNDATEILYSHVVKPVPAHPSSNSTLNQARNGGRHFSNTGLDRNTRVQVGCRELRSTKYISDGQCTSISPLKELVCAGECLPLPVLPNWIGGGYGTKYWSRRSSQEWRCVNDKTRTQRIQLQCQDGSTRTYKITVVTACKCKRYTRQHNESSHNFESMSPAKPVQHHRERKRASKSSKHSMS
NP_057617.3 1 142 0.142535915492958 PF04923.12:Ninjurin:24:125 ninjurin-2 isoform 1 142 102 15 99 2 Homo sapiens NP_057617.3 1 RefSeq MESARENIDLQPGSSDPRSQPINLNHYATKKSVAESMLDVALFMSNAMRLKAVLEQGPSSHYYTTLVTLISLSLLLQVVIGVLLVVIARLNLNEVEKQWRLNQLNNAATILVFFTVVINVFITAFGAHKTGFLAARASRNPL
NP_057703.1 1 115 0.0956721739130435 PF04749.17:PLAC8:26:104 placenta-specific gene 8 protein 115 79 15 115 0 Homo sapiens NP_057703.1 1 RefSeq MQAQAPVVVVTQPGVGPGPAPQNSNWQTGMCDCFSDCGVCLCGTFCFPCLGCQVAADMNECCLCGTSVAMRTLYRTRYGIPGSICDDYMATLCCPHCTLCQIKRDINRRRAMRTF
NP_060249.2 109 527 0.231846300715991 PF00620.27:RhoGAP:168:242 DEP domain-containing protein 1A isoform b 527 75 15 419 0 Homo sapiens NP_060249.2 1 RefSeq TSPLKTLPRRYPELRKNNIENFSKDKDSIFKLRNLSRRTPKRHGLHLSQENGEKIKHEIINEDQENAIDNRELSQEDVEEVWRYVILIYLQTILGVPSLEEVINPKQVIPQYIMYNMANTSKRGVVILQNKSDDLPHWVLSAMKCLANWPRSNDMNNPTYVGFERDVFRTIADYFLDLPEPLLTFEYYELFVNILGLLQPHLERVAIDALQLCCLLLPPPNRRKLQLLMRMISRMSQNVDMPKLHDAMGTRSLMIHTFSRCVLCCAEEVDLDELLAGRLVSFLMDHHQEILQVPSYLQTAVEKHLDYLKKGHIENPGDGLFAPLPTYSYCKQISAQEFDEQKVSTSQAAIAELLENIIKNRSLPLKEKRKKLKQFQKEYPLIYQKRFPTTESEAALFGDKPTIKQPMLILRKPKFRSLR
NP_060480.1 1 429 0.386662237762238 PF10498.9:IFT57:44:401 Intraflagellar transport protein 57 homolog; Dermal papilla-derived protein 8; Estrogen-related receptor beta-like protein 1; HIP1-interacting protein; MHS4R2 429 358 15 429 0 Homo sapiens (Human) SwissProt::Q9NWB7 1 SwissProt MTAALAVVTTSGLEDGVPRSRGEGTGEVVLERGPGAAYHMFVVMEDLVEKLKLLRYEEEFLRKSNLKAPSRHYFALPTNPGEQFYMFCTLAAWLINKAGRPFEQPQEYDDPNATISNILSELRSFGRTADFPPSKLKSGYGEHVCYVLDCFAEEALKYIGFTWKRPIYPVEELEEESVAEDDAELTLNKVDEEFVEEETDNEENFIDLNVLKAQTYHLDMNETAKQEDILESTTDAAEWSLEVERVLPQLKVTIRTDNKDWRIHVDQMHQHRSGIESALKETKGFLDKLHNEITRTLEKISSREKYINNQLENLVQEYRAAQAQLSEAKERYQQGNGGVTERTRLLSEVMEELEKVKQEMEEKGSSMTDGAPLVKIKQSLTKLKQETVEMDIRIGIVEHTLLQSKLKEKSNMTRNMHATVIPEPATGFY
NP_060516.2 1 714 0.588808963585433 PF17780.1:OCRE:204:254,PF00498.26:FHA:435:508,PF01585.23:G-patch:620:662,PF12656.7:G-patch_2:623:663 Angiogenic factor with G patch and FHA domains 1; Angiogenic factor VG5Q; hVG5Q; G patch domain-containing protein 7; Vasculogenesis gene on 5q protein 714 169 15 714 0 Homo sapiens (Human) SwissProt::Q8N302 1 SwissProt MASEAPSPPRSPPPPTSPEPELAQLRRKVEKLERELRSCKRQVREIEKLLHHTERLYQNAESNNQELRTQVEELSKILQRGRNEDNKKSDVEVQTENHAPWSISDYFYQTYYNDVSLPNKVTELSDQQDQAIETSILNSKDHLQVENDAYPGTDRTENVKYRQVDHFASNSQEPASALATEDTSLEGSSLAESLRAAAEAAVSQTGFSYDENTGLYFDHSTGFYYDSENQLYYDPSTGIYYYCDVESGRYQFHSRVDLQPYPTSSTKQSKDKKLKKKRKDPDSSATNEEKDLNSEDQKAFSVEHTSCNEEENFANMKKKAKIGIHHKNSPPKVTVPTSGNTIESPLHENISNSTSFKDEKIMETDSEPEEGEITDSQTEDSYDEAITSEGNVTAEDSEDEDEDKIWPPCIRVIVIRSPVLQIGSLFIITAVNPATIGREKDMEHTLRIPEVGVSKFHAEIYFDHDLQSYVLVDQGSQNGTIVNGKQILQPKTKCDPYVLEHGDEVKIGETVLSFHIHPGSDTCDGCEPGQVRAHLRLDKKDESFVGPTLSKEEKELERRKELKKIRVKYGLQNTEYEDEKTLKNPKYKDRAGKRREQVGSEGTFQRDDAPASVHSEITDSNKGRKMLEKMGWKKGEGLGKDGGGMKTPIQLQLRRTHAGLGTGKPSSFEDVHLLQNKNKKNWDKARERFTENFPETKPQKDDPGTMPWVKGTLE
NP_064365.1 1 250 0.1658192 PF00021.21:UPAR_LY6:141:215 Testis-expressed protein 101; TES101-reactive protein; TES101RP 250 75 15 250 0 Mus musculus (Mouse) SwissProt::Q9JMI7 1 SwissProt MGACRIQYVLLIFLLIASRWTLVQNTYCQVSQTLSLEDDPGRTFNWTSKAEQCNPGELCQETVLLIKADGTRTVVLASKSCVSQGGEAVTFIQYTAPPGLVAISYSNYCNDSLCNNKDSLASVWRVPETTATSNMSGTRHCPTCVALGSCSSAPSMPCANGTTQCYQGRLEFSGGGMDATVQVKGCTTTIGCRLMAMIDSVGPMTVKETCSYQSFLQPRKAEIGASQMPTSLWVLELLFPLLLLPLTHFP
NP_065910.3 109 1489 0.71418638667632 PF08688.10:ASD1:776:958 Protein Shroom3; Shroom-related protein; hShrmL 1996 183 15 1381 0 Homo sapiens (Human) SwissProt::Q8TF72 1 SwissProt DVCTDPGHADTGASNFVSPEHLTSGPQHRKAAWSGGVKLRLKHRRSEPAGRPHSWHTTKSGEKQPDASMMQISQGMIGPPWHQSYHSSSSTSDLSNYDHAYLRRSPDQCSSQGSMESLEPSGAYPPCHLSPAKSTGSIDQLSHFHNKRDSAYSSFSTSSSILEYPHPGISGRERSGSMDNTSARGGLLEGMRQADIRYVKTVYDTRRGVSAEYEVNSSALLLQGREARASANGQGYDKWSNIPRGKGVPPPSWSQQCPSSLETATDNLPPKVGAPLPPARSDSYAAFRHRERPSSWSSLDQKRLCRPQANSLGSLKSPFIEEQLHTVLEKSPENSPPVKPKHNYTQKAQPGQPLLPTSIYPVPSLEPHFAQVPQPSVSSNGMLYPALAKESGYIAPQGACNKMATIDENGNQNGSGRPGFAFCQPLEHDLLSPVEKKPEATAKYVPSKVHFCSVPENEEDASLKRHLTPPQGNSPHSNERKSTHSNKPSSHPHSLKCPQAQAWQAGEDKRSSRLSEPWEGDFQEDHNANLWRRLEREGLGQSLSGNFGKTKSAFSSLQNIPESLRRHSSLELGRGTQEGYPGGRPTCAVNTKAEDPGRKAAPDLGSHLDRQVSYPRPEGRTGASASFNSTDPSPEEPPAPSHPHTSSLGRRGPGPGSASALQGFQYGKPHCSVLEKVSKFEQREQGSQRPSVGGSGFGHNYRPHRTVSTSSTSGNDFEETKAHIRFSESAEPLGNGEQHFKNGELKLEEASRQPCGQQLSGGASDSGRGPQRPDARLLRSQSTFQLSSEPEREPEWRDRPGSPESPLLDAPFSRAYRNSIKDAQSRVLGATSFRRRDLELGAPVASRSWRPRPSSAHVGLRSPEASASASPHTPRERHSVTPAEGDLARPVPPAARRGARRRLTPEQKKRSYSEPEKMNEVGIVEEAEPAPLGPQRNGMRFPESSVADRRRLFERDGKACSTLSLSGPELKQFQQSALADYIQRKTGKRPTSAAGCSLQEPGPLRERAQSAYLQPGPAALEGSGLASASSLSSLREPSLQPRREATLLPATVAETQQAPRDRSSSFAGGRRLGERRRGDLLSGANGGTRGTQRGDETPREPSSWGARAGKSMSAEDLLERSDVLAGPVHVRSRSSPATADKRQDVLLGQDSGFGLVKDPCYLAGPGSRSLSCSERGQEEMLPLFHHLTPRWGGSGCKAIGDSSVPSECPGTLDHQRQASRTPCPRPPLAGTQGLVTDTRAAPLTPIGTPLPSAIPSGYCSQDGQTGRQPLPPYTPAMMHRSNGHTLTQPPGPRGCEGDGPEHGVEEGTRKRVSLPQWPPPSRAKWAHAAREDSLPEESSAPDFANLKHYQKQQSLPSLCSTSDPDTPLGAPSTPGRISLRI
NP_067012.1 170 432 0.435283269961977 PF13927.6:Ig_3:7:75,PF13927.6:Ig_3:101:167,PF08205.12:C2-set_2:11:83,PF13895.6:Ig_2:5:81,PF13895.6:Ig_2:101:174,PF00047.25:ig:7:82,PF00047.25:ig:111:175,PF07679.16:I-set:108:181,PF07654.15:C1-set:9:81 cell adhesion molecule 3 isoform 1 precursor 432 160 15 240 1 Homo sapiens NP_067012.1 1 RefSeq TGYKSSLREKDTATLNCQSSGSKPAARLTWRKGDQELHGEPTRIQEDPNGKTFTVSSSVTFQVTREDDGASIVCSVNHESLKGADRSTSQRIEVLYTPTAMIRPDPPHPREGQKLLLHCEGRGNPVPQQYLWEKEGSVPPLKMTQESALIFPFLNKSDSGTYGCTATSNMGSYKAYYTLNVNDPSPVPSSSSTYHAIIGGIVAFIVFLLLIMLIFLGHYLIRHKGTYLTHEAKGSDDAPDADTAIINAEGGQSGGDDKKEYFI
NP_076945.2 1 287 0.497655400696864 PF02845.16:CUE:149:185 CUE domain-containing protein 2 287 37 15 287 0 Homo sapiens (Human) SwissProt::Q9H467 1 SwissProt MELERIVSAALLAFVQTHLPEADLSGLDEVIFSYVLGVLEDLGPSGPSEENFDMEAFTEMMEAYVPGFAHIPRGTIGDMMQKLSGQLSDARNKENLQPQSSGVQGQVPISPEPLQRPEMLKEETRSSAAAAADTQDEATGAEEELLPGVDVLLEVFPTCSVEQAQWVLAKARGDLEEAVQMLVEGKEEGPAAWEGPNQDLPRRLRGPQKDELKSFILQKYMMVDSAEDQKIHRPMAPKEAPKKLIRYIDNQVVSTKGERFKDVRNPEAEEMKATYINLKPARKYRFH
NP_079481.2 1 178 0.447941573033708 PF11594.8:Med28:72:135 Mediator of RNA polymerase II transcription subunit 28; Endothelial-derived protein 1; Mediator complex subunit 28; Merlin and Grb2-interacting cytoskeletal protein; Magicin; Tumor angiogenesis marker EG-1 178 64 15 178 0 Homo sapiens (Human) SwissProt::Q9H204 1 SwissProt MAAPLGGMFSGQPPGPPQAPPGLPGQASLLQAAPGAPRPSSSTLVDELESSFEACFASLVSQDYVNGTDQEEIRTGVDQCIQKFLDIARQTECFFLQKRLQLSVQKPEQVIKEDVSELRNELQRKDALVQKHLTKLRHWQQVLEDINVQHKKPADIPQGSLAYLEQASANIPAPLKPT
NP_112540.2 1 122 0.494667213114754 Urocortin-3; Urocortin III; Ucn III 164 0 15 122 0 Mus musculus (Mouse) SwissProt::Q924A4 1 SwissProt MLMPTYFLLPLLLLLGGPRTSLSHKFYNTGPVFSCLNTALSEVKKNKLEDVPLLSKKSFGHLPTQDPSGEEDDNQTHLQIKRTFSGAAGGNGAGSTRYRYQSQAQHKGKLYPDKPKSDRGTK
NP_113851.1 1 530 0.127720566037736 PF07690.16:MFS_1:105:395,PF00083.24:Sugar_tr:117:261 Vesicular acetylcholine transporter; VAChT; rVAT; Solute carrier family 18 member 3 530 291 15 307 10 Rattus norvegicus (Rat) SwissProt::Q62666 1 SwissProt MEPTAPTGQARAAATKLSEAVGAALQEPQRQRRLVLVIVCVALLLDNMLYMVIVPIVPDYIAHMRGGSEGPTLVSEVWEPTLPPPTLANASAYLANTSASPTAAGSARSILRPRYPTESEDVKIGVLFASKAILQLLVNPLSGPFIDRMSYDVPLLIGLGVMFASTVMFAFAEDYATLFAARSLQGLGSAFADTSGIAMIADKYPEEPERSRALGVALAFISFGSLVAPPFGGILYEFAGKRVPFLVLAAVSLFDALLLLAVAKPFSAAARARANLPVGTPIHRLMLDPYIAVVAGALTTCNIPLAFLEPTIATWMKHTMAASEWEMGMVWLPAFVPHVLGVYLTVRLAARYPHLQWLYGALGLAVIGVSSCVVPACRSFAPLVVSLCGLCFGIALVDTALLPTLAFLVDVRHVSVYGSVYAIADISYSVAYALGPIVAGHIVHSLGFEQLSLGMGLANLLYAPVLLLLRNVGLLTRSRSERDVLLDEPPQGLYDAVRLREVQGKDGGEPCSPPGPFDGCEDDYNYYSRS
NP_116186.1 1 373 0.175344772117963 PF07992.14:Pyr_redox_2:13:299,PF00070.27:Pyr_redox:145:213,PF13738.6:Pyr_redox_3:103:284,PF13434.6:K_oxygenase:103:180 Apoptosis-inducing factor 2; Apoptosis-inducing factor homologous mitochondrion-associated inducer of death; Apoptosis-inducing factor-like mitochondrion-associated inducer of death; p53-responsive gene 3 protein; EC 1.-.-.- 373 287 15 350 1 Homo sapiens (Human) SwissProt::Q9BRQ8 1 SwissProt MGSQVSVESGALHVVIVGGGFGGIAAASQLQALNVPFMLVDMKDSFHHNVAALRASVETGFAKKTFISYSVTFKDNFRQGLVVGIDLKNQMVLLQGGEALPFSHLILATGSTGPFPGKFNEVSSQQAAIQAYEDMVRQVQRSRFIVVVGGGSAGVEMAAEIKTEYPEKEVTLIHSQVALADKELLPSVRQEVKEILLRKGVQLLLSERVSNLEELPLNEYREYIKVQTDKGTEVATNLVILCTGIKINSSAYRKAFESRLASSGALRVNEHLQVEGHSNVYAIGDCADVRTPKMAYLAGLHANIAVANIVNSVKQRPLQAYKPGALTFLLSMGRNDGVGQISGFYVGRLMVRLTKSRDLFVSTSWKTMRQSPP
NP_171880.1 210 506 0.513518181818182 Zinc finger protein MAGPIE; Protein POLLEN SPECIFIC GENE 3; Protein indeterminate-domain 3; AtIDD3 506 0 15 297 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZWA6 1 SwissProt LKSFAATAGSNLNYHYLMGTLIPSPSLPQPPSFPFGPPQPQHHHHHQFPITTNNFDHQDVMKPASTLSLWSGGNINHHQQVTIEDRMAPQPHSPQEDYNWVFGNANNHGELITTSDSLITHDNNINIVQSKENANGATSLSVPSLFSSVDQITQDANAASVAVANMSATALLQKAAQMGATSSTSPTTTITTDQSAYLQSFASKSNQIVEDGGSDRFFASFGSNSVELMSNNNNGLHEIGNPRNGVTVVSGMGELQNYPWKRRRVDIGNAGGGGQTRDFLGVGVQTICHSSSINGWI
NP_171986.3 1 274 0.131206934306569 PF16166.5:TIC20:94:267 Protein TIC 20-I, chloroplastic; Translocon at the inner envelope membrane of chloroplasts 20-I; AtTIC20-I 274 174 15 205 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GZ79 1 SwissProt MITGYSTPSAHVLMSSRAFKSSSYRAAAGQTQHYLARSSLPVVKNSWGSPPSPFNELPRVSRGVPLSYLSASSSLLLNGEQGSLSGTLPVLPVRRKTLLTPRASKDVPSSFRFPPMTKKPQWWWRTLACLPYLMPLHETWMYAETAYHLHPFLEDFEFLTYPFLGAIGRLPSWFLMAYFFVAYLGIVRRKEWPHFFRFHVVMGMLLEIALQVIGTVSKWMPLGVYWGKFGMHFWTAVAFAYLFTVLESIRCALAGMYADIPFVCDAAYIQIPYD
NP_172289.1 1 522 0.112758045977012 PF07690.16:MFS_1:68:423 High-affinity nitrate transporter 2.2; AtNRT2:2 522 356 15 280 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LMZ9 1 SwissProt MGSTDEPGSSMHGVTGREQSYAFSVDGSEPTNTKKKYNLPVDAEDKATVFKLFSFAKPHMRTFHLSWISFSTCFVSTFAAAPLIPIIRENLNLTKHDIGNAGVASVSGSIFSRLVMGAVCDLLGPRYGCAFLVMLSAPTVFSMSFVSDAAGFITVRFMIGFCLATFVSCQYWMSTMFNSQIIGLVNGTAAGWGNMGGGITQLLMPIVYEIIRRCGSTAFTAWRIAFFVPGWLHIIMGILVLTLGQDLPGGNRAAMEKAGEVAKDKFGKILWYAVTNYRTWIFVLLYGYSMGVELSTDNVIAEYFFDRFHLKLHTAGIIAACFGMANFFARPAGGWASDIAAKRFGMRGRLWTLWIIQTSGGLFCVWLGRANTLVTAVVSMVLFSLGAQAACGATFAIVPFVSRRALGIISGLTGAGGNFGSGLTQLVFFSTSRFTTEEGLTWMGVMIVACTLPVTLIHFPQWGSMFFPPSNDSVDATEHYYVGEYSKEEQQIGMHLKSKLFADGAKTEGGSSVHKGNATNNA
NP_172483.4 1 366 0.550304371584699 PF00010.26:HLH:215:263 Transcription factor bHLH74; Basic helix-loop-helix protein 74; AtbHLH74; bHLH 74; Protein ACTIVATOR FOR CELL ELONGATION 2; Transcription factor EN 90; bHLH transcription factor bHLH074 366 49 15 366 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NKN9 1 SwissProt MGGESNEGGEMGFKHGDDESGGISRVGITSMPLYAKADPFFSSADWDPVVNAAAAGFSSSHYHPSMAMDNPGMSCFSHYQPGSVSGFAADMPASLLPFGDCGGGQIGHFLGSDKKGERLIRAGESSHEDHHQVSDDAVLGASPVGKRRLPEAESQWNKKAVEEFQEDPQRGNDQSQKKHKNDQSKETVNKESSQSEEAPKENYIHMRARRGQATNSHSLAERVRREKISERMRLLQELVPGCNKITGKAVMLDEIINYVQSLQQQVEFLSMKLATVNPEINIDIDRILAKDLLQSRDRNTPTLGLNPFAGFQGNIPNLSATTNPQYNPLPQTTLESELQNLYQMGFVSNPSTMSSFSPNGRLKPEL
NP_173213.2 1 279 0.236958781362007 PF06888.12:Put_Phosphatase:7:239,PF12710.7:HAD:9:187 phosphoethanolamine/phosphocholine phosphatase (EC 3.1.3.75) 279 233 15 279 0 Arabidopsis thaliana BRENDA::Q9FZ62 1 BRENDA MAKNNNIVIVFDFDKTIIDVDSDNWVVDELGFTDLFNQLLPTMPWNSLMNRMMKELHDHGKTIEEIKQVLRRIPIHPRVIPAIKSAHALGCELRIVSDANTLFIETIIEHLGIGEFFSEINTNPGLVDEQGRLIVSPYHDFTKSSHGCSRCPPNMCKGLIIDRIQASLTKEGKTSKMIYLGDGAGDYCPSLGLKAEDYMMPRKNFPVWDLISQNPMLVKATVRDWTDGEDMERILMEIINEIMSSEEGEENDKMLSSENCKISVGIVHEPIQVPLNLVK
NP_177507.1 1 733 0.504275852660299 PF14381.6:EDR1:179:383 Probable serine/threonine-protein kinase SIS8; MAPKK kinase SIS8; Protein SUGAR INSENSITIVE 8; EC 2.7.11.1 1030 205 15 733 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9U5 1 SwissProt MKVKEETLKNLGDGVVLRPVDHCSSIWSMKMNMKNFLKKLHISPNQSDEAEGSISTTKSNHHKSIDVSSSSSPRSHHSNSPEIKPFSGLSNWLSSVGHRKIPSPPNSFNAKNRAATVDDTVVVNGSEHVDLGSKDPAVEEENQIQLALELSAREDPEATQIEAIKQFSLGSCAPENSPAELIAYRYWNYNCLGYDDKILDGFYDLYGVLNASSAERIPPLLDLQGTPVSDGVTWEAVLVNRSGDSNLLRLEQMALDIAAKSRSVSSSGFVNSELVRKLAILVGDYMGGPVVHPESMLRAWRSLSYSLKATLGSMVLPLGSLTIGLARHRALLFKVLCDSVGVPCRIVKGQQYTGSEDVAMNFIKADDGREYIVDLMGDPGTLIPADAAGLQIDYDESAYSASPGDNDSIHVASSSNGIESSYEENTEFRTGEHRSSTKSSGERNQSGGGGDLIVHPNISREDVKNQKKVEKAPFQNLSSRPIHSFTHMRSPSWTEGVSSPAAQRMKVKDVSQYMIDAAKENPRLAQKLHDVLLESGVVAPPNLFSEVYPQQLEATVESKNSTEAKKERGKDLETTQEGRHQNGFGPVRFLPPLPRVQSKTNAHDQRDNGKVVSQSDSSHSEASSTEYARTVPAAVAAAAVVASSMVAAAAAKSANSDSSPIELPAAAAATATAAAVVATAAAVSRQLELGSNSDGDDGSGGHEPQGSGDSNHGPNSGGERISDKSIGNESSKS
NP_181657.1 1 242 0.363948347107438 PF00010.26:HLH:62:114 Transcription factor bHLH100; Basic helix-loop-helix protein 100; AtbHLH100; bHLH 100; Transcription factor EN 7; bHLH transcription factor bHLH100 242 53 15 242 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVB5 1 SwissProt MCALVPPLYPNFGWPCGDHSFYETDDVSNTFLDFPLPDLTVTHENVSSENNRTLLDNPVVMKKLNHNASERERRKKINTMFSSLRSCLPPTNQTKKLSVSATVSQALKYIPELQEQVKKLMKKKEELSFQISGQRDLVYTDQNSKSEEGVTSYASTVSSTRLSETEVMVQISSLQTEKCSFGNVLSGVEEDGLVLVGASSSRSHGERLFYSMHLQIKNGQVNSEELGDRLLYLYEKCGHSFT
NP_187805.1 1 616 0.249298376623376 PF07993.12:NAD_binding_4:135:437,PF03015.19:Sterile:542:613,PF01370.21:Epimerase:134:288 Fatty acyl-CoA reductase 2; Fatty acid reductase 2; Male sterility protein 2; EC 1.2.1.84 616 376 15 616 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q08891 1 SwissProt MEALFLSSSSSSIVASNKLTRLHNHCVWSTVIRDKKRFGPTWCRVGGGGDGGRNSNAERPIRVSSLLKDRGQVLIREQSSPAMDAETLVLSPNGNGRTIEINGVKTLMPFSGASMVGMKEGLGIISFLQGKKFLITGSTGFLAKVLIEKVLRMAPDVSKIYLLIKAKSKEAAIERLKNEVLDAELFNTLKETHGASYMSFMLTKLIPVTGNICDSNIGLQADSAEEIAKEVDVIINSAANTTFNERYDVALDINTRGPGNLMGFAKKCKKLKLFLQVSTAYVNGQRQGRIMEKPFSMGDCIATENFLEGNRKALDVDREMKLALEAARKGTQNQDEAQKMKDLGLERARSYGWQDTYVFTKAMGEMMINSTRGDVPVVIIRPSVIESTYKDPFPGWMEGNRMMDPIVLCYGKGQLTGFLVDPKGVLDVVPADMVVNATLAAIAKHGMAMSDPEPEINVYQIASSAINPLVFEDLAELLYNHYKTSPCMDSKGDPIMVRLMKLFNSVDDFSDHLWRDAQERSGLMSGMSSVDSKMMQKLKFICKKSVEQAKHLATIYEPYTFYGGRFDNSNTQRLMENMSEDEKREFGFDVGSINWTDYITNVHIPGLRRHVLKGRA
NP_187874.2 1 345 0.44444347826087 PF00642.24:zf-CCCH:177:200,PF00642.24:zf-CCCH:227:252,PF00642.24:zf-CCCH:274:295,PF14608.6:zf-CCCH_2:179:200,PF14608.6:zf-CCCH_2:231:251,PF14608.6:zf-CCCH_2:274:294 Zinc finger CCCH domain-containing protein 37; AtC3H37; ENHANCER OF AG-4 protein 1 524 72 15 345 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q941Q3 1 SwissProt MAHRQLYSYALQPSYAAAASTVSPAPPPPQQPLPPKTGLSSLYGSSADHYFPDTTYRFLARDGSEALSNYSGTLASSSSMYHHLPNTTASHLAYPQLLQHQEVAWPPGVEVPGAASAVEPLPPGVKRTSEALYYPTLLGAHNTIGQTEAWYTTDYFTKRPKLESTSHLPIYPQRAGEKDCTHYMQTRTCKFGESCRFDHPIWVPEGGIPDWKEAPVVPNEEYPERPGEPDCPYYIKTQRCKYGSKCKFNHPREEAAVSVETQDSLPERPSEPMCTFYMKTGKCKFGLSCKFHHPKDIQLPSSSQDIGSSVGLTSEPDATNNPHVTFTPALYHNSKGLPVRSGEVD
NP_188557.1 1 296 0.0375287162162162 PF03798.16:TRAM_LAG1_CLN8:73:270 ceramide synthase 2 296 198 15 187 5 Arabidopsis thaliana metacyc::MONOMER-20772 1 metacyc MESVSSRGGDPVVKPSMEVWHFQIAVYFAFGFFFLRLVLDRYVFQRIALWLLSTGSAPIKLNDAATRAKIVKCKESLWKLLYYAACDFFVLQVIYHEPWARDIKLYFHGWPNQELKLSIKLYYMCQCGFYVYGVAALLAWETRRKDFAVMMSHHVITIILLSYSYLTSFFRIGAIILALHDASDVFMETAKIFKYSEKEFGASVCFALFAVSWLLLRLIYFPFWIIRATSIELLDYLDMTSAEGTLMYYSFNTMLLMLLVFHIYWWYLICAMIVRLLKNRGKVGEDIRSDSEDDDD
NP_189461.1 51 186 0.276522794117647 PF01428.16:zf-AN1:53:92 zinc finger (AN1-like) family protein 186 40 15 136 0 Arabidopsis thaliana NP_189461.1 1 RefSeq PKSDHGSRTVSICETCSIAIETTGFDEKGIKSLLEKHERSGDCDPNKKKKPTCPVKRCKEILTFANNLTCKYCGVKFCLKHRFPTDHVCNKKIINTAGTSSRWNERFMEALSLRNQKGCGRGSSVSSKSSPSVRSF
NP_189488.1 117 317 0.454169154228856 Transcription factor MYB35; Myb-related protein 35; AtMYB35; Protein DEFECTIVE IN TAPETAL DEVELOPMENT AND FUNCTION 1 317 0 15 201 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSI7 1 SwissProt MKMGIDPVTHKPVSQLLAEFRNISGHGNASFKTEPSNNSILTQSNSAWEMMRNTTTNHESYYTNSPMMFTNSSEYQTTPFHFYSHPNHLLNGTTSSCSSSSSSTSITQPNQVPQTPVTNFYWSDFLLSDPVPQVVGSSATSDLTFTQNEHHFNIEAEYISQNIDSKASGTCHSASSFVDEILDKDQEMLSQFPQLLNDFDY
NP_191873.1 1 406 0.247021428571429 PF01370.21:Epimerase:90:300,PF13460.6:NAD_binding_10:90:236 Chloroplast stem-loop binding protein of 41 kDa a, chloroplastic; CSP41-a 406 211 15 406 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LYA9 1 SwissProt MAALSSSSLFFSSKTTSPISNLLIPPSLHRFSLPSSSSSFSSLSSSSSSSSSLLTFSLRTSRRLSPQKFTVKASSVGEKKNVLIVNTNSGGHAVIGFYFAKELLSAGHAVTILTVGDESSEKMKKPPFNRFSEIVSGGGKTVWGNPANVANVVGGETFDVVLDNNGKDLDTVRPVVDWAKSSGVKQFLFISSAGIYKSTEQPPHVEGDAVKADAGHVVVEKYLAETFGNWASFRPQYMIGSGNNKDCEEWFFDRIVRDRAVPIPGSGLQLTNISHVRDLSSMLTSAVANPEAASGNIFNCVSDRAVTLDGMAKLCAAAAGKTVEIVHYDPKAIGVDAKKAFLFRNMHFYAEPRAAKDLLGWESKTNLPEDLKERFEEYVKIGRDKKEIKFELDDKILEALKTPVAA
NP_192419.1 118 324 0.486762801932367 Transcription factor MYB74; Myb-related protein 74; AtMYB74 324 0 15 207 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M0Y5 1 SwissProt LKMGIDPVTHTPRLDLLDISSILSSSIYNSSHHHHHHHQQHMNMSRLMMSDGNHQPLVNPEILKLATSLFSNQNHPNNTHENNTVNQTEVNQYQTGYNMPGNEELQSWFPIMDQFTNFQDLMPMKTTVQNSLSYDDDCSKSNFVLEPYYSDFASVLTTPSSSPTPLNSSSSTYINSSTCSTEDEKESYYSDNITNYSFDVNGFLQFQ
NP_193208.2 1 315 0.56574888888889 PF06200.14:tify:157:185,PF09425.10:CCT_2:233:259 Protein TIFY 4B; Protein PEAPOD 2 315 56 15 315 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GY55 1 SwissProt MDVGVTTAKSILEKPLKLLTEEDISQLTREDCRKFLKEKGMRRPSWNKSQAIQQVLSLKALYEPGDDSGAGILRKILVSQPPNPPRVTTTLIEPRNELEACGRIPLQEDDGACHRRDSPRSAEFSGSSGQFVADKDSHKTVSVSPRSPAETNAVVGQMTIFYSGKVNVYDGVPPEKARSIMHFAANPIDLPENGIFASSRMISKPMSKEKMVELPQYGLEKAPASRDSDVEGQANRKVSLQRYLEKRKDRRFSKTKKAPGVASSSLEMFLNRQPRMNAAYSQNLSGTGHCESPENQTKSPNISVDLNSDLNSEDN
NP_195937.1 1 246 0.337580487804878 PF02469.22:Fasciclin:46:181 Fasciclin-like arabinogalactan protein 11 246 136 15 223 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LEJ6 1 SwissProt MATSRTFIFSNLFIFFLVIATTYGQAPAPGPSGPTNITAILEKAGQFTLFIRLLKSTQASDQINTQLNSSSSNGLTVFAPTDNAFNSLKSGTLNSLSDQQKVQLVQFHVLPTLITMPQFQTVSNPLRTQAGDGQNGKFPLNITSSGNQVNITTGVVSATVANSVYSDKQLAVYQVDQVLLPLAMFGSSVAPAPAPEKGGSVSKGSASGGDDGGDSTDSSDAERTGFGFGIRITTVAAIAASSSLWI
NP_196235.1 1 491 0.059186150712831 PF07690.16:MFS_1:46:448,PF13347.6:MFS_2:39:291 Sucrose transport protein SUC9; Sucrose permease 9; Sucrose-proton symporter 9 491 410 15 252 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FG00 1 SwissProt MSDIQAKEDAAPVDRQSSSSVVVPDEPSPLRKMISVASIAAGIQFGWALQLSLLTPYVQLLGVPHKWSSFIWLCGPISGLLVQPTVGYFSDRCKSRFGRRRPFIATGALLVALAVILIGFAADFGHTMGDKLDEAVKIRAVGFFVVGFWILDVANNTLQGPCRAFLGDLAAGDAKKTRTANAIFSFFMAVGNVLGYAAGSYTNLHKIFPFTVTKACDIYCANLKSCFIISITLLIVLTIIALWYVEDKQWSPNADSDNEKTPFFGEIFGAFKVMKRPMWMLLAVTALNWIAWFPFLLYDTDWMGREVYGGDSAGDDKMKKLYNHGIQVGSLGLMLNSIVLGVMSLVIGVISKKIGAKRLWGAVNIILAVCLAMTVLVTKKAEEHRKIAGRMALPTNAIRDGALSLFAILGIPLAITFSIPFALASIISSSSGAGQGLSLGVLNMAIVIPQMIVSFGVGPIDALFGGGNLPGFVVGAIAALISSVVALTVLP
NP_198507.1 1 134 0.0512253731343284 PF00321.17:Thionin:25:70 Thionin-2.2 134 46 15 134 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q42597 1 SwissProt MEGKTVISSLLIMSLVLAQIQVEAKICCPTKDDRSVYFVCMLSVSSQFYCLLKSKCKNTSQTICPPGYTNDILENSGDAVNEYCKLGCASSVCGALTTLQNFDTSKVLSEAVEQCTKACSSVCTGGSTAAVKSA
NP_199522.2 1 258 0.301331007751938 Light-harvesting complex-like protein 3 isotype 2, chloroplastic; LHC-like protein 3 isoform 2 258 0 15 258 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NKS4 1 SwissProt MSISMALFSPPISSSLQNPNLIPKISTSLLSTKRFSLISVPRASSDNGTTSPVVEIPKPASVAVEEVPVKSPAESSSASENGAVGGEATDSSTETVIKYQNAKWVNGTWDLKQFEKDGKTDWDSVIVSEAKRRKWLEDNPETTSNDELVVFDTSIIPWWAWMKRYHLPEAELLNGRAAMIGFFMAYFVDSLTGVGLVDQMGNFFCKTLLFVAVAGVLFIRKNEDLDKLKDLFDETTLYDKQWQAAWKEPDSSTVSSKK
NP_206823.1 1 190 0.0784447368421053 PF01569.21:PAP2:85:184 Lipid A 1-phosphatase; EC 3.1.-.- 190 100 15 111 4 Helicobacter pylori (strain ATCC 700392 / 26695) (Campylobacter pylori) SwissProt::O24866 1 SwissProt MKKFLFKQKFCESLPKSFSKTLLALSLGLILLGIFAPFPKVPKQPSVPLMFHFTEHYARFIPTILSVAIPLIQRDAVGLFQVANASIATTLLTHTTKRALNHVTINDQRLGERPYGGNFNMPSGHSSMVGLAVAFLMRRYSFKKYFWLLPLVPLTMLARIYLDMHTIGAVLTGLGVGMLCVSLFTSPKKP
NP_215733.1 1 548 0.0696651459854016 Multidrug efflux system permease protein Rv1217c 548 0 15 275 12 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O05318 0 SwissProt MSSTVIDRARPAGHRAPHRGSGFTGTLGLLRLYLRRDRVSLPLWVLLLSVPLATVYIASVETVYPDRSARAAAAAAIMASPAQRALYGPVYNDSLGAVGIWKAGMFHTLIAVAVILTVIRHTRADEESGRAELIDSTVVGRYANLTGALLLSFGASIATGAIGALGLLATDVAPAGSVAFGVALAASGMVFTAVAAVAAQLSPSARFTRAVAFAVLGTAFALRAIGDAGSGTLSWCSPLGWSLQVRPYAGERWWVLLLSLATAAVLTVLAYRLRAGRDVGAGLIAERPGAGTAGPMLSEPFGLAWRLNRGSLLLWTVGLCLYGLVMGSVVHGIGDQLGDNTAVRDIVTRMGGTGALEQAFLALAFTMIGMVAAAFAVSLTLRLHQEETGLRAETLLAGAVSRTHWLASHLAMALAGSAVATLISGVAAGLAYGMTVGDVGGKLPTVVGTAAVQLPAVWLLSAVTVGLFGLAPRFTPVAWGVLVGFIALYLLGSLAGFPQMLLNLEPFAHIPRVGGGDFTAVPLLWLLAIDAALITLGAMAFRRRDVRC
NP_215972.1 1 310 0.0724041935483871 PF02628.15:COX15-CtaA:17:291 antibiotic ABC transporter permease 310 275 15 129 8 Mycobacterium tuberculosis H37Rv NP_215972.1 0 RefSeq MPYDRAVSPSLRVQRVIAAIVILTQGGIAVTGAIVRVTASGLGCPTWPQCFPGSFTPVVVAEVPRVHQAVEFGNRMVTFAVVIAAALAVLVVTRARRRTEVLAYAWLMPVSTVVQAMIGGITVRTGLLWWTVAIHLLASMTMVWLAVLLYVKIGQPDDGVVHELVVSPLRALTALSALNLAAVLVTGTLVTAAGPHAGDRSPSRTVPRLKVEITTLVHMHSSLLVAYLALLIGLGFGLLAVGATRAILVRLAVLLALVATQAAVGTTQYFTGVPAALVAIHVAGAAAVTAATAALWASMGERAQPQPLQR
NP_219608.1 1 656 0.264368140243903 hypothetical protein 656 0 15 656 0 Chlamydia trachomatis D/UW-3/CX NP_219608.1 1 RefSeq MSFGIGSACSSLWSRLCGSSGSEGNSEEGVTSSGSDAASGSGAASAVCQQPTSSASTEGNGPSVQIPMVGTYSANVQSLVNQGHGGRGFVNRCYQKYSASGVSFTSISIGGGDSVDGPLPSVVITQQPQGSGSSARGAGSLQMGAVLSGMSTLTGNSIFDLFGESQITNLIGDAVDGTSTSSSPLRDATKGASTADLIALFLALGGSGSQGVNSPLVATLLSRYSLSGSLDTKEIQELLEALKKLQIAAPTLDGARPGLGECCTHLCGALSSSSNPIVSAVGIAGTGLTELLMLAAKSQRVRRGAVLCHDACKPCCTSACGYPSCGCADGEGGCGSFGALVCSCAELWCCQESPTEEANLEEYARKLEALEVAVGSTTFMLGLHNLGISFSDLVKGNVPNLPTPEQLETACKEAVSSLGKLMMRMTQEKWLSRLYSCAGILDNPFWKGAICSGLAGGTYMLPLQDLTSRVKVVTSSGNKQEAENLDLELLLPALSSLHVTGTEEDCDDEGQGLNTDQLTMLLCKFCSVLSAAIGNEHIPIWLTPKQMTEILCVCMVMSGISIVGGPSNQSTEYQEFQRVVMQESLTHLRSSLRASKRTNNRTRAEVRKLVVKYTSQSAFLTLLEGLRDPNSKESKDLMRECFASWAQKAGVQSSSI
NP_249207.1 1 392 0.246798469387755 PF02239.16:Cytochrom_D1:25:386 heme d1 biosynthesis protein NirF 392 362 15 392 0 Pseudomonas aeruginosa PAO1 NP_249207.1 1 RefSeq MNLRPLAPLLLTLLAGCSQQPPLRGSGDLGVLIERADGSVQILDGTAKTSLARVEGLGDLSHASLVFSRDQRYAYVFGRDGGLTKLDLLAQRIDKRLIQGGNSIGGAISQDGRLVAVSNYEPGGVKVFDSRTLELVAEIPATRLPGQDRNSRVVGLVDAPGQRFVFSLFDSGEIWIADFSQGDTPHLTRFRDIGKQPYDALISPDGRYYMAGLFGEDGMAQLDLWHPERGVRRVLGDYGRGQRKLPVYKMPHLEGWTIASDQAFVPAVGHHQVLVLDARDWKQTDAIDVAGQPVFVMTRPDDRQIWVNFAYPDNDKVQVIDSETHEVIETLRPGPGVLHMEFSGRGDQVWISVRDADQLQVWDPYRLKRIGSLPARSPSGIFFSHRAQHIGL
NP_274477.1 1 107 0.546217757009346 hypothetical protein 107 0 15 107 0 Neisseria meningitidis MC58 NP_274477.1 1 RefSeq MKKLLIAAMMAAALAACSQEAKQEVKEAVQAVESDVKDTAASAAESAASAVEEAKDQVKDAAADAKASAEEAVTEAKEAVTEAAKDTLNKAADATQEAADKMKDAAK
NP_416448.1 1 552 0.44457518115942 PF01514.17:YscJ_FliF:30:218,PF08345.11:YscJ_FliF_C:250:429 flagellar basal-body MS-ring and collar protein 552 369 15 506 2 Escherichia coli K-12 substr. MG1655 ecocyc::FLIF-FLAGELLAR-MS-RING 1 ecocyc MNATAAQTKSLEWLNRLRANPKIPLIVAGSAAVAVMVALILWAKAPDYRTLFSNLSDQDGGAIVSQLTQMNIPYRFSEASGAIEVPADKVHELRLRLAQQGLPKGGAVGFELLDQEKFGISQFSEQVNYQRALEGELSRTIETIGPVKGARVHLAMPKPSLFVREQKSPSASVTVNLLPGRALDEGQISAIVHLVSSAVAGLPPGNVTLVDQGGHLLTQSNTSGRDLNDAQLKYASDVEGRIQRRIEAILSPIVGNGNIHAQVTAQLDFASKEQTEEQYRPNGDESHAALRSRQLNESEQSGSGYPGGVPGALSNQPAPANNAPISTPPANQNNRQQQASTTSNSGPRSTQRNETSNYEVDRTIRHTKMNVGDVQRLSVAVVVNYKTLPDGKPLPLSNEQMKQIEDLTREAMGFSEKRGDSLNVVNSPFNSSDESGGELPFWQQQAFIDQLLAAGRWLLVLLVAWLLWRKAVRPQLTRRAEAMKAVQQQAQAREEVEDAVEVRLSKDEQLQQRRANQRLGAEVMSQRIREMSDNDPRVVALVIRQWINNDHE
NP_417316.1 1 311 0.164896463022508 PF03466.20:LysR_substrate:92:293,PF00126.27:HTH_1:6:64 DNA-binding transcriptional dual regulator LysR 311 261 15 311 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD00360 1 ecocyc MAAVNLRHIEIFHAVMTAGSLTEAAHLLHTSQPTVSRELARFEKVIGLKLFERVRGRLHPTVQGLRLFEEVQRSWYGLDRIVSAAESLREFRQGELSIACLPVFSQSFLPQLLQPFLARYPDVSLNIVPQESPLLEEWLSAQRHDLGLTETLHTPAGTERTELLSLDEVCVLPPGHPLAVKKVLTPDDFQGENYISLSRTDSYRQLLDQLFTEHQVKRRMIVETHSAASVCAMVRAGVGISVVNPLTALDYAASGLVVRRFSIAVPFTVSLIRPLHRPSSALVQAFSGHLQAGLPKLVTSLDAILSSATTA
NP_417411.2 1 252 0.327622619047619 PF01435.18:Peptidase_M48:71:245 metalloprotease LoiP 252 175 15 252 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11291-MONOMER 1 ecocyc MKIRALLVAMSVATVLTGCQNMDSNGLLSSGAEAFQAYSLSDAQVKTLSDQACQEMDSKATIAPANSEYAKRLTTIANALGNNINGQPVNYKVYMAKDVNAFAMANGCIRVYSGLMDMMTDNEVEAVIGHEMGHVALGHVKKGMQVALGTNAVRVAAASAGGIVGSLSQSQLGNLGEKLVNSQFSQRQEAEADDYSYDLLRQRGISPAGLATSFEKLAKLEEGRQSSMFDDHPASAERAQHIRDRMSADGIK
NP_417691.4 1 496 0.0400889112903226 PF07690.16:MFS_1:26:288,PF07690.16:MFS_1:282:452,PF00083.24:Sugar_tr:25:200 N-acetylneuraminate:H+ symporter 496 428 15 234 12 Escherichia coli K-12 substr. MG1655 ecocyc::NANT-MONOMER 0 ecocyc MSTTTQNIPWYRHLNRAQWRAFSAAWLGYLLDGFDFVLIALVLTEVQGEFGLTTVQAASLISAAFISRWFGGLMLGAMGDRYGRRLAMVTSIVLFSAGTLACGFAPGYITMFIARLVIGMGMAGEYGSSATYVIESWPKHLRNKASGFLISGFSVGAVVAAQVYSLVVPVWGWRALFFIGILPIIFALWLRKNIPEAEDWKEKHAGKAPVRTMVDILYRGEHRIANIVMTLAAATALWFCFAGNLQNAAIVAVLGLLCAAIFISFMVQSAGKRWPTGVMLMVVVLFAFLYSWPIQALLPTYLKTDLAYNPHTVANVLFFSGFGAAVGCCVGGFLGDWLGTRKAYVCSLLASQLLIIPVFAIGGANVWVLGLLLFFQQMLGQGIAGILPKLIGGYFDTDQRAAGLGFTYNVGALGGALAPIIGALIAQRLDLGTALASLSFSLTFVVILLIGLDMPSRVQRWLRPEALRTHDAIDGKPFSGAVPFGSAKNDLVKTKS
NP_417847.1 1 333 0.856268768768768 cell division protein DamX 428 0 15 310 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11183-MONOMER 1 ecocyc MDEFKPEDELKPDPSDRRTGRSRQSSERSERTERGEPQINFDDIELDDTDDRRPTRAQKERNEEPEIEEEIDESEDETVDEERVERRPRKRKKAASKPASRQYMMMGVGILVLLLLIIGIGSALKAPSTTSSDQTASGEKSIDLAGNATDQANGVQPAPGTTSAENTQQDVSLPPISSTPTQGQTPVATDGQQRVEVQGDLNNALTQPQNQQQLNNVAVNSTLPTEPATVAPVRNGNASRDTAKTQTAERPSTTRPARQQAVIEPKKPQATVKTEPKPVAQTPKRTEPAAPVASTKAPAATSTPAPKETATTAPVQTASPAQTTATPAAGAKT
NP_418090.1 1 219 0.118692694063927 PF04413.16:Glycos_transf_N:33:211 KDO transferase (EC 2.4.99.13; EC 2.4.99.12) 425 179 15 199 1 Escherichia coli K-12 substr. MG1655 ecocyc::KDOTRANS-MONOMER 1 ecocyc MLELLYTALLYLIQPLIWIRLWVRGRKAPAYRKRWGERYGFYRHPLKPGGIMLHSVSVGETLAAIPLVRALRHRYPDLPITVTTMTPTGSERVQSAFGKDVQHVYLPYDLPDALNRFLNKVDPKLVLIMETELWPNLIAALHKRKIPLVIANARLSARSAAGYAKLGKFVRRLLRRITLIAAQNEEDGARFVALGAKNNQVTVTGSLKFDISVTPQLAA
NP_418543.1 185 451 0.198774906367041 PF11975.8:Glyco_hydro_4C:14:237 α-galactosidase (EC 3.2.1.22) 451 224 15 267 0 Escherichia coli K-12 substr. MG1655 ecocyc::ALPHAGALACTOSID-MONOMER 1 ecocyc RDLNIDPATLRYRCAGINHMAFYLELERKTADGSYVNLYPELLAAYEAGQAPKPNIHGNTRCQNIVRYEMFKKLGYFVTESSEHFAEYTPWFIKPGREDLIERYKVPLDEYPKRCVEQLANWHKELEEYKKASRIDIKPSREYASTIMNAIWTGEPSVIYGNVRNDGLIDNLPQGCCVEVACLVDANGIQPTKVGTLPSHLAALMQTNINVQTLLTEAILTENRDRVYHAAMMDPHTAAVLGIDEIYALVDDLIAAHGDWLPGWLHR
NP_418561.1 1 433 0.0310766743648961 PF03605.14:DcuA_DcuB:5:365 C4-dicarboxylate transporter DcuA 433 361 15 191 11 Escherichia coli K-12 substr. MG1655 ecocyc::DCUA-MONOMER 0 ecocyc MLVVELIIVLLAIFLGARLGGIGIGFAGGLGVLVLAAIGVKPGNIPFDVISIIMAVIAAISAMQVAGGLDYLVHQTEKLLRRNPKYITILAPIVTYFLTIFAGTGNISLATLPVIAEVAKEQGVKPCRPLSTAVVSAQIAITASPISAAVVYMSSVMEGHGISYLHLLSVVIPSTLLAVLVMSFLVTMLFNSKLSDDPIYRKRLEEGLVELRGEKQIEIKSGAKTSVWLFLLGVVGVVIYAIINSPSMGLVEKPLMNTTNAILIIMLSVATLTTVICKVDTDNILNSSTFKAGMSACICILGVAWLGDTFVSNNIDWIKDTAGEVIQGHPWLLAVIFFFASALLYSQAATAKALMPMALALNVSPLTAVASFAAVSGLFILPTYPTLVAAVQMDDTGTTRIGKFVFNHPFFIPGTLGVALAVCFGFVLGSFML
NP_443202.3 1 686 0.261935131195335 Heat shock 70 kDa protein 12B 686 0 15 686 0 Homo sapiens (Human) SwissProt::Q96MM6 1 SwissProt MLAVPEMGLQGLYIGSSPERSPVPSPPGSPRTQESCGIAPLTPSQSPKPEVRAPQQASFSVVVAIDFGTTSSGYAFSFASDPEAIHMMRKWEGGDPGVAHQKTPTCLLLTPEGAFHSFGYTARDYYHDLDPEEARDWLYFEKFKMKIHSATDLTLKTQLEAVNGKTMPALEVFAHALRFFREHALQELREQSPSLPEKDTVRWVLTVPAIWKQPAKQFMREAAYLAGLVSRENAEQLLIALEPEAASVYCRKLRLHQLLDLSGRAPGGGRLGERRSIDSSFRQAREQLRRSRHSRTFLVESGVGELWAEMQAGDRYVVADCGGGTVDLTVHQLEQPHGTLKELYKASGGPYGAVGVDLAFEQLLCRIFGEDFIATFKRQRPAAWVDLTIAFEARKRTAGPHRAGALNISLPFSFIDFYRKQRGHNVETALRRSSVNFVKWSSQGMLRMSCEAMNELFQPTVSGIIQHIEALLARPEVQGVKLLFLVGGFAESAVLQHAVQAALGARGLRVVVPHDVGLTILKGAVLFGQAPGVVRVRRSPLTYGVGVLNRFVPGRHPPEKLLVRDGRRWCTDVFERFVAAEQSVALGEEVRRSYCPARPGQRRVLINLYCCAAEDARFITDPGVRKCGALSLELEPADCGQDTAGAPPGRREIRAAMQFGDTEIKVTAVDVSTNRSVRASIDFLSN
NP_477415.1 1 1100 0.444946 PF04388.12:Hamartin:3:715 Tsc1 1100 713 15 1100 0 Drosophila melanogaster NP_477415.1 1 RefSeq MVIEKIIGDLESNMTLENEEAKRKLVELLSQNKEQWVVKFMLDYFFTTGSQRILEVLVKAQAPHDGYIFDKLDDCLKQSQHRVQSLQVFCFIVRHHPTWLYKIEKHRLIKSVFKLMTHEKEIVPLMSALLCIITLLPIIPNSVPNFLNDLFEVFGHLASWKLQNSNKLPDEKLVHLQLGLQMLFHRLYGMYPCSFIAYLVEFIKRGNGGGIFQHTIKPLLNTVRVHPMLVTATPETEVNNTRWKEMEPHDVVMECANLSLPVLLPETSNEDGSYAYPMTPGYSRMTSNTSNTDYSYQLREFQQSRNVYTRFDSFASGDDVGPIWSPHNEIATTSSGIPLTPTTSFILPLQPAMNSQLMVGMTGSSPPEAAVEATPETTPLKDMRDIKQPGRAVNSHAVRAIFAVSQPSSPMRKDQQSQFSFPDVSREAEESSHSYLEVNRGTAYDRRLSQVIQDRHNVERSVNTPCPSSLPEINSDLSLVGGSVYPSVTQEVAAVCGECNETDRNLCSVGGLHMPTSRSMHQLAKKRRNRMASYSGNGSCADSRSSAAKKASWSTEAENPMRRTKSCSALSGMRQQHLEENDDEADCSSQRQRGENGNTQKTGSRLQRSGRNLAISAPKDTARSCTHASTQTVEGLDSAPAQYENWLIELLLECKEQRIDYERNLLYPQDILDEYIKHAIKANESFDAEQGQLMCLQLEYESYRRSIHAERNRRLMGRSRDKRSLEMERDRLREQLKNFDAKNKDLANKMDQAIRLANERQNIHQEELGEMRAKYQHELEEKKCLRQANDDLQTRLTSELARHKEMNYELESLRGQVFSLGTELQHTQQQADIGLQCKQELARLEAEFIIMGEVQVRCRDRLAEIDNFRARDEELQMLQESSNLELKDLRHSLDEKTSQLESMKHKISDLQAQLANSEKAMTEQKRLLSTVKDEYEEKFKSVNKKYDVQKKIIMQMEEKLMMMMQQPQGTTGHNTCSPDTDRTDIASSIERNSPLSTSLASSESLSASLRSTELKNLHQLVDTPTIPDVLNSMAGGAQFEDEVRPPAVDLASSASTASAINIVPHALDLPSTSGGIGHTLTHPHPHPHLHLQQQQQDQLQ
NP_523747.1 1 283 0.374384805653711 PF02944.20:BESS:238:272 boundary element-associated factor of 32kD, isoform A 283 35 15 283 0 Drosophila melanogaster NP_523747.1 1 RefSeq MHVEKKSELRSLLKSGDKRCKLVEPRNTKSCVWRFFNLVQCDDHIEPYACCKTCGDLLSYSGKTGTGSLLRHRCLHSSSSNDKTVRITKAKTLREPLRVAKPKIESNVANYLGEAGALPQKWEEYEQNDEISEDIKDIIYSEDPLCYSPIHVMDDEGLDQPEKQVTVLTHSTSPAGGSSRPIGVGSGVQATVVASTSSSSSSAKQLKNNLETSIERLTAVSEQLSYIIQQNHEELTKDDDYYFALSLVPAMRHLSLSRKMYVRSKIQDILFKESEDSTLAKDE
NP_524418.2 252 839 0.764218027210883 hairless, isoform C 1059 0 15 588 0 Drosophila melanogaster NP_524418.2 1 RefSeq SAATSATVTPTSAVTTAYPKNENSTSLSFSDDNSSIQSSPWQRDQPWKQSRPRRGISKELSLFFHRPRNSTLGRAALRTAARKRRRPHEPLTTSEDQQPIFATAIKAENGDDTLKAEAAEAVEIENVAVADTTTNEIKIEKPDTIKGEDDAERLEKEPKKAVSDDSESKEASPGQQVEPQPKDETVDVEMKMNTSEDEEPMTELPRITNAVNGDLNGDLKASIGKPKSKPKPKAKLSSIIQKLIDSVPARLEQMSKTSAVIASTTTSSDRIGGGLSHALTHKVSPPSSATAAGRLVEYHTQHVSPRKRILREFEKVSLEDNGCVNNGSGGASSGGAGGKRSRAKGTSTSSPAGKASPMNLAPPQGKPSPSPGSSSSSTSPATLSTQPTRLNSSYSIHSLLGGSSGSGSSSFSSSGKKCGDHPAAIISNVHHPQHSMYQPSSSSYPRALLTSPKSPDVSGSNGGGGKSPSHTGTKKRSPPYSAGSPVDYGHSFYRDPYAGAGRPSTSGSASQDLSPPRSSPASPATTPRTVPKKTASIRREFASPSASSSSCPSPGDRSASPPERRHMQQQPHLQRSSPLHYYMYPPPP
NP_524766.2 1 891 0.602465881032547 PF00651.31:BTB:22:117,PF13909.6:zf-H2C2_5:821:845 Longitudinals lacking protein, isoform G 891 121 15 891 0 Drosophila melanogaster (Fruit fly) SwissProt::P42283 1 SwissProt MDDDQQFCLRWNNHQSTLISVFDTLLENETLVDCTLAAEGKFLKAHKVVLSACSPYFATLLQEQYDKHPIFILKDVKYQELRAMMDYMYRGEVNISQDQLAALLKAAESLQIKGLSDNRTGGGVAPKPESSGHHRGGKLSGAYTLEQTKRARLATGGAMDTSGDVSGSREGSSSPSRRRRKVRRRSMENDAHDNSNSSVLQAAASNQSILQQTGAGLAVSALVTTQLSSGPAAGTSSQASSTQQQQPLTSTNVTKKTESAKLTSSTAAPASGASASAAVQQAHLHQQQAQTTSDAINTENVQAQSQGGAQGVQGDDEDIDEGSAVGGPNSATGPNPASASASAVHAGVVVKQLASVVDKSSSNHKHKIKDNSVSSVGSEMVIEPKAEYDDDAHDENVEDLTLDEEDMTMEELDQTAGTSQGGEGSSQTYATWQHDRSQDELGLMAQDAQQRDPQDLSRKENTAPDVASTAEIQRSFQRSILNGKQRDEQKIQLPGSRRKRLSVTEVSDMLFEFYKTKSAKVPKAEQPHRQVSPTSGEILDPSTISAIAVYGTASETASKNLNADEVMRVQNATATRVVGAAAGAAASFHPRPKYTLKTAASSTEHTTAIPTSVLVANSAAALTPKPQAAVIAEALMRNGLHNFQQQLRAQEILRQQTPHRRIKEENDVEIAGGDITPTKILENLLRKQQERDLRHSECENEPGYSTEDDEEGRYHAFDDIHLMEQSGGKFGNNSGMGMFNANAHGGSASSILDAHQAFRNLEFTLSDYGGSSSNGSTTSPNGIGLDGEPVYECRHCGKKYRWKSTLRRHENVECGGKEPSHQCPYCPYKSKQRGNLGVHVRKHHTDLPQLPSKRRSKYSMNRENGMSGSMSDDSQGKLIIDFNGKGELETK
NP_536792.2 682 1463 0.494962148337595 PF00041.21:fn3:183:270 roundabout 2, isoform A 1463 88 15 759 1 Drosophila melanogaster NP_536792.2 1 RefSeq VGTRYFNSGLDLSEARASLLSGDVVELSNASVVDSTSMKLTWQIINGKYVEGFYVYARQLPNPIVNNPAPVTSNTNPLLGSTSTSASASASASALISTKPNIAAAGKRDGETNQSGGGAPTPLNTKYRMLTILNGGGASSCTITGLVQYTLYEFFIVPFYKSVEGKPSNSRIARTLEDVPSEAPYGMEALLLNSSAVFLKWKAPELKDRHGVLLNYHVIVRGIDTAHNFSRILTNVTIDAASPTLVLANLTEGVMYTVGVAAGNNAGVGPYCVPATLRLDPITKRLDPFINQRYPINQDHVNDVLTQPWFIILLGAILAVLMLSFGAMVFVKRKHMMMKQSALNTMRGNHTSDVLKMPSLSARNGNGYWLDSSTGGMVWRPSPGGDSLEMQKDHIADYAPVCGAPGSPAGGGTSSGGSGGAGSGASGGDDIHGGHGSERNQQRYVGEYSNIPTDYAEVSSFGKAPSEYGRHGNASPAPYATSSILSPHQQQQQQQPRYQQRPVPGYGLQRPMHPHYQQQQHQQQQAQQTHQQHQALQQHQQLPPSNIYQQMSTTSEIYPTNTGPSRSVYSEQYYYPKDKQRHIHITENKLSNCHTYEAAPGAKQSSPISSQFASVRRQQLPPNCSIGRESARFKVLNTDQGKNQQNLLDLDGSSMCYNGLADSGCGGSPSPMAMLMSHEDEHALYHTADGDLDDMERLYVKVDEQQPPQQQQQLIPLVPQHPAEGHLQSWRNQSTRSSRKNGQECIKEPSELIYAPGSVASERSLLSNSGSGTSSQPAGHNV
NP_563692.1 1 247 0.337352631578948 PF02469.22:Fasciclin:49:183 FASCICLIN-like arabinoogalactan 9 247 135 15 247 0 Arabidopsis thaliana NP_563692.1 1 RefSeq MATTRLTLAPLLLIAAVLLATKATAQPAAPAPEPAGPINLTAILEKGGQFTTFIHLLNITQVGSQVNIQVNSSSEGMTVFAPTDNAFQNLKPGTLNQLSPDDQVKLILYHVSPKYYSMDDLLSVSNPVRTQASGRDNGVYGLNFTGQTNQINVSTGYVETRISNSLRQQRPLAVYVVDMVLLPGEMFGEHKLSPIAPAPKSKSGGVTDDSGSTKKAASPSDKSGSGEKKVGLGFGLGLIVLCLKFLF
NP_566939.1 1 152 0.428411842105263 GATA transcription factor 18; Protein HANABA TARANU 295 0 15 152 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LC79 1 SwissProt MMQTPYTTSTQGQYCHSCGMFHHHSQSCCYNNNNNSNAGSYSMVFSMQNGGVFEQNGEDYHHSSSLVDCTLSLGTPSTRLCEEDEKRRRSTSSGASSCISNFWDLIHTKNNNSKTAPYNNVPSFSANKPSRGCSGGGGGGGGGGGGDSLLAR
NP_567557.1 1 432 0.193421990740741 Negative regulator of systemic acquired resistance SNI1; Non-structural maintenance of chromosome element 5; Non-SMC element 5; Protein SUPPRESSOR OF NPR1, INDUCIBLE 1; Suppressor of npr1-1 432 0 15 432 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SWA6 1 SwissProt MSKETKGNNNTSRVMSGYGGSLEANTLAMIDSTGAKDSRDANEDRLQYLEAVRAASLVPENGIPPTNKMYQAIFRILRFGKTLELITASFQLLTQLHQRFPWVYVSDSADQLDIVDEAWSPFNFGSDVDSDEKDLSVRSLFLQQLIQNMNKRVNESEESDLKILGNMFLFKYLAHVLKLDFTPRNQVYEETMNWSLLKESFLNLLLASRKVNFKLLMKDYLSTMCASIDADEKSISLVELHKDMLTAMKELLVMIMELDTSKKKADLEGITSRGDGVRTPAMEIILDELTYDGYLLSKFLQVFDDPKWKLEIVLQYLTKYIPKPVVRTRRTTVPQAEDSKTLNGILKTFSNGTNPKNITKKIGPDIVQILIGHAFLARLTFSDPHEGDSISEICSSIISAFTSLKRVDQKIEILPFGKEVLFTAGMVLKAKA
NP_567623.2 1 286 0.182073776223776 PF02679.15:ComA:15:271 Protein HEAT-STRESS-ASSOCIATED 32; Heat-stress-associated 32-kDa protein 286 257 15 286 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GWL1 1 SwissProt MAAYYRWKSFEENEDRPEKPRRYGVTEMRGPHYSVLSQNLLQEIFESMGQFVDGLKFSGGSNSLIPKSFIKQAIEMAHEHGVYVSTGDWAEHMLRSGPSAFKDYVEECKQLGFDTIELNANLLEVPEETLLRYVRLIKNGGLRAKPMFAVKFNKSDIPGRNRAFGSYVVPEPRSSEFVEDIDLLIRKAERCLEAGADTIMIDADDVCKYADSLRADIIAKVIGRLGIEKTMFEASDAKLVEWFIKRYGPNVNLYVDHSQIMDLECLRGRHLGKDHQSVLSSSYFLF
NP_567755.1 1 759 0.17757720685112 PF03009.17:GDPD:367:654 Glycerophosphodiester phosphodiesterase GDPDL3; Glycerophosphodiester phosphodiesterase-like 3; ATGDPDL3; Glycerophosphodiesterase-like 2; Protein MUTANT ROOT HAIR 5; Protein SHAVEN 3; EC 3.1.4.46 759 288 15 759 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZ11 1 SwissProt MRGLLRASSLLLCGVILIQLLAAQIHAQSKKPKSPWPTLTGDPPLVIARGGFSGLFPDSSYDAYNFAILTSVPDAVLWCDVQLTKDALGICFPDLTMRNSSSIEAVYPTRQKSYPVNGVPTSGWFTIDFSLKDLKDVNLIRGILSRSEKFDGNSNPIMTVQSVSTQMKPSFFWLNVQHDAFYAQHNLSMSSFLVAASKTVLIDFISSPEVNFFKKIAGRFGRNGPSLVFRFLGQDEFEPTTNRTYGSILSNLTFVKTFASGILVPKSYILPLDDQQYLLPHTSLVQDAHKAGLEVFVSGFANDIDIAHDYSFDPVSEYLSFVDNGNFSVDGVLSDFPITASASLDCFSHVGRNATKQVDFLVITKDGASGDYPGCTDLAYKKAIKDGADVIDCSVQLSSDGTPFCLSSIDLGNSTTVSLTAFRNRSTTVPELGSLGAIYTFSLTWAEIQTLTPAISNPYRVTSLFRNPKQKNAGKLFSLSDFLSLAKNSTSLSGVLISVENAAYLREEQGLDVVKAVLDTLTQTGYSNSTATKVMIQSTNSSVLVDFKKQSQYETVYKVEENIRDILDSAIEDIKKFADAVVIQKLSVFPVAQSFITTQTNVVEKLQKSQLPVYVELFQNEFLSQPYDFFADATVEINSYITGAGINGTITEFPFTAARYKRNLCLGRKETIPYMAPAQPGALLTLVSPTAFPPAEAPNPVFTDADVTEPPLPPVTAKAPTSSPGTPSTNAQAPSGQTRITLSLLLSVFAMVLASLLLL
NP_568545.1 1 171 0.392909356725147 PF04438.16:zf-HIT:130:158 SWR1 complex subunit 6; Protein SERRATED LEAVES AND EARLY FLOWERING 171 29 15 171 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FHW2 1 SwissProt MEEEMSNRRVSNRTRKVATKMAAALTSNDNRTQAAIARLEALENDNGAIEVIDLNDDEEASLDEDDDLGYLQKKQHKGSKRKTRQAKALEARKAPKSFLELLQEANLESLPSHVPTYLKAAVGPPSSSSRRYFCSVCGYIAGYNCCLCGMRFCSIRCQNIHKDTRCQKFVA
NP_568825.1 1 534 0.234326966292135 PF04577.14:DUF563:249:511 Beta-1,2-xylosyltransferase; AtXYLT; EC 2.4.2.38 534 263 15 511 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDH0 1 SwissProt MSKRNPKILKIFLYMLLLNSLFLIIYFVFHSSSFSPEQSQPPHIYHVSVNNQSAIQKPWPILPSYLPWTPPQRNLPTGSCEGYFGNGFTKRVDFLKPRIGGGGEGSWFRCFYSETLQSSICEGRNLRMVPDRIVMSRGGEKLEEVMGRKEEEELPAFRQGAFEVAEEVSSRLGFKRHRRFGGGEGGSAVSRRLVNDEMLNEYMQEGGIDRHTMRDLVASIRAVDTNDFVCEEWVEEPTLLVTRFEYANLFHTVTDWYSAYVSSRVTGLPNRPHVVFVDGHCTTQLEETWTALFSGIRYAKNFTKPVCFRHAILSPLGYETALFKGLSGEIDCKGDSAHNLWQNPDDKRTARISEFGEMIRAAFGLPVNRHRSLEKPLSSSSSSASVYNVLFVRREDYLAHPRHGGKVQSRLINEEEVFDSLHHWVATGSTGLTKCGINLVNGLLAHMSMKDQVRAIQDASVIIGAHGAGLTHIVSATPNTTIFEIISVEFQRPHFELIAKWKGLEYHAMHLANSRAEPTAVIEKLTEIMKSLGC
NP_571053.1 156 350 0.391468205128205 PF12533.8:Neuro_bHLH:1:122 Neurogenic differentiation factor 1; NeuroD; NeuroD1 350 122 15 195 0 Danio rerio (Zebrafish) (Brachydanio rerio) SwissProt::O42202 1 SwissProt KSPDLMSFVQALCKGLSQPTTNLVAGCLQLNPRTFLPEQSQEMPPHMQTASASFSALPYSYQTPGLPSPPYGTMDSSHIFHVKPHAYGSALEPFFDTTLTDCTSPSFDGPLSPPLSVNGNFSFKHEPSSEFEKNYAFTMHYQAAGLAGAQGHAASLYAGSTQRCDIPMENIMSYDGHSHHERVMNAQLNAIFHDS
NP_573030.2 1 1092 0.207347069597069 PF17681.1:GCP_N_terminal:371:582,PF04130.13:GCP_C_terminal:778:1076 Grip128 1092 511 15 1092 0 Drosophila melanogaster NP_573030.2 1 RefSeq MAPPAQKGNLRKRSGKTSTAVNSAINENVRKLVRSLSEPGTSQKKLRQMEDTALKIIANQRRTWAPTTTDMEVKRNLDDLAERFRAEGMASFGESIKDLAIRYLAMDENQQQFNPNPGWQMLELLFRIADRPVQKIRLNREFMEQRRLSIINSMEEAERQAQIEEQAKLEAAKNTSETEVDWAALLSEDFLDPPEDDSSDSLSDWSEESDCDSTTTLKPDENIGVSRSLLEMARVYEQAMMAVVPTGSVNKTYMPPEQSVIRSYDFRIMKPTVLSLNNLKGSHLRRSKLLQLPPPQPPRPFTQYWQSGNTDTLFRKIHSHWWCQDVHLNALPSSAKPLDNFAVSYVQFLNHNARGLLALPVPKTITEPCLLREILFMFVRPASCCFFEFDKATRRITVRDNVSICTVTASTMQNFLLFDVVPALEDMMELRHIIDTHTLHFHGVKTTYTVEFFAYGLRDLVQPICQLLIAYEDRVNKDPAKNTLIRFTIEFREHFSQLRLLRELAEDVILDKGPAHIRSAYLLSRLYKHTLLQVPHQKLATALLFISLKRYCIIIDGWWRRAALEDHLREFIVEFCYEEDANTRSHVRKRNMGMEDDLLLVEIFNKLQSCPLYQLLLEHALESGETQDLLCSVNTLSEMLTSNNEIQLPSLHDELFTQFFAQLKVYCGADNTDYEDEPEPDKDYEDLTVCNRQGIRNHELFAIFTQPLVEQRLERQRERLKSNPVLMANILKRLERSTCLQLKSELPEALREILRRRQWLANEYAIRAYCKETQVAEKMRFLRHTMLLEKYYLFVPYYNALFVRMEKNNSWALGSVLTSKLCAVLLPHYPQLAHYLHVKLISQINSNSIKVYEALEAIELDFERPMAMHQWYILTPAHMQDYNSVWRLMLKVKWAVWKLENMQFLRRARFNPCAPLDLIGLTIRRLEIVRFWLIFLINNLHAHIMEAVSRQFELRIGECKNVRELRIMHDEHLAWLKTHCMLTDEFKAFRVALDQIFHLVYVLDMEWTTCASCMHDHDALCLDVTISDDGIDDSETQRRSLEYLALNQVAEIELTYIRCHQMLADILNTLVHQNDHGFLSALEETINSSVPH
NP_594530.1 1 161 0.592119254658386 PF12718.7:Tropomyosin_1:7:148 tropomyosin 161 142 15 161 0 Schizosaccharomyces pombe CharProtDB::CH_125475 1 CharProtDB MDKLREKINAARAETDEAVARAEAAEAKLKEVELQLSLKEQEYESLSRKSEAAESQLEELEEETKQLRLKADNEDIQKTEAEQLSRKVELLEEELETNDKLLRETTEKMRQTDVKAEHFERRVQSLERERDDMEQKLEEMTDKYTKVKAELDEVHQALEDL
NP_598423.1 1 160 0.435421875 radiation-inducible immediate-early gene IEX-1 160 0 15 160 0 Mus musculus NP_598423.1 1 RefSeq MCHSRNHLHTMTGLRAPSPAPSTGPELRRGSGPEIFTFDPLPERAVVSTARLNTSRGHRKRSRRVLYPRVVRRQLPTEEPNIAKRVLFLLFAIIFCQILMAEEGVSQPLAPEDATSAVTPEPISAPITAPPVLEPLNLTSESSDYALDLKAFLQQHPAAF
NP_600576.1 57 526 0.0716221276595745 PF02378.18:PTS_EIIC:64:348 protein-Npi-phosphohistidine-D-mannose phosphotransferase (EC 2.7.1.191); protein-Npi-phosphohistidine-D-glucose phosphotransferase (EC 2.7.1.199) 683 285 15 240 10 Corynebacterium glutamicum BRENDA::Q46072 1 BRENDA VPQGSTGMQVVMGGSVANYYQEILKLDGMKHFADGEATESSSKKEYGGVRGKYSWIDYAFEFLSDTFRPILWALLGASLIITLLVLADTFGLQDFRAPMDEQPDTYVFLHSMWRSVFYFLPIMVGATAARKLGANEWIGAAIPAALLTPEFLALGSAGDTVTVFGLPMVLNDYSGQVFPPLIAAIGLYWVEKGLKKIIPEAVQMVFVPFFSLLIMIPATAFLLGPFGIGVGNGISNLLEAINNFSPFILSIVIPLLYPFLVPLGLHWPLNAIMIQNINTLGYDFIQGPMGAWNFACFGLVTGVFLLSIKERNKAMRQVSLGGMLAGLLGGISEPSLYGVLLRFKKTYFRLLPGCLAGGIVMGIFDIKAYAFVFTSLLTIPAMDPWLGYTIGIAVAFFVSMFLVLALDYRSNEERDEARAKVAADKQAEEDLKAEANATPAAPVAAAGAGAGAGAGAAAGAATAVAAKPKL
NP_619801.1 1 69 0.00385217391304348 CPXV012 protein 69 0 15 46 1 Cowpox virus NP_619801.1 0 RefSeq MFIMRESIYRVMIVILYLSLISSFLVICSMEHGYFQEGISRFKICPYHWYKQHMSLLFRRYYHKLDSII
NP_628836.1 1 144 0.432172222222222 PF13581.6:HATPase_c_2:21:118 regulatory protein 144 98 15 144 0 Streptomyces coelicolor A3(2) NP_628836.1 1 RefSeq MNQESTTELTASVRNFSVQLSPTPRGARLARLLATEQLRSWGLPPDPARLLVAELANNAADHGRVPGRDFRLTLYVVGDILRIEVTDTRGQELPAPQKPASDAESGRGLLLVEALAHRWGVTEGRFPRKTVWAELRCTPPEPTF
NP_665833.4 1 182 0.406354395604396 PF06083.11:IL17:88:176 interleukin-17C 182 89 15 182 0 Mus musculus NP_665833.4 1 RefSeq MTHQDPPSWGKPRSHRTLRCYSAEELSHGQAPPHLLTRSARWEQALPVALVASLEATGHRRQHEGPLAGTQCPVLRPEEVLEADTHERSISPWRYRIDTDENRYPQKLAVAECLCRGCINAKTGRETAALNSVQLLQSLLVLRRQPCSRDGTADPTPGSFAFHTEFIRVPVGCTCVLPRSTQ
NP_666320.1 285 606 0.329931055900621 PF06839.12:zf-GRF:222:266,PF06839.12:zf-GRF:269:312,PF00641.18:zf-RanBP:34:62 Endonuclease 8-like 3; DNA glycosylase FPG2; DNA glycosylase/AP lyase Neil3; Endonuclease VIII-like 3; Nei-like protein 3; EC 3.2.2.-; EC 4.2.99.18 606 118 15 322 0 Mus musculus (Mouse) SwissProt::Q8K203 1 SwissProt PQCVQVCQLPTRNTEISWTPRGEDCFTDSVARKSEEQWSCVVCTLINRPSAKACDACLTTRPLDSVLKNRENSIAFNNLVKYPCNNFENTHTEVKINRKTAFGNTTLVLTDLSNKSSALARKKRANHTIDGESQMFLPTDIGFSDSQHPSKEGINYITQPSNKVNISPTVCAQSKLFSSAHKKFKPAHTSATELKSYNSGLSNSELQTNRTRGHHSKSDGSPLCKMHHRRCVLRVVRKDGENKGRQFYACSLPRGAQCGFFEWADLSFPFCRHGKRSIMKTVLKIGPNNGKNFFVCPLEKKKQCNFFQWAENGPGMEIVPGC
NP_705746.1 1 259 0.135069884169884 PF07281.12:INSIG:69:249 insulin-induced gene 1 protein 259 181 15 154 5 Mus musculus NP_705746.1 1 RefSeq MPRLHDHVWNYPSAGAARPYSLPRGMIAAAACPQGPGVPEPEHAPRGQRAGTTGCSARPGSWHHDLVQRSLVLFSFGVVLALVLNLLQIQRNVTLFPDEVIATIFSSAWWVPPCCGTAAAVVGLLYPCIDSHLGEPHKFKREWASVMRCIAVFVGINHASAKLDFANNVQLSLTLAALSLGLWWTFDRSRSGLGLGITIAFLATLITQFLVYNGVYQYTSPDFLYIRSWLPCIFFSGGVTVGNIGRQLAMGVPEKPHSD
NP_705757.1 1 142 0.0752894366197183 PF15056.6:NRN1:31:115 Neuritin; Candidate plasticity gene 15 protein 142 85 15 142 0 Mus musculus (Mouse) SwissProt::Q8CFV4 1 SwissProt MGLKLNGRYISLILAVQIAYLVQAVRAAGKCDAVFKGFSDCLLKLGDSMANYPQGLDDKTNIKTVCTYWEDFHSCTVTALTDCQEGAKDMWDKLRKESKNLNIQGSLFELCGSSNGAAGSLLPALSVLLVSLSAALATWFSF 2
NP_705934.1 1 558 0.16973835125448 PF01699.24:Na_Ca_ex:41:180,PF01699.24:Na_Ca_ex:389:540 sodium/potassium/calcium exchanger 4 isoform 3 558 292 15 360 9 Homo sapiens NP_705934.1 1 RefSeq MAPVNGTQTAKNCTDPAIHEFPTDLFSNKERQHGAVLLHILGALYMFYALAIVCDDFFVPSLEKICERLHLSEDVAGATFMAAGSSTPELFASVIGVFITHGDVGVGTIVGSAVFNILCIIGVCGLFAGQVVRLTWWAVCRDSVYYTISVIVLIVFIYDEQIVWWEGLVLIILYVFYILIMKYNVKMQAFFTVKQKSIANGNPVNSELEAGNDFYDGSYDDPSVPLLGQVKEKPQYGKNPVVMVDEIMSSSPPKFTFPEAGLRIMITNKFGPRTRLRMASRIIINERQRLINSANGVSSKPLQNGRHENIENGNVPVENPEDPQQNQEQQPPPQPPPPEPEPVEADFLSPFSVPEARGDKVKWVFTWPLIFLLCVTIPNCSKPRWEKFFMVTFITATLWIAVFSYIMVWLVTIIGYTLGIPDVIMGITFLAAGTSVPDCMASLIVARQGLGDMAVSNTIGSNVFDILVGLGVPWGLQTMVVNYGSTVKINSRGLVYSVVLLLGSVALTVLGIHLNKWRLDRKLGVYVLVLYAIFLCFSIMIEFNVFTFVNLPMCREDD
NP_776194.2 26 305 0.164900357142857 scavenger receptor class A member 5 495 0 15 257 1 Homo sapiens NP_776194.2 1 RefSeq GRSLSKLNLCEDGPCHKRRASICCTQLGSLSALKHAVLGLYLLVFLILVGIFILAVSRPRSSPDDLKALTRNVNRLNESFRDLQLRLLQAPLQADLTEQVWKVQDALQNQSDSLLALAGAVQRLEGALWGLQAQAVQTEQAVALLRDRTGQQSDTAQLELYQLQVESNSSQLLLRRHAGLLDGLARRVGILGEELADVGGVLRGLNHSLSYDVALHRTRLQDLRVLVSNASEDTRRLRLAHVGMELQLKQELAMLNAVTEDLRLKDWEHSIALRNISLAK
NP_808263.2 133 354 0.59005990990991 homeobox protein Mohawk 354 0 15 222 0 Mus musculus NP_808263.2 1 RefSeq RQPDLSWALRIKLYNKYVQGNAERLSVSSDGDSCSEDGENPPRNHMNEEGYSTPAHHTVIKGESSAIKAGGRPESRAAEDYVSPPKYKSSLLNRYLNDSLRHVMATSTAMMGKTRRRNHSGSFSSNEFEEELVSPSSSETEGTFVYRTDTPDIGSTKGDSAANRRGPSKDDTYWKEINAAMALTNLAQGKDEVQGTTTSCIIQKSSHIAEVKTVKLPLVQRF
NP_828852.2 1 274 0.123992335766423 PF11289.8:APA3_viroporin:1:273 hypothetical protein sars3a 274 273 15 205 3 Severe acute respiratory syndrome-related coronavirus NP_828852.2 1 RefSeq MDLFMRFFTLRSITAQPVKIDNASPASTVHATATIPLQASLPFGWLVIGVAFLAVFQSATKIIALNKRWQLALYKGFQFICNLLLLFVTIYSHLLLVAAGMEAQFLYLYALIYFLQCINACRIIMRCWLCWKCKSKNPLLYDANYFVCWHTHNYDYCIPYNSVTDTIVVTEGDGISTPKLKEDYQIGGYSEDRHSGVKDYVVVHGYFTEVYYQLESTQITTDTGIENATFFIFNKLVKDPPNVQIHTIDGSSGVANPAMDPIYDEPTTTTSVPL
NP_849190.2 1 128 0.40955859375 PF15048.6:OSTbeta:3:122 Organic solute transporter subunit beta; OST-beta; Solute carrier family 51 subunit beta 128 120 15 105 1 Homo sapiens (Human) SwissProt::Q86UW2 1 SwissProt MEHSEGAPGDPAGTVVPQELLEEMLWFFRVEDASPWNHSILALAAVVVIISMVLLGRSIQASRKEKMQPPEKETPEVLHLDEAKDHNSLNNLRETLLSEKPNLAQVELELKERDVLSVFLPDVPETES
NP_849737.1 1 427 0.485236299765808 Extra-large guanine nucleotide-binding protein 3; Extra-large GTP-binding protein 3; Extra-large G-protein 3 848 0 15 427 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C516 1 SwissProt MEKKDEGESWKEMVRKMLPPGAPLPEDPSEFDYSIALEYTGPPPVHDIPRVSPVDVNPRVNNPIPLPVSRIAGGVTSSSGGSPASSESVVSVLHNNPESSSGSASVSPVSGHRQNGNQVRRPVVKFKPVDDHDRIEGREAAEEEDNNVEAETERERKVHECTASTKRRKKKKKSECYRCGKAKWENKETCIVCDEKYCGNCVLRAMGSMPEGRKCVSCIGQAIDESKRSKLGKHSRVLSRLLSPLEVKQIMKAEKECTANQLRPEQLIVNGYPLKPEEMADLLNCLLPPQKLKPGRYWYDKESGLWGKEGEKPDRVISSNLNFTGKLSPDASNGNTEVYINGREITKLELRILKLANVQCPRDTHFWVYDDGRYEEEGQNNIRGNIWEKASTRFMCALFSLPVPQGQPRGTVQPSSNYATVPNYIEH
NP_849905.1 91 358 0.628261194029851 PF14379.6:Myb_CC_LHEQLE:40:79 Myb family transcription factor APL; AtAPL; Protein ALTERED PHLOEM DEVELOPMENT; Protein FE; Protein PHOSPHATE STARVATION RESPONSE 2; AtPHR2; Protein PHR1-LIKE 14; Protein WOODY 358 40 15 268 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SAK5 1 SwissProt QPHKEYGDHSTKEGSRASAMDIQRNVASSSGMMSRNMNEMQMEVQRRLHEQLEVQRHLQLRIEAQGKYMQSILERACQTLAGENMAAATAAAAVGGGYKGNLGSSSLSAAVGPPPHPLSFPPFQDLNIYGNTTDQVLDHHNFHHQNIENHFTGNNAADTNIYLGKKRPNPNFGNDVRKGLLMWSDQDHDLSANQSIDDEHRIQIQMATHVSTDLDSLSEIYERKSGLSGDEGNNGGKLLERPSPRRSPLSPMMNPNGGLIQGRNSPFG
NP_850164.1 1 866 0.241526096997691 PF04109.16:APG9:65:558 Autophagy-related protein 9; AtAPG9 866 494 15 754 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RUS5 1 SwissProt MMSSGHKGPNVRNFFKWQRGESSSSLTTGLLHNESHEIELSNYGGIPSPGSESPSGLLNGESLNVQPIADLDLFVERLYSYYRDKGLWCIIVKWAVELLSLGFIICFSGFFLLYVDWNGLQNAKCGMDAVESGTKPCDLVKEAIHPHPLSPFTLTTAIIVGYLALFSVYWLFCFLRFFAQLKDTLDFRHFYYNNLHVTDNEILTMPWATVLEKVVQLQSSQCLCVVKDLSAHDMVMRLMRKENYLIGMLNKGLLSFPISHWIPGAGPAVKSAPDGTQYHLVLTKTLEWTLNWCILQSMFDCNFRVRRDFVSNPTTLKKRLFVVGLAMLLLSPFLVIFMLVYLFLRHAEQFYNHPSTASSRRWSNLSKWLFREFNEVDHLFKHRINSSVVHASEYLKQFPSPIISIIAKFVSFVSGGFAAVLIIIAFLEESLLEGHIFGRNLFWYAAVFGTITAISRAAISDELLVLDPVGTMSLVVQNTHYMPKRWRGKENKDDVRLELETLFQYTGMMLLEEIASIFITPFLLMFVVPKRVDDILQFIKDFTVDIEGVGHVCSFSAFYFENHGNIKYGSPHNATRREQRSSQGKMEKSFLSFQSSYPSWESDSLGKQFLSNLRTFRDRKLHEINTRHSSPSRAWRESTNTPALYRDIPRNPLASGNHTDSMWLIDPDQRNHPYLLDWYYTSQAHNRTDHPIERANEILTANQNATDCWPPDLGIRGEDSRDLLNMEASTSGQFFRESILRHDQPEGEDSYGSQHPLDGRNQWWGRGNHSQISTAHPATTNSFIEPPDFINRYTAGNLLDNSWSRRSIEEEDEEEEELDWEENARRNLSRTTFMDDNDIEAGIDLHFDDVYSSRPQETSTSSTTLR
NP_850550.1 1 80 0.00722875 induced by phosphate starvation1 80 0 15 57 1 Arabidopsis thaliana NP_850550.1 0 RefSeq RLQKADSDCEFCLSPSRNWATSILWQASVPLGISRLCIFNFVILSLFSMLCFSSLCLGCTHSRALCVLCVRNKKIFGFEN
NP_851182.1 1 670 0.208539253731343 PF00069.25:Pkinase:231:399,PF04755.12:PAP_fibrillin:434:506 Probable plastid-lipid-associated protein 14, chloroplastic; AtPap14; Fibrillin-11; OBP3-responsive protein 1 670 242 15 670 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LV04 1 SwissProt MALCGVCSTPNLPNLQVFRSVRNSSIGYKRNHSLWQLRSSSFRAKSVIFHCSSSLRQSPSNVEEIDDNPSVSLEDESAHVMQFKWSDFRILDRVSIGHGGRADELVFEAIVQVPDSPLFNQGVVLRKLNTTRAQRRGRRAIEVFKKLVRRRLLYHSYSMQVHGYITNNLSDDQYSFTLVHGCHGSFSIRHWLQQSDWIPTLEATLALDEESFRRVGDDTTGGPAVSRQLRLIRTLMRDILIGVNYLHSHGLAHTELRLENVHISPVDRHIKVGILGNAADFNGDVPSTSNAYSTMDRRQMMIAFDMRCVGFMMAKMVLQELMDPLIFAKLKSFLAKGNDPSSLREFFVTTLNTNSESGNTGVQILDRNWGAGWHLLSLLIATRPSERISCLDALKHPFLCGPRWRVAPSMDIIRWGLGSTAVKISEEYIYRMPQRQRLAHFIGLMEMLNPYPKPNCWLELLPGRWRLLYSTGKHIGLTLRQPSTRALIGNVHLTITRASESINNTSLSFTSDIRFTAITSKDWPHNKIGAAGKLQTLSQFRLIAGKRLYLKEEKKNIGKFSMGEPDAEEGLAEKLETEKWKKVVPFKEFPSSLPVAKLVSGEIEVTMNMNDHIDSPGSVIGEVRKQIPPEMFDLSKLVCGTYIDSRLLVLRCVNGSALLFTRSSLDHKSM
NP_872342.2 1 184 0.0914559782608696 PF04089.14:BRICHOS:56:150 Gastrokine-2; Blottin; Down-regulated in gastric cancer; Trefoil factor interactions(z) 1 184 95 15 184 0 Homo sapiens (Human) SwissProt::Q86XP6 1 SwissProt MKILVAFLVVLTIFGIQSHGYEVFNIISPSNNGGNVQETVTIDNEKNTAIINIHAGSCSSTTIFDYKHGYIASRVLSRRACFILKMDHQNIPPLNNLQWYIYEKQALDNMFSSKYTWVKYNPLESLIKDVDWFLLGSPIEKLCKHIPLYKGEVVENTHNVGAGGCAKAGLLGILGISICADIHV
NP_919299.3 1 498 0.151345180722892 PF02434.16:Fringe:120:208,PF02434.16:Fringe:263:471 Beta-1,3-glucosyltransferase; Beta3Glc-T; Beta 3-glucosyltransferase; Beta-3-glycosyltransferase-like; EC 2.4.1.- 498 298 15 475 1 Homo sapiens (Human) SwissProt::Q6Y288 1 SwissProt MRPPACWWLLAPPALLALLTCSLAFGLASEDTKKEVKQSQDLEKSGISRKNDIDLKGIVFVIQSQSNSFHAKRAEQLKKSILKQAADLTQELPSVLLLHQLAKQEGAWTILPLLPHFSVTYSRNSSWIFFCEEETRIQIPKLLETLRRYDPSKEWFLGKALHDEEATIIHHYAFSENPTVFKYPDFAAGWALSIPLVNKLTKRLKSESLKSDFTIDLKHEIALYIWDKGGGPPLTPVPEFCTNDVDFYCATTFHSFLPLCRKPVKKKDIFVAVKTCKKFHGDRIPIVKQTWESQASLIEYYSDYTENSIPTVDLGIPNTDRGHCGKTFAILERFLNRSQDKTAWLVIVDDDTLISISRLQHLLSCYDSGEPVFLGERYGYGLGTGGYSYITGGGGMVFSREAVRRLLASKCRCYSNDAPDDMVLGMCFSGLGIPVTHSPLFHQARPVDYPKDYLSHQVPISFHKHWNIDPVKVYFTWLAPSDEDKARQETQKGFREEL
NP_990614.2 1 213 0.313941314553991 PF01299.17:Lamp:18:166 lysosome-associated membrane glycoprotein 1 precursor 414 149 15 213 0 Gallus gallus NP_990614.2 1 RefSeq MGGAARAVLLGFLQASSSFDVRDSTGKVCIIANLTVAFSVEYKSSGQKQFAHFFLPQNATSQSHSSCGEGNTSHPILALSFGAGHLISLNFSKTLDKYQVEELTFHYNLSDETLFPNATEGKVMVATQKSVIQARIGTEYRCINSKYIRMKHVNITFSNVTLEAYPTNGTFSANKTECREDMVSTTTVAPTTPKHATSQVPTTSPAPTAAPSS
NP_991388.2 454 931 0.0551803347280335 PF13676.6:TIR_2:328:466,PF01582.20:TIR:325:460 toll-like receptor 11 931 142 15 455 1 Mus musculus NP_991388.2 1 RefSeq LGIQLQGPKMLRHLQLGSYPILDIYPPWPPTLLSLEIQAESCIQFMIHSGQPFLFLENLTLETSILLLKPDNITIHFPSLRRLTLRGYSFIFSTSQLQRFFPQQLPLLEHFFIWCENSYAVDLYLFGMPRLRVLELGYLNFFYESSTMKLEMLLKEVPQLQVLALSHLNLRNLSVSSFKSLQDLKLLLFNSERALEMNSNLQEFIPQMPQYVYFSDVTFTCQCEASWLESWATRAPNTFVYGLEKSICIANASDYSKTLLFSFLATNCPHGTEFWGFLTSFILLLLLIILPLISCPKWSWLHHLWTLFHTCWWKLCGHRLRGQFNYDVFISYCEEDQAWVLEELVPVLEKAPPEGEGLRLCLPARDFGIGNDRMESMIASMGKSRATLCVLTGQALASPWCNLELRLATYHLVARPGTTHLLLLFLEPLDRQRLHSYHRLSRWLQKEDYFDLSQGKVEWNSFCEQLKRRLSKAGQERD
NP_996456.1 248 657 0.559853902439024 PF09316.10:Cmyb_C:141:285 Myb protein 657 145 15 410 0 Drosophila melanogaster (Fruit fly) SwissProt::P04197 1 SwissProt GSDLKSSRTHLITLIKSGGISKCMNNMQHNKESGGEAVNKSENADGASVTAVKGGDLAQESQDDHQKGSNLAHLSMQHLIKLTMPRQTPIILKRTRKHIPETHHQAGCSSSETFNQEEAAGNARSRPPSSPVISPIKSLPFSPSHFLKSPCLTTFEDMDLRASTPVTKVYNRVGMEIKKEMETSSIETPHKSQLGPRTPTPFKKALAAIGKKRDGRRYEPSSPSSLVEDLAEIIHEEHLSNSLTANNSKMMGAADQNSTLSTEYNAQSPPHMKRARKSLLSTWSSNHPYNAGSAKRIQPFETETPSKFLTSPGDILKDTLCSEQDLPFDEGRKENRPFHNRRINKYRGGLTYDHVIDPKWARVACGKSRDQMFMEEQAYACLKNLSCISRSLNFEKQKCLVNSFDRFGSL
NP_996999.1 1 866 0.41199284064665 E3 ubiquitin-protein ligase RNF216; RING finger protein 216; RING-type E3 ubiquitin transferase RNF216; Triad domain-containing protein 3; Ubiquitin-conjugating enzyme 7-interacting protein 1; Zinc finger protein inhibiting NF-kappa-B; EC 2.3.2.27 866 0 15 866 0 Homo sapiens (Human) SwissProt::Q9NWF9 1 SwissProt MEEGNNNEEVIHLNNFHCHRGQEWINLRDGPITISDSSDEERIPMLVTPAPQQHEEEDLDDDVILTEDDSEDDYGEFLDLGPPGISEFTKPSGQTEREPKPGPSHNQAANDIVNPRSEQKVIILEEGSLLYTESDPLETQNQSSEDSETELLSNLGESAALADDQAIEEDCWLDHPYFQSLNQQPREITNQVVPQERQPEAELGRLLFQHEFPGPAFPRPEPQQGGISGPSSPQPAHPLGEFEDQQLASDDEEPGPAFPMQESQEPNLENIWGQEAAEVDQELVELLVKETEARFPDVANGFIEEIIHFKNYYDLNVLCNFLLENPDYPKREDRIIINPSSSLLASQDETKLPKIDFFDYSKLTPLDQRCFIQAADLLMADFKVLSSQDIKWALHELKGHYAITRKALSDAIKKWQELSPETSGKRKKRKQMNQYSYIDFKFEQGDIKIEKRMFFLENKRRHCRSYDRRALLPAVQQEQEFYEQKIKEMAEHEDFLLALQMNEEQYQKDGQLIECRCCYGEFPFEELTQCADAHLFCKECLIRYAQEAVFGSGKLELSCMEGSCTCSFPTSELEKVLPQTILYKYYERKAEEEVAAAYADELVRCPSCSFPALLDSDVKRFSCPNPHCRKETCRKCQGLWKEHNGLTCEELAEKDDIKYRTSIEEKMTAARIRKCHKCGTGLIKSEGCNRMSCRCGAQMCYLCRVSINGYDHFCQHPRSPGAPCQECSRCSLWTDPTEDDEKLIEEIQKEAEEEQKRKNGENTFKRIGPPLEKPVEKVQRVEALPRPVPQNLPQPQMPPYAFAHPPFPLPPVRPVFNNFPLNMGPIPAPYVPPLPNVRVNYDFGPIHMPLEHNLPMHFGPQPRHRF
O15117 1 461 0.880968763557484 FYN-binding protein 1; Adhesion and degranulation promoting adaptor protein; ADAP; FYB-120/130; p120/p130; FYN-T-binding protein; SLAP-130; SLP-76-associated phosphoprotein 783 0 15 461 0 Homo sapiens (Human) SwissProt::O15117 1 SwissProt MAKYNTGGNPTEDVSVNSRPFRVTGPNSSSGIQARKNLFNNQGNASPPAGPSNVPKFGSPKPPVAVKPSSEEKPDKEPKPPFLKPTGAGQRFGTPASLTTRDPEAKVGFLKPVGPKPINLPKEDSKPTFPWPPGNKPSLHSVNQDHDLKPLGPKSGPTPPTSENEQKQAFPKLTGVKGKFMSASQDLEPKPLFPKPAFGQKPPLSTENSHEDESPMKNVSSSKGSPAPLGVRSKSGPLKPAREDSENKDHAGEISSLPFPGVVLKPAASRGGPGLSKNGEEKKEDRKIDAAKNTFQSKINQEELASGTPPARFPKAPSKLTVGGPWGQSQEKEKGDKNSATPKQKPLPPLFTLGPPPPKPNRPPNVDLTKFHKTSSGNSTSKGQTSYSTTSLPPPPPSHPASQPPLPASHPSQPPVPSLPPRNIKPPFDLKSPVNEDNQDGVTHSDGAGNLDEEQDSEGET
O22433 1 69 0.0941521739130435 Metallothionein-like protein 3; MT-3 69 0 15 69 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22433 1 SwissProt MSSNCGSCDCADKTQCVKKGTSYTFDIVETQESYKEAMIMDVGAEENNANCKCKCGSSCSCVNCTCCPN
O25395 194 767 0.157896341463415 PF00593.24:TonB_dep_Rec:81:572 Iron(III) dicitrate transport protein (FecA) 767 492 15 574 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25395 1 SwissProt/TReMBL QNSKNLDKSLANNMLFDTYLRTGGMMNKHFGIQAQANWLKGQGFRYNSPTNIQNYMLDSLYQINDSNKITAFFQYYNYFMADPGSLGIEAYNQNRFQNNRPNNNKSGRAKRWGAVYQNFFGDTDKIGGDFTFSYYGHDMSRDFQFDSNFLNVNTNPKLGPVYTDQNYPGFFIFDHLRRYIMNAFEPNLNLVVNTNKVKQTFNVGMRFMTMDMYFRLDQSTCEKTDIFNGVCRMPPFVLSKKPSNNQNLFNNYTAVWLSDKIELFDSKLVITPGLRYTFLNYNNKEPEKHDFSVWNITKKRQNEWSPALNIGYKPMENWIWYANYRRSFIPPQHTMLGITRTNYNQIFNEIEVGQRYSYKNLLSFNTNYFVIFAKRYYAGGYSPQPINARSQGVELELYYAPIRGLQFHVAYTYIDARITSNADDIAYYFTGIVNKPFDIKGKRLPYVSPNQFIFDMMYTYKHTTFGISSYFYSRAYSSMLNQAKSQTVCLPLNPEYTGGLEYGCNSVGLLPLYFVLNVQVSSVLWQSGRHKITGSLQINNLFNMKYYFRGIGTSPTGREPAPGRSITAYLNYEF 5
O43303 1 1012 0.471954644268774 PF16025.5:CALM_bind:30:105 Centriolar coiled-coil protein of 110 kDa; Centrosomal protein of 110 kDa; CP110; Cep110 1012 76 15 1012 0 Homo sapiens (Human) SwissProt::O43303 1 SwissProt MEEYEKFCEKSLARIQEASLSTESFLPAQSESISLIRFHGVAILSPLLNIEKRKEMQQEKQKALDVEARKQVNRKKALLTRVQEILDNVQVRKAPNASDFDQWEMETVYSNSEVRNLNVPATFPNSFPSHTEHSTAAKLDKIAGILPLDNEDQCKTDGIDLARDSEGFNSPKQCDSSNISHVENEAFPKTSSATPQETLISDGPFSVNEQQDLPLLAEVIPDPYVMSLQNLMKKSKEYIEREQSRRSLRGSINRIVNESHLDKEHDAVEVADCVKEKGQLTGKHCVSVIPDKPSLNKSNVLLQGASTQASSMSMPVLASFSKVDIPIRTGHPTVLESNSDFKVIPTFVTENNVIKSLTGSYAKLPSPEPSMSPKMHRRRSRTSSACHILINNPINACELSPKGKEQAMDLIIQDTDENTNVPEIMPKLPTDLAGVCSSKVYVGKNTSEVKEDVVLGKSNQVCQSSGNHLENKVTHGLVTVEGQLTSDERGAHIMNSTCAAMPKLHEPYASSQCIASPNFGTVSGLKPASMLEKNCSLQTELNKSYDVKNPSPLLMQNQNTRQQMDTPMVSCGNEQFLDNSFEKVKRRLDLDIDGLQKENCPYVITSGITEQERQHLPEKRYPKGSGFVNKNKMLGTSSKESEELLKSKMLAFEEMRKRLEEQHAQQLSLLIAEQEREQERLQKEIEEQEKMLKEKKAMTAEASELDINNAVELEWRKISDSSLLETMLSQADSLHTSNSNSSGFTNSAMQYSFVSANEAPFYLWGSSTSGLTKLSVTRPFGRAKTRWSQVFSLEIQAKFNKITAVAKGFLTRRLMQTDKLKQLRQTVKDTMEFIRSFQSEAPLKRGIVSAQDASLQERVLAQLRAALYGIHDIFFVMDAAERMSILHHDREVRKEKMLRQMDKMKSPRVALSAATQKSLDRKKYMKAAEMGMPNKKFLVKQNPSETRVLQPNQGQNAPVHRLLSRQGTPKTSVKGVVQNRQKPSQSRVPNRVPVSGVYAGKIQRKRPNVATI
O74630 90 1651 0.0407728553137003 PF11640.8:TAN:1:62 Serine/threonine-protein kinase tel1; ATM homolog; DNA-damage checkpoint kinase tel1; Telomere length regulation protein 1; EC 2.7.11.1 2812 62 15 1562 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O74630 1 SwissProt VESYILLFREPHILALLDIILRHTFTANGSICEVVCLNFSKALRLLLSHSPHLHHLRFSDWQSLVSYCCQAIEKLSIAEETYVSDSEEEPISQKNYQEISIWKSHDVIRVKQEVVELIYVMRSLVQWYAAPINFVSEQLLKFFEFFFYAYTEETDAHLPALQCLFQLCAYAIPNCNDYSASVVLLVFKILINSDKWKRLDLRLQLIQCLAISYPLWSNSETWDPHRSIRSFNLDLLNSSFFSLKNFLNFFGKRSSLSLANFRFHTVEPKNNIAKLYDPRLHLFFSLRHNSFFESYFIYFFLAKLILLKKTVLSLASTEQANKKQKTCSQIEELLLQAELANISASSFSLQLMVIITAISDNLTNDDLLSIQKMSLNFTEKKNELQSWSFFILFNICYNKAYSSMLTTSCKKEILAAASRGLLNSVTSPVCYQILTYFNMYRPLCFASIFPFIKQQFILFNDYSPMLSYEAIDYWKSLYILLNENLFVGQSSFKSVFLKWLKWHLYHLFSKEGELPFFSFTDSSIIIFDLLMMIFYRPLSLSYITTEIRSPFERNLFHLKEAWSPVTLRFPYTTDEICKQSTEGCYPFNSNHTIDCDSLQNVIKMLESSIDEISSASYDKDELDKETPSFEAVMIFSQISFLCGFLNCFIQKKGIHNVTPNNLVIFKNLFPEVLSFVKSNHSYDPIINCISTNLQFTISDEPKHLRYEIGSDLIRSTHFRDSNPLKTLVLYIMDMASKNVFIKPQEFDHDEYFSQEEEDIYRPENLIRNHQILGLMEGSLEQIRNTDLFILQKYIDYFSSHPHDSLINILHLYPIETFCFGMSAIGAYFLDVARTSEPIFYKCLEILAQKILMNYDYERDEVYLMIFIKIFQKCVHSKLQFTDATLKLIVKITKFIEKVFIETKFSSLSGRQTFLKFIFQLSPTSHVYSKFDYQKLISLTLKDSDVCVIYNFVDDLVIFLKKCDKTLIEGFVLPILSIKIEKSLYKGFCYLYLTLKVFLSISSNRSALLYQLLKLANSYETSTIFEPLLRKLHIQSANIKQLFRIYRLEIFWSFVSKDLSNTTNDFLEFPYKPIYFSLSDFLKENSDEIILVLILTKNITLAKLITSRMSVDFSEKYTQLIPVITTYTHLSEVENKKYSLRFNSIDEALDVELLNRSKAFLFCLEMLKEVKELGSTFKSISSTSFKVYSQLTIFANRVSFNNSTAIPFFSTKSVLWYCNRLFQELEGFSSIPSVIDLVLRRLAIQLHFATDEELQVTISFRLCAFLCFSDPFITSNYLVMIVLRIARQLLSIPCTQSLGLGIARFHLKKFKPTDFDYFFQLAEFCMDFLGFCYNTIGTKMEAIQDFYTWFDGYVTALLNFEYEGYGFLRCQINFVRSVMTTKNEWIEVSNKLFERGHFLKRIAMNNYLCLYFWQVLDACPRNVLHSLSLEIWKCYKAYDITEFPDSLKLFFSDIMGWNFFKSPEIADLNHYIPKTDPRLCDTKTYEESKLIIWKLICQKACSLLFKYDILLDSFIEDCIRMFFENGNHQELRKFLNFPKDSIIYDSDFKTLVSEEGSFQWVKL
O75154 1 694 0.645778242074928 Rab11 family-interacting protein 3; FIP3-Rab11; Rab11-FIP3; Arfophilin-1; EF hands-containing Rab-interacting protein; Eferin; MU-MB-17.148 756 0 15 694 0 Homo sapiens (Human) SwissProt::O75154 1 SwissProt MASAPPASPPGSEPPGPDPEPGGPDGPGAAQLAPGPAELRLGAPVGGPDPQSPGLDEPAPGAAADGGARWSAGPAPGLEGGPRDPGPSAPPPRSGPRGQLASPDAPGPGPRSEAPLPELDPLFSWTEEPEECGPASCPESAPFRLQGSSSSHRARGEVDVFSPFPAPTAGELALEQGPGSPPQPSDLSQTHPLPSEPVGSQEDGPRLRAVFDALDGDGDGFVRIEDFIQFATVYGAEQVKDLTKYLDPSGLGVISFEDFYQGITAIRNGDPDGQCYGGVASAQDEEPLACPDEFDDFVTYEANEVTDSAYMGSESTYSECETFTDEDTSTLVHPELQPEGDADSAGGSAVPSECLDAMEEPDHGALLLLPGRPHPHGQSVITVIGGEEHFEDYGEGSEAELSPETLCNGQLGCSDPAFLTPSPTKRLSSKKVARYLHQSGALTMEALEDPSPELMEGPEEDIADKVVFLERRVLELEKDTAATGEQHSRLRQENLQLVHRANALEEQLKEQELRACEMVLEETRRQKELLCKMEREKSIEIENLQTRLQQLDEENSELRSCTPCLKANIERLEEEKQKLLDEIESLTLRLSEEQENKRRMGDRLSHERHQFQRDKEATQELIEDLRKQLEHLQLLKLEAEQRRGRSSSMGLQEYHSRARESELEQEVRRLKQDNRNLKEQNEELNGQIITLSIQ
P05814 1 226 0.316204424778761 PF00363.18:Casein:129:210 Beta-casein 226 82 15 226 0 Homo sapiens (Human) SwissProt::P05814 1 SwissProt MKVLILACLVALALARETIESLSSSEESITEYKQKVEKVKHEDQQQGEDEHQDKIYPSFQPQPLIYPFVEPIPYGFLPQNILPLAQPAVVLPVPQPEIMEVPKAKDTVYTKGRVMPVLKSPTIPFFDPQIPKLTDLENLHLPLPLLQPLMQQVPQPIPQTLALPPQPLWSVPQPKVLPIPQQVVPYPQRAVPVQALLLNQELLLNPTHQIYPVTQPLAPVHNPISV
P08044 1 228 0.546929385964913 Protein snail 390 0 15 228 0 Drosophila melanogaster P08044 1 SwissProt/TReMBL MAANYKSCPLKKRPIVFVEERLPQTEALALTKDSQFAQDQPQDLSLKRGRDEETQDYQQPEPKRDYVLNLSKTPERNSSSSSNSCLLSPPVEAQDYLPTEIHMRGLTAGTTGYTTATPTTINPFQSAFVMAAGCNPISALWSSYQPHLAAFPSPASSMASPQSVYSYQQMTPPSSPGSDLETGSEPEDLSVRNDIPLPALFHLFDEAKSSSSGASVSSSSGYSYTPAM
P08151 396 1106 0.720281293952178 Zinc finger protein GLI1; Glioma-associated oncogene; Oncogene GLI 1106 0 15 711 0 Homo sapiens (Human) SwissProt::P08151 1 SwissProt RHRGDGPLPRAPSISTVEPKREREGGPIREESRLTVPEGAMKPQPSPGAQSSCSSDHSPAGSAANTDSGVEMTGNAGGSTEDLSSLDEGPCIAGTGLSTLRRLENLRLDQLHQLRPIGTRGLKLPSLSHTGTTVSRRVGPPVSLERRSSSSSSISSAYTVSRRSSLASPFPPGSPPENGASSLPGLMPAQHYLLRARYASARGGGTSPTAASSLDRIGGLPMPPWRSRAEYPGYNPNAGVTRRASDPAQAADRPAPARVQRFKSLGCVHTPPTVAGGGQNFDPYLPTSVYSPQPPSITENAAMDARGLQEEPEVGTSMVGSGLNPYMDFPPTDTLGYGGPEGAAAEPYGARGPGSLPLGPGPPTNYGPNPCPQQASYPDPTQETWGEFPSHSGLYPGPKALGGTYSQCPRLEHYGQVQVKPEQGCPVGSDSTGLAPCLNAHPSEGPPHPQPLFSHYPQPSPPQYLQSGPYTQPPPDYLPSEPRPCLDFDSPTHSTGQLKAQLVCNYVQSQQELLWEGGGREDAPAQEPSYQSPKFLGGSQVSPSRAKAPVNTYGPGFGPNLPNHKSGSYPTPSPCHENFVVGANRASHRAAAPPRLLPPLPTCYGPLKVGGTNPSCGHPEVGRLGGGPALYPPPEGQVCNPLDSLDLDNTQLDFVAILDEPQGLSPPPSHDQRGSSGHTPPPSGPPNMAVGNMSVLLRSLPGETEFLNSSA
P09603 182 479 0.862469127516778 Macrophage colony-stimulating factor 1; CSF-1; M-CSF; MCSF; Lanimostim 554 0 15 298 0 Homo sapiens (Human) SwissProt::P09603 1 SwissProt DVVTKPDCNCLYPKAIPSSDPASVSPHQPLAPSMAPVAGLTWEDSEGTEGSSLLPGEQPLHTVDPGSAKQRPPRSTCQSFEPPETPVVKDSTIGGSPQPRPSVGAFNPGMEDILDSAMGTNWVPEEASGEASEIPVPQGTELSPSRPGGGSMQTEPARPSNFLSASSPLPASAKGQQPADVTGTALPRVGPVRPTGQDWNHTPQKTDHPSALLRDPPEPGSPRISSLRPQGLSNPSTLSAQPQLSRSHSSGSVLPLGELEGRRSTRDRRSPAEPEGGPASEGAARPLPRFNSVPLTDT
P10230 1 718 0.457013927576602 PF03387.14:Herpes_UL46:26:471 Tegument protein UL46; Tegument protein VP11/12 718 446 15 718 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P10230 1 SwissProt MQRRTRGASSLRLARCLTPANLIRGDNAGVPERRIFGGCLLPTPEGLLSAAVGALRQRSDDAQPAFLTCTDRSVRLAARQHNTVPESLIVDGLASDPHYEYIRHYASAATQALGEVELPGGQLSRAILTQYWKYLQTVVPSGLDVPEDPVGDCDPSLHVLLRPTLAPKLLARTPFKSGAVAAKYAATVAGLRDALHRIQQYMFFMRPADPSRPSTDTALRLNELLAYVSVLYRWASWMLWTTDKHVCHRLSPSNRRFLPLGGSPEAPAETFARHLDRGPSGTTGSMQCMALRAAVSDVLGHLTRLANLWQTGKRSGGTYGTVDTVVSTVEVLSIVHHHAQYIINATLTGYGVWATDSLNNEYLRAAVDSQERFCRTTAPLFPTMTAPSWARMELSIKAWFGAALAADLLRNGAPSLHYESILRLVASRRTTWSAGPPPDDMASGPGGHRAGGGTCREKIQRARRDNEPPPLPRPRLHSTPASTRRFRRRRADGAGPPLPDANDPVAEPPAAATQPATYYTHMGEVPPRLPARNVAGPDRRPPAATCPLLVRRASLGSLDRPRVWGPAPEGEPDQMEATYLTADDDDDDARRKATHAASARERHAPYEDDESIYETVSEDGGRVYEEIPWMRVYENVCVNTANAAPASPYIEAENPLYDWGGSALFSPPGRTGPPPPPLSPSPVLARHRANALTNDGPTNVAALSALLTKLKREGRRSR
P12643 1 260 0.404275 PF00688.18:TGFb_propeptide:42:253 Bone morphogenetic protein 2; BMP-2; Bone morphogenetic protein 2A; BMP-2A 396 212 15 237 1 Homo sapiens (Human) SwissProt::P12643 1 SwissProt MVAGTRCLLALLLPQVLLGGAAGLVPELGRRKFAAASSGRPSSQPSDEVLSEFELRLLSMFGLKQRPTPSRDAVVPPYMLDLYRRHSGQPGSPAPDHRLERAASRANTVRSFHHEESLEELPETSGKTTRRFFFNLSSIPTEEFITSAELQVFREQMQDALGNNSSFHHRINIYEIIKPATANSKFPVTRLLDTRLVNQNASRWESFDVTPAVMRWTAQGHANHGFVVEVAHLEEKQGVSKRHVRISRSLHQDEHSWSQI
P12961 1 212 0.483862264150944 PF05281.11:Secretogranin_V:63:155 Neuroendocrine protein 7B2; Secretogranin V; Secretogranin-5; Secretory granule endocrine protein I 212 93 15 212 0 Mus musculus (Mouse) SwissProt::P12961 1 SwissProt MASRLVSAMLSGLLFWLMFEWNPAFAYSPRTPDRVSETDIQRLLHGVMEQLGIARPRVEYPAHQAMNLVGPQSIEGGAHEGLQHLGPFGNIPNIVAELTGDNIPKDFSEDQGYPDPPNPCPLGKTADDGCLENAPDTAEFSREFQLDQHLFDPEHDYPGLGKWNKKLLYEKMKGGQRRKRRSVNPYLQGKRLDNVVAKKSVPHFSEEEKEAE
P17898 1 393 0.0259516539440204 PF01066.21:CDP-OH_P_transf:46:121 Cholinephosphotransferase 1; Aminoalcohol phosphotransferase CPT1; Diacylglycerol cholinephosphotransferase 1; Sn-1,2-diacylglycerol cholinephosphotransferase; CHOPT; EC 2.7.8.2 393 76 15 212 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17898 1 SwissProt MGFFIPQSSLGNLKLYKYQSDDRSFLSNHVLRPFWRKFATIFPLWMAPNLVTLLGFCFIIFNVLTTLYYDPYFDQESPRWTYFSYAIGLFLYQTFDACDGMHARRTGQQGPLGELFDHCIDSINTTLSMIPVCSMTGMGYTYMTIFSQFAILCSFYLSTWEEYHTHKLYLAEFCGPVEGIIVLCISFIAVGIYGPQTIWHTKVAQFSWQDFVFDVETVHLMYAFCTGALIFNIVTAHTNVVRYYESQSTKSATPSKTAENISKAVNGLLPFFAYFSSIFTLVLIQPSFISLALILSIGFSVAFVVGRMIIAHLTMQPFPMVNFPFLIPTIQLVLYAFMVYVLDYQKGSIVSALVWMGLGLTLAIHGMFINDIIYDITTFLDIYALSIKHPKEI
P25236 1 385 0.366760779220779 PF04592.14:SelP_N:24:247,PF04593.14:SelP_C:254:385 Selenoprotein P; SeP 385 356 15 385 0 Rattus norvegicus (Rat) SwissProt::P25236 1 SwissProt MWRSLGLALALCLLPYGGAESQGQSPACKQAPPWNIGDQNPMLNSEGTVTVVALLQASUYLCLLQASRLEDLRIKLENQGYFNISYIVVNHQGSPSQLKHAHLKKQVSDHIAVYRQDEHQTDVWTLLNGNKDDFLIYDRCGRLVYHLGLPYSFLTFPYVEEAIKIAYCEKRCGNCSFTSLEDEAFCKNVSSATASKTTEPSEEHNHHKHHDKHGHEHLGSSKPSENQQPGALDVETSLPPSGLHHHHHHHKHKGQHRQGHLESUDMGASEGLQLSLAQRKLURRGCINQLLCKLSEESGAATSSCCCHCRHLIFEKSGSAITUQCAENLPSLCSUQGLFAEEKVIESCQCRSPPAAUHSQHVSPTEASPNUSUNNKTKKUKUNLN
P33076 1 1130 0.309094601769911 PF05729.12:NACHT:414:583,PF13516.6:LRR_6:994:1008,PF13516.6:LRR_6:1015:1036,PF13516.6:LRR_6:1045:1065,PF17776.1:NLRC4_HD2:713:821 MHC class II transactivator; CIITA; EC 2.3.1.-; EC 2.7.11.1 1130 337 15 1130 0 Homo sapiens (Human) SwissProt::P33076 1 SwissProt MRCLAPRPAGSYLSEPQGSSQCATMELGPLEGGYLELLNSDADPLCLYHFYDQMDLAGEEEIELYSEPDTDTINCDQFSRLLCDMEGDEETREAYANIAELDQYVFQDSQLEGLSKDIFKHIGPDEVIGESMEMPAEVGQKSQKRPFPEELPADLKHWKPAEPPTVVTGSLLVRPVSDCSTLPCLPLPALFNQEPASGQMRLEKTDQIPMPFSSSSLSCLNLPEGPIQFVPTISTLPHGLWQISEAGTGVSSIFIYHGEVPQASQVPPPSGFTVHGLPTSPDRPGSTSPFAPSATDLPSMPEPALTSRANMTEHKTSPTQCPAAGEVSNKLPKWPEPVEQFYRSLQDTYGAEPAGPDGILVEVDLVQARLERSSSKSLERELATPDWAERQLAQGGLAEVLLAAKEHRRPRETRVIAVLGKAGQGKSYWAGAVSRAWACGRLPQYDFVFSVPCHCLNRPGDAYGLQDLLFSLGPQPLVAADEVFSHILKRPDRVLLILDGFEELEAQDGFLHSTCGPAPAEPCSLRGLLAGLFQKKLLRGCTLLLTARPRGRLVQSLSKADALFELSGFSMEQAQAYVMRYFESSGMTEHQDRALTLLRDRPLLLSHSHSPTLCRAVCQLSEALLELGEDAKLPSTLTGLYVGLLGRAALDSPPGALAELAKLAWELGRRHQSTLQEDQFPSADVRTWAMAKGLVQHPPRAAESELAFPSFLLQCFLGALWLALSGEIKDKELPQYLALTPRKKRPYDNWLEGVPRFLAGLIFQPPARCLGALLGPSAAASVDRKQKVLARYLKRLQPGTLRARQLLELLHCAHEAEEAGIWQHVVQELPGRLSFLGTRLTPPDAHVLGKALEAAGQDFSLDLRSTGICPSGLGSLVGLSCVTRFRAALSDTVALWESLQQHGETKLLQAAEEKFTIEPFKAKSLKDVEDLGKLVQTQRTRSSSEDTAGELPAVRDLKKLEFALGPVSGPQAFPKLVRILTAFSSLQHLDLDALSENKIGDEGVSQLSATFPQLKSLETLNLSQNNITDLGAYKLAEALPSLAASLLRLSLYNNCICDVGAESLARVLPDMVSLRVMDVQYNKFTAAGAQQLAASLRRCPHVETLAMWTPTIPFSVQEHLQQQDSRISLR
P36003 1 544 0.451609926470588 PF00069.25:Pkinase:452:537 Nitrogen network kinase 1; EC 2.7.11.1 928 86 15 544 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36003 1 SwissProt MFTSQRQLRQNGSPMSSSRSSQHSSGTASPISDSPASNRSYGRDLRGLMGIDIPANEPAFNRANSSDTIYFRPKKIYKMEHEHPSRSTLVQLQTRSQPDDVASSQVNPEGGTDDLELGDPCGNQSLYTIGAEYVPDLDFTKLVNEWQKSTEDLYEFRSSATPQVQIKDSGKGNYELWSSPDAILTQNKLRRDSFSQENSDSLSPEDSILSRNLHSKVKPIPLPRNSQQIFTPLSNLEAERRSSYTTSSNNNSITQNNKFSFAKLKYSLPTQSSAVPASFDSNASSLNFLPTTTLSTLSELQISPNDMMDLIQKLPRNFLNLPYTQRKKVIIEHAPSHDYKAMMSLVKKFMLTSSRSNFSLAGFANNASVSQATANDDNINSRNTPNNSNDTYVNTRPLQRSRHGSIASQFLSSFSPSMTSIAKMNSNPLSGSAGGSARPDDKGMEILGHRLGKIIGFGAWGIIRECFDIETGVGRVIKIVKFKGHQNIKKHVLREVAIWRTLKHNRILPLLDWKLDDNYAMYCLTERINDGTLYDLVISWDEFK
P38927 1 245 0.17083387755102 PF02301.18:HORMA:10:121 DNA polymerase zeta processivity subunit; Revertibility protein 7 245 112 15 245 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38927 1 SwissProt MNRWVEKWLRVYLKCYINLILFYRNVYPPQSFDYTTYQSFNLPQFVPINRHPALIDYIEELILDVLSKLTHVYRFSICIINKKNDLCIEKYVLDFSELQHVDKDDQIITETEVFDEFRSSLNSLIMHLEKLPKVNDDTITFEAVINAIELELGHKLDRNRRVDSLEEKAEIERDSNWVKCQEDENLPDNNGFQPPKIKLTSLVGSDVGPLIIHQFSEKLISGDDKILNGVYSQYEEGESIFGSLF
P39321 1 962 0.354036174636175 translocation and assembly module subunit TamB 1259 0 15 939 1 Escherichia coli K-12 substr. MG1655 ecocyc::G7875-MONOMER 1 ecocyc MSLWKKISLGVVIVILLLLGSVAFLVGTTSGLHLVFKAADRWVPGLDIGKVTGGWRDLTLSDVRYEQPGVAVKAGNLHLAVGLECLWNSSVCINDLALKDIQVNIDSKKMPPSEQVEEEEDSGPLDLSTPYPITLTRVALDNVNIKIDDTTVSVMDFTSGLNWQEKTLTLKPTSLKGLLIALPKVAEVAQEEVVEPKIENPQPDEKPLGETLKDLFSRPVLPEMTDVHLPLNLNIEEFKGEQLRVTGDTDITVSTMLLKVSSIDGNTKLDALDIDSSQGIVNASGTAQLSDNWPVDITLNSTLNVEPLKGEKVKLKMGGALREQLEIGVNLSGPVDMDLRAQTRLAEAGLPLNVEVNSKQLYWPFTGEKQYQADDLKLKLTGKMTDYTLSMRTAVKGQEIPPATITLDAKGNEQQVNLDKLTVAALEGKTELKALLDWQQAISWRGELTLNGINTAKEFPDWPSKLNGLIKTRGSLYGGTWQMDVPELKLTGNVKQNKVNVDGTLKGNSYMQWMIPGLHLELGPNSAEVKGELGVKDLNLDATINAPGLDNALPGLGGTAKGLVKVRGTVEAPQLLADITARGLRWQELSVAQVRVEGDIKSTDQIAGKLDVRVEQISQPDVNINLVTLNAKGSEKQHELQLRIQGEPVSGQLNLAGSFDRKEERWKGTLSNTRFQTPVGPWSLTRDIALDYRNKEQKISIGPHCWLNPNAELCVPQTIDAGAEGRAVVNLNRFDLAMLKPFMPETTQASGIFTGKADVAWDTTKEGLPQGSITLSGRNVQVTQTVNDAALPVAFQTLNLTAELRNNRAELGWTIRLTNNGQFDGQVQVTDPQGRRNLGGNVNIRNFNLAMINPIFTRGEKAAGMVSANLRLGGDVQSPQLFGQLQVTGVDIDGNFMPFDMQPSQLAVNFNGMRSTLAGTVRTQQGEIYLNGDADWSQIENWRARVTAKGSKVRITVPPMVR
P39540 1 310 0.0247541935483871 PF01151.18:ELO:59:300 Elongation of fatty acids protein 1; 3-keto acyl-CoA synthase ELO1; Very-long-chain 3-oxoacyl-CoA synthase 1; EC 2.3.1.199 310 242 15 198 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39540 1 SwissProt MVSDWKNFCLEKASRFRPTIDRPFFNIYLWDYFNRAVGWATAGRFQPKDFEFTVGKQPLSEPRPVLLFIAMYYVVIFGGRSLVKSCKPLKLRFISQVHNLMLTSVSFLWLILMVEQMLPIVYRHGLYFAVCNVESWTQPMETLYYLNYMTKFVEFADTVLMVLKHRKLTFLHTYHHGATALLCYNQLVGYTAVTWVPVTLNLAVHVLMYWYYFLSASGIRVWWKAWVTRLQIVQFMLDLIVVYYVLYQKIVAAYFKNACTPQCEDCLGSMTAIAAGAAILTSYLFLFISFYIEVYKRGSASGKKKINKNN
P40164 124 858 0.251302993197279 PF04802.15:SMK-1:70:269 Serine/threonine-protein phosphatase 4 regulatory subunit 3; PP4R3 858 200 15 735 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40164 1 SwissProt RNIESNISLVTVKSSDNGLGSVHDIITGPVTLPSNDQQQNSQTLLEALKILNENTSFDFLKNETIEFILQSNYIDTLISHFHKAEEEKIPKDLFLLSNIIKTLILYNKRDILESMVEDDRIMGIVGILEYDTEYPTSKANHRKYLGSKGPNFKEVIPLENEDLKIIMKKCFRLQFLKDVVLVRFLDDHNFNLISEIVMDLETCIIDFLQVGTFLDRLIELYDTKTLPESSSEKEKFVQKRKDGIRLLQQCVQMSINLDAVDRSKFYKTLVRKGLFKVLDYAFHMETDSNVRILATDTIITIIEHDILLIHNVQNEDSFKRQHKSAPDDKSSHRKYPQDYSSSTDSKLLLILSTILLSDRSPGLREQVVQALNTLLHPEGCVGNGEGSYDLMGRSNYEAKNTSEDFPSFSYGLNSDSINLNNYHYSSDEMNNLEPESESEFQVMEYFANFYNKIAPILFGPLIKKDITTEMAEIDGQIEKVTKDDLLLIHLVKLVSFVCTEHDRVLSRRFILENGILDSVSKLIGGNHMMQLRLTAVRCIKNLMCLDDKYYHRYMISKNLYAPVFKLFQENIDKNNLANSCIQDFFRIIITECRAYQSDGHNRKEKTNGSYDGNGNDVKTNVNNNRTNFTILNKYLVQTYGDVLRKATDIPFIQDMLETGEENQPDHSSFENSIEGGNDISVNMSTDGFASNHLEDIDIKNVKRLHSEIEHFENDPHYSGDQLAFKKSVDQMNAST
P40237 118 266 0.188177181208054 PF00335.20:Tetraspanin:12:136 CD82 antigen 266 125 15 126 1 Mus musculus P40237 1 SwissProt/TReMBL GNTVMDIIRNYTANATSSREEAWDYVQAQVKCCGWVSHYNWTENEELMGFTKTTYPCSCEKIKEEDNQLIVKKGFCEADNSTVSENNPEDWPVNTEGCMEKAQAWLQENFGILLGVCAGVAVIELLGLFLSICLCRYIHSEDYSKVPKY
P40560 83 513 0.0646417633410672 PF00651.31:BTB:41:125,PF00651.31:BTB:184:307 Ankyrin repeat-containing protein YIL001W 513 209 15 431 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40560 1 SwissProt LTDTIRDTLLSYDISKAVDVKQPFATHISSMYNDEGFLKRDITFRVSNGKLFTAHKFLLCARSEILAEKMVNEWAKHEIVSLEVRPDIFDIFLKFLYLIPILHQIEPGQYEELIELSSKFDIELLPEFLDKARHTADPTEKSRLMSDYQYKFTEVARSQLLIFVNNCIFRSTVDLANSERRVFSLMNCPAYPDVQLMVKNRNGAIRIYPCHLAVLSRAEYFKVMFTNNFKEKVTYIKAKHVTGKYNSIIPQLTLPNCEFEVAEIILRYLYADNTDIPWMYAVDVLLLADILLEDRLKTIASTIITQSKEFIQQYNVFDVLYLSWEIGVERLEQFAAKFIAIHLQELYKDPEIKRAIMLSSQRISLRQETDTIELVDDIRYYLLRKYSFEPDDVELFENQDDLEYLKQVGYLEYRKDMGMLDNILADLELDV
P40689 1 353 0.160564305949009 Torso-like protein 353 0 15 353 0 Drosophila melanogaster (Fruit fly) SwissProt::P40689 1 SwissProt MRSWPGLFWLLTLALLADGGRRESQLRIGKAINIFLRYGYLGISMRVIPLNDNSEPDRWVFKEPTKNIYRNLSGLAESHEDTTPGIFHGDFHMEFCENRRQLFQAYFRDFSIERMDKPWEAFTGGWFPDNAAKKLGINTSFIQGDYSYVLVRVVRFRETGRLNAEIPVHQPLEPDVRSRMDQLQIGNITSAVRFMEDVGTHYVNSYTTGNSLYQVFVYSRKNYSMIKERIKSKGLNGLSKLDLYNYFAPWFAAHLGQIRSASANATVERWARRKLQYEYYVVKYVTLLKLHGNSTLLRSLDSLLGNDAILQLDLKSLKPIFREEPEKESWYHEVLDNNVKLWELNMPQSHPTR
P47019 1 175 0.541306285714286 PF09135.11:Alb1:1:116 Ribosome biogenesis protein ALB1 175 116 15 175 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47019 1 SwissProt MPSKNSINRPKLTSNLHHKVHSLNKKRAQRERAGLLKPARSSVNSKSGEIKSVALDLYFQNKKNESQNSTAVTLQNASSSPASITTRTLSKKRAKKIERNLKYATQRKLLVDASAKLEDEMDIDLDGGKKVKENEKKSSLTLVKEALWSVIDDTASQGLIIENGQGTTLGGPFFP
P52553 1 114 0.464778070175439 PF01920.20:Prefoldin_2:4:103 Prefoldin subunit 6; Genes involved in microtubule biogenesis protein 1; Gim complex subunit 1; GimC subunit 1 114 100 15 114 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P52553 1 SwissProt MSELGAKYQQLQNELEEFIVARQKLETQLQENKIVNEEFDQLEEDTPVYKLTGNVLLPVEQSEARTNVDKRLEFIETEITRCEKNIRDKQEELEKMRSELIKLNNTAASTGPGR
P58166 1 215 0.321648372093023 Inhibin beta E chain 350 0 15 215 0 Homo sapiens P58166 1 SwissProt/TReMBL MRLPDVQLWLVLLWALVRAQGTGSVCPSCGGSKLAPQAERALVLELAKQQILDGLHLTSRPRITHPPPQAALTRALRRLQPGSVAPGNGEEVISFATVTDSTSAYSSLLTFHLSTPRSHHLYHARLWLHVLPTLPGTLCLRIFRWGPRRRRQGSRTLLAEHHITNLGWHTLTLPSSGLRGEKSGVLKLQLDCRPLEGNSTVTGQPRRLLDTAGHQ
P61962 1 342 0.164891812865497 PF00400.32:WD40:259:295 DDB1- and CUL4-associated factor 7; WD repeat-containing protein 68; WD repeat-containing protein An11 homolog 342 37 15 342 0 Homo sapiens (Human) SwissProt::P61962 1 SwissProt MSLHGKRKEIYKYEAPWTVYAMNWSVRPDKRFRLALGSFVEEYNNKVQLVGLDEESSEFICRNTFDHPYPTTKLMWIPDTKGVYPDLLATSGDYLRVWRVGETETRLECLLNNNKNSDFCAPLTSFDWNEVDPYLLGTSSIDTTCTIWGLETGQVLGRVNLVSGHVKTQLIAHDKEVYDIAFSRAGGGRDMFASVGADGSVRMFDLRHLEHSTIIYEDPQHHPLLRLCWNKQDPNYLATMAMDGMEVVILDVRVPCTPVARLNNHRACVNGIAWAPHSSCHICTAADDHQALIWDIQQMPRAIEDPILAYTAEGEINNVQWASTQPDWIAICYNNCLEILRV
P83111 224 547 0.249650925925926 PF00144.24:Beta-lactamase:79:301 Serine beta-lactamase-like protein LACTB, mitochondrial; EC 3.4.-.- 547 223 15 324 0 Homo sapiens (Human) SwissProt::P83111 1 SwissProt EKDIKKVKEEKAYKALKMMKENVAFEQEKEGKSNEKNDFTKFKTEQENEAKCRNSKPGKKKNDFEQGELYLREKFENSIESLRLFKNDPLFFKPGSQFLYSTFGYTLLAAIVERASGCKYLDYMQKIFHDLDMLTTVQEENEPVIYNRARFYVYNKKKRLVNTPYVDNSYKWAGGGFLSTVGDLLKFGNAMLYGYQVGLFKNSNENLLPGYLKPETMVMMWTPVPNTEMSWDKEGKYAMAWGVVERKQTYGSCRKQRHYASHTGGAVGASSVLLVLPEELDTETINNKVPPRGIIVSIICNMQSVGLNSTALKIALEFDKDRSD
P87358 1 383 0.646581462140992 PF00688.18:TGFb_propeptide:36:174 Cyclops 501 139 15 383 0 Danio rerio P87358 1 SwissProt/TReMBL MHALGVARLACYCQLLLLGVFGKHTRYRHNNYNSLRNMSHRMHLPTYMMHLYRHYKMNQTRIPAESLEHEHADTIRSIMSKNVLNNDNHYVAIFDLSPVLSERQIQAAELRIRVPRDLHPDGLTLELQHQQGAPCPRHQPCPKSQSLGLLPEESLLSVTQHWRVYNVTNLLLHWPRPRTSPRSRVKTKRPAAAPGGKEIHSGPRRPAAAPGGQELQRRPRRPAVAPEEQELPSRPRRPAVAPGAQELQSGPQRPAAAPGGQKIQNRPRRPSAAPKGQEVQSRPSRPATAPRGQELQSAPQRPVVAPGGREIHSRPWLPAATPGGQEIQNGTQKHRAMLLLFSEQQDGASLLHTAGASKFLFSRNKKEVKRGRALRSRRGRRGP
P96872 1 498 0.390637751004016 PF03816.14:LytR_cpsA_psr:95:264,PF13399.6:LytR_C:365:449 Conserved protein (CPSA-related protein) 498 255 15 475 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) P96872 1 SwissProt/TReMBL MMSAQRVVRTVRTARAISTALAVAIVLGTGVAWSSVRSFEDGIFHMSAPSLGHGGDDGAIDILLVGLDSRTDAHGNPLSAEELATLHAGDEEATNTDTIILIRVPNNGKSATAISIPRDSYVAAPGLGKTKINGVYGQTRETKRAGLVQAGASPTEAAAAGTEAGREALIKTVADLTGVTVDHYAEIGLLGFALIADALGGVDVCLKEPVYEPLSGADFPAGRQKLNGPQALSFVRQRHDLPRGDLDRVVRQQAVMAALAHRVISGQTLSSPATLKRLEQAVQRSVVLSSGWDIMDFVRQLQKLAGGNVAFATIPVLDGAGWSDDGMQSVVRVDPRQVQDWVVGLLHEQDQGKTDELAYTPAKTTANVVNDTDINGLAAAVSKVLSSKGFTTGSVGNNDGDHVPGSQVRAAKADDLGAQQVAKELGGLPVVADASIAPGSVRVVLANDYSGPGSGLGGSDPNGVVSPARAFNLGSADDTTPPPSPILTAGSDAPECIN
Q03228 1 302 0.161921854304636 histidine protein kinase divJ; EC 2.7.13.3 597 0 15 192 5 Caulobacter vibrioides CharProtDB::CH_013001 1 CharProtDB MILPTALKSRLALEFETLPDPFRRPAARAAGLDPAHAWRLGWLAAVCLAAAAALFTADSGGWPVWAALGAGALPALVSLIFTREDERTQSWLLVLWAVGGSLAAVLTGGVGGAMAAWCLAPVAAASTQDQPKRLAEGAALALIGACVAALTQLSGLAPAAPTGPLAFVLGFLALVTTGLGLAAGLLIGRRRQGARDDRYASEIIGLETLLDGLPHLAIAVRGQGQVTAVRGAAPPGVTRADLVNRGLTGAAAPGDRQRLTAAIAQAHREGSASLTFNPALGVERVVALDMHRVAPNQLVGVL
Q04925 1 415 0.172331084337349 PF08622.10:Svf1:76:267,PF17187.4:Svf1_C:271:415 SVF1-like protein YDR222W 415 337 15 415 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04925 1 SwissProt MLESSSDKIKFAPIKEVDYKKPVSKSKNYTLINDIQPLEWYCHNDSETGYQHTISNKTDGGRGLFRVMKKSMETRVETQTLYFTDLHTGLCGFVQLLYSTVMGGIYKGFQLNFKVFGSESNNTDYDVWESFKLDDIAEFQPLKFVSRNVIFEFLSNKNEKLGSIGQLSIKCDLPTCNNTIQNLKIDLLVDLFQGFKMNPNGCNYYFDKQISMSDEFVSSDKMIRHVFVPRGKCNGNISYDKKLNSGDFQNKNISLTDVPVVYLDAVQGLLPNKAASKWNFLCFQSENYSVLAIEFTTPRDHDNVTVTVWSITEKNKLISIGSSVQSPKRHVRFRATSTDKESGWVYPTSIKFPGGFSEHDLRLVNRYDVLGELPSMVRSLAQKIVSIKPFIYQYCQPSKYKHEKGISIVESTFIS
Q12211 1 286 0.433639160839161 tRNA pseudouridine synthase 1; tRNA pseudouridylate synthase 1; tRNA-uridine isomerase 1; EC 5.4.99.- 544 0 15 286 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12211 1 SwissProt MSEENLRPAYDDQVNEDVYKRGAQSKLTKARKADFDDEKDKKKDNDKHIDKRPKSGPRLDENGNPLPKEPRLPKRKVAVMVGYCGTGYHGMQYNPPNPTIESALFKAFVEAGAISKDNSNDLKKNGFMRAARTDKGVHAGGNLISLKMIIEDPDIKQKINEKLPEGIRVWDIERVNKAFDCRKMCSSRWYEYLLPTYSLIGPKPGSILYRDIEESKTELPGVLDEDLESKEFWEEFKKDANEKFSTEEIEAILAYVPPARDEFDINEELYQKVKKYKQLENAHRRR
Q92889 1 683 0.258940263543191 DNA repair endonuclease XPF; DNA excision repair protein ERCC-4; DNA repair protein complementing XP-F cells; Xeroderma pigmentosum group F-complementing protein; EC 3.1.-.- 916 0 15 683 0 Homo sapiens (Human) SwissProt::Q92889 1 SwissProt MESGQPARRIAMAPLLEYERQLVLELLDTDGLVVCARGLGADRLLYHFLQLHCHPACLVLVLNTQPAEEEYFINQLKIEGVEHLPRRVTNEITSNSRYEVYTQGGVIFATSRILVVDFLTDRIPSDLITGILVYRAHRIIESCQEAFILRLFRQKNKRGFIKAFTDNAVAFDTGFCHVERVMRNLFVRKLYLWPRFHVAVNSFLEQHKPEVVEIHVSMTPTMLAIQTAILDILNACLKELKCHNPSLEVEDLSLENAIGKPFDKTIRHYLDPLWHQLGAKTKSLVQDLKILRTLLQYLSQYDCVTFLNLLESLRATEKAFGQNSGWLFLDSSTSMFINARARVYHLPDAKMSKKEKISEKMEIKEGEETKKELVLESNPKWEALTEVLKEIEAENKESEALGGPGQVLICASDDRTCSQLRDYITLGAEAFLLRLYRKTFEKDSKAEEVWMKFRKEDSSKRIRKSHKRPKDPQNKERASTKERTLKKKKRKLTLTQMVGKPEELEEEGDVEEGYRREISSSPESCPEEIKHEEFDVNLSSDAAFGILKEPLTIIHPLLGCSDPYALTRVLHEVEPRYVVLYDAELTFVRQLEIYRASRPGKPLRVYFLIYGGSTEEQRYLTALRKEKEAFEKLIREKASMVVPEEREGRDETNLDLVRGTASADVSTDTRKAGGQEQNGTQQS
Q99442 1 399 0.47760275689223 PF03839.16:Sec62:87:304 Translocation protein SEC62; Translocation protein 1; TP-1; hTP-1 399 218 15 353 2 Homo sapiens (Human) SwissProt::Q99442 1 SwissProt MAERRRHKKRIQEVGEPSKEEKAVAKYLRFNCPTKSTNMMGHRVDYFIASKAVDCLLDSKWAKAKKGEEALFTTRESVVDYCNRLLKKQFFHRALKVMKMKYDKDIKKEKDKGKAESGKEEDKKSKKENIKDEKTKKEKEKKKDGEKEESKKEETPGTPKKKETKKKFKLEPHDDQVFLDGNEVYVWIYDPVHFKTFVMGLILVIAVIAATLFPLWPAEMRVGVYYLSVGAGCFVASILLLAVARCILFLIIWLITGGRHHFWFLPNLTADVGFIDSFRPLYTHEYKGPKADLKKDEKSETKKQQKSDSEEKSDSEKKEDEEGKVGPGNHGTEGSGGERHSDTDSDRREDDRSQHSSGNGNDFEMITKEELEQQTDGDCEEDEEEENDGETPKSSHEKS
SwissProt::O08574 1 370 0.565183783783783 PF00010.26:HLH:80:133 Mesoderm posterior protein 2 370 54 15 347 1 Mus musculus (Mouse) SwissProt::O08574 1 SwissProt MAQSSPPQSLQGLVPLGLLPGLGLGSAIGLHVSGLVLRFVRFLPFYATRRPSQPAGPARSTRTTQATAPRRTRPAPAGGQRQSASEREKLRMRTLARALQELRRFLPPSVAPAGQSLTKIETLRLAIRYIGHLSALLGLSEDSLRRRRRRSADAAFSHRCPQCPDGGSPSQAQMLGPSLGSAMSSGVSWGCPPACPGPLISPENLGNRISNVDPRVTPPYCPQIQSPLHQSLERAADSSPWAPPQACPGMQMSPEPRNKTGHWTQSTEPAELTKVYQSLSVSPEPRLSLGSPLLLPRPSCQRLQPQPQPQPQWGCWGHDAEVLSTSEDQGSSPALQLPVASPTPSSGLQLSGCPELWQEDLEGPPLNIFY
SwissProt::O42601 136 373 0.470298739495798 Transcription factor Sox-17-beta.1; SRY (sex determining region Y)-box 17-beta.1; Transcription factor Sox-17-beta; XSox17beta 373 0 15 238 0 Xenopus laevis (African clawed frog) SwissProt::O42601 1 SwissProt KRMKREEDGFLPSANFPGSQIMDNNVMVGENYRMQYSAQNHQQNQLPPAGYFEGHNSMGYYYRDYSVPNYHISQNSSGYDSPPAQDEYQALSYSFNSSYMPYQQNATTPVMAKQMAVTQNIPQESPEHGMMASPQMYNRQMYVSECAKTHPMAQTEQHFPSYQSQKTVRQNYLQSQQDGHLESDIDKTEFDQYLMYEPKADMEIIYTIDQDSGAYSTNLLPSLITEANNVCYYDYCGV
SwissProt::Q00973 1 533 0.233856660412758 PF00535.26:Glycos_transf_2:281:426 Beta-1,4 N-acetylgalactosaminyltransferase 1; (N-acetylneuraminyl)-galactosylglucosylceramide; GM2/GD2 synthase; GalNAc-T; EC 2.4.1.92 533 146 15 513 1 Homo sapiens (Human) SwissProt::Q00973 1 SwissProt MWLGRRALCALVLLLACASLGLLYASTRDAPGLRLPLAPWAPPQSPRRPELPDLAPEPRYAHIPVRIKEQVVGLLAWNNCSCESSGGGLPLPFQKQVRAIDLTKAFDPAELRAASATREQEFQAFLSRSQSPADQLLIAPANSPLQYPLQGVEVQPLRSILVPGLSLQAASGQEVYQVNLTASLGTWDVAGEVTGVTLTGEGQADLTLVSPGLDQLNRQLQLVTYSSRSYQTNTADTVRFSTEGHEAAFTIRIRHPPNPRLYPPGSLPQGAQYNISALVTIATKTFLRYDRLRALITSIRRFYPTVTVVIADDSDKPERVSGPYVEHYLMPFGKGWFAGRNLAVSQVTTKYVLWVDDDFVFTARTRLERLVDVLERTPLDLVGGAVREISGFATTYRQLLSVEPGAPGLGNCLRQRRGFHHELVGFPGCVVTDGVVNFFLARTDKVREVGFDPRLSRVAHLEFFLDGLGSLRVGSCSDVVVDHASKLKLPWTSRDAGAETYARYRYPGSLDESQMAKHRLLFFKHRLQCMTSQ
SwissProt::Q5A8T7 331 1347 0.582769223205506 PF05792.13:Candida_ALS:35:66,PF05792.13:Candida_ALS:71:102,PF05792.13:Candida_ALS:108:139,PF05792.13:Candida_ALS:144:175,PF05792.13:Candida_ALS:180:211,PF05792.13:Candida_ALS:216:247 Agglutinin-like protein 5; Adhesin 5 1347 192 15 1017 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5A8T7 1 SwissProt TRTVTDSTTAVTTLPFNPSVDKTKTIEILQPIPTTTITTSYVGVTTSYSTKTAPIGETATVIVDVPYHTTTTVTSEWTGTITTTTTRTNPTDSIDTVVVQVPLPNPTTTTTQFWSESFTSTTTITNSLKGTDSVIVREPHNPTVTTTEFWSESYATTETITNGPEGTDSVIVREPHNPTVTTTEFWSESYATTETVTNKPEGTDSVIIKEPHNPTVTTTEFWSESYATTETITTGPLGTDSIVIHDPLEESSSTTAIESSDSNISSSAQESSSSVEQSSSIVGLSSSSDIPLSSDMPSSSSTGLTSSESSTVSSYDSDSSSSSELSTFSSSESYSSSISDTTNFWDSSSSDLESTSITWSSSIDAQSSQSVQSVSNSISTSQETTSSSGEESNTSVTDILVSSDASSILNSDISSYYPSSTISLSDDFPHTIAGEPDSRSSSSIASTVEISSDLVSLTSDPTSSFDSSSSLNSDSSSSPFSDESDISASSSFSTLVAPSFSLSSSSSLSLTYPHYVNSTTYHASESESSSVASPSMASESANDDTHTLSESTDTTSSIGTDSSTVTFCRRDNGDGCIVTGMPSSSIDSEQTSDVTTTSSFVASSTPTSAEQSITDNPNIDSSQTSASSSTKSSVSVSDTVVNSISLSETSTLSSDDSTSSDTSISSTTNSDTGNINAGSSHTSTASIKESSIQKTGVMLSSSYLSTKLSSTSDITTELITTELITTELTTIEDNEPNTFTSTPSSHSEIFSSDNSVLSKQVDRESTIKTSPTTDVTTVSSLSVHSTEASTATLGENSFSNVASTPSNIATSLRSTSSSSNHATESSGTVKSEASAEAIPSPPTSTDNRLSYSTEEAKGITYANSGSTNNLITESQVAAPTDSTSVLIENPVVTSTFDDNSSAAVDQPSKTKSIEESIMNPDSTNETNNGFIATLSQAQVPNSLIHSESISTTMAKTTDASINGDSAASNSQPTTLIQQVATSSYNQPLITTYAGSSSATKHPSWLLKFISVALFFFL
SwissProt::Q62839 61 972 0.54661447368421 PF15070.6:GOLGA2L5:321:912 Golgin subfamily A member 2; 130 kDa cis-Golgi matrix protein; GM130 998 592 15 912 0 Rattus norvegicus (Rat) SwissProt::Q62839 1 SwissProt ASDCQSAENVPTDHTAPAPPSTAAATMFLGVVPSPDADLIQSHDAGNCSNLMEETKTFSSTESLRQLSQQLNGLVSESTSYINGEGLTSSNMKELESRYQELAVALDSSYVTNKQLSSTIEELKQQNQDTLDQLEKEKKDYQQKLAKEQGALREQLQVHIQTIGILVSEKAELQTALAHTQQAARQKAGESEDLASRLQSSRQRVGELERTLSTVSTQQKQADRYNKDLTKERDALKLELYKNGKSNEDLRQQNSELEEKLRVLVAEKAAAQLGAEELQKKLEMSELLLQQFSSQSEASGSNEQLQQAMEERAQLESHVGQLMESLKQLQVERDQYAENLKGESAMWQQRVQQMAEQVHALKEEKEQRESQVQELEASLAELRSQMEEPPPPEPPTGPSEAEERLQGEVEQLQKELEGLTGQLRAQVQDNESLSHLNREQEGRLLELEREAQHWSEQAEERKQILESMQSDRTTISRALSQNRELKEQLAELQNGFVRLTNENMEITSALQSEQHVKKELARKLGELQERLGELKETVELKSQEAQGLQEQRDQCLSHLQQYAAAYQQHLTAYEQLTSEKEALHKQLLLQTQLMDQLQHEEVQGKMAAEMARQELQEAQERLKASSQENQQLQAQLSLLVLPGEDMDQEEQDEEVPQPSLTIPEDLVSREAMVAFCNAAIARAEEEQARLRVQLREQKARCRSLAHLAAPVQSKLEKEAVVPRDMGDSVSEESNQALHVAMEKLQNRFLEVMQEKVELKERVEELEHCCIQLSGETDTIGEYIALYQNQRAVLKARHLEKEEYISRLAQDKEEMKVKLLELQELVLRLVNERNEWQGKFLAVSQNPADVPAPVPTGSQEFGAADQQGDLREVSLADDTEPAQGEAGVPAPQENPTAQQIMQLLREIQNPQER
SwissProt::Q63HN8 1 5207 0.209760956404839 PF00097.25:zf-C3HC4:3997:4035 E3 ubiquitin-protein ligase RNF213; ALK lymphoma oligomerization partner on chromosome 17; Mysterin; RING finger protein 213; RING-type E3 ubiquitin transferase RNF213; EC 2.3.2.27; EC 3.6.4.- 5207 39 15 5207 0 Homo sapiens (Human) SwissProt::Q63HN8 1 SwissProt MECPSCQHVSKEETPKFCSQCGERLPPAAPIADSENNNSTMASASEGEMECGQELKEEGGPCLFPGSDSWQENPEEPCSKASWTVQESKKKKRKKKKKGNKSASSELASLPLSPASPCHLTLLSNPWPQDTALPHSQAQQSGPTGQPSQPPGTATTPLEGDGLSAPTEVGDSPLQAQALGEAGVATGSEAQSSPQFQDHTEGEDQDASIPSGGRGLSQEGTGPPTSAGEGHSRTEDAAQELLLPESKGGSSEPGTELQTTEQQAGASASMAVDAVAEPANAVKGAGKEMKEKTQRMKQPPATTPPFKTHCQEAETKTKDEMAAAEEKVGKNEQGEPEDLKKPEGKNRSAAAVKNEKEQKNQEADVQEVKASTLSPGGGVTVFFHAIISLHFPFNPDLHKVFIRGGEEFGESKWDSNICELHYTRDLGHDRVLVEGIVCISKKHLDKYIPYKYVIYNGESFEYEFIYKHQQKKGEYVNRCLFIKSSLLGSGDWHQYYDIVYMKPHGRLQKVMNHITDGPRKDLVKGKQIAAALMLDSTFSILQTWDTINLNSFFTQFEQFCFVLQQPMIYEGQAQLWTDLQYREKEVKRYLWQHLKKHVVPLPDGKSTDFLPVDCPVRSKLKTGLIVLFVVEKIELLLEGSLDWLCHLLTSDASSPDEFHRDLSHILGIPQSWRLYLVNLCQRCMDTRTYTWLGALPVLHCCMELAPRHKDAWRQPEDTWAALEGLSFSPFREQMLDTSSLLQFMREKQHLLSIDEPLFRSWFSLLPLSHLVMYMENFIEHLGRFPAHILDCLSGIYYRLPGLEQVLNTQDVQDVQNVQNILEMLLRLLDTYRDKIPEEALSPSYLTVCLKLHEAICSSTKLLKFYELPALSAEIVCRMIRLLSLVDSAGQRDETGNNSVQTVFQGTLAATKRWLREVFTKNMLTSSGASFTYVKEIEVWRRLVEIQFPAEHGWKESLLGDMEWRLTKEEPLSQITAYCNSCWDTKGLEDSVAKTFEKCIIEAVSSACQSQTSILQGFSYSDLRKFGIVLSAVITKSWPRTADNFNDILKHLLTLADVKHVFRLCGTDEKILANVTEDAKRLIAVADSVLTKVVGDLLSGTILVGQLELIIKHKNQFLDIWQLREKSLSPQDEQCAVEEALDWRREELLLLKKEKRCVDSLLKMCGNVKHLIQVDFGVLAVRHSQDLSSKRLNDTVTVRLSTSSNSQRATHYHLSSQVQEMAGKIDLLRDSHIFQLFWREAAEPLSEPKEDQEAAELLSEPEEESERHILELEEVYDYLYQPSYRKFIKLHQDLKSGEVTLAEIDVIFKDFVNKYTDLDSELKIMCTVDHQDQRDWIKDRVEQIKEYHHLHQAVHAAKVILQVKESLGLNGDFSVLNTLLNFTDNFDDFRRETLDQINQELIQAKKLLQDISEARCKGLQALSLRKEFICWVREALGGINELKVFVDLASISAGENDIDVDRVACFHDAVQGYASLLFKLDPSVDFSAFMKHLKKLWKALDKDQYLPRKLCDSARNLEWLKTVNESHGSVERSSLTLATAINQRGIYVIQAPKGGQKISPDTVLHLILPESPGSHEESREYSLEEVKELLNKLMLMSGKKDRNNTEVERFSEVFCSVQRLSQAFIDLHSAGNMLFRTWIAMAYCSPKQGVSLQMDFGLDLVTELKEGGDVTELLAALCRQMEHFLDSWKRFVTQKRMEHFYLNFYTAEQLVYLSTELRKQPPSDAALTMLSFIKSNCTLRDVLRASVGCGSEAARYRMRRVMEELPLMLLSEFSLVDKLRIIMEQSMRCLPAFLPDCLDLETLGHCLAHLAGMGGSPVERCLPRGLQVGQPNLVVCGHSEVLPAALAVYMQTPSQPLPTYDEVLLCTPATTFEEVALLLRRCLTLGSLGHKVYSLLFADQLSYEVARQAEELFHNLCTQQHREDYQLVMVCDGDWEHCYLPSAFSQHKVFVTPQAPLEAIQAYLAGHYRVPKQTLSAAAVFNDRLCVGIVASERAGVGKSLYVKRLHDKMKMQLNVKNVPLKTIRLIDPQVDESRVLGALLPFLDAQYQKVPVLFHLDVTSSVQTGIWVFLFKLLILQYLMDINGKMWLRNPCHLYIVEILERRTSVPSRSSSALRTRVPQFSFLDIFPKVTCRPPKEVIDMELSALRSDTEPGMDLWEFCSETFQRPYQYLRRFNQNQDLDTFQYQEGSVEGTPEECLQHFLFHCGVINPSWSELRNFARFLNYQLRDCEASLFCNPSFIGDTLRGFKKFVVTFMIFMARDFATPSLHTSDQSPGKHMVTMDGVREEDLAPFSLRKRWESEPHPYVFFNDDHTTMTFIGFHLQPNINGSVDAISHLTGKVIKRDVMTRDLYQGLLLQRVPFNVDFDKLPRHKKLERLCLTLGIPQATDPDKTYELTTDNMLKILAIEMRFRCGIPVIIMGETGCGKTRLIKFLSDLRRGGTNADTIKLVKVHGGTTADMIYSRVREAENVAFANKDQHQLDTILFFDEANTTEAISCIKEVLCDHMVDGQPLAEDSGLHIIAACNPYRKHSEEMICRLESAGLGYRVSMEETADRLGSIPLRQLVYRVHALPPSLIPLVWDFGQLSDVAEKLYIQQIVQRLVESISLDENGTRVITEVLCASQGFMRKTEDECSFVSLRDVERCVKVFRWFHEHSAMLLAQLNAFLSKSSVSKNHTERDPVLWSLMLAIGVCYHASLEKKDSYRKAIARFFPKPYDDSRLLLDEITRAQDLFLDGVPLRKTIAKNLALKENVFMMVVCIELKIPLFLVGKPGSSKSLAKTIVADAMQGPAAYSDLFRSLKQVHLVSFQCSPHSTPQGIISTFRQCARFQQGKDLQQYVSVVVLDEVGLAEDSPKMPLKTLHPLLEDGCIEDDPAPHKKVGFVGISNWALDPAKMNRGIFVSRGSPNETELIESAKGICSSDILVQDRVQGYFASFAKAYETVCKRQDKEFFGLRDYYSLIKMVFAAAKASNRKPSPQDIAQAVLRNFSGKDDIQALDIFLANLPEAKCSEEVSPMQLIKQNIFGPSQKVPGGEQEDAESRYLLVLTKNYVALQILQQTFFEGDQQPEIIFGSGFPKDQEYTQLCRNINRVKICMETGKMVLLLNLQNLYESLYDALNQYYVHLGGQKYVDLGLGTHRVKCRVHPNFRLIVIEEKDVVYKHFPIPLINRLEKHYLDINTVLEKWQKSIVEELCAWVEKFINVKAHHFQKRHKYSPSDVFIGYHSDACASVVLQVIERQGPRALTEELHQKVSEEAKSILLNCATPDAVVRLSAYSLGGFAAEWLSQEYFHRQRHNSFADFLQAHLHTADLERHAIFTEITTFSRLLTSHDCEILESEVTGRAPKPTLLWLQQFDTEYSFLKEVRNCLTNTAKCKILIFQTDFEDGIRSAQLIASAKYSVINEINKIRENEDRIFVYFITKLSRVGRGTAYVGFHGGLWQSVHIDDLRRSTLMVSDVTRLQHVTISQLFAPGDLPELGLEHRAEDGHEEAMETEASTSGEVAEVAEEAMETESSEKVGKETSELGGSDVSILDTTRLLRSCVQSAVGMLRDQNESCTRNMRRVVLLLGLLNEDDACHASFLRVSKMRLSVFLKKQEESQFHPLEWLAREACNQDALQEAGTFRHTLWKRVQGAVTPLLASMISFIDRDGNLELLTRPDTPPWARDLWMFIFSDTMLLNIPLVMNNERHKGEMAYIVVQNHMNLSENASNNVPFSWKIKDYLEELWVQAQYITDAEGLPKKFVDIFQQTPLGRFLAQLHGEPQQELLQCYLKDFILLTMRVSTEEELKFLQMALWSCTRKLKAASEAPEEEVSLPWVHLAYQRFRSRLQNFSRILTIYPQVLHSLMEARWNHELAGCEMTLDAFAAMACTEMLTRNTLKPSPQAWLQLVKNLSMPLELICSDEHMQGSGSLAQAVIREVRAQWSRIFSTALFVEHVLLGTESRVPELQGLVTEHVFLLDKCLRENSDVKTHGPFEAVMRTLCECKETASKTLSRFGIQPCSICLGDAKDPVCLPCDHVHCLRCLRAWFASEQMICPYCLTALPDEFSPAVSQAHREAIEKHARFRQMCNSFFVDLVSTICFKDNAPPEKEVIESLLSLLFVQKGRLRDAAQRHCEHTKSLSPFNDVVDKTPVIRSVILKLLLKYSFHDVKDYIQEYLTLLKKKAFITEDKTELYMLFINCLEDSILEKTSAYSRNDELNHLEEEGRFLKAYSPASRGREPANEASVEYLQEVARIRLCLDRAADFLSEPEGGPEMAKEKQCYLQQVKQFCIRVENDWHRVYLVRKLSSQRGMEFVQGLSKPGRPHQWVFPKDVVKQQGLRQDHPGQMDRYLVYGDEYKALRDAVAKAVLECKPLGIKTALKACKTPQSQQSAYFLLTLFREVAILYRSHNASLHPTPEQCEAVSKFIGECKILSPPDISRFATSLVDNSVPLLRAGPSDSNLDGTVTEMAIHAAAVLLCGQNELLEPLKNLAFSPATMAHAFLPTMPEDLLAQARRWKGLERVHWYTCPNGHPCSVGECGRPMEQSICIDCHAPIGGIDHKPRDGFHLVKDKADRTQTGHVLGNPQRRDVVTCDRGLPPVVFLLIRLLTHLALLLGASQSSQALINIIKPPVRDPKGFLQQHILKDLEQLAKMLGHSADETIGVVHLVLRRLLQEQHQLSSRRLLNFDTELSTKEMRNNWEKEIAAVISPELEHLDKTLPTMNNLISQDKRISSNPVAKIIYGDPVTFLPHLPRKSVVHCSKIWSCRKRITVEYLQHIVEQKNGKERVPILWHFLQKEAELRLVKFLPEILALQRDLVKQFQNVQQVEYSSIRGFLSKHSSDGLRQLLHNRITVFLSTWNKLRRSLETNGEINLPKDYCSTDLDLDTEFEILLPRRRGLGLCATALVSYLIRLHNEIVYAVEKLSKENNSYSVDAAEVTELHVISYEVERDLTPLILSNCQYQVEEGRETVQEFDLEKIQRQIVSRFLQGKPRLSLKGIPTLVYRHDWNYEHLFMDIKNKMAQDSLPSSVISAISGQLQSYSDACEVLSVVEVTLGFLSTAGGDPNMQLNVYTQDILQMGDQTIHVLKALNRCQLKHTIALWQFLSAHKSEQLLRLHKEPFGEISSRYKADLSPENAKLLSTFLNQTGLDAFLLELHEMIILKLKNPQTQTEERFRPQWSLRDTLVSYMQTKESEILPEMASQFPEEILLASCVSVWKTAAVLKWNREMR
SwissProt::Q68CP4 1 663 0.106267269984917 PF07786.12:DUF1624:267:390 Heparan-alpha-glucosaminide N-acetyltransferase; Transmembrane protein 76; EC 2.3.1.78 663 124 15 402 12 Homo sapiens (Human) SwissProt::Q68CP4 1 SwissProt MTGARASAAEQRRAGRSGQARAAERAAGMSGAGRALAALLLAASVLSAALLAPGGSSGRDAQAAPPRDLDKKRHAELKMDQALLLIHNELLWTNLTVYWKSECCYHCLFQVLVNVPQSPKAGKPSAAAASVSTQHGSILQLNDTLEEKEVCRLEYRFGEFGNYSLLVKNIHNGVSEIACDLAVNEDPVDSNLPVSIAFLIGLAVIIVISFLRLLLSLDDFNNWISKAISSRETDRLINSELGSPSRTDPLDGDVQPATWRLSALPPRLRSVDTFRGIALILMVFVNYGGGKYWYFKHASWNGLTVADLVFPWFVFIMGSSIFLSMTSILQRGCSKFRLLGKIAWRSFLLICIGIIIVNPNYCLGPLSWDKVRIPGVLQRLGVTYFVVAVLELLFAKPVPEHCASERSCLSLRDITSSWPQWLLILVLEGLWLGLTFLLPVPGCPTGYLGPGGIGDFGKYPNCTGGAAGYIDRLLLGDDHLYQHPSSAVLYHTEVAYDPEGILGTINSIVMAFLGVQAGKILLYYKARTKDILIRFTAWCCILGLISVALTKVSENEGFIPVNKNLWSLSYVTTLSSFAFFILLVLYPVVDVKGLWTGTPFFYPGMNSILVYVGHEVFENYFPFQWKLKDNQSHKEHLTQNIVATALWVLIAYILYRKKIFWKI
SwissProt::Q6GTX8 125 287 0.58658527607362 Leukocyte-associated immunoglobulin-like receptor 1; LAIR-1; hLAIR1; CD305 antigen 287 0 15 140 1 Homo sapiens (Human) SwissProt::Q6GTX8 1 SwissProt GGPDSPDTEPGSSAGPTQRPSDNSHNEHAPASQGLKAEHLYILIGVSVVFLFCLLLLVLFCLHRQNQIKQGPPRSKDEEQKPQQRPDLAVDVLERTADKATVNGLPEKDRETDTSALAAGSSQEVTYAQLDHWALTQRTARAVSPQSTKPMAESITYAAVARH
SwissProt::Q8TB72 1 697 0.57327044476327 Pumilio homolog 2; Pumilio-2 1066 0 15 697 0 Homo sapiens (Human) SwissProt::Q8TB72 1 SwissProt MNHDFQALALESRGMGELLPTKKFWEPDDSTKDGQKGIFLGDDEWRETAWGASHHSMSQPIMVQRRSGQGFHGNSEVNAILSPRSESGGLGVSMVEYVLSSSPADKLDSRFRKGNFGTRDAETDGPEKGDQKGKASPFEEDQNRDLKQGDDDDSKINGRGLPNGMDADCKDFNRTPGSRQASPTEVVERLGPNTNPSEGLGPLPNPTANKPLVEEFSNPETQNLDAMEQVGLESLQFDYPGNQVPMDSSGATVGLFDYNSQQQLFQRTNALTVQQLTAAQQQQYALAAAQQPHIAGVFSAGLAPAAFVPNPYIISAAPPGTDPYTAAGLAAAATLAGPAVVPPQYYGVPWGVYPANLFQQQAAAAANNTASQQAASQAQPGQQQVLRAGAGQRPLTPNQGQQGQQAESLAAAAAANPTLAFGQGLATGMPGYQVLAPTAYYDQTGALVVGPGARTGLGAPVRLMAPTPVLISSAAAQAAAAAAAGGTASSLTGSTNGLFRPIGTQPPQQQQQQPSTNLQSNSFYGSSSLTNSSQSSSLFSHGPGQPGSTSLGFGSGNSLGAAIGSALSGFGSSVGSSASSSATRRESLSTSSDLYKRSSSSLAPIGQPFYNSLGFSSSPSPIGMPLPSQTPGHSLTPPPSLSSHGSSSSLHLGGLTNGSGRYISAAPGAEAKYRSASSTSSLFSSSSQLFPPSRLRY
SwissProt::Q925J9 657 1575 0.821908922742111 Mediator of RNA polymerase II transcription subunit 1; Mediator complex subunit 1; Peroxisome proliferator-activated receptor-binding protein; PBP; PPAR-binding protein; Thyroid hormone receptor-associated protein complex 220 kDa component; Trap220; Thyroid receptor-interacting protein 2; TR-interacting protein 2; TRIP-2 1575 0 15 919 0 Mus musculus (Mouse) SwissProt::Q925J9 1 SwissProt FSTLYGSSPLERQNSSSGSPRMEMCSGSNKAKKKKSSRVPPDKPKHQTEDDFQRELFSMDVDSQNPMFDVSMTADALDTPHITPAPSQCSTPPATYPQPVSHPQPSIQRMVRLSSSDSIGPDVTDILSDIAEEASKLPSTSDDCPPIGTPVRDSSSSGHSQSALFDSDVFQTNNNENPYTDPADLIADAAGSPNSDSPTNHFFPDGVDFNPDLLNSQSQSGFGEEYFDESSQSGDNDDFKGFASQALNTLGMPMLGGDNGEPKFKGSSQADTVDFSIISVAGKALGAADLMEHHSGSQSPLLTTGELGKEKTQKRVKEGNGTGASSGSGPGSDSKPGKRSRTPSNDGKSKDKPPKRKKADTEGKSPSHSSSNRPFTPPTSTGGSKSPGSSGRSQTPPGVATPPIPKITIQIPKGTVMVGKPSSHSQYTSSGSVSSSGSKSHHSHSSSSSSLASASTSGKVKSSKSEGSSSSKLSGSMYASQGSSGSSQSKNSSQTGGKPGSSPITKHGLSSGSSSTKMKPQGKPSSLMNPSISKPNISPSHSRPPGGSDKLASPMKPVPGTPPSSKAKSPISSGSSGSHVSGTSSSSGMKSSSGSASSGSVSQKTPPASNSCTPSSSSFSSSGSSMSSSQNQHGSSKGKSPSRNKKPSLTAVIDKLKHGVVTSGPGGEDPIDSQMGASTNSSNHPMSSKHNTSGGEFQSKREKSDKDKSKVSASGGSVDSSKKTSESKNVGSTGVAKIIISKHDGGSPSIKAKVTLQKPGESGGDGLRPQIASSKNYGSPLISGSTPKHERGSPSHSKSPAYTPQNVDSESESGSSIAERSYQNSPSSEDGIRPLPEYSTEKHKKHKKEKKKVRDKDRDKKKSHSMKPENWSKSPISSDPTASVTNNPILSADRPSRLSPDFMIGEEDDDLMDVALIGN
VIMSS10078728 1 174 0.26951724137931 PF01419.17:Jacalin:18:152 Protein RESTRICTED TEV MOVEMENT 1; Jacalin-related lectin 1; Restricted tobacco etch virus movement protein 1 174 135 15 174 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SE37 1 SwissProt MKIGPVGKHDARSTTIVNWDEGSHDGFISQIFLSHGVAGIMSIQFQFVMDGKLVLSDRHGPFSGNMFDVIELNYPHEYITGISGEYYKYEANNPHMRSLKFNTNTSEYGPFGTSGSSNDKFAFKLGKSPQFGGFHGTYDASGLQYIGVYLRPKTVLPKIDTGNAEETESKIVLG
VIMSS10079031 130 367 0.702368907563025 mRNA-decapping enzyme-like protein; DCP1 homolog; EC 3.-.-.- 367 0 15 238 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJF3 1 SwissProt FNRILSAYSKVNQKPKASSSKSEFEELEAKPTMAVMDGPLEPSSTARDAPDDPAFVNFFSSTMNLGNTASGSASGPYQSSAIPHQPHQPHQPTIAPPVAAAAPPQIQSPPPLQSSSPLMTLFDNNPEVISSNSNIHTDLVTPSFFGPPRMMAQPHLIPGVSMPTAPPLNPNNASHQQRSYGTPVLQPFPPPTPPPSLAPAPTGPVISRDKVKEALLSLLQEDEFIDKITRTLQNALQQ
VIMSS10079266 1 211 0.476432701421801 PF13912.6:zf-C2H2_6:59:85 Zinc finger protein 5 211 27 15 211 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39264 1 SwissProt MSINPTMSRTGESSSGSSSDKTIKLFGFELISGSRTPEITTAESVSSSTNTTSLTVMKRHECQYCGKEFANSQALGGHQNAHKKERLKKKRLQLQARRASIGYYLTNHQQPITTSFQRQYKTPSYCAFSSMHVNNDQMGVYNEDWSSRSSQINFGNNDTCQDLNEQSGEMGKLYGVRPNMIQFQRDLSSRSDQMRSINSLDLHLGFAGDAA
VIMSS10079610 1 409 0.472178484107579 PF03398.14:Ist1:19:182 hypothetical protein (RefSeq) 409 164 15 409 0 Arabidopsis thaliana VIMSS10079610 1 MicrobesOnline MGKKLDALLGRSFKTNKFKSLITLALTRLSILKNQRQARLSQAISDVTELLKLGQHEHAYHRVDQVVKDQNTLDVLFFIHGYFTLCLDRIHLFEHNRDCPEELLEAVSGLLFAASRIGEFPELQEIRNVLISRFGKDLAARSIELRSNCGVDPKIIQKLSTRPPPKEVRMKALKEIAAENNIVLKLDQASTSTEGTTNMQGTSDVSKTKLTSKDGRGEGYGLSDSVKRGKKKYKDVADAAQAAFESAAHAAEAAQAAVELSQFSPRGHDSPGNIGGENSFHGSENKESEQEQEGNDDSSEGEGDVMSESKRSMSDSEDIIDVPVMSFREDPVKLLEKDTIIYDSEEETQPSVETITISKSKDEQNRMVGSNRADTRHVDHMVRSIEDPIMRKAGLKGPVSVRTRQVRGY
VIMSS10079787 1 152 0.0906296052631579 PF04749.17:PLAC8:16:114 Protein PLANT CADMIUM RESISTANCE 2; AtPCR2 152 99 15 129 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQU4 1 SwissProt MEAQHLHAKPHAEGEWSTGFCDCFSDCKNCCITFWCPCITFGQVAEIVDRGSTSCGTAGALYALIAVVTGCACIYSCFYRGKMRAQYNIKGDDCTDCLKHFCCELCSLTQQYRELKHRGYDMSLGWAGNVERQQNQGGVAMGAPVFQGGMTR
VIMSS10080223 1 969 0.348683178534571 PF00400.32:WD40:108:144,PF12931.7:Sec16_C:503:695 Protein transport protein SEC31 homolog A; SEC31-like protein A 969 230 15 969 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4ICD9 1 SwissProt MDCIKSIGRSAFVAIAPESPFIAAGTMAGAVDLSFSSSANLEIFELDFQSNDRELKLVGQCQSSERFNRLAWGSYGSGSDGLIAGGLVDGNIGLWNPISSESGEIAHVRDLSKHKGPVRGLEFNVKSPNQLASGADDGTVCIWDLANPSKPSHYLKGTGSYMQSEISSLSWNKGFQHVLASTSHNGTTVIWDVNNEKIITDLKTTVRCSVLQWDPDHFNQILVASDEDSSPNVKLLDIRYLQSPVRTFVGHQRGVIAMEWCPSDSLYLLTCGKDNRTICWNTKTGKIVAELPTGQNWNFDVHWYPKMPGVISASSVDGKIGIYNLEGCSSYGTENQQHFLFHLLDADPLTAPKWWKRPAGASFGFGGKLISFNKNLPEASEVFLHSLATEKSLVNRISKFEAALENGEKTSLRGLCEKKTEEAESEEEKETWGLLKIMLEEDGNAKTKLRSHLGFSLPSEENDQTANEPHATCSSTNVEETQKVPEPEGEEEESSDPTFDDAIQRSLIVGDYKEAVAQCFSANKMADALVIAHVGGTELWESTRDKYIRMSNAPYMKVVSAMMNNDLMTYLHTRQPKSWKETLALICTFAEGDEWISLCDALASNLMAAGFTLAATLCYICAGNVDKTVDIWSMSLEKQSAGKSYAECVQDLMEKTLVLALTTCNKRVSASLRKLFESYAEILASQGLIATAMKFLKLLESGDFSPELSILRDRISLYAEPEAANTSASTNTQPKISNPYQEKSFTPAPLSNAQPSRSITFFPLNPPRELKNADQYQQPTMDYHSFNRSAGPAYNAPPGPGSYRSIHSQVGPYINSKIPQTVAPPVRPMTPTHQVAVQPEPVAPPPTVQTADTSNVPAHQKPIVASLTRLFKETFEPLRGYSRDTPAKKREAEDNCSRKLGALFSKLNNGDISKNAAEKLTQLCQALDKRDFGAALKIQGLMTSTEWDECSSWLPTLKKMIVTGRQNVR
VIMSS10080747 1 231 0.379983982683983 PF04690.13:YABBY:18:181,PF09011.10:HMG_box_2:132:179 Axial regulator YABBY 4; Protein INNER NO OUTER 231 164 15 231 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LDT3 1 SwissProt MTKLPNMTTTLNHLFDLPGQICHVQCGFCTTILLVSVPFTSLSMVVTVRCGHCTSLLSVNLMKASFIPLHLLASLSHLDETGKEEVAATDGVEEEAWKVNQEKENSPTTLVSSSDNEDEDVSRVYQVVNKPPEKRQRAPSAYNCFIKEEIRRLKAQNPSMAHKEAFSLAAKNWAHFPPAHNKRAASDQCFCEEDNNAILPCNVFEDHEESNNGFRERKAQRHSIWGKSPFE
VIMSS10081235 1 125 0.5635296 PF05564.12:Auxin_repressed:7:122 dormancy-associated protein-like 1 125 116 15 125 0 Arabidopsis thaliana NP_001154378.1 1 RefSeq MVLLEKLWDDVVAGPQPDRGLGRLRKITTQPINIRDIGEGSSSKVVMHRSLTMPAAVSPGTPTTPTTPTTPRKDNVWRSVFNPGSNLATRAIGSNIFDKPTHPNSPSVYDWLYSGDSRSQHQWIK
VIMSS10081870 1 297 0.307555555555556 PF04669.13:Polysacc_synt_4:93:275 Glucuronoxylan 4-O-methyltransferase 3; EC 2.1.1.112 297 183 15 274 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQ32 1 SwissProt MRTKSPSSLNLKVIFIGSSILILIIIYLARSNISSSSSKPISKTNLSQEEEETQHKQEGCPTTQQCTKMPLSLSDALVHYVTSNVTPQQTFDEVSVSKRVLDKKSPCNFLVFGLGHDSLMWASLNHGGRTLFIEEDQAWIAIVTKKFPNLESYHVVYDTKVKDSDKLMELGRSEECRSVSDPRNSKCDLALKDFPADFYETKWDLIMVDAPTGYHEEAPGRMSAIYTAGLLARNREDGETDVFVHDVNRPVEDEFSATFLCKGYMREQNGRLRHFTIPSHRARAGRPFCPVEVDRRR
VIMSS10081945 1 499 0.422021442885772 Protein SENSITIVE TO PROTON RHIZOTOXICITY 1; Zinc finger protein STOP1 499 0 15 499 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C8N5 1 SwissProt METEDDLCNTNWGSSSSKSREPGSSDCGNSTFAGFTSQQKWEDASILDYEMGVEPGLQESIQANVDFLQGVRAQAWDPRTMLSNLSFMEQKIHQLQDLVHLLVGRGGQLQGRQDELAAQQQQLITTDLTSIIIQLISTAGSLLPSVKHNMSTAPGPFTGQPGSAVFPYVREANNVASQSQNNNNCGAREFDLPKPVLVDEREGHVVEEHEMKDEDDVEEGENLPPGSYEILQLEKEEILAPHTHFCTICGKGFKRDANLRMHMRGHGDEYKTAAALAKPNKESVPGSEPMLIKRYSCPFLGCKRNKEHKKFQPLKTILCVKNHYKRTHCDKSFTCSRCHTKKFSVIADLKTHEKHCGKNKWLCSCGTTFSRKDKLFGHIALFQGHTPAIPLEETKPSASTSTQRGSSEGGNNNQGMVGFNLGSASNANQETTQPGMTDGRICFEESFSPMNFDTCNFGGFHEFPRLMFDDSESSFQMLIANACGFSPRNVGESVSDTSL
VIMSS10083004 1 310 0.506994516129032 PF06200.14:tify:143:175,PF09425.10:CCT_2:259:284 Protein TIFY 6A; Jasmonate ZIM domain-containing protein 4 310 59 15 310 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q58G47 1 SwissProt MERDFLGLGSKLSPITVKEETNEDSAPSRGMMDWSFSSKVGSGPQFLSFGTSQQETRVNTVNDHLLSSAAMDQNQRTYFSSLQEDRVFPGSSQQDQTTITVSMSEPNYINSFINHQHLGGSPIMAPPVSVFPAPTTIRSSSKPLPPQLTIFYAGSVLVYQDIAPEKAQAIMLLAGNGPHAKPVSQPKPQKLVHHSLPTTDPPTMPPSFLPSISYIVSETRSSGSNGVTGLGPTKTKASLASTRNNQTAAFSMAPTVGLPQTRKASLARFLEKRKERVINVSPYYVDNKSSIDCRTLMSECVSCPPAHHLH
VIMSS10084597 1 352 0.520186931818182 PF03514.14:GRAS:274:347 Scarecrow-like protein 28; AtSCL28; GRAS family protein 8; AtGRAS-8 658 74 15 352 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAN3 1 SwissProt MLAGCSSSSLLSPTRRLRSEAVAATSATVSAHFPMNTQRLDLPCSSSFSRKETPSSRPLGRSISLDNSNNNNNKPIERKTKTSGCSLKQNIKLPPLATTRGNGEGFSWNNDNNNRGKSLKRLAEEDESCLSRAKRTKCENEGGFWFEHFTGQDSSSPALPFSLTCSGDDEEKVCFVPSEVISQPLPNWVDSVITELAGIGDKDVESSLPAAVKEASGGSSTSASSESRSLSHRVPEPTNGSRNPYSHRGATEERTTGNINNNNNRNDLQRDFELVNLLTGCLDAIRSRNIAAINHFIARTGDLASPRGRTPMTRLIAYYIEALALRVARMWPHIFHIAPPREFDRTVEDESG
VIMSS10084780 1 469 0.139590618336887 PF00561.20:Abhydrolase_1:185:317,PF12697.7:Abhydrolase_6:185:451,PF12146.8:Hydrolase_4:180:438,PF06821.13:Ser_hydrolase:227:292 Probable lysophospholipase BODYGUARD 1; AtBDG1; Protein 9-cis epoxycarotenoid dioxygenase defective 1; Protein COOL BREATH 5; EC 3.1.1.- 469 272 15 446 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LFX7 1 SwissProt MGFSRSLNRTVGVFVFFILDIVDFLLCFTYKTLDFFFESEWKPCYCCPPPEAKPISAGGNRGGKMIVSERSGDYSKVVSLTRTKIYLDEISDTLYSRPSLLTKLTKLVKCFKKDVVKCCDESKKRSPSTKKTLLTVNSTVVEKLQRTPRWSDCHCTFCTSWLSSSNQSLFVNVQQPTDNKAQENVVFIHGFLSSSTFWTETLFPNFSDSAKSNYRFLAVDLLGYGKSPKPNDSLYTLKEHLEMIERSVISQFRLKTFHLVAHSLGCILALALAVKHPGAIKSLTLLAPPYYSVPKGVQGTQYVMRRLAPKEVWPPMAFGASVASWYEHISRTVSLVLCKNHHLLEFLTRLLTRNRMRTYLIEGFLCHTHNASWHTLHNIIFGSGSKVEAYLDHVRDNVDCEVAVFHGGRDELIPVECSYGVKRKVPRARIHVVPDKDHITIVVGRQKEFARELELIWRRSTTPQLHSIN
VIMSS10084909 1 146 0.0237979452054794 PF01124.18:MAPEG:16:137 microsomal glutathione s-transferase, putative (RefSeq) 146 122 15 77 3 Arabidopsis thaliana VIMSS10084909 0 MicrobesOnline MAAITEFLPKEYGYVVLVLVFYCFLNLWMGAQVGRARKRYNVPYPTLYAIESENKDAKLFNCVQRGHQNSLEMMPMYFILMILGGMKHPCICTGLGLLYNVSRFFYFKGYATGDPMKRLTIGKYGFLGLLGLMICTISFGVTLILA
VIMSS10084984 1 298 0.61203355704698 PF00010.26:HLH:210:251 Transcription factor bHLH83; Basic helix-loop-helix protein 83; AtbHLH83; bHLH 83; Transcription factor EN 112; bHLH transcription factor bHLH083 298 42 15 298 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C707 1 SwissProt MALVNDHPNETNYLSKQNSSSSEDLSSPGLDQPDAAYAGGGGGGGSASSSSTMNSDHQQHQGFVFYPSGEDHHNSLMDFNGSSFLNFDHHESFPPPAISCGGSSGGGGFSFLEGNNMSYGFTNWNHQHHMDIISPRSTETPQGQKDWLYSDSTVVTTGSRNESLSPKSAGNKRSHTGESTQPSKKLSSGVTGKTKPKPTTSPKDPQSLAAKNRRERISERLKILQELVPNGTKVDLVTMLEKAISYVKFLQVQVKVLATDEFWPAQGGKAPDISQVKDAIDAILSSSQRDRNSNLITN
VIMSS10085429 1 339 0.122730973451327 PF00134.23:Cyclin_N:51:181,PF02984.19:Cyclin_C:184:296 Cyclin-D1-1; Cyclin-delta-1; Cyclin-d1; G1/S-specific cyclin-D1-1; CycD1;1 339 244 15 339 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P42751 1 SwissProt MRSYRFSDYLHMSVSFSNDMDLFCGEDSGVFSGESTVDFSSSEVDSWPGDSIACFIEDERHFVPGHDYLSRFQTRSLDASAREDSVAWILKVQAYYNFQPLTAYLAVNYMDRFLYARRLPETSGWPMQLLAVACLSLAAKMEEILVPSLFDFQVAGVKYLFEAKTIKRMELLVLSVLDWRLRSVTPFDFISFFAYKIDPSGTFLGFFISHATEIILSNIKEASFLEYWPSSIAAAAILCVANELPSLSSVVNPHESPETWCDGLSKEKIVRCYRLMKAMAIENNRLNTPKVIAKLRVSVRASSTLTRPSDESSFSSSSPCKRRKLSGYSWVGDETSTSN
VIMSS10085707 180 363 0.143560869565217 PF00931.22:NB-ARC:11:172 disease resistance protein (TIR-NBS class), putative (RefSeq) 363 162 15 184 0 Arabidopsis thaliana VIMSS10085707 1 MicrobesOnline SNGRNLVGIDKHMNELNRLMDLNSNKGKRMVGIWARGGSCRSALAKYVYQTSCQHFDSHCFLGNVKRICQGNYFESHLHKEFLDNIQGENSSKQSLKKQKVLLVADDVDKLEQLDALAGDFSGFGPGSVVIITTKDKQLLISYGIQLVYEAEFLTFQKFCRSFRSLAFKKRDDISAAFEWALYI
VIMSS10086014 1 154 0.30129025974026 Protein disulfide-isomerase LQY1, chloroplastic; Protein LOW QUANTUM YIELD OF PHOTOSYSTEM II 1; EC 5.3.4.1 154 0 15 131 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GSJ6 1 SwissProt MPVSAPSPPRLHSPFIHCPINFTPSSFSARNLRSPSTSYPRIKAELDPNTVVAISVGVASVALGIGIPVFYETQIDNAAKRENTQPCFPCNGTGAQKCRLCVGSGNVTVELGGGEKEVSNCINCDGAGSLTCTTCQGSGVQPRYLDRREFKDDD
VIMSS10086714 202 445 0.620633606557377 Zinc finger protein SHOOT GRAVITROPISM 5; Protein indeterminate-domain 15; AtIDD15 445 0 15 244 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IPE3 1 SwissProt RRVHREPPRPPQTAVTVPACSSRTASTVSTPSSETNYGGTVAVTTPQPLEGRPIHQRISSSILTNSSNNLNLELQLLPLSSNQNPNQENQQQKVKEPSHHHNHNHDTTNLNLSIAPSSSYQHYNNFDRIKEIMASEQIMKIAMKEKAYAEEAKREAKRQREIAENEFANAKKIRQKAQAELERAKFLKEQSMKKISSTIMQVTCQTCKGQFQAVAVPAATADETSLVVSYMSSANTDGELENGF
VIMSS10089028 1 187 0.265008556149733 PF00582.26:Usp:40:167 RD2 (RefSeq) 187 128 15 187 0 Arabidopsis thaliana VIMSS10089028 1 MicrobesOnline MEALPEDEEYSFREVVLPSLIPVVPEPELERESGERRRGRDVIVAVDHGPNSKHAFDWALVHFCRLADTLHLVHAVSSVKNDVVYETSQALMEKLAVEAYQVAMVKSVARVVEGDAGKVICKEAEKVKPAAVIVGTRGRSLVRSVLQGSVSEYCFHNCKSAPVIIVPGKEAGDESIVDWTRSEDPKP
VIMSS10089046 530 1088 0.120724865831843 PF03552.14:Cellulose_synt:1:546,PF13632.6:Glyco_trans_2_3:250:378 Probable cellulose synthase A catalytic subunit 9 [UDP-forming]; AtCesA9; EC 2.4.1.12 1088 546 15 421 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJ22 1 SwissProt YVSREKRPGFDHHKKAGAMNSLIRVSAVLSNAPYLLNVDCDHYINNSKAIREAMCFMMDPQSGKKICYVQFPQRFDGIDRHDRYSNRNVVFFDINMKGLDGIQGPIYVGTGCVFRRQALYGFDAPKKKQPPGRTCNCWPKWCCLCCGMRKKKTGKVKDNQRKKPKETSKQIHALEHIEEGLQVTNAENNSETAQLKLEKKFGQSPVLVASTLLLNGGVPSNVNPASLLRESIQVISCGYEEKTEWGKEIGWIYGSVTEDILTGFKMHCHGWRSVYCMPKRAAFKGSAPINLSDRLHQVLRWALGSVEIFLSRHCPIWYGYGGGLKWLERFSYINSVVYPWTSLPLLVYCSLPAICLLTGKFIVPEISNYAGILFLLMFMSIAVTGILEMQWGKIGIDDWWRNEQFWVIGGVSSHLFALFQGLLKVLAGVSTNFTVTSKAADDGEFSELYIFKWTSLLIPPTTLLIINIVGVIVGVSDAINNGYDSWGPLFGRLFFALWVIVHLYPFLKGLLGKQDRVPTIILVWSILLASILTLLWVRVNPFVSKDGPVLEICGLDCLK
VIMSS10089695 1 650 0.342838923076923 PF14215.6:bHLH-MYC_N:5:158 Transcription factor LHW; BHLH transcription factor delta; bHLH delta; Basic helix-loop-helix protein 156; AtbHLH156; bHLH 156; Protein LONESOME HIGHWAY; bHLH transcription factor bHLH156 650 154 15 650 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XIN0 1 SwissProt MGVLLREALRSMCVNNQWSYAVFWKIGCQNSSLLIWEECYNETESSSNPRRLCGLGVDTQGNEKVQLLTNRMMLNNRIILVGEGLVGRAAFTGHHQWILANSFNRDVHPPEVINEMLLQFSAGIQTVAVFPVVPHGVVQLGSSLPIMENLGFVNDVKGLILQLGCVPGALLSENYRTYEPAADFIGVPVSRIIPSQGHKILQSSAFVAETSKQHFNSTGSSDHQMVEESPCNLVDEHEGGWQSTTGFLTAGEVAVPSNPDAWLNQNFSCMSNVDAAEQQQIPCEDISSKRSLGSDDLFDMLGLDDKNKGCDNSWGVSQMRTEVLTRELSDFRIIQEMDPEFGSSGYELSGTDHLLDAVVSGACSSTKQISDETSESCKTTLTKVSNSSVTTPSHSSPQGSQLFEKKHGQPLGPSSVYGSQISSWVEQAHSLKREGSPRMVNKNETAKPANNRKRLKPGENPRPRPKDRQMIQDRVKELREIIPNGAKCSIDALLERTIKHMLFLQNVSKHSDKLKQTGESKIMKEDGGGATWAFEVGSKSMVCPIVVEDINPPRIFQVEMLCEQRGFFLEIADWIRSLGLTILKGVIETRVDKIWARFTVEASRDVTRMEIFMQLVNILEQTMKCGGNSKTILDGIKATMPLPVTGGCSM
VIMSS10089748 1 280 0.0614578571428571 PF00067.22:p450:64:275 Cytochrome P450 94C1; 12-hydroxyjasmonoyl-L-amino acid 12-hydroxylase; EC 1.14.14.49 495 212 15 257 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUX1 1 SwissProt MLLIISFTIVSFFFIIIFSLFHLLFLQKLRYCNCEICHAYLTSSWKKDFINLSDWYTHLLRRSPTSTIKVHVLNSVITANPSNVEHILKTNFHNYPKGKQFSVILGDLLGRGIFNSDGDTWRFQRKLASLELGSVSVRVFAHEIVKTEIETRLLPILTSFSDNPGSVLDLQDVFRRFSFDTISKLSFGFDPDCLRLPFPISEFAVAFDTASLLSAKRALAPFPLLWKTKRLLRIGSEKKLQESINVINRLAGDLIKQRRLTGLMGKNDLISRFMAVVAED
VIMSS10091110 1 230 0.167763913043478 PF01789.16:PsbP:79:227 PPL2 (PsbP-like protein 2); calcium ion binding (RefSeq) 230 149 15 230 0 Arabidopsis thaliana VIMSS10091110 1 MicrobesOnline MAVSSLSIRCGGFSPTISHKTEILCPNPSLKACCLLSSGAYQKGSGNNWKRRQALVGVGTLVATSIPATLLLAEEIPKSYSPFVDREDGYSYYYPSDWREFDFRAHDSAFKDRYLQLQNVRVRFIPTEKNDIHEVGPMEEVVYDLVKHKFAAPNQVATIYDMKERVEDGKNYYTFEYGLRTPIYATTSFATVAVGNNRYYTLIVGANERRWRKVKKQLQVVADSLKILQI
VIMSS10091182 1 258 0.589337984496124 PF01086.17:Clathrin_lg_ch:53:224 Clathrin light chain 2 258 172 15 258 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04209 1 SwissProt MSAFEDDSFVILNDDASESVPVSGSFDATDSFSAFDGSLQVEDSVDDVFAAPSSDYGAYSNGDGIFGSNGDHDGPILPPPSEMESDEGFALREWRRQNAIQLEEKEKREKELLKQIIEEADQYKEEFHKKIEVTCENNKAANREKEKLYLENQEKFYAESSKNYWKAIAELVPKEVPTIEKRRGKKEQQDPKKPTVSVIQGPKPGKPTDLTRMRQILVKLKHNPPSHLKLTSQPPSEEAAAPPKNVPETKPTEAVTAA
VIMSS10091775 1 134 0.149837313432836 PF14547.6:Hydrophob_seed:51:133,PF00234.22:Tryp_alpha_amyl:52:133,PF14368.6:LTP_2:43:133 At2g45180 134 91 15 114 1 Arabidopsis thaliana Q42044 1 SwissProt/TReMBL MASKALAVTALLITLNLLFFTFVTSTKCPPTTPKPPKTPKSPKKAPAVKPTCPTDTLKLGVCADLLGLVNVVVGSPPKTPCCTLLQGLANLEAAVCLCTALKANVLGINLNVPIDLTLLLNYCGKKVPHGFQCS
VIMSS10092598 182 398 0.518847004608295 E3 ubiquitin-protein ligase ATL6; RING-H2 finger protein ATL6; RING-type E3 ubiquitin transferase ATL6; EC 2.3.2.27 398 0 15 217 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RXX9 1 SwissProt SVEPGGTEPDLELQQVVVNPEPVVTAPVPEQLVTSEVDSRRLPGVPVDLKRVKFSRSHTTGHSVVQPGECTERFTLRLPEDVRKRIMKDWKLNRTNSLLVLPRGGSSRRGKPIDRSRARSDRWLFRKTPSFLWRSRDDGSIRLGATGSVRASAVPNSTGSDSVRAGDRWAFLRNASFLWRNSSVHVPRGGVNKDGEGTSVKSTGASGSTSGSVRLPV
VIMSS10092941 1 365 0.235579452054795 PF04862.12:DUF642:27:183,PF04862.12:DUF642:194:361 hypothetical protein (RefSeq) 365 325 15 342 1 Arabidopsis thaliana VIMSS10092941 1 MicrobesOnline MAVPKAIILPILLLICGAALGAPASEGYLRNGNFEESPKKTDMKKTVLLGKNALPEWETTGFVEYIAGGPQPGGMYFPVAHGVHAVRLGNEATISQKLEVKPGSLYALTFGASRTCAQDEVLRVSVPSQSGDLPLQTLYNSFGGDVYAWAFVAKTSQVTVTFHNPGVQEDPACGPLLDAVAIKELVHPIYTRGNLVKNGGFEEGPHRLVNSTQGVLLPPKQEDLTSPLPGWIIESLKAVKFIDSKYFNVPFGHAAIELVAGKESAIAQVIRTSPGQTYTLSFVVGDAKNDCHGSMMVEAFAARDTLKVPHTSVGGGHVKTASFKFKAVEARTRITFFSGFYHTKKTDTVSLCGPVIDEIVVSHVA
VIMSS10093038 1 363 0.383846831955923 PF08609.10:Fes1:10:95 Fes1A 363 86 15 363 0 Arabidopsis thaliana NP_566349.1 1 RefSeq MAKDGPNWDGLLKWSLSHADGTRPTRQLSEEDRKWFMEAMQSQTVDVVKRMKEITLVMQTPEQVLVEHGVTPEDIQDLLDELQEHVESIDMANDLHSIGGLVPLLSFLKNSHANIRAKAADVVSTIVQNNPRSQELVMETNALESLLSNFTSDTDIHARTQALGAISSLIRHNKPGVTAFKLANGYAGLRDALASDSVRFQRKALNLLQYLLQEDDSDRSIATGLGFPRVMMHLASSDDAEIREAALRGLLELSREKNDGSSSIDKSDEKLRQLLEERIKGITLMSQEDLETVKEERQLVDLLWSICYNEPSSLREKGLVVLPGEDALPPDVASKLFEPPLRASAANRNATEKKDEPMKLLGP
VIMSS10093746 1 249 0.412195180722892 PF11789.8:zf-Nse:144:204 E3 SUMO-protein ligase MMS21; E3 SUMO-protein transferase MMS21; MMS21 homolog; AtMMS21; Protein HIGH PLOIDY 2; EC 2.3.2.- 249 61 15 249 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GYH7 1 SwissProt MASASSSDGVAGRIQNASLVLVSDNSSTLADIRKAVAMMKNIAVQLEKENQTDKVKDLENSVAELLDLHSDCNHRSTAIQSVANRYQPVEQLTDFKKLLDDEFTKLKATPSSVPQNDHLMRQFREAVWNVHHAGEPMPGDDDEDIVMTSTQCPLLNMTCPLSGKPVTELADPVRSMDCRHVYEKSVILHYIVNNPNANCPVAGCRGKLQNSKVICDAMLKFEIEEMRSLNKQSNRAEVIEDFTEDVDED
VIMSS10093786 1 253 0.233244268774703 PF12481.8:DUF3700:2:229,PF13537.6:GATase_7:77:177 hypothetical protein (RefSeq) 253 228 15 253 0 Arabidopsis thaliana VIMSS10093786 1 MicrobesOnline MLAIFQKAFAHPPEELNSPASHFSGKTPKLPGETLSDFLSHHQNNAFSMNFGDSAVLAYARQETSLRQRLFCGLDGIYCMFLGRLNNLCTLNRQYGLSGKNSNEAMFVIEAYRTLRDRGPYPADQVLRGLEGSFAFVVYDTQTSSVFSALSSDGGESLYWGISGDGSVVMSDDIQIIKQGCAKSFAPFPNGCMFHSETGLKSFDHPTNMMKAMPRIDSEGVLCGASFKVDACSKINSIPRRGSEANWALANSR
VIMSS10093942 210 1131 0.353621800433839 PF00400.32:WD40:240:274,PF00400.32:WD40:700:733 Topless-related protein 2 1131 69 15 922 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LRZ0 1 SwissProt ARALTPVNLPVAAVARPSNFVPLGVHGGPFQSNPAPAPNANALAGWMANPNPSSSVPSGVVAASPFPMQPSQVNELKHPRAPSNSLGLMDYQSADHEQLMKRLRSAQTSNEVTYPAHSHPPASLDDLPRNVVSTIRQGSVVISMDFHPSHHTLLAVGCSSGEVTLWEVGSREKVVTEPFKIWNMAACSVIFQGSIVKEPSISVTRVAWSPDGNLLGVSFTKHLIHVYAYQGSDLRQHLEIDAHVGCVNDLAFAHPNKQMCVVTCGDDKLIKVWDLSGKKLFTFEGHEAPVYSICPHQKENIQFIFSTALDGKIKAWLYDNVGSRVDYDAPGQWCTTMLYSADGSRLFSCGTSKEGDSFLVEWNESEGALKRTYLGFRKKSAGVVQFDTTRNRFLAVGEDNQIKFWNMDNTNLLTVVEAEGGLPNLPRLRFNKDGNLLAVTTADNGFKILANTDGLRTLRAFEARSFEASKASIDMKVSTSAMASSISPAIGKIEHMDAGSPARPTPIPNGIEAMSRTMEKPRNLDSVDKSKPLELTEIVDPTQCRQVTMPDSKDSVSKVARLLYTNSGVGVLALGSNGVQRLWKWIRNEQNPTGKATASVTPQHWQPNSGLLMANDVPENPEGSVPCIALSKNDSYVMSACGGKVSLFNMMTFKVMTTFMPPPPASTFLAFHPQDNNIIAIGMEDSSIHIYNVRVDEVKTKLKGHQKHITGLAFSTALNILVSSGADAQLFFWTADSWEKKKSSAIQLPPGKAPVGDTRVQFHNDQIQLLVSHETQLAIYDASKMECIHKWVPQEALSSPITSASYSCNSQLVYASFADGNIAVFDAESLRLRCRIAPSAYMPQPTPNSAPIFPQVITAHPQEPNQLAVGLSDGSVKVIEPSELSRRWGVGVAAGSDKAGTENGRPSSSSAANNSSSDQIQR
VIMSS10094378 1 581 0.266793631669536 PF01612.20:DNA_pol_A_exo1:268:468 DNA polymerase I B, chloroplastic/mitochondrial; DNA polymerase PolI-like B; AtPolI-like B; Polymerase gamma 1; POLGAMMA1; EC 2.7.7.7 1034 201 15 581 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84ND9 1 SwissProt MGVSLRHLSPSSFWVSRRPRVSSSILSFLVPRRRILCTRKVAIIKGNAGYSTATDCGGSHGFHHSGHQRSSSVEFSGEWKLNLGSKTARMVPPTVKQAGAVSAWREEVNNKLRGRNREYANNQDDAFGNGSYILKGFVPKIDDVHSYGNGQNFDYNLKPGTDITTLGRELNGFMQTNSIRGSVVALPSKDIEVGETTDVTLKPLNSDTTLDNASYKKTATISKVEKCTNLSQVRANLKKIYNRVRVVDNVSSAKETVALLMNQYRNLVHACDTEVSRIDVKTETPVDHGEMICFSIYCGSEADFGDGKSCIWVDVLGENGRDILAEFKPFFEDSSIKKVWHNYSFDNHIIRNYGIKLSGFHGDTMHMARLWDSSRRISGGYSLEALTSDPKVLGGTETKEEAELFGKISMKKIFGKGKLKKDGSEGKLVIIPPVKELQMEDREAWISYSALDSISTLKLYESMKKQLQAKKWFLDGKLISKKNMFDFYQEYWQPFGELLAKMESEGMLVDRDYLAQIEIVAKAEQEIAVSRFRNWASKHCPDAKHMNVGSDTQLRQLFFGGISNSCNDEDLPYEKLFKVPN
VIMSS10094966 1 393 0.122012213740458 PF04526.13:DUF568:89:195,PF03188.16:Cytochrom_B561:220:344 Cytochrome b561 and DOMON domain-containing protein At3g25290; Protein b561A.tha3 393 232 15 258 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSE7 1 SwissProt MSSSSSVRISLSFIFLALLISPAVSQTCKSQTFSGDKTYPHCLDLPQLKAFLHYSYDASNTTLAVVFSAPPAKPGGWIAWAINPKATGMVGSQTLVAYKDPGNGVAVVKTLNISSYSSLIPSKLAFDVWDMKAEEAARDGGSLRIFARVKVPADLVAKGKVNQVWQVGPELGPGGMIGRHAFDSANLASMSSLDLKGDNSGGTISGGDEVNAKIKNRNIHGILNAVSWGILFPIGAIIARYMRVFDSADPAWFYLHVSCQFSAYVIGVAGWATGLKLGNESEGIRFSAHRNIGIALFTLATIQMFAMLLRPKKDHKYRFYWNIYHHGVGYAILTLGIINVFKGLNILKPQDTYKTAYIAVIAVLGGIALLLEAITWVVVLKRKSNNSMKPLRT
VIMSS10095188 1 314 0.628709554140128 PF03634.13:TCP:77:256 Transcription factor TCP20 314 180 15 314 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSD5 1 SwissProt MDPKNLNRHQVPNFLNPPPPPRNQGLVDDDAASAVVSDENRKPTTEIKDFQIVVSASDKEPNKKSQNQNQLGPKRSSNKDRHTKVEGRGRRIRMPALCAARIFQLTRELGHKSDGETIQWLLQQAEPSIIAATGSGTIPASALASSAATSNHHQGGSLTAGLMISHDLDGGSSSSGRPLNWGIGGGEGVSRSSLPTGLWPNVAGFGSGVPTTGLMSEGAGYRIGFPGFDFPGVGHMSFASILGGNHNQMPGLELGLSQEGNVGVLNPQSFTQIYQQMGQAQAQAQGRVLHHMHHNHEEHQQESGEKDDSQGSGR
VIMSS10095539 1 231 0.509541991341992 PF16136.5:NINJA_B:55:101,PF16135.5:Jas:161:224,PF07897.11:EAR:11:45 Ninja-family protein AFP3; ABI five-binding protein 3; ABI5-binding protein 3 231 146 15 231 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94F39 1 SwissProt MSKKQRLSEEDGEVEIELDLGLSLNGRFGVDPLAKTRLMRSTSVLDLVVNDRSGLSRTCSLPVETEEEWRKRKELQSLRRLEAKRKRSEKQRKHKACGGEEKVVEEGSIGSSGSGSSGLSEVDTLLPPVQATTNKSVETSPSSAQSQPENLGKEASQNIIEDMPFVSTTGDGPNGKKINGFLYRYRKGEEVRIVCVCHGSFLSPAEFVKHAGGGDVAHPLKHIVVNPSPFL
VIMSS10096812 1 164 0.36765487804878 PF13912.6:zf-C2H2_6:36:61,PF13912.6:zf-C2H2_6:85:110 Zinc finger protein ZAT8 164 52 15 164 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LX85 1 SwissProt MVARSEEVEIVEDTAAKCLMLLSRVGECGGGGEKRVFRCKTCLKEFSSFQALGGHRASHKKLINSSDPSLLGSLSNKKTKTATSHPCPICGVEFPMGQALGGHMRRHRSEKASPGTLVTRSFLPETTTVTTLKKSSSGKRVACLDLDSMESLVNWKLELGRTIS
VIMSS10096996 117 333 0.545647465437788 Transcription factor MYB94; Myb-related protein 94; AtMYB94 333 0 15 217 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SN78 1 SwissProt KKMNDSCDSTINNGLDNKDFSISNKNTTSHQSSNSSKGQWERRLQTDINMAKQALCDALSIDKPQNPTNFSIPDLGYGPSSSSSSTTTTTTTTRNTNPYPSGVYASSAENIARLLQNFMKDTPKTSVPLPVAATEMAITTAASSPSTTEGDGEGIDHSLFSFNSIDEAEEKPKLIDHDINGLITQGSLSLFEKWLFDEQSHDMIINNMSLEGQEVLF
VIMSS10097549 1 168 0.317685119047619 PF05873.12:Mt_ATP-synt_D:15:157 ATPQ (ATP SYNTHASE D CHAIN, MITOCHONDRIAL); hydrogen ion transmembrane transporter (RefSeq) 168 143 15 168 0 Arabidopsis thaliana VIMSS10097549 1 MicrobesOnline MSGAGKKIADVAFKASRTIDWDGMAKVLVTDEARREFSNLRRAFDEVNTQLQTKFSQEPEPIDWDYYRKGIGAGIVDKYKEAYDSIEIPKYVDKVTPEYKPKFDALLVELKEAEQKSLKESERLEKEIADVQEISKKLSTMTADEYFEKHPELKKKFDDEIRNDNWGY
VIMSS10097740 1 329 0.331415197568389 RNA polymerase sigma factor sigC; Sigma factor C; Sigma-C; RNA polymerase sigma factor sig3; Atsig3; Sigma factor 3 571 0 15 329 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O24621 1 SwissProt MASFNSFPIPKQIVGSSSSSSSSTSRPRILVRSSLTSSMTSTNSMLVFVHPHPLIKHWLSLLRSDQTSFPIFVRIPMTSVVATRWSFLSSVKEESRIYQNDSLKACGCASVSPYTAQNNVYVELKDPKENIGVGSAERSYSSRSMLQYNLLAKNLLALEETFVALDSVRMERDIMLQMGKLGAAELFKTCLSRYRGSSITSCLSDTTELVDTTPNQQVFVSSRRKVKKKARRSSVTAENGDQSSLPIGLRTTWNNIDVPRVRRPPKYRKKRERISRNETEMSTGVKIVADMERIRTQLEEESGKVASLSCWAAAAGMNEKLLMRNLHYG
VIMSS10098041 1 262 0.272102671755725 PF01789.16:PsbP:105:261 PsbP domain-containing protein 6, chloroplastic; OEC23-like protein 1 262 157 15 262 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXX5 1 SwissProt MATASLVPTSKIFSVSPKSSASIKARSRVVVASSQQQQQPRRRELLLKSAVAIPAILQLKEAPISAAREVEVGSYLPLSPSDPSFVLFKAKPSDTPALRAGNVQPYQFVLPPNWKQLRIANILSGNYCQPKCAEPWIEVKFENEKQGKVQVVASPLIRLTNKPNATIEDLGEPEKVIASLGPFVTGNSYDSDELLKTSIEKIGDQTYYKYVLETPFALTGSHNLAKATAKGSTVVLFVVSATEKQWQSSQKTLEAILDSFQL
VIMSS10098458 121 297 0.456212994350282 DUO1 (DUO POLLEN 1); DNA binding / transcription factor (RefSeq) 297 0 15 177 0 Arabidopsis thaliana VIMSS10098458 1 MicrobesOnline DASSSSFNPKSSSSHRLKGKNVKPIRQSSQGFGLVEEEVTVSSSCSQMVPYSSDQVGDEVLRLPDLGVKLEHQPFAFGTDLVLAEYSDSQNDANQQAISPFSPESRELLARLDDPFYYDILGPADSSEPLFALPQPFFEPSPVPRRCRHVSKDEEADVFLDDFPADMFDQVDPIPSP
VIMSS10098544 1 469 0.301714712153518 PF01501.20:Glyco_transf_8:334:459 Polygalacturonate 4-alpha-galacturonosyltransferase; Alpha-1,4-galacturonosyltransferase 1; Galacturonosyltransferase 1; Like glycosyl transferase 1; EC 2.4.1.43 673 126 15 446 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LE59 1 SwissProt MALKRGLSGVNRIRGSGGGSRSVLVLLIFFCVFAPLCFFVGRGVYIDSSNDYSIVSVKQNLDWRERLAMQSVRSLFSKEILDVIATSTADLGPLSLDSFKKNNLSASWRGTGVDPSFRHSENPATPDVKSNNLNEKRDSISKDSIHQKVETPTKIHRRQLREKRREMRANELVQHNDDTILKLENAAIERSKSVDSAVLGKYSIWRRENENDNSDSNIRLMRDQVIMARVYSGIAKLKNKNDLLQELQARLKDSQRVLGEATSDADLPRSAHEKLRAMGQVLAKAKMQLYDCKLVTGKLRAMLQTADEQVRSLKKQSTFLAQLAAKTIPNPIHCLSMRLTIDYYLLSPEKRKFPRSENLENPNLYHYALFSDNVLAASVVVNSTIMNAKDPSKHVFHLVTDKLNFGAMNMWFLLNPPGKATIHVENVDEFKWLNSSYCPVLRQLESAAMREYYFKADHPTSGSSNLKYR
VIMSS10098546 176 808 0.286554502369668 PF01852.19:START:145:363 Homeobox-leucine zipper protein HDG1; HD-ZIP protein HDG1; Homeodomain GLABRA 2-like protein 1; Homeodomain transcription factor HDG1; Protein HOMEODOMAIN GLABROUS 1 808 219 15 633 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2E8 1 SwissProt LLRQENDKLRAENMSVREAMRNPMCGNCGGPAVIGEISMEEQHLRIENSRLKDELDRVCALTGKFLGRSNGSHHIPDSALVLGVGVGSGGCNVGGGFTLSSPLLPQASPRFEISNGTGSGLVATVNRQQPVSVSDFDQRSRYLDLALAAMDELVKMAQTREPLWVRSSDSGFEVLNQEEYDTSFSRCVGPKQDGFVSEASKEAGTVIINSLALVETLMDSERWAEMFPSMVSRTSTTEIISSGMGGRNGALHLMHAELQLLSPLVPVRQVSFLRFCKQHAEGVWAVVDVSIDSIREGSSSSCRRLPSGCLVQDMANGYSKVTWIEHTEYDENHIHRLYRPLLRCGLAFGAHRWMAALQRQCECLTILMSSTVSTSTNPSPINCNGRKSMLKLAKRMTDNFCGGVCASSLQKWSKLNVGNVDEDVRIMTRKSVNNPGEPPGIILNAATSVWMPVSPRRLFDFLGNERLRSEWDILSNGGPMKEMAHIAKGHDRSNSVSLLRASAINANQSSMLILQETSIDAAGAVVVYAPVDIPAMQAVMNGGDSAYVALLPSGFAILPNGQAGTQRCAAEERNSIGNGGCMEEGGSLLTVAFQILVNSLPTAKLTVESVETVNNLISCTVQKIKAALHCDST
VIMSS10098720 1 90 0.183487777777778 PF00582.26:Usp:7:73 universal stress protein (USP) family protein (RefSeq) 162 67 15 90 0 Arabidopsis thaliana VIMSS10098720 1 MicrobesOnline MEETKERKIVVAVDESEESMEALSWSLDNLFPYGSNNTLILLYVKPPLPVYSSLDAAGFIVTGDPVAALKKYEYELVESVMARSRTVYQD
VIMSS10098817 1 338 0.261205029585799 PF08241.12:Methyltransf_11:115:209,PF13649.6:Methyltransf_25:114:206,PF01209.18:Ubie_methyltran:109:211,PF13847.6:Methyltransf_31:109:210,PF08242.12:Methyltransf_12:115:207,PF13489.6:Methyltransf_23:106:250,PF00398.20:RrnaAD:98:177 2-methyl-6-phytyl-1,4-hydroquinone methyltransferase, chloroplastic; 37 kDa inner envelope membrane protein; E37; MPBQ/MSBQ methyltransferase; Protein ALBINO OR PALE GREEN MUTANT 1; Protein INNER ENVELOPE PROTEIN 37; Protein VITAMIN E DEFECTIVE 3; EC 2.1.1.295 338 153 15 315 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LY74 1 SwissProt MASLMLNGAITFPKGLGSPGSNLHARSIPRPTLLSVTRTSTPRLSVATRCSSSSVSSSRPSAQPRFIQHKKEAYWFYRFLSIVYDHVINPGHWTEDMRDDALEPADLSHPDMRVVDVGGGTGFTTLGIVKTVKAKNVTILDQSPHQLAKAKQKEPLKECKIVEGDAEDLPFPTDYADRYVSAGSIEYWPDPQRGIREAYRVLKIGGKACLIGPVYPTFWLSRFFSDVWMLFPKEEEYIEWFKNAGFKDVQLKRIGPKWYRGVRRHGLIMGCSVTGVKPASGDSPLQLGPKEEDVEKPVNNPFSFLGRFLLGTLAAAWFVLIPIYMWIKDQIVPKDQPI
VIMSS10100373 1 290 0.23510724137931 PF04669.13:Polysacc_synt_4:85:268 Glucuronoxylan 4-O-methyltransferase 2; EC 2.1.1.112 290 184 15 268 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9T0F7 1 SwissProt MRNKSQSFISSKLIFICCSILVLFILFLKRASFSSNSTATIRDEYHQKSKCPSTPQQCTKLPTSLSDALVHYVTSEITPQQTFDEVSVSKRVLDKKSPCNFLVFGLGHDSLMWASLNHGGRTLFLEEDEAWIETVTKKFPNLESYHVVYDTKVKDSNKLMELKRTEDCKAVSDPRDSKCALSLKGFPADVYETQWDVIMVDAPTGYHDEAPGRMSAIYTAGLLARNRYDGGETDVFVHDINRPVEDEFSVAFLCGGYMKEQQGRLRHFNIPSHRASFGTPFCPADISRRF
VIMSS10101653 1 481 0.212010810810811 PF12708.7:Pectate_lyase_3:78:298 Polygalacturonase QRT3; AtQRT3; PG QRT3; Pectinase QRT3; Protein QUARTET 3; EC 3.2.1.15 481 221 15 481 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49432 1 SwissProt MELRKSQVAMPVFLAIMSLMVSQVVFAEKDSGSMSPHDRALAEMQALKASLVRRNLPALVSPPPTPPQAVPGPRVYQVISYGADPTGKLDSTDAILKAMEEAFDGPNHGVLMQGINDLGGARIDLQGGSYLISRPLRFPSAGAGNLLISGGTLRASNDFPVDRYLIELKDESSKLQYIFEYITLRDLLIDCNYRGGAIAVINSLRTSIDNCYITRFGDTNGILVKSGHETYIRNSFLGQHITAGGDRGERSFSGTAINLMGNDNAVTDTVIFSARIGVMVSGQANLLSGVHCYNKATGFGGTGIYLRLPGLTQNRIVNSYLDYTGIVAEDPVQLQISGTFFLGDAFILLKSIAGYIRGVSIVDNMFSGSGHGVQIVQLDQRNTAFDDVGQVVVDRNSVNGMVEKSTVARGSVDGNGTSWTVDFNPVLLFPDLINHVQYTLVASEAGVFPLHALRNVSDNRVVVETNAPVTGTVYVTVNQGV
VIMSS10102191 1 147 0.537985034013605 PF04770.12:ZF-HD_dimer:47:99 Zinc-finger homeodomain protein 2; AtZHD2; Homeobox protein 22; AtHB-22; Protein MATERNAL EFFECT EMBRYO ARREST 68 220 53 15 147 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SB61 1 SwissProt MNFEDQEEDMEMSGVNPPCGYDSLSGEGATSSGGGGVGRSKGVGAKIRYRECLKNHAVNIGGHAVDGCCEFMPSGEDGTLDALKCAACGCHRNFHRKETESIGGRAHRVPTYYNRPPQPHQPPGYLHLTSPAAPYRPPAASGDEEDT
VIMSS10103670 1 580 0.291100689655173 PF03000.14:NPH3:214:441,PF00651.31:BTB:25:128 BTB/POZ domain-containing protein NPY5; Protein NAKED PINS IN YUC MUTANTS 5 580 332 15 580 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WL52 1 SwissProt MKFMKLGSKPDSFQSEGDNVRYVSSELATDVIVIIGDVKFYLHKFPLLSKSARLQKLITTSTSSSNEENQIHHHHHEDEIEIAEIPGGPASFEICAKFCYGMTVTLNAYNVVAARCAAEFLEMYETVEKGNLVYKIEVFLNSSILQSWKDSIIVLQTTRALSPYSEELKLTGRCLDSIASRASIDTSKVEWSYTYSKKKNLDNGLRKPQAVPRDWWVEDLCDLHIDLYKRALATIEARGNVSADVIGEALHAYAIKRIPGFSKSSSVQVTDFAKYRALADSIIELIPDEKRSVSSSFLTKLLRASIFLGCDEVAGLKNRVGERLDEANLGDVLLYDVELMQSLVEVFLKSRDPREDDVTAKASVAKLVDGYLAEKSRDSDNLPLQKFLSLAEMVSSFPRQSHDGVYRAIDMFLKEHPEMNKSEKKRICRLMDCRKLSAEACAHAVQNERLPMRVVVQVLFFEQVRANNNGSSSTGNSTPEVIPASRSTNTTDQEDTECWDTEDIKALRGELANLRLAKNQQESHNQGKLMKGGGLGVSRVFSKLWSGKERSGDMISSSGTSSPGSVNDDSKSSSSTSKKH
VIMSS10103805 1 99 0.174119191919192 PF02519.14:Auxin_inducible:12:95 auxin-responsive protein, putative (RefSeq) 99 84 15 99 0 Arabidopsis thaliana VIMSS10103805 1 MicrobesOnline MAIRIPRVLQSSKQILRQAKLLSSSSSSSSLDVPKGYLAVYVGEQNMKRFVVPVSYLDQPSFQDLLRKAEEEFGFDHPMGGLTIPCSEEIFIDLASRFN
VIMSS10104833 1 519 0.199131021194605 PF07992.14:Pyr_redox_2:82:419,PF00070.27:Pyr_redox:247:319 NAD(P)H dehydrogenase C1 519 338 15 519 0 Arabidopsis thaliana NP_568205.6 1 RefSeq MAVLSSVSSLIPFSYGATRLTSKASLASRTSGFNLSSRWNSTRNSPMLYLSRAVTNNSGTTEISDNETAPRTYSWPDNKRPRVCILGGGFGGLYTALRLESLVWPEDKKPQVVLVDQSERFVFKPMLYELLSGEVDVWEIAPRFSDLLTNTGIQFLRDRVKTLLPCDHLGVNGSEISVTGGTVLLESGFKIEYDWLVLALGAESKLDVVPGAMELAFPFYTLEDAIRVNEKLSKLERKNFKDGSAIKVAVVGCGYAGVELAATISERLQDRGIVQSINVSKNILTSAPDGNREAAMKVLTSRKVQLLLGYLVQSIKRASNLEEDEGYFLELQPAERGLESQIIEADIVLWTVGAKPLLTKLEPSGPNVLPLNARGQAETDETLRVKGHPRIFALGDSSSLRDSNGKILPTTAQVAFQEADFTGWNIWAAINNRPLLPFRFQNLGEMMTLGRYDAAISPSFIEGLTLEGPIGHAARKLAYLIRLPTDEHRFMVRISWFAKSAVDSIALLQSNLTKVLSGS
VIMSS10105724 1 415 0.0996445783132531 Maltose excess protein 1, chloroplastic; Root cap protein 1 415 0 15 222 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LF50 1 SwissProt MEGKAIATSLGGDRVLIFPCSPRSSFVFTSRLSSLPLKRASIGGAVSCSGVNGLTRWNSIVSTRRLVPVRSINSESDSDSDFPHENQQGNPGLGKFKEYQEWDSWTAKFSGGANIPFLMLQLPQIILNTQNLLAGNNTALSAVPWLGMLTGLLGNLSLLSYFAKKREKEAAVVQTLGVVSTHIVLAQLTMAEAMPIQYFVATSAVVTIGLIVNCLYYFGKLSKTVWQLWEDVITIGGLSVLPQIMWSTFVPLVPNSILPGTTAFGIAVAAIIMARTGKLSEKGVRFVGSLSGWTATLMFMWMPVSQMWTNFLNPDNIKGLSSITMLLSMMGNGLMIPRALFIRDLMWLTGSLWATLFYGYGNILCLYLVNCTSQSFFVAATIGLISWIGLALWRDAVAYGHNSPFRSLKELVFGP
VIMSS10106410 106 463 0.351966480446928 PF00454.27:PI3_PI4_kinase:161:357,PF00240.23:ubiquitin:6:78 phosphatidylinositol 4-kinase gamma-like protein 574 270 15 358 0 Arabidopsis thaliana NP_001318634.1 1 RefSeq SDLQAISVRTVDGKEFELVVERSRNVGYVKQQIASKEKELGIPRDHELTLDGEELDDQRLITDLCQNGDNVIHLLISKSAKVRAKPVGKDFEVFIEDVNHKHNVDGRRGKNISSEAKPKEFFVEPFIVNPEIKLPILLKELISSTLEGLEKGNGPIRSSDGSGGAYFMQDPSGHKYVSVFKPIDEEPMAVNNPHGQPVSVDGEGLKKGTQVGEGAIREVAAYILDYPMTGPRTFPHDQTGFAGVPPTTMVKCLHKDFNHPNGYSFSPENTKIGSLQMFVSNVGSCEDMGYRVFPVDQVHKISVLDIRLANADRHAGNILVSRDGKDGQMVLTPIDHGYCFPNKFEDCTFEWLYWPQAK
VIMSS10106432 1 208 0.208828365384615 PF01182.20:Glucosamine_iso:90:205 6-phosphogluconolactonase 3, chloroplastic; 6PGL3; Protein EMBRYO DEFECTIVE 2024; EC 3.1.1.31 325 116 15 208 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84WW2 1 SwissProt MASSSCFLRSILFSSPTNLRSNHHLPTFFPKNYLICSHSTSSRFESLSVSSIGTGSTKKSSDTRRKVKSMATTNIGKEEKKRVEIYDLEENLVIDLAKFTADLSDKFCKERGAFTVVVSGGSLIKSLRKLVESPYVDSIDWARWHFFWVDERVVPKNHDDSNYKLAYDSFLSKVPIPPGNVYAINEALSAEAAADDYETCLKHLVNTN
VIMSS10106490 1 406 0.437721921182266 PF06203.14:CCT:339:381,PF00643.24:zf-B_box:48:87,PF00643.24:zf-B_box:90:135 zinc finger (B-box type) family protein (RefSeq) 406 129 15 406 0 Arabidopsis thaliana VIMSS10106490 1 MicrobesOnline MDPTWIDSLTRSCEANSNTNHKRKRERETLKHREKKKKRFRERKMASKLCDSCKSATAALYCRPDAAFLCLSCDSKVHAANKLASRHARVWMCEVCEQAPAHVTCKADAAALCVTCDRDIHSANPLARRHERVPVTPFYDSVSSDGSVKHTAVNFLDDCYFSDIDGNGSREEEEEEAASWLLLPNPKTTTTATAGIVAVTSAEEVPGDSPEMNTGQQYLFSDPDPYLDLDYGNVDPKVESLEQNSSGTDGVVPVENRTVRIPTVNENCFEMDFTGGSKGFTYGGGYNCISHSVSSSSMEVGVVPDGGSVADVSYPYGGPATSGADPGTQRAVPLTSAEREARVMRYREKRKNRKFEKTIRYASRKAYAEMRPRIKGRFAKRTDTNESNDVVGHGGIFSGFGLVPTF
VIMSS10107382 1 366 0.166660382513661 PF00657.22:Lipase_GDSL:32:343 GDSL-motif lipase/hydrolase family protein (RefSeq) 366 312 15 366 0 Arabidopsis thaliana VIMSS10107382 1 MicrobesOnline MTNSVAKLALLGFCILQVTSLLVPQANARAFLVFGDSLVDNGNNDFLATTARADNYPYGIDFPTHRPTGRFSNGLNIPDLISEHLGQESPMPYLSPMLKKDKLLRGANFASAGIGILNDTGIQFLNIIRITKQLEYFEQYKVRVSGLVGEEEMNRLVNGALVLITLGGNDFVNNYYLVPFSARSRQFSLPDYVVFVISEYRKVLRKMYDLGARRVLVTGTGPMGCVPAELAQRSRNGECATELQRAASLFNPQLIQMITDLNNEVGSSAFIAANTQQMHMDFISDPQAYGFVTSKVACCGQGPYNGIGLCTPLSNLCPNRDLFAFWDPFHPSEKASRIIAQQILNGSPEYMHPMNLSTILTVDSMT
VIMSS10108432 167 1114 0.153057911392405 PF00931.22:NB-ARC:14:238,PF00004.29:AAA:36:155,PF07725.12:LRR_3:425:442 disease resistance protein (TIR-NBS-LRR class), putative (RefSeq) 1114 243 15 948 0 Arabidopsis thaliana VIMSS10108432 1 MicrobesOnline LTPSRDFEGMVGMEAHLKRLNSLLCLESDEVKMIGIWGPAGIGKTTIARALFDDRLSSSFQHKCFMGNLKGSIKGVADHDSKLRLQKQLLSKIFKEENMKIHHLGAIRERLHDQRVLIILDDVDDLKQLEVLAKEISWFGSGSRIIGTTEDKKILKAHGIHNIYRVDFPSKKDALEILCLSAFKQSSIPDGFEELANKVAKLCSNLPLGLCVVGASLRGEGNQEWERLLSRIESSLDRDIDDILRIGYDRLLTNDKSLFLHIACFFNYAKVDNVTALLADSNLDVGNGFNTLADRSLVRISTYDDGISVLSDSNLDIVLEQSKEPGKREFIIEPEEIRDVLTNETGTGSVIGISFDTSNIGEVSVSKDAFEGMRNLRFLRIYRLLGGEVTLQIPEDMDYIPRLRLLYWDRYPRKSLPRRFKPERLVELHMPRSNLELLWGGIEPLPNLKIINLNRSYRLKEIPNLSKATNLERLTLESCLSLVELPSSISNLHKLEILDVKFCSMLQVIPTNINLASLERLDVSGCSRLRTFPDISSNIKTLIFGNIKIEDVPPSVGCWSRLDQLHISSRSLKRLMHVPPCITLLSLRGSGIERITDCVIGLTRLHWLNVDSCRKLKSILGLPSSLKVLDANDCVSLKRVRFSFHNPMHTLDFNNCLKLDEEAKRGIIQRSVSRYICLPCKKIPEEFTHKATGKSITIPLAPGTLSASSRFKASILILPVESYETEGISCSIRTKGGVEVHCCELPYHFLRVRSEHLFIFHGDLFPQGNKYHEVDVTMSEITFEFSHTKIGDKIIECGVQIMTEGAEGDSSRELEVLKLRVAAAQLGDLLGNLNLEATTITTQMEMEMETMKQKVPSSLKMKTSKPANVGFMSWLRKLDGDGEYEPEAVKLCKCLPINQHKRYIALFFSRREFFGNNLKRWIYLSLQSDVRIDSGRRLGISTQHLILQ
VIMSS10110451 1 557 0.37568473967684 PF00400.32:WD40:170:200,PF00400.32:WD40:353:386 Protein DAMAGED DNA-BINDING 2; UV-damaged DNA-binding protein 2 557 65 15 557 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NQ88 1 SwissProt MSSTRSRRKRDPEIVIARDTDSELSSSEEEEEEEDNYPFSESEEEDEAVKNGGKIELEKNKAKGKAPITVKLIKKVCKVCKQPGHEAGFKGATYIDCPMKPCFLCKMPGHTTMSCPHRVVTDHGILPTSHRNTKNPIDFVFKRQLQPRIPPIKPKYVIPDQVHCAVIRYHSRRVTCLEFHPTKNNILLSGDKKGQIGVWDFGKVYEKNVYGNIHSVQVNNMRFSPTNDDMVYSASSDGTIGYTDLETGTSSTLLNLNPDGWQGANSWKMLYGMDINSEKGVVLAADNFGFLHMIDHRTNNSTGEPILIHKQGSKVCGLDCNPVQPELLLSCGNDHFARIWDMRKLQPKASLHDLAHKRVVNSAYFSPSSGTKILTTCQDNRIRIWDSIFGNLDLPSREIVHSNDFNRHLTPFKAEWDPKDTSESLIVIGRYISENYNGTALHPIDFIDASNGQLVAEVMDPNITTITPVNKLHPRDDVLASGSSRSLFIWRPQDNTEMVEEKKDKKIIICYGDSKKKGKKQKRGSDDEDDEDDIFSSKGKNIKVNKYQAKTTKKTKT
VIMSS10110468 100 288 0.428820634920635 PF00249.31:Myb_DNA-binding:43:87,PF13921.6:Myb_DNA-bind_6:44:81 myb family transcription factor (RefSeq) 288 45 15 189 0 Arabidopsis thaliana VIMSS10110468 1 MicrobesOnline PPFTLDWAGGGGGCNGFKPGHQVCNKRSQAGRSPELERKKGVPWTEEEHKLFLMGLKKYGKGDWRNISRNFVITRTPTQVASHAQKYFIRQLSGGKDKRRASIHDITTVNLEEEASLETNKSSIVVGDQRSRLTAFPWNQTDNNGTQADAFNITIGNAISGVHSYGQVMIGGYNNADSCYDAQNTMFQL
VIMSS10110566 112 235 0.467995161290323 Transcription factor MYB59; Myb-related protein 59; AtMYB59 235 0 15 124 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q4JL84 1 SwissProt AQEKKRPMSPTSSSSNCCSSSMTTTTSQDTGGSNGKMNQECEDGYYSMDDIWREIDQSGANVIKPVKDNYYSEQSCYLNFPPLASPTWESSLESIWNMDADESKMSSFAIDQFPLSFEHGSGRL
VIMSS10110846 1 202 0.257448514851485 PF04043.15:PMEI:37:194 Plant invertase/pectin methylesterase inhibitor superfamily protein 202 158 15 202 0 Arabidopsis thaliana NP_201041.1 1 RefSeq MAKQYLFVLLSISYLLSLELTAATAASQTGASKKAINFIQSSCKTTTYPALCVHSLSVYANDIQTSPKRLAETAIAVTLSRAQSTKLFVSRLTRMKGLKKREVEAIKDCVEEMNDTVDRLTKSVQELKLCGSAKDQDQFAYHMSNAQTWTSAALTDENTCSDGFSGRVMDGRIKNSVRARIMNVGHETSNALSLINAFAKTY
VIMSS10111371 1 670 0.103213432835821 PF05659.11:RPW8:10:128,PF00931.22:NB-ARC:176:405 disease resistance protein (CC-NBS-LRR class), putative (RefSeq) 809 349 15 670 0 Arabidopsis thaliana VIMSS10111371 1 MicrobesOnline MNDWASLGIGSIGEAVFSKLLKVVIDEAKKFKAFKPLSKDLVSTMEILFPLTQKIDSMQKELDFGVKELKELRDTIERADVAVRKFPRVKWYEKSKYTRKIERINKDMLKFCQIDLQLLQHRNQLTLLGLTGNLVNSVDGLSKRMDLLSVPAPVFRDLCSVPKLDKVIVGLDWPLGELKKRLLDDSVVTLVVSAPPGCGKTTLVSRLCDDPDIKGKFKHIFFNVVSNTPNFRVIVQNLLQHNGYNALTFENDSQAEVGLRKLLEELKENGPILLVLDDVWRGADSFLQKFQIKLPNYKILVTSRFDFPSFDSNYRLKPLEDDDARALLIHWASRPCNTSPDEYEDLLQKILKRCNGFPIVIEVVGVSLKGRSLNTWKGQVESWSEGEKILGKPYPTVLECLQPSFDALDPNLKECFLDMGSFLEDQKIRASVIIDMWVELYGKGSSILYMYLEDLASQNLLKLVPLGTNEHEDGFYNDFLVTQHDILRELAICQSEFKENLERKRLNLEILENTFPDWCLNTINASLLSISTDDLFSSKWLEMDCPNVEALVLNLSSSDYALPSFISGMKKLKVLTITNHGFYPARLSNFSCLSSLPNLKRIRLEKVSITLLDIPQLQLSSLKKLSLVMCSFGEVFYDTEDIVVSNALSKLQEIDIDYCYDLDELPYWIS
VIMSS102116 1 308 0.65526461038961 PF03482.13:SIC:96:125,PF03482.13:SIC:126:154,PF03482.13:SIC:155:183 inhibitor of complement-mediated lysis 308 88 15 288 1 Streptococcus pyogenes M1 GAS NP_269972.1 1 RefSeq MNIRNKIENSKTLLFTSLVAVALLGATQPVSAETYTSRNFDWSGDDWSGDDWPEDDWSGDGLSKYDRSGVGLSQYGWSKYGWSSDKEEWPEDWPEDDWSSDKKDETEDKTRPPYGEALGTGYEKRDDWGGPGTVATDPYTPPYGGALGTGYEKRDDWGGPGTVATDPYTPPYGGALGTGYEKRDDWRGPGHIPKPENEQSPNPLHIPEPPQIEWPQWNGFDGLSFGPSDWGQSEDTPPSEPRVPEKPQHTPQKNPQESDFDRGFSAGLKAKNSGRGIDFEGFQYGGWSDEYKKGYMQAFGTPYTPSAT
VIMSS102896 1 216 0.0997384259259259 Sensor histidine kinase GraS; Glycopeptide resistance-associated protein S; EC 2.7.13.3 346 0 15 178 2 Staphylococcus aureus (strain Mu50 / ATCC 700699) SwissProt::Q99VW1 1 SwissProt MNNLKWVAYFLKSRMNWIFWILFLNLLMLGISLIDYDFPIDSLFYIVSLNLSLTMIFLILTYFKEVKLYKHFDKDKEIEEIKHKDLAETPFQRHTVDYLYRQISAHKEKVVEQQLQLNMHEQTITEFVHDIKTPVTAMKLLIDQEKNQERKQALLYEWSRINSMLDTQLYITRLESQRKDMYFDYVSLKRMVIDEIQLTRHISQVKGIGFDVDFKV
VIMSS103292 1 155 0.383785161290323 PF13085.6:Fer2_3:25:133 succinate dehydrogenase iron-sulfur subunit 271 109 15 155 0 Staphylococcus aureus subsp. aureus NCTC 8325 YP_499649.1 1 RefSeq MTEQSVKNTPQHETQSKPKQKTVKLIIKRQDTSDSKPYEETFEIPYRENLNVIACLMEIRRNPVNIKGEKTTPVVWDMNCLEEVCGACSMVINGRARQSCSAIVDQLEQPIRLEPMNTFPVIRDLQVDRSRMFDNLKRMKAWIPIDGTYDLGPGP
VIMSS10440 1 172 0.0537093023255814 PF06146.12:PsiE:69:135 unknown protein (NCBI ptt file) 172 67 15 83 4 Synechocystis sp. PCC 6803 VIMSS10440 0 MicrobesOnline MQLLSTNVDSDAKPTLLYFSRAVVVRLLETVQDFIVISLCVGLFSFMVMQLREMFVSLFPPLDFPRVTADILFLLILVELFRLLIIYLQEHRVSIGVAVEVSIVSVLREIIVRGVLEVPWEQVLAACSFLLILGVLLVVRVWLPPTFEGVDPEREISRRHQIRQSGKESPSI
VIMSS104430 1 150 0.257662 PF02814.15:UreE_N:18:71,PF05194.12:UreE_C:78:136 urease accessory protein UreE 150 113 15 150 0 Staphylococcus aureus subsp. aureus Mu50 WP_000634589.1 1 RefSeq MIVEEIQGNIANLSNSEKQKHVEKVYLENSDLVKRIQRVVTDHGTEIGIRLKQPIDLQYGDILYADDHNMIIVDVNSEDLLVIQPRTLQEMGDIAHQLGNRHLPAQFTETEMLVQYDYLVEDLLKSLGIPYVREDRKVNKAFRHIGHSHD
VIMSS1051524 1 458 0.161529257641921 PF02655.14:ATP-grasp_3:178:342 4-deoxygadusol glycyltransferase 458 165 15 435 1 Anabaena variabilis (strain ATCC 29413 / PCC 7937) metacyc::MONOMER-19682 1 metacyc MAQSLPLSSAPATPSLPSQTKIAAIIQNICTLALLLLALPINATIVFISLLVFRPQKVKAANPQTILISGGKMTKALQLARSFHAAGHRVVLVETHKYWLTGHRFSQAVDKFYTVPAPQDNPQAYIQALVDIVKQENIDVYIPVTSPVGSYYDSLAKPELSHYCEVFHFDADITQMLDDKFALTQKARSLGLSVPKSFKITSPEQVINFDFSGETRKYILKSIPYDSVRRLDLTKLPCATPEETAAFVRSLPITPEKPWIMQEFIPGKEFCTHSTVRNGELRLHCCCESSAFQVNYENVNNPQITEWVQHFVKELKLTGQISFDFIQAEDGTVYAIECNPRTHSAITTFYDHPQVAEAYLSQAPTTETIQPLTTSKPTYWTYHEVWRLTGIRSFTQLQRWLGNIWRGTDAIYQPDDPLPFLMVHHWQIPLLLLNNLRRLKGWTRIDFNIGKLVELGGD
VIMSS11107 1 248 0.114657258064516 PatA subfamily (NCBI ptt file) 372 0 15 248 0 Synechocystis sp. PCC 6803 VIMSS11107 1 MicrobesOnline MTAVITRQIPGQFLQTLIKEKATGRLTVSNPLDELVTWQVYLGKGKINFANSGVGGMQRVRYLLGNYLNENKISLPSQISDDYKYICDLWKQELISFQQTRSILTQFTQEALVHFLSIPMTQCHFEQEDSIKDLFLNLELAKTTQSVEHKIRYWGELYPQINSPFQRPLVEDWQEVKTMLNLSYRRSEQWCEHLLEGLGNLSCLYELARKTNSSVLELALLFYPRVKSGEIKMLPYQEISVDDANFPV
VIMSS114689 1 101 0.0281386138613861 Poly-beta-1,6-N-acetyl-D-glucosamine synthesis protein IcaD; PGA synthesis protein IcaD; Poly-beta-1,6-GlcNAc synthesis protein IcaD; Biofilm polysaccharide intercellular adhesin synthesis protein IcaD; Biofilm PIA synthesis protein IcaD; Intercellular adhesion protein D 101 0 15 55 2 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q9RQP8 0 SwissProt MVKPRQREYPTLKSSLNIVRETALIAISCVFWIYCLVVLLVYIGTIFEIHDESINTIRVALNIENTEILDIFETMGIFAIIIFVFFTISILIQKWQRGRES
VIMSS1239409 146 320 0.262557714285714 PF00762.19:Ferrochelatase:3:172 ferrochelatase (EC 4.99.1.1) 320 170 15 175 0 Escherichia coli K-12 substr. MG1655 ecocyc::PROTOHEME-FERROCHELAT-MONOMER 1 ecocyc ILARKRSIPGISFIRDYADNHDYINALANSVRASFAKHGEPDLLLLSYHGIPQRYADEGDDYPQRCRTTTRELASALGMAPEKVMMTFQSRFGREPWLMPYTDETLKMLGEKGVGHIQVMCPGFAADCLETLEEIAEQNREVFLGAGGKKYEYIPALNATPEHIEMMANLVAAYR
VIMSS1292289 1 340 0.347860882352941 Extracellular matrix protein-binding protein emp 340 0 15 340 0 Staphylococcus aureus (strain Newman) SwissProt::A6QF98 1 SwissProt MKKKLLVLTMSTLFATQIMNSNHAKASVTESVDKKFVVPESGINKIIPAYDEFKNSPKVNVSNLTDNKNFVASEDKLNKIADSSAASKIVDKNFVVPESKLGNIVPEYKEINNRVNVATNNPASQQVDKHFVAKGPEVNRFITQNKVNHHFITTQTHYKKVITSYKSTHVHKHVNHAKDSINKHFIVKPSESPRYTHPSQSLIIKHHFAVPGYHAHKFVTPGHASIKINHFCVVPQINSFKVIPPYGHNSHRMHVPSFQNNTTATHQNAKVNKAYDYKYFYSYKVVKGVKKYFSFSQSNGYKIGKPSLNIKNVNYQYAVPSYSPTHYVPEFKGSLPAPRV
VIMSS1293955 470 940 0.830213800424628 PF02986.14:Fn_bind:236:273,PF02986.14:Fn_bind:274:312,PF02986.14:Fn_bind:313:343,PF00746.21:Gram_pos_anchor:429:468 Fibronectin-binding protein B 940 148 15 471 0 Staphylococcus aureus (strain USA300) SwissProt::A0A0H2XKG3 1 SwissProt NAQGDGKDKLKEPIIEHSTPIELEFKSEPPVEKHELTGTIEESNDSKPIDFEYHTAVEGAEGHAEGTIETEEDSIHVDFEESTHENSKHHADVVEYEEDTNPGGGQVTTESNLVEFDEDSTKGIVTGAVSDHTTIEDTKEYTTESNLIELVDELPEEHGQAQGPIEEITENNHHISHSGLGTENGHGNYGVIEEIEENSHVDIKSELGYEGGQNSGNQSFEEDTEEDKPKYEQGGNIVDIDFDSVPQIHGQNNGNQSFEEDTEKDKPKYEQGGNIIDIDFDSVPHIHGFNKHTEIIEEDTNKDKPNYQFGGHNSVDFEEDTLPQVSGHNEGQQTIEEDTTPPIVPPTPPTPEVPSEPETPTPPTPEVPSEPETPTPPTPEVPTEPGKPIPPAKEEPKKPSKPVEQGKVVTPVIEINEKVKAVVPTKKAQSKKSELPETGGEESTNNGMLFGGLFSILGLALLRRNKKNHKA
VIMSS133927 1 1963 0.415038869077942 PF07580.14:Peptidase_M26_C:1203:1959,PF05342.14:Peptidase_M26_N:669:890,PF07501.12:G5:320:392,PF07581.12:Glug:928:955,PF07581.12:Glug:964:988,PF04650.17:YSIRK_signal:7:31,PF00746.21:Gram_pos_anchor:89:131 Immunoglobulin A1 protease; IgA1 protease; IgA-specific zinc metalloproteinase; EC 3.4.24.13 1963 1173 15 1900 3 Streptococcus pneumoniae (strain ATCC BAA-255 / R6) SwissProt::Q59947 1 SwissProt MEKYFGEKQERFSFRKLSVGLVSATISSLFFMSVLASSSVDAQETAGVHYKYVADSELSSEEKKQLVYDIPTYVENDDETYYLVYKLNSQNQLAELPNTGSKNERQALVAGASLAALGILIFAVSKKKVKNKTVLHLVLVAGMGNGVLVSVHALENHLLLNYNTDYELTSGEKLPLPKEISGYTYIGYIKEGKTTSDFEVSNQEKSAATPTKQQKVDYNVTPNFVDHPSTVQAIQEQTPVSSTKPTEVQVVEKPFSTELINPRKEEKQSSDSQEQLAEHKNLETKKEEKISPKEKTGVNTLNPQDEVLSGQLNKPELLYREETIETKIDFQEEIQENPDLAEGTVRVKQEGKLGKKVEIVRIFSVNKEEVSREIVSTSTTAPSPRIVEKGTKKTQVIKEQPETGVEHKDVQSGAIVEPAIQPELPEAVVSDKGEPEVQPTLPEAVVTDKGETEVQPESPDTVVSDKGEPEQVAPLPEYKGNIEQVKPETPVEKTKEQGPEKTEEVPVKPTEETPVNPNEGTTEGTSIQEAENPVQPAEESTTNSEKVSPDTSSENTGEVSSNPSDSTTSVGESNKPEHNDSKNENSEKTVEEVPVNPNEGTVEGTSNQETEKPVQPAEETQTNSGKIANENTGEVSNKPSDSKPPVEESNQPEKNGTATKPENSGNTTSENGQTEPEKKLELRNVSDIELYSQTNGTYRQHVSLDGIPENTDTYFVKVKSSAFKDVYIPVASITEEKRNGQSVYKITAKAEKLQQELENKYVDNFTFYLDKKAKEENTNFTSFSNLVKAINQNPSGTYHLAASLNANEVELGPDERSYIKDTFTGRLIGEKDGKNYAIYNLKKPLFENLSGATVEKLSLKNVAISGKNDIGSLANEATNGTKIKQVHVDGVLAGERGVGGLLAKADQSSIAESSFKGRIVNTYETTDAYNIGGLVGHLTGKNASIAKSKATVTISSNTNRSDQTVGGLAGLVDQDAHIQNSYAEGDINNVKHFGKVAGVAGYLWDRTSGEEKHAGELTNVLSDVNVTNGNAITGYHYTGMKVANTFSSKANRVFNVTLEKDEVVSKESFEERGTMLDASQIVSKKAEINPLTLPTVEPLSTSGKKDSDFSKIAHYQANRALVYKNIEKLLPFYNKSTIVKYGNLVKENSLLYQKELLSAVMMKDDQVITDIVSNKQTANKLLLHYNDHSSEKFDLKYQTDFANLAEYNLGNTGLLYTPNQFLYDRDSIVKEVLPELQKLDYQSDAIRKTLGISPEVKLTELYLEDQFSKTKQNLGDSLKKLLSADAGLASDNSVTRGYLVDKIKNNKEALLLGLTYLERWYNFNYGQVNVKDLVMYHPDFFGKGNTSPLDTLIELGKSGFNNLLAKNNVDTYGISLASQHGATDLFSTLEHYRKVFLPNTSNNDWFKSETKAYIVEEKSTIEEVKTKQGLAGTKYSIGVYDRITSATWKYRNMVLPLLTLPERSVFVISTMSSLGFGAYDRYRSSDHKAGKALNDFVEENARETAKRQRDHYDYWYRILDEQSREKLYRTILLYDAYKFGDDTTSGKATAEAKFDSSNPAMKNFFGPVGNKVVHNQHGAYATGDGVYYMSYRMLDKDGAITYTHEMTHDSDQDIYLGGYGRRNGLGPEFFAKGLLQAPDQPSDATITINSILKHSKSDSTEGSRLQVLDPTERFQNAADLQNYVHNMFDLIYMMEYLEGQSIVNKLSVYQKMAALRKIENKYVKDPADGNEVYATNVVKELTEAEARNLNSFESLIDHNILSAREYQSGDYERNGYYTIKLFAPIYSALSSEKGTPGDLMGRRIAYELLAAKGFKDGMVPYISNQYEEDAKQQGQTINLYGKERGLVTDELVLKKVFDGKYKTWAEFKTAMYQERVDQFGNLKQVTFKDPTKPWPSYGTKTINNVDELQALMDQAVLKDAEGPRWSNYDPEIDSAVHKLKRAIFKAYLDQTNDFRSSIFENKK
VIMSS14321 1 218 0.00762339449541284 PF01148.20:CTP_transf_1:4:206 CDP-diglyceride synthetase (EC 2.7.7.41) 285 203 15 78 6 Escherichia coli K-12 substr. MG1655 ecocyc::CDPDIGLYSYN-MONOMER 0 ecocyc MLKYRLISAFVLIPVVIAALFLLPPVGFAIVTLVVCMLAAWEWGQLSGFTTRSQRVWLAVLCGLLLALMLFLLPEYHRNIHQPLVEISLWASLGWWIVALLLVLFYPGSAAIWRNSKTLRLIFGVLTIVPFFWGMLALRAWHYDENHYSGAIWLLYVMILVWGADSGAYMFGKLFGKHKLAPKVSPGKTWQGFIGGLATAAVISWGYGMWANLDVAPV
VIMSS14520 1 86 0.280774418604651 PF10796.9:Anti-adapt_IraP:1:85 anti-adaptor protein for σS stabilization 86 85 15 86 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11256-MONOMER 1 ecocyc MKNLIAELLFKLAQKEEESKELCAQVEALEIIVTAMLRNMAQNDQQRLIDQVEGALYEVKPDASIPDDDTELLRDYVKKLLKHPRQ
VIMSS14693 1 97 0.128447422680412 PF06291.11:Lambda_Bor:19:95 DLP12 prophage; prophage lipoprotein BorD 97 77 15 97 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6312-MONOMER 1 ecocyc MKKMLLATALALLITGCAQQTFTVQNKQTAVAPKETITHHFFVSGIGQKKTVDAAKICGGAENVVKTETQQTFVNGLLGFITLGIYTPLEARVYCSK
VIMSS14758 1 461 0.0196835140997831 PF03606.15:DcuC:6:451,PF06808.12:DctM:145:449 anaerobic C4-dicarboxylate transporter DcuC 461 446 15 194 12 Escherichia coli K-12 substr. MG1655 ecocyc::DCUC-MONOMER 0 ecocyc MLTFIELLIGVVVIVGVARYIIKGYSATGVLFVGGLLLLIISAIMGHKVLPSSQASTGYSATDIVEYVKILLMSRGGDLGMMIMMLCGFAAYMTHIGANDMVVKLASKPLQYINSPYLLMIAAYFVACLMSLAVSSATGLGVLLMATLFPVMVNVGISRGAAAAICASPAAIILAPTSGDVVLAAQASEMSLIDFAFKTTLPISIAAIIGMAIAHFFWQRYLDKKEHISHEMLDVSEITTTAPAFYAILPFTPIIGVLIFDGKWGPQLHIITILVICMLIASILEFLRSFNTQKVFSGLEVAYRGMADAFANVVMLLVAAGVFAQGLSTIGFIQSLISIATSFGSASIILMLVLVILTMLAAVTTGSGNAPFYAFVEMIPKLAHSSGINPAYLTIPMLQASNLGRTLSPVSGVVVAVAGMAKISPFEVVKRTSVPVLVGLVIVIVATELMVPGTAAAVTGK
VIMSS15011 1 332 0.0413524096385542 PF00664.23:ABC_membrane:26:291 glutathione/L-cysteine ABC exporter subunit CydD 588 266 15 201 6 Escherichia coli K-12 substr. MG1655 ecocyc::CYDD-MONOMER 1 ecocyc MNKSRQKELTRWLKQQSVISQRWLNISRLLGFVSGILIIAQAWFMARILQHMIMENIPREALLLPFTLLVLTFVLRAWVVWLRERVGYHAGQHIRFAIRRQVLDRLQQAGPAWIQGKPAGSWATLVLEQIDDMHDYYARYLPQMALAVSVPLLIVVAIFPSNWAAALILLGTAPLIPLFMALVGMGAADANRRNFLALARLSGHFLDRLRGMETLRIFGRGEAEIESIRSASEDFRQRTMEVLRLAFLSSGILEFFTSLSIALVAVYFGFSYLGELDFGHYDTGVTLAAGFLALILAPEFFQPLRDLGTFYHAKAQAVGAADSLKTFMETPL
VIMSS15282 1 107 0.0517233644859813 anti-adaptor protein IraM, inhibitor of σS proteolysis 107 0 15 107 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6600-MONOMER 1 ecocyc MKWIVIDTVIQPTCGISFSAIWGNMKMIIWYQSTIFLPPGSIFTPVKSGIILKDKEYPITIYHIAPFNKDLWSLLKSSQECPPGESKITNKCLHNSCIIKICPYGLK
VIMSS15284 77 243 0.155165269461078 DNA-binding transcriptional repressor BluR 243 0 15 167 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6602-MONOMER 1 ecocyc TEDDWSRLQEEMMSILRMANPAKLRARIISLGREYPVDQLINHVYLPVRQRLVLDHNTSRIMSSMFDGALIEYAATSLFEMRRKPGKEAILMAWNVEERARLWLEAWRLSLSGWHISVLADPIESPRPELFPTQTLIVWTGMAPTRRQNELLQHWGEQGYKVIFHAP
VIMSS15649 1 396 0.00959873737373738 PF07690.16:MFS_1:19:348 L-arabinose exporter 396 330 15 129 12 Escherichia coli K-12 substr. MG1655 ecocyc::YDEA-MONOMER 0 ecocyc MTTNTVSRKVAWLRVVTLAVAAFIFNTTEFVPVGLLSDIAQSFHMQTAQVGIMLTIYAWVVALMSLPFMLMTSQVERRKLLICLFVVFIASHVLSFLSWSFTVLVISRIGVAFAHAIFWSITASLAIRMAPAGKRAQALSLIATGTALAMVLGLPLGRIVGQYFGWRMTFFAIGIGALITLLCLIKLLPLLPSEHSGSLKSLPLLFRRPALMSIYLLTVVVVTAHYTAYSYIEPFVQNIAGFSANFATALLLLLGGAGIIGSVIFGKLGNQYASALVSTAIALLLVCLALLLPAANSEIHLGVLSIFWGIAMMIIGLGMQVKVLALAPDATDVAMALFSGIFNIGIGAGALVGNQVSLHWSMSMIGYVGAVPAFAALIWSIIIFRRWPVTLEEQTQ
VIMSS156556 171 1348 0.338619015280135 PF06458.12:MucBP:151:212,PF06458.12:MucBP:218:281,PF06458.12:MucBP:287:350,PF06458.12:MucBP:357:419,PF06458.12:MucBP:425:488,PF06458.12:MucBP:494:557,PF06458.12:MucBP:563:626,PF06458.12:MucBP:632:695,PF06458.12:MucBP:701:764,PF06458.12:MucBP:771:832,PF06458.12:MucBP:841:901,PF06458.12:MucBP:907:970,PF06458.12:MucBP:976:1039,PF06458.12:MucBP:1046:1108 cell surface protein 1348 887 15 1155 1 Listeria monocytogenes EGD-e NP_463857.1 1 RefSeq TIIFSTNWSNSSTGELDLFTTGNQIVTDIPANYVSNFNNGQNMLEFYDGQFQKQDQLTTTPGYTIDVPVGTDFNQLTPDKTKLALTSGRTLLAQHEFEYYDDGSSSLIHNGVAAAPGQATIFIKSKFSTQSNKFARTQVTVNIAALNGGPITVKHEDTKGQELAPPVILNGKDGDPYTTTQKTFPGYTLVATPANQNGAFTLNPATVNYVYSANDYKLTSTFKDAQGQELKAPVVDTKDYHIQDNYTTTAATIPGYSLVSTPANQNGTFGAGNVTVNYVYKKDDYTLTSTYKDTNGQELKAPVVDATTYHYQDTYTTTAAVFPGYTLVATPTNATGTFGSSNITVHYVYQANGYQLTSTFKDQQGKTISPDDVDTKTYHVNDPYTTTAKTIPGYTLVTTPTNNQGNFGTSDITVDYVYKAEDYTLTSTYKDAQGKELKQPVVDSKKYHIQDNYSTSAATIPGYTLVATPANETGTFHTSDVTVNYVYKLTDLKLTSTYKDAQGTELKPPVVDSKTYHIQDNYATTAAVIPGYTLVATPANQSGTFGSTDIQVNYVYQAVAYKLTSTYKDQQGNDLALPKVDTKTYHIQDGYTTSDIAIPGYTLVAAPTNQTGTFGASDVTVNYVYKANDYTLTSTYKDAQGKELKTPVIDSQKYHINDTYTTTGATIPGYTLVAAPANQSGTFGAANVTVNYVYKADDYTLTSTYKDANGKELKAPVVDSKTYHTKDNYSTSAATIPGYTLVAAPANQTGTFNTSNVTVNYFYKANEYTLTSTFKNVQGTELKPAIVKKGFIIKDGYSTSGVTIPGYTLVATPSNKKGTFGASNVTVNYVYKANGYALITTYKDTQGKDLKPLAIDTKTYNINDPYTATALNIPGYTLTTTPANEKGVFGASDETVNYVYKANDYTLTTTYKDANGKELQAPKVDAKTYHIQDTYKTTAAVIPGYTLVAIPKNDQGTFGANNVTVNYVYQTNDYTLTATFKDAQGNELKATEVDAQKYHIHDTYTSKAAVIPGYTLEKTPTNETGTFNASDIQVNYVYKANDYQLTSTFKDQQGNEIALPTVDAKTYHIHEAYTTKARLIPGYSLVAAPKNQIGAFGTSDVTVNYVYKKDAIIKPITPAKPTILTIKTPASKVIKTKVVKQTLPKTGDDDAGLLNLLRLIGLVLILGVFLAVGSKKLR 3
VIMSS15900 1 248 0.165324193548387 PF06629.12:MipA:28:248 MltA-interacting protein 248 221 15 248 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6968-MONOMER 1 ecocyc MTKLKLLALGVLIATSAGVAHAEGKFSLGAGVGVVEHPYKDYDTDVYPVPVINYEGDNFWFRGLGGGYYLWNDATDKLSITAYWSPLYFKAKDSGDHQMRHLDDRKSTMMAGLSYAHFTQYGYLRTTLAGDTLDNSNGIVWDMAWLYRYTNGGLTVTPGIGVQWNSENQNEYYYGVSRKESARSGLRGYNPNDSWSPYLELSASYNFLGDWSVYGTARYTRLSDEVTDSPMVDKSWTGLISTGITYKF 5
VIMSS159539 1 310 0.0845135483870968 PF13280.6:WYL:137:303,PF08279.12:HTH_11:5:56,PF08220.12:HTH_DeoR:5:50 similar to putative transcription regulator (NCBI ptt file) 310 219 15 310 0 Listeria innocua Clip11262 VIMSS159539 1 MicrobesOnline MKVDRLMSIVLILLDKERISAQELADRFEVSLRTIYRDIDAIDLAGVPIRSTPGVGGGFEIMPDYKMDSKVFSTADLSAILMGLSSLSNMVRGDELINALAKIKSFIPADRAKEIELKANQIYIDLSQWTGNNNIQPHVEIIKVALQENKLLTFEYIAHQGNKTVRIVEPYQLVMKSSHWYLYGYCQNRNDFRLFRLSRMSGLQILEDTFTLRDFQKPQLEMEDIVAIMQIEIKIRIHQSIIDRVLDYCSYENFYPDGEEHYIVSFPFIENEYHYDILLSFGDKCECLEPLHVREKMKRRIYDIVSIYES
VIMSS16327 1 361 0.208739058171745 PF00989.25:PAS:265:360,PF13426.7:PAS_9:273:360,PF13188.7:PAS_8:267:307,PF08448.10:PAS_4:269:359 sensor histidine kinase AtoS (EC 2.7.13.3) 608 96 15 318 2 Escherichia coli K-12 substr. MG1655 ecocyc::ATOS-MONOMER 1 ecocyc MHYMKWIYPRRLRNQMILMAILMVIVPTLTIGYIVETEGRSAVLSEKEKKLSAVVNLLNQALGDRYDLYIDLPREERIRALNAELAPITENITHAFPGIGAGYYNKMLDAIITYAPSALYQNNVGVTIAADHPGREVMRTNTPLVYSGRQVRGDILNSMLPIERNGEILGYIWANELTEDIRRQAWKMDVRIIIVLTAGLLISLLLIVLFSRRLSANIDIITDGLSTLAQNIPTRLPQLPGEMGQISQSVNNLAQALRETRTLNDLIIENAADGVIAIDRQGDVTTMNPAAEVITGYQRHELVGQPYSMLFDNTQFYSPVLDTLEHGTEHVALEISFPGRDRTIELSVTTSRIHNTHGEMI
VIMSS16568 231 566 0.301990773809524 PF07730.13:HisKA_3:131:195,PF02518.26:HATPase_c:238:325 sensory histidine kinase NarQ 566 153 15 336 0 Escherichia coli K-12 substr. MG1655 ecocyc::NARQ-MONOMER 1 ecocyc ASVEEKTRDLHEAKRRLEVLYQCSQALNTSQIDVHCFRHILQIVRDNEAAEYLELNVGENWRISEGQPNPELPMQILPVTMQETVYGELHWQNSHVSSSEPLLNSVSSMLGRGLYFNQAQKHFQQLLLMEERATIARELHDSLAQVLSYLRIQLTLLKRSIPEDNATAQSIMADFSQALNDAYRQLRELLTTFRLTLQQADLPSALREMLDTLQNQTSAKLTLDCRLPTLALDAQMQVHLLQIIREAVLNAMKHANASEIAVSCVTAPDGNHTVYIRDNGIGIGEPKEPEGHYGLNIMRERAERLGGTLTFSQPSGGGTLVSISFRSAEGEESQLM
VIMSS16826 1 275 0.586951272727273 PF01476.20:LysM:123:165 murein hydrolase activator NlpD 379 43 15 275 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12111-MONOMER 1 ecocyc MSAGSPKFTVRRIAALSLVSLWLAGCSDTSNPPAPVSSVNGNAPANTNSGMLITPPPKMGTTSTAQQPQIQPVQQPQIQATQQPQIQPVQPVAQQPVQMENGRIVYNRQYGNIPKGSYSGSTYTVKKGDTLFYIAWITGNDFRDLAQRNNIQAPYALNVGQTLQVGNASGTPITGGNAITQADAAEQGVVIKPAQNSTVAVASQPTITYSESSGEQSANKMLPNNKPTATTVTAPVTVPTASTTEPTVSSTSTSTPISTWRWPTEGKVIETFGAS
VIMSS17686 1 232 0.159559051724138 PF06176.11:WaaY:1:229 lipopolysaccharide core heptose (II) kinase 232 229 15 232 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11425-MONOMER 1 ecocyc MIQKSKIKDLVVFTDENNSKYLNVLNDFLSYNINIIKVFRSIDDTKVMLIDTDYGKLILKVFSPKVKRNERFFKSLLKGDYYERLFEQTQKVRNEGLNTLNDFYLLAERKTLRFVHTYIMIIEYIDGIELCDMPDIDDALKNKIQQSINALHQHGMVSGDPHRGNFIIKNGEVRIIDLSGKRASAQRKAKDRIDLERHYGIKNEIRDLGYYLLVYRKKMRNFMRRLKGKPAR
VIMSS18216 1 251 0.279604780876494 PF00455.22:DeoRC:76:230,PF08220.12:HTH_DeoR:6:62,PF08279.12:HTH_11:9:52 DNA-binding transcriptional repressor UlaR 251 212 15 251 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7854-MONOMER 1 ecocyc MTEAQRHQILLEMLAQLGFVTVEKVVERLGISPATARRDINKLDESGKLKKVRNGAEAITQQRPRWTPMNLHQAQNHDEKVRIAKAASQLVNPGESVVINCGSTAFLLGREMCGKPVQIITNYLPLANYLIDQEHDSVIIMGGQYNKSQSITLSPQGSENSLYAGHWMFTSGKGLTAEGLYKTDMLTAMAEQKMLSVVGKLVVLVDSSKIGERAGMLFSRADQIDMLITGKNANPEILQQLEAQGVSILRV
VIMSS18233 1 470 0.029223829787234 PF00324.21:AA_permease:27:468,PF13520.6:AA_permease_2:30:433 serine/alanine/glycine/cycloserine:H+symporter 470 442 15 203 12 Escherichia coli K-12 substr. MG1655 ecocyc::CYCA-MONOMER 0 ecocyc MVDQVKVVADDQAPAEQSLRRNLTNRHIQLIAIGGAIGTGLFMGSGKTISLAGPSIIFVYMIIGFMLFFVMRAMGELLLSNLEYKSFSDFASDLLGPWAGYFTGWTYWFCWVVTGMADVVAITAYAQFWFPDLSDWVASLAVIVLLLTLNLATVKMFGEMEFWFAMIKIVAIVSLIVVGLVMVAMHFQSPTGVEASFAHLWNDGGWFPKGLSGFFAGFQIAVFAFVGIELVGTTAAETKDPEKSLPRAINSIPIRIIMFYVFALIVIMSVTPWSSVVPEKSPFVELFVLVGLPAAASVINFVVLTSAASSANSGVFSTSRMLFGLAQEGVAPKAFAKLSKRAVPAKGLTFSCICLLGGVVMLYVNPSVIGAFTMITTVSAILFMFVWTIILCSYLVYRKQRPHLHEKSIYKMPLGKLMCWVCMAFFVFVVVLLTLEDDTRQALLVTPLWFIALGLGWLFIGKKRAAELRK
VIMSS18443 1 240 0.145284166666667 PF01584.19:CheW:21:174 chemotaxis protein (cheV) (NCBI ptt file) 321 154 15 240 0 Helicobacter pylori 26695 VIMSS18443 1 MicrobesOnline MADSLAGIDQVTSLHKNNELQLLCFRLGKNKDLYAVNVFKIREVVKYHGNLTIISHENNSLVEGLIIIRELTIPLIDMKKWFYYDSQNKNKDLRPYRIEKEKGEDDIVMICEFSRWTIGVRIYEADRILSKKWTEMEQSAGLGGSAGNNKLVSRTRYFDGRLVQVVDIEKMLIDVFPWIEDEKHNDLETLSKIHSNQCVLLADDSPSVLKTMQMILDKLGVKHIDFINGKTLLEHLFNPT
VIMSS188598 93 261 0.141640828402367 PF01058.22:Oxidored_q6:26:56 Sulfhydrogenase 1 subunit delta; Hydrogenase I small subunit; NADP-reducing hydrogenase subunit HydD; Sulfhydrogenase I subunit delta; EC 1.12.1.3 261 31 15 169 0 Pyrococcus furiosus (strain ATCC 43587 / DSM 3638 / JCM 8422 / Vc1) SwissProt::E7FHU4 1 SwissProt QSWSEKPLEELWKKVYGDAKVKFQPKKAEPVSKYIKVDYNIYGCPPEKKDFLYALGTFLIGSWPEDIDYPVCLECRLNGHPCILLEKGEPCLGPVTRAGCNARCPGFGVACIGCRGAIGYDVAWFDSLAKVFKEKGMTKEEIIERMKMFNGHDERVEKMVEKIFSGGEQ
VIMSS18905 1 528 0.148916666666667 PF02521.14:HP_OMP_2:18:528 hypothetical protein (NCBI ptt file) 528 511 15 528 0 Helicobacter pylori 26695 VIMSS18905 1 MicrobesOnline MKLKKRKVAAALLKRFTLPLLFTTGSLGAVTYEVHGDFINFAKVGFNHSPINPVKGIYPTETFVNLTGKLEGSVHLGRGWTVNLGGVLGGQAYDGTKYDRWAKDFTPPSYWDKTSCGTDSMSLCMNATKMWQQSGPGGVINPRGIGWEYMGEWNGLFPNYYPANAYLPGGSRRYQVYKANLTYDSDRVHMVMGRFDITEQEQMDWIYQLFQGFYGTFKLTKNMKFLLFSGWGRGIADGQWLFPIYREKPWGVHKAGIIYRPTKNLMIHPYVYLIPMVGTLPGAKIEYDTNPEFSGRGIRNRTTFYALYDYRWNNAEYGRYAPARYNTWDPFLDNGKWRGLQGPGGATLLLRHHIDINNYFVVGGAYLNIGNPNMNLGTWGNPVAVDGIEQWVGSIYSLGFAGIDNITDADAFTEYVKGGGKHGKFSWSVYQRFTTAPRALEYGIGMYLDYQFSKHVKAGLKLVWLEFQIRAGYNPGTGFLGPNGQPLNLNTGLFESSAFAQGPQNMGGIAKSITQDRSHLMTHISYSF 5
VIMSS18907 1 957 0.387339811912225 PF12033.8:DUF3519:196:308,PF12033.8:DUF3519:885:956,PF18763.1:ddrB-ParB:388:497,PF18812.1:PBECR3:129:246,PF18809.1:PBECR1:822:925 hypothetical protein (NCBI ptt file) 957 425 15 957 0 Helicobacter pylori 26695 VIMSS18907 1 MicrobesOnline MKLPKALNEATAGAALKYHIKRALERSHSISDFSKNLELSTQKSHFSNNTLKIIEELNNGVKQASEEIKEKARDFSNQKLTNEQIKDLLNNAEIPTSGRDAITFGVNNLNPEIVEFLHKNNKKMIIEKASNKELELLKDANFKHPENIRASLDHDAIAHILKRHGVNSVNVRNGEIPITNEDIANYRYIVNNADAILRTLDNENKELISAFKQINGYAVVVEQAINKKNELVLKTMYKSKGDYKDNNAYKKFSSTHTLNADAKVNHRLSSYSGATENTTQKDLIDQENLLKTSENLNESTPKPTNLSPLEQANAEKLAKLESEKLESEKEFLKAKEQEATRKAALKKKLEHERGNAGNIESQTKIEVGEDIPTQTQAQLPKSRVRLNEREIYDLDYAIVKAKDLKPSFTTGGTQKRTDMNEEQIKSIAENFDPKKIFGSGGFEDLPIILHDGQVIAGNHRIQGMLNFTPKSRFSYERAIKEYYHIDLKPDELLVRVPHKRLNNTEINNLAASSNQGRFNSESDHAIAVLSHYEAKLKELDQKLDADSIYSLKNIVAKNLNFDKATHPNVTDSNLALLMFNMPRTKTQGIELLNRWKKEFSNDIKSYEKVKKMFVDNAGSFHNLIHDLNFPKVSLNAYLSDIMDRSFANLKNYQSTSESLKDLSEKFYKTSSLEMFEKSDQSTSDISEILGGAIARFARFDDPSKALFEALRSDNIKKGLKDYKIADVTKDMFNADSKEFKDIDIYDFTHYLLMVNREPNENNPILKRLIEAVKDMQKESEKGIKQKLETPSEWGHNYSEFKGDGLGAINKLLETKKGFVAGAFHKEGLGDIDLVYGNSKYGLEHIFNRRESDAIDKGMSKEEAKKYALKIINNIPNIISNGKLSKDNLGRLSIEFENQRVGLNDSWKGETLNNRWVITSYEIDKSRNGLIESPLAPNYKGKDTNPLNLDSPNPTTKN
VIMSS19033 1 237 0.119550210970464 PF01584.19:CheW:18:170 chemotaxis protein (cheV) (NCBI ptt file) 313 153 15 237 0 Helicobacter pylori 26695 VIMSS19033 1 MicrobesOnline MVRDIDKTTSLHLNNEAQFLCFRLDAEKDAQLYGMNIFKIREIIHYDGEVTEILGGSDGVMLGFLSVRGESIPLVDVKRWLHYNANDPSRDLKECSVKDDHNLVIVCHFSNHSIALKVLKIERIIHKNWTEISAGDKQGINEEGKLSAITRFDEERVVQILDVEKMISDVFPSLKDLDDLTLRCIEAIQSQKLILIAEDSLSALKTLEKIVQTLELRYLAFPNGRELLDYLYEKEHY
VIMSS19212 1 260 0.2943 PF05211.12:NLBH:29:258 flagellar sheath adhesin hpaA (NCBI ptt file) 260 230 15 260 0 Helicobacter pylori 26695 VIMSS19212 1 MicrobesOnline MKANNHFKDFAWKKCLLGASVVALLVGCSPHIIETNEVALKLNYHPASEKVQALDEKILLLRPAFQYSDNIAKEYENKFKNQTALKVEQILQNQGYKVISVDSSDKDDLSFSQKKEGYLAVAMNGEIVLRPDPKRTIQKKSEPGLLFSTGLDKMEGVLIPAGFVKVTILEPMSGESLDSFTMDLSELDIQEKFLKTTHSSHSGGLVSTMVKGTDNSNDAIKSALNKIFANIMQEIDKKLTQKNLESYQKDAKELKGKRNR
VIMSS1935789 1 308 0.0214275974025974 PF00528.22:BPD_transp_1:103:304 Phosphate transport system permease protein PstA 1 308 202 15 170 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WG11 0 SwissProt MSPSMSIEALDQPVKPVVFRPLTLRRRIKNSVATTFFFTSFVVALIPLVWLLWVVIARGWFAVTRSGWWTHSLRGVLPEQFAGGVYHALYGTLVQAGVAAVLAVPLGLMTAVYLVEYGTGRMSRVTTFTVDVLAGVPSIVAALFVFSLWIATLGFQQSAFAVALALVLLMLPVVVRAGEEMLRLVPDELREASYALGVPKWKTIVRIVAPIAMPGIVSGILLSIARVVGETAPVLVLVGYSHSINLDVFHGNMASLPLLIYTELTNPEHAGFLRVWGAALTLIIVVATINLAAAMIRFVATRRRRLPL
VIMSS1936094 1 101 0.39020297029703 PF13490.6:zf-HC2:23:56 Anti-sigma factor RshA; Regulator of SigH; Sigma-H anti-sigma factor RshA 101 34 15 101 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJ69 1 SwissProt MSENCGPTDAHADHDDSHGGMGCAEVIAEVWTLLDGECTPETRERLRRHLEACPGCLRHYGLEERIKALIGTKCRGDRAPEGLRERLRLEIRRTTIIRGGP
VIMSS1936102 1 145 0.21944 anti-sigma factor RsbW 145 0 15 145 0 Mycobacterium tuberculosis H37Rv NP_217804.4 1 RefSeq MADSDLPTKGRQRGVRAVELNVAARLENLALLRTLVGAIGTFEDLDFDAVADLRLAVDEVCTRLIRSALPDATLRLVVDPRKDEVVVEASAACDTHDVVAPGSFSWHVLTALADDVQTFHDGRQPDVAGSVFGITLTARRAASSR
VIMSS2159101 1 168 0.207101785714286 PF07275.11:ArdA:10:166 antirestriction protein (NCBI) 168 157 15 168 0 Yersinia pestis KIM VIMSS2159101 1 MicrobesOnline MRTTTTTPAVYVGTYHKYNCGSIFGKWFELTEFDGREDFYEACQALHADEWDAEFMFQDQEGIPSQFVSESAIDWDFIAAYKRAEEEGREAAFIAWAEYTGECDYDAFDDAYRGEAESEEDYAQEMVDDNGLLNEVPEPLRSYFDFEAYARDLFSSGYVFHDGYVFGN
VIMSS2197940 1 143 0.315775524475524 PF09025.10:T3SS_needle_reg:54:142 YopR family type III secretion effector 143 89 15 143 0 Pseudomonas aeruginosa UCBPP-PA14 WP_003100725.1 1 RefSeq MSRIDTPPGFAVYPSASPKAANLPAVDQVLAFEQALGGEPPAAGRRLAGLENGALGERLLQRFAQPLQGLEADRLELKAMLRAELPLGRQQQTFLLQLLGAVEHAPGGEYLAQLARRELQVLIPLNGMLDNLVRNSHKLDLES
VIMSS242041 1 259 0.744744401544402 PF03777.13:ChpA-C:24:79,PF03777.13:ChpA-C:105:159 Chaplin-C 259 111 15 259 0 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q9AD93 1 SwissProt MRQATRKGLMTMAAATGVIAAAGGAAHADSGAHGTSSGSPGVLSGNTVQAPVHVPVNVCGNTVDVVGVLNPAMGNACANQGGGASGGHGGHGGHGGYGDSGGEGGSHGGSHAGGHATDSPGVGSGNHVEVPIDVPVNVCGNSIDVVGALNPTTGNDCGNGGGGDHSTPPGDHETPPGEPHNPGNPGNPDTPDKPSGPDDETPGDSTDGNRPGAQTVDQPRGDAALAETGSDLPLGLALPVGAGALLAGTVLYRKARASV
VIMSS243042 1 75 0.146948 PF03777.13:ChpA-C:19:74 Chaplin-D 75 56 15 29 2 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q9L1J9 0 SwissProt MKKSAAVVAGAIMALGMAAPAFADAGAEGAAVGSPGVLSGNVIQVPVHVPVNVCGNSINVVGLLNPAFGNKCEND
VIMSS243523 132 638 0.268381065088757 PF03704.17:BTAD:1:139,PF00931.22:NB-ARC:198:375 transcriptional regulator (NCBI) 638 317 15 507 0 Streptomyces coelicolor A3(2) VIMSS243523 1 MicrobesOnline EQLVSRATELTADERPAPAAEALRQALALWRGPALGGVHAPFAETEAARLDEQRMLAVEQHMALRLQLGEHQSVLGELQALVGACPLRDRLRYYLMLAQYRSGRRAEALTTFRDGMRYSIEEIGLELGTDLQSLHDSILRDEFPQLGVLGIAAARKDRQQTVPSQLPESDAYFIGRSREQWLMDDVLLDGSAQNPPAIAYLTGSPGIGKTSLAVNWAHRAAHRFPDGQLFADLREGGPLEVLHQFLRQLGDEGPLPAEPAEAAERYRGLLEGRRVLIVLDHASSYAQVRHLLPTSGGCCVLITGRANLDELMQKYRTFRLRVAPLSDDEARETLAGVLRDSRAQDVPEATSRLAALCGHSPLALRAAAARLLTKTHWRVLDLVCRLERSGDRLTALSIGEDSLRARLDRSMRELDPRVAFAYRELSRLGDADFDAEQAAQVLGTDLLEAEDLIETLVDAQLLEAVGRSRWGGMRFKWQELVRLHASHCLTAAGSLETPAPVAVALTD
VIMSS260471 1 186 0.698415591397849 ORFID:MW0257~hypothetical protein, similar to secretory antigen precursor SsaA (NCBI ptt file) 297 0 15 186 0 Staphylococcus aureus subsp. aureus MW2 VIMSS260471 1 MicrobesOnline MKKTILLTMTTLTLFSMSPNSAQAYTNDSKTLEEAKKAHPNAQFKVNKDTGAYTYTYDKNNTPNNNHQNQSRTNDNHQHANQRDLNNNQYHSSLSGQYTHINDAIDSHTPPQTSPSNPLTPAIPNVEDNDDELNNAFSKDNKGLITGIDLDELYDELQIAEFNDKAKTADGKPLALGNGKIIDQPL
VIMSS26675 1 408 0.173544852941176 PF03687.13:UPF0164:16:371 UPF0164 family protein 408 356 15 385 1 Treponema pallidum subsp. pallidum str. Nichols WP_010882302.1 1 RefSeq MLRLPTARACITMGTMIRHTFTHRCGALLCALALGSSTMAATAAAKPKKGQMQKLRQRPVWAPTGGRYASLDGAFTALANDASFFEANPAGSANMTHGELAFFHTTGFGSFHAETLSYVGQSGNWGYGASMRMFFPESGFDFSTTTEPVCTPASNPIKQRGAIGIINFARRIGGLSLGANLKAGFRDAQGLQHTSVSSDIGLQWVGNVAKSFTSEEPNLYIGLAATNLGLTVKVSDKIENCTSTCEKCGCCKERCCCNGKKACCKDCDCNCPCQDCNDKGTVHATDTMLRAGFAYRPFSWFLFSLGATTSMNVQTLASSDAKSLYQNLAYSIGAMFDPFSFLSLSSSFRINHKANMRVGVGAEARIARIKLNAGYRCDVSDISSGSGCTGAKASHYLSLGGAILLGRN
VIMSS31942 1 416 0.308323317307692 PF02470.20:MlaD:40:112 Mce-family protein Mce1C 515 73 15 393 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O07415 1 SwissProt/TReMBL MRTLEPPNRMRIGLMGIVVALLVVAVGQSFTSVPMLFAKPSYYGQFTDSGGLHKGDRVRIAGLGVGTVEGLKIDGDHIVVKFSIGTNTIGTESRLAIRTDTILGRKVLEIEPRGAQALPPGGVLPVGQSTTPYQIYDAFFDVTKAASGWDIETVKRSLNVLSETVDQTYPHLSAALDGVAKFSDTIGKRDEQITHLLAQANQVASILGDRSEQVDRLLVNAKTLIAAFNERGRAVDALLGNISAFSAQVQNLINDNPNLNHVLEQLRILTDLLVDRKEDLAETLTILGRFSASFGETFASGPYFKVLLANLVPGQILQPFVDAAFKKRGISPEDFWRSAGLPAYRWPDPNGTRFPNGAPPPPPPVLEGTPEHPGPAVPPGSPCSYTPPADGLPRPWDPLPCANLTQGPFGGPDFPA
VIMSS31959 1 143 0.0293881118881119 PF03779.14:SPW:35:80,PF03779.14:SPW:88:135 PROBABLE CONSERVED TRANSMEMBRANE PROTEIN (NCBI) 143 94 15 59 4 Mycobacterium tuberculosis H37Rv VIMSS31959 0 MicrobesOnline MSTVHSSIDQHPDLLALRASFDRAAESTIAHFTFGLALLAGLYVAASPWIVGFSATRGLPTCDLIVGIAVAYLAYGFASALDRTHGMTWTLPVLGVWVIFSPWVLPGVAVTAGMMWSHIIAGAVVAVLGFYFGMRTRAAANQG
VIMSS31962 1 413 0.083327602905569 PF07690.16:MFS_1:26:222,PF07690.16:MFS_1:227:397 Chloramphenicol efflux pump Rv0191 413 368 15 145 12 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJX7 0 SwissProt MTAPTGTSATTTRPWTPRIATQLSVLACAAFIYVTAEILPVGALSAIARNLRVSVVLVGTLLSWYALVAAVTTVPLVRWTAHWPRRRALVVSLVCLTVSQLVSALAPNFAVLAAGRVLCAVTHGLLWAVIAPIATRLVPPSHAGRATTSIYIGTSLALVVGSPLTAAMSLMWGWRLAAVCVTGAAAAVALAARLALPEMVLRADQLEHVGRRARHHRNPRLVKVSVLTMIAVTGHFVSYTYIVVIIRDVVGVRGPNLAWLLAAYGVAGLVSVPLVARPLDRWPKGAVIVGMTGLTAAFTLLTALAFGERHTAATALLGTGAIVLWGALATAVSPMLQSAAMRSGGDDPDGASGLYVTAFQIGIMAGALLGGLLYERSLAMMLTASAGLMGVALFGMTVSQHLFENPTLSPGDG
VIMSS32007 1 1400 0.288900714285714 PF11847.8:DUF3367:16:692 PROBABLE CONSERVED TRANSMEMBRANE PROTEIN (NCBI) 1400 677 15 1198 9 Mycobacterium tuberculosis H37Rv VIMSS32007 1 MicrobesOnline MAPLSRKWLPVVGAVALALTFAQSPGQVSPDTKLDLTANPLRFLARATNLWNSDLPFGQAQNQAYGYLFPHGTFFVIGHLLGVPGWVTQRLWWAVLLTVGFWGLLRVAEALGVGGPSSRVVGAVAFALSPRVLTTLGSISSETLPMMLAPWVLLPTILALRGTSGRSVRALAAQAGLAVALMGAVNAIATLAGCLPAVIWWACHRPNRLWWRYTAWWLLAMALATLWWVMALTQLHGVSPPFLDFIESSGVTTQWSSLVEVLRGTDSWTPFVAPNATAGAPLVTGSAAILGTCLVAAAGLAGLTSPAMPARGRLVTMLLVGVVLLAVGHRGGLASPVAHPVQAFLDAAGTPLRNVHKVGPVIRLPLVLGLAQLLSRVPLPGSAPRPAWLRAFAHPERDKRVAVAVVALTALMVSTSLAWTGRVAPPGTFGALPQYWQEAADWLRTHHAATPTPGRVLVVPGAPFATQVWGTSHDEPLQVLGDGPWGVRDSIPLTPPQTIRALDSVQRLFAAGRPSAGLADTLARQGISYVLVRNDLDPETSRSARPILLHRSIAGSPGLAKLAEFGAPVGPDPLAGFVNDSGLRPRYPAIEIYRVSAPANPGAPYFAATDQLARVDGGPEVLLRLDERRRLQGQPPLGPVLMTADARAAGLPVPQVAVTDTPVARETDYGRVDHHSSAIRAPGDARHTYNRVPDYPVPGAEPVVGGWTGGRITVSSSSADATAMPDVAPASAPAAAVDGDPATAWVSNALQAAVGQWLQVDFDRPVTNAVVTLTPSATAVGAQVRRILIETVNGSTTLRFDEAGKPLTAALPYGETPWVRFTAAATDDGSAGVQFGITDLAITQYDASGFAHPVQLRHTVLVPGPPPGSAIAGWDLGSELLGRPGCAPGPDGVRCAASMALAPEEPANLSRTLTVPRPVSVTPMVWVRPRQGPKLADLIAAPSTTRASGDSDLVDILGSAYAAADGDPATAWTAPQRVVQHKTPPTLTLTLPRPTVVTGLRLAASRSMLPAHPTVVAINLGDGPQVRQLQVGELTTLWLHPRVTDTVSVSLLDWDDVIDRNALGFDQLKPPGLAEVVVLSAGGAPIAPADAARNRARALTVDCDHGPVVAVAGRFVHTSIRTTVGALLDGEPVAALPCEREPIALPAGQQELLISPGAAFVVDGAQLSTPGAGLSSATVTSAETGAWGPTHREVRVPESATSRVLVVPESINSGWVARTSTGARLTPIAVNGWQQAWVVPAGNPGTITLTFAPNSLYRASLAIGLALLPLLALLAFWRTGRRQLADRPTPPWRPGAWAAAGVLAAGAVIASIAGVMVMGTALGVRYALRRRERLRDRVTVGLAAGGLILAGAALSRHPWRSVDGYAGNWASVQLLALISVSVVAASVVATSESRGQDRMQ
VIMSS32111 1 179 0.635344134078212 hypothetical protein (NCBI) 179 0 15 179 0 Mycobacterium tuberculosis H37Rv VIMSS32111 1 MicrobesOnline MANSLLDFVISLVRDPEAAARYAANPERSIAEAHLTDVTRADVNSLIPVVSDSLSMSEPIGAAGGAHAGDRGNVWASGAATAALDAFAPHADAGVVQQHGAVGSVLNQPTPPGPGVTPTDPRPFRAGPHETSALLTSAEIPDTTSEDGGLPTDHPAVWNHPVVDPHTVEPDHHGYDIHG
VIMSS32163 205 470 0.212241729323308 PF07992.14:Pyr_redox_2:5:136 NADH dehydrogenase NdhA 470 132 15 243 1 Mycobacterium tuberculosis H37Rv NP_214906.1 1 RefSeq TPSECRVILLDAAPAVLPPMGPKLGLKAQRRLEKMDVEVQLNAMVTAVDYKGITIKEKDGGERRIECACKVWAAGVAASPLGKMIAEGSDGTEIDRAGRVIVEPDLTVKGHPNVFVVGDLMFVPGVPGVAQGAIQGARYATTVIKHMVKGNDDPANRKPFHYFNKGSMATISRHSAVAQVGKLEFAGYFAWLAWLVLHLVYLVGYRNRIAALFAWGISFMGRARGQMAITSQMIYARLVMTLMEQQAQGALAAAEQAEHAEQEAAG
VIMSS32662 115 805 0.191730680173661 Putative HTH-type transcriptional regulator Rv0890c 882 0 15 691 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMG1 1 SwissProt ATSREPIGMAGEITWRVPSMSITDEAVELFADRASRVQPGFTIANHNAAAVGEICRRLDGIPLAIEFAAARVRSMSPLEIADGLDDCFRLLAGGVRGAVQRQQTLRASIDWSHALLTETEQILFRRLAPFVGGFDLAAVRAVAAGSDLDPFSVLDQLTLLVDKSLVVADDCQGRTRYRLLETVRRYALEKLGDSGEADVHARHRDYYTALAASLNTPADNDHQRLVARAETEIDNLRAAFAWSRENGHITEALQLASSLQPIWFGRAHLREGLSWFNSILEDQRFHRLAVSTAVRARALADKAMLSTWLATSPVGATDIIAPAQQALAMAREVGDPAALVRALTACGCSSGYNAEAAAPYFAEATDLARAIDDKWTLCQILYWRGVGTCISGDPNALRAAAEECRDLADTIGDRFVSRHCSLWLSLAQMWAGNLTEALELSREITAEAEASNDVPTKVLGLYTQAQVLAYCGASAAHAIAGACIAAATELGGVYQGIGYAAMTYAALAAGDVTAALEASDAARPILRAQPDQVTMHQVLMAQLALAGGDAIAARQFANDAVDATNGWHRMVALTIRARVATARGEPELARDDAHAALACGAELHIYQGMPDAMELLAGLAGEVGSHSEGVRLLGAAAALRQQTRQVRFKIWDAGYQASVTALREAMGDEDFDRAWAEGAALSTDEAIAYAQ
VIMSS33178 119 274 0.136123076923077 methyltransferase 274 0 15 156 0 Mycobacterium tuberculosis H37Rv NP_215921.1 1 RefSeq ISAIGVMFAPDHQAAADELVRVCRPGGTIGVISWTCEGFFGRMLATIRPYRPSVSADLPPSALWGREAYVTGLLGDGVTGLKTARGLLEVKRFDTAQAVHDYFKNNYGPTIEAYAHIGDNAVLAAELDRQLVELAAQYLSDGVMEWEYLLLTAEKR
VIMSS33529 1 294 0.257100680272109 PF00665.26:rve:119:238,PF13276.6:HTH_21:41:94,PF13683.6:rve_3:211:277 PUTATIVE TRANSPOSASE (NCBI) 294 213 15 294 0 Mycobacterium tuberculosis H37Rv VIMSS33529 1 MicrobesOnline MRWGVESICTQLTELGVPIAPSTYYDHINREPSRRELRDGELKEHISRVHAANYGVYGARKVWLTLNREGIEVARCTVERLMTKLGLSGTTRGKARRTTIADPATARPADLVQRRFGPPAPNRLWVADLTYVSTWAGFAYVAFVTDAYARRILGWRVASTMATSMVLDAIEQAIWTRQQEGVLDLKDVIHHTDRGSQYTSIRFSERLAEAGIQPSVGAVGSSYDNALAETINGLYKTELIKPGKPWRSIEDVELATARWVDWFNHRRLYQYCGDVPPVELEAAYYAQRQRPAAG
VIMSS3373042 1 232 0.401122844827586 toxin gene expression negative regulator 232 0 15 232 0 Clostridioides difficile 630 YP_001087138.1 1 RefSeq MFSKKNDGNEFSNEGKGSSKKIIKFFKSTKGIALLAFILGVFFGNISSPACSEDHEEVISNQTSVIDSQKTEIETLNSKLSDAEPWFKMKDDEKKAIEAENQRKAEEAKKAEEAKKAEEQRKKEEEEKKGYDTGITYDQLARTPDDYKYKKVKFEGKVIQVIEDGDEVQIRLAVSGNYDKVVLCSYKKSITPSRVLEDDYITIRGISAGTITYESTMGGNITIPGIAVEKIN
VIMSS33958 1 144 0.242907638888889 PF10604.9:Polyketide_cyc2:4:143,PF03364.20:Polyketide_cyc:11:138 Conserved protein TB16.3 144 140 15 144 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O53519 1 SwissProt/TReMBL MADKTTQTIYIDADPGEVMKAIADIEAYPQWISEYKEVEILEADDEGYPKRARMLMDAAIFKDTLIMSYEWPEDRQSLSWTLESSSLLKSLEGTYRLAPKGSGTEVTYELAVDLAVPMIGMLKRKAERRLIDGALKDLKKRVEG
VIMSS340168 1 334 0.433751497005989 PF05844.12:YopD:89:331 putative translocator protein PopD (NCBI ptt file) 334 243 15 311 1 Vibrio parahaemolyticus RIMD 2210633 VIMSS340168 1 MicrobesOnline MLDKIGGTGRGELYGLGDTIKTTKAEKTPETKLEAGAVKSNGESNVSGAGRYQLDGPKAPAISNQAQVVANLMGELAPTIDLLMSTTAKVLNGEEVIKSPSDAVSQSLSLLTLLYQVSKLSREQQVLQREIAVEANVASLQSQAAELNNSASAMIAMAVVSGVLAGATAIIGALGSFKAGKEIKTEMASNNVLKTQKAGFDQVEELMNNGNLSKTQQDQVKRAHSLAKDSIADTTAQLTSGGRKFDKLMSSNQAKNAILQALGQMANSASNVEQTKAQARSKDDEVQATRAQAAKQKADENIGFQEGLLKELRELFRSISDSQNQAWRASIPTV
VIMSS34261 143 1068 0.228725809935205 PF00931.22:NB-ARC:116:294 adenylate cyclase (EC 4.6.1.1) 1137 179 15 926 0 Mycobacterium tuberculosis BRENDA::O53213 1 BRENDA EVSSPDEGNCVGPTIDRTARLRELAHGGQTVLSGTTSDLVADLLPKDAWLNDLGTYRLDDLPRPERVVQLCHPDLHNAFPPLRTRKVVGAHCLPAQLTRLVGRVDEVAQVRGLLDVKRWVTLTGVGGVGKTRLATQVASAVADGYPDGVWYVNLAPITDPALVPIAAARVLGLPDQPGRSTVDTIVRRIGDRRMLVVLDNCEHLLDGCAALIVALLGACPALRVLATSREPIAVAGEQIWRVPPLGHGEAIELFTDRAREARPELEITADNLALVTEICHRLDGIPLAIELAASRVRALALTEIVDSLHDRFRLLTGGSRIAVRRQQTMRASVDWSHALLTGPEQVLFRRLAVFPSGFDLDGAQAAAAGGDVQRYEVVDLLSLLADKSLVVTDDSDGRTRYRLLETVRQYALEKLRESGDADAVRARHRDHYAAVAAGLDAPSVAGHERRLNQAELEIDNLRAAFAFSRENGDTGHALLLASCLQPLWRARGRLQEGLAWFAAALADHDAHPAGADPGLYARALADRALIDAVAGITDRLDDAQKALAIARDIEDPALLARALTACGGVAAYNADLARPWLAEAVGLARAVGDKWRLAEVLAWQAYVGFAGEGDPGATRAAGEEARSLADEIGDAFLSRSCRWALAAANLWQGNLEAAVGLSREVIGESDAAHDMVSSCAGQACLAHALAHRGDTEAAAAAQASIDTAVGLSPVLSGSACSALVFATLAAGDVAAAEHARESATRFFGASAAAIINDPTSSAQISCARGDLNAAHRLADGAASITRGVHRARALTTRCRIEIAQGDRHRAERDAHDALGVAASIGAYLWVPDILECLASVMADAGSNREAVRLFGAADAARGRMGAVRFGIYQAGCNSSLATLRKSMGDSEFDDAWAEGTALSIDEAIAYAQRGRGARKRPTSGWG
VIMSS34431 1 120 0.22275 POSSIBLE PROPHAGE PROTEIN (NCBI) 120 0 15 120 0 Mycobacterium tuberculosis H37Rv VIMSS34431 1 MicrobesOnline MADAVKYVVMCNCDDEPGALIIAWIDDERPAGGHIQMRSNTRFTETQWGRHIEWKLECRACRKYAPISEMTAAAILDGFGAKLHELRTSTIPDADDPSIAEARHVIPFSALCLRLSQLGG
VIMSS34446 1 433 0.0299300230946882 PF09594.10:GT87:94:328 Alpha-(1->3)-arabinofuranosyltransferase; Arabinofuranan 3-O-arabinosyltransferase; Arabinofuranosyltransferase C; EC 2.4.2.47 433 235 15 252 8 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMZ7 1 SwissProt MYGALVTAADSIRTGLGASLLAGFRPRTGAPSTATILRSALWPAAVLSVLHRSIVLTTNGNITDDFKPVYRAVLNFRRGWDIYNEHFDYVDPHYLYPPGGTLLMAPFGYLPFAPSRYLFISINTAAILVAAYLLLRMFNFTLTSVAAPALILAMFATETVTNTLVFTNINGCILLLEVLFLRWLLDGRASRQWCGGLAIGLTLVLKPLLGPLLLLPLLNRQWRALVAAVVVPVVVNVAALPLVSDPMSFFTRTLPYILGTRDYFNSSILGNGVYFGLPTWLILFLRILFTAITFGALWLLYRYYRTGDPLFWFTTSSGVLLLWSWLVMSLAQGYYSMMLFPFLMTVVLPNSVIRNWPAWLGVYGFMTLDRWLLFNWMRWGRALEYLKITYGWSLLLIVTFTVLYFRYLDAKADNRLDGGIDPAWLTPEREGQR
VIMSS34459 1 252 0.00970436507936508 Fluoroquinolones export permease protein Rv2686c 252 0 15 117 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJB3 0 SwissProt MRAISSLAGPRALAAFGRNDIRGTYRDPLLVMLVIAPVIWTTGVALLTPLFTEMLARRYGFDLVGYYPLILTAFLLLTSIIVAGALAAFLVLDDVDAGTMTALRVTPVPLSVFFGYRAATVMVVTTIYVVATMSCSGILEPGLVSSLIPIGLVAGLSAVVTLLLILAVANNKIQGLAMVRALGMLIAGLPCLPWFISSNWNLAFGVLPPYWAAKAFWVASDHGTWWPYLVGGAVYNLAIVWVLFRRFRAKHA
VIMSS34591 1 382 0.243725130890052 PF09659.10:Cas_Csm6:29:374 hypothetical protein (NCBI) 382 346 15 382 0 Mycobacterium tuberculosis H37Rv VIMSS34591 1 MicrobesOnline MLFLSAEIAAFENADRRYSAAITRLAPETDVRIVTYTNPSVHRFDLFVPVFRNHLVELSAEFPDRTILLNTSSGTPAMQAALVAINVFGIPRTTAVQVSTPARALSKPGDRESPDAYDLELMWDANDDNQPGAPNRCFEATSAALGALLERANLKQLIVSYDYSAAVTIAADSRLPDQVSNLIRGAMHRSRLEHLVAPKFFKDTAFTYDPANKVAEYISALALLAKREQWAEFARSATPAITIVLRAAVAKHLPEDRYLDDMGRVDRRKLEREPEIRCALKHPPKSPNAEWYLYTKDWLALLRQFAPDRVGALEVLGRFESRVRNTAAHEIVSISEDRITKDGGLLPEQLLKILARETGADLTLYDRLNDEIIRQIDMAPLG
VIMSS34933 69 213 0.189205517241379 POSSIBLE TRANSCRIPTIONAL REGULATORY PROTEIN (PROBABLY TETR-FAMILY) (NCBI) 213 0 15 145 0 Mycobacterium tuberculosis H37Rv VIMSS34933 1 MicrobesOnline PLELFGHITAMPVPAVVKLHRWLTESLDHLHASPYVLVSILITPDLHQESFVAERELVAEMERALVGLIETGQGEGDVRAMHPLSAARLVQALFDALALPEFAVSPDEIVEFAMTALLSDPDRLAEIRAAADALEIQTAPPDRGL
VIMSS34934 175 382 0.184707211538462 PF00848.19:Ring_hydroxyl_A:13:197 POSSIBLE DIOXYGENASE (NCBI) 382 185 15 208 0 Mycobacterium tuberculosis H37Rv VIMSS34934 1 MicrobesOnline DLTDDEFAGYRLGEMVQVESWSHEWRANWKVAAENGHENYHVLGLHRQTLEPFVPGGGDLDVRQYSRWALRLRVPFTVPVEAKSLQLNEVQKSNLVVLWTFPNSALAIAGERVVWFGFIPQSIDRVQVLGGVLTTPELAADAAATAQTSQFVMAMINDEDRLGLEAVQVGAGSRFAERGHLSSKEWPGMLAFYRNLAMALVGDHPGAS
VIMSS35258 1 512 0.408750976562499 PF03816.14:LytR_cpsA_psr:109:281,PF13399.6:LytR_C:379:463 POSSIBLE CONSERVED PROTEIN CPSA (NCBI) 512 258 15 489 1 Mycobacterium tuberculosis H37Rv VIMSS35258 1 MicrobesOnline MARSEGNRPRHRAVPQPSRIRKRLSRGVMTLVSVVALLMTGAGYWVAHGALGGITISQALTPEDPRSSGNNMNILLIGLDSRKDQEGNDLPWSVLKQLHAGDSDDGGYNTNTLILVHVGADGKVVAFSIPRDDWVPFTGVPGYNHIKIKEAYGLTKQYVAEQLANQGVSDRKELETRGREAARAATLRAVRSLTGVPIDYFAEINLAGFYDLAQTLGGVDVCLNHAVYDSYSGADFPAGRQRLNAAQALAFVRQRHGLDNGDLDRTHRQQAFLSSVMRELQDSGTFTNLDRLDNLMAVARKDVVLSAGWDEDLFRRMGDLAGGNVEFRTLPVVRYDNIDGQDVNIIDPTAIRAEVAAAFGSAPPTSQTAAAAKPNPSTVVDVVNAGSISGLASQVSGALLKRGYTAGQVRDRESGDPFTTAIEYGAGAETDAQNVADLLGIDAPNHPDPAVAPGHIRVTVDTNFSLPAPDEATAAATSTETSTYPLYGGGTTTDPTPDQGAPIDGGGVPCVN
VIMSS35596 1 404 0.343256683168317 PF08237.11:PE-PPE:104:325 SL1278 acyltransferase Chp1; Cutinase-like hydrolase protein; EC 2.3.1.284 404 222 15 404 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O07801 1 SwissProt MKCPGVSDCVATVRHDNVFAIAAGLRWSAAVPPLHKGDAVTKLLVGAIAGGMLACAAILGDGIASADTALIVPGTAPSPYGPLRSLYHFNPAMQPQIGANYYNPTATRHVVSYPGSFWPVTGLNSPTVGSSVSAGTNNLDAAIRSTDGPIFVAGLSQGTLVLDREQARLANDPTAPPPGQLTFIKAGDPNNLLWRAFRPGTHVPIIDYTVPAPAESQYDTINIVGQYDIFSDPPNRPGNLLADLNAIAAGGYYGHSATAFSDPARVAPRDITTTTNSLGATTTTYFIRTDQLPLVRALVDMAGLPPQAAGTVDAALRPIIDRAYQPGPAPAVNPRDLVQGIRGIPAIAPAIAIPIGSTTGASAATSTAAATAAATNALRGANVGPGANKALSMVRGLLPKGKKH
VIMSS35685 1 222 0.478958108108108 PF04542.14:Sigma70_r2:39:105 ECF RNA polymerase sigma factor SigM 222 67 15 222 0 Mycobacterium tuberculosis H37Rv NP_218428.1 1 RefSeq MPPPIGYCPAVGFGGRHERSDAELLAAHVAGDRYAFDQLFRRHHRQLHRLARLTSRTSEDADDALQDAMLSAHRGAGSFRYDAAVSSWLHRIVVNACLDRLRRAKAHPTAPLEDVYPVADRTAQVETAIAVQRALMRLPVEQRAAVVAVDMQGYSIADTRPDAGRGRGHRQEPLRPGAGPPSAAAGLSQHRGEHPALTPLPVRRSIDPRARRYPTSGYCHRA
VIMSS3660285 1 343 0.220534402332362 hypothetical protein (RefSeq) 343 0 15 343 0 Campylobacter jejuni subsp. jejuni 81116 VIMSS3660285 1 MicrobesOnline MKKNIVFFEVKGGSDKGEDGYRKDTMPMVNALKAKGWNAEVIFFEVGKKDEIYKYVKENFDGYVSRINPGNLKEENEYFDMLRKLCADKLVGMPHPDAMIGYGAKDALTKLADTDLVPSDTYAYYDIKTFKENFPKSLAKGERVLKQNRGSTGEGIWRVSVEGNVSGDSLPLNTKIKCTEAKDNHVEHRELGEFMDFCEQYIIGDNGMLVDMTFLPRIKEGEIRLLMLYNTPVNVVHKKPAEDADAFSATLFSGAKYRYDKPEDWKTLVDMFLGELPKVREKLGNYDLPLIWTADFILDTDEKGNDKYVLGEINCSCVGFTSHLELADEVASNIINIVSKTKA
VIMSS3692037 1 224 0.327788392857143 PF12840.7:HTH_20:17:67 Uncharacterized protein 224 51 15 224 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) O06191 1 SwissProt/TReMBL MGVSVIIRSLQEPVGRRRAVLRALCASRVPMSIAAIAGKLGVHPNTVRFHLDNLVADGQVERVEPGRGRPGRPPLMFRAVRRTDSTGTRRYRLLAEILASGLAAERDSRAMALSAGRAWGRQLEAPPAGADTEETIDHLVAVLDDLGFAPERRASNGRQQVGLRHCPFLELAETQAGVVCPVHLGIMRGALQTWGAPVTVDRLDAFVEPDLCLAHFTPLEGAIR
VIMSS3706221 1 238 0.194613865546219 PF00512.25:HisKA:123:182 Histidine protein kinase SaeS; Sensor protein SaeS; Staphylococcus exoprotein expression protein S; EC 2.7.13.3 351 60 15 192 2 Staphylococcus aureus (strain Newman) SwissProt::Q840P7 1 SwissProt MVLSIRSQIIIGVVSSIPLTSTILAIAYILMWFNGHMTLTLTLTTIITSCLTLLICSIFINPLIQKIKQFNIKTKQFANGNYASNDKTFNSPKEIYELNQSFNKMASEITQQMNQIKSEQQEKTELIQNLAHDLKTPLASIISYSEGLRDGIITKDHEIKESYDILIKQANRLSTLFDDMTHIITLNTGKTYPPELIQLDQLLVSILQPYEQRIKHENRTLEVNFCNEIDAFYQYRTP
VIMSS380 1 442 0.189306561085973 PF04966.12:OprB:310:425 hypothetical protein (NCBI ptt file) 442 116 15 442 0 Chlamydia trachomatis D/UW-3/CX VIMSS380 1 MicrobesOnline MQAAHHHYHRYTDKLHRQNHKKDLISPKPTEQEACNTSSLSKELIPLSEQRGLLSPICDFISERPCLHGVSVRNLKQALKNSAGTQIALDWSILPQWFNPRVSHAPKLSIRDFGYSAHQTVTEATPPCWQNCFNPSAAVTIYDSSYGKGVFQISYTLVRYWRENAATAGDAMMLAGSINDYPSRQNIFSQFTFSQNFPNERVSLTIGQYSLYAIDGTLYNNDQQLGFISYALSQNPTATYSSGSLGAYLQVAPTASTSLQIGFQDAYNISGSSIKWSNLTKNRYNFHGFASWAPRCCLGSGQYSVLLYVTRQVPEQMEQTMGWSVNASQHISSKLYVFGRYSGVTGHVFPINRTYSFGMASANLFNRNPQDLFGIACAFNNVHLSASPNTKRKYETVIEGFATIGCGPYLSFAPDFQLYLYPALRPNKQSARVYSVRANLAI
VIMSS383051 1 744 0.301059139784946 PF14522.6:Cytochrome_C7:104:190 C-type polyheme cytochrome OmcB; Outer membrane c-type cytochrome B 744 87 15 744 0 Geobacter sulfurreducens (strain DL-1 / KN400) SwissProt::D7ALQ0 1 SwissProt MSRKVTKYSAVLAVSLFAAALAGCGSENKEGTVGTGPGGVATVGDSACVQCHSAVTEALTGESLIAQYQKSSPHNTAGLGCESCHGGGAQHNGVGPIPFAQPDASRCADCHDGTTAVATNSDTAFAESRHNIQTIRSGATCRRCHTHEGAVLSNIAGYTGDLATLEDTVNQNKVPLVSSYSQISCATCHEHGGGLRTIKATNGAAGPVVNWDPNNNRTVDQFDLCTSCHNMYSYNGSTLLTNGVPVNGVATGTVGHHETTWYRIIATTHFDNYSTGPQAGAGASGTNAKVEGYVLRRTGANPCFDCHGHEAKTNTRPGRDATIHTDWAKSAHAGGLLTAKYNAVGALTGAAAVNAAMNAYVDDTTAIAWTHYNWDASSRGSCQRCHTATGAANFMSNPAGYDPTGAGNSFSHLQGWSAANGSKQNELLYCWGCHTNAGTGELRNPGAITENYAGVNSTSTGTTGTAVTISYPDIAGSNVCMTCHLGREAGENIKAITDADGILGFVNSHYLAAGGQLFGKTGYEYATRSYAKPTFFAHDKIGTAAAPGTGTNGPCAGCHMTTPNSHSFLPVTKDGTGAVTAITSTACATCHAGAYALTPEALTAEEEEYVASLEALKAALAGKGILFFNAHPYFYRDTNANGIGDPGELVSSNAFTNWAGVYGLALWKDVMGAAFNANLLIHDPGGYAHNRFYVKRLIWDSIDFIYDGVLNNDVTAAIDAQVTATRLDSATATAAKAYLGTTRP
VIMSS392 1 243 0.0867847736625515 hypothetical protein (NCBI ptt file) 243 0 15 197 2 Chlamydia trachomatis D/UW-3/CX VIMSS392 1 MicrobesOnline MFGSIPCYPGYNNIPAYSNSYFYCTLCDGVVSPTNVDIAIVVPNKPTAHSESKLSVLRCKNHPVKGLHSGGPITSLRGLIPFSSTLLEETHQKMLNLCARVHCLDVLTVCGTLIASLLAITGAILQFIVAVPIPTFIPLILFGVAIAFYLGAFLCTRISQKDTLRWQALSKNIIRSSHNVPVQAGTERYTLLTEFPPTCYENHSIDLYSIRPSSWCAPRVVVKKTACKLSARIQKILKNQRGH
VIMSS4040253 1 249 0.233661847389558 hypothetical protein (RefSeq) 466 0 15 226 1 Listeria monocytogenes 10403S VIMSS4040253 1 MicrobesOnline MKIRWIRLSLVAILIIAVVFIGVIGFQKYQFSKSRNKVIMQMDRLMKDQDGGNFRRLDKKENGVEIISYIPKTTEKKDNEIIQKEIGKATDAEVKKLNRDKETQGIIFYTYQKHRMAEQAISYKAVQSEYVKEGRTKFVLKDKKDICKNIVTDAETGALLTLGEVLIKSNQTKLNLKTAVEEELIKTGDFSLKDVGNLGKIKSLVKWNQTDFEITNSEIILPVKIPGAPEPKKVKVKLADIASSVNKRY
VIMSS41815 1 298 0.618873489932886 hypothetical protein 298 0 15 298 0 Deinococcus radiodurans R1 NP_294896.1 1 RefSeq MFERDEHHFPVKRLLLLGALVGAGAYYLSREQNRKALDAKLAELGLKDAAQDVGSSVTKGWEKTKDAAQNAGSVIADKAQDVAGEVKSAVAGATAEIKDAGKEVADTAKDAGQNVGQNVKREAADLADQAKDKAQDVKADVSKAADQAKDKAQDVAQNVQAGAQQAAANVKDKVQDVKADASKAADQAKDKAQDVAQNVKQGAQQAASDAKDKVQDVKADASRAADQAKDKAQDVAQNVKQSAQDAKTDVDAKAKSWAFDLRTDAEAGKQGGQTGSTTNNAGTAGNTGMTGNTNTRKN
VIMSS43216 1 1167 0.328438903170522 PF00395.20:SLH:34:75 S-layer protein SlpA 1167 42 15 1167 0 Deinococcus radiodurans (strain ATCC 13939 / DSM 20539 / JCM 16871 / LMG 4051 / NBRC 15346 / NCIMB 9279 / R1 / VKM B-1422) SwissProt::Q9RRB6 1 SwissProt MKKSLIALTTALSFGLAAAQTAAPVSAPQVPALTDVPAGHWAKDAIDRLVSRGVILGYPDGTFRGTQNLTRYEAAIIIARLLDQMRDGETPAGMTAEDMTALQNAIQELAADLAALGVRVSDLEANAVSKDDFARLEARIEEVAAAGGEQGATEALQGQIDDLTARVDEYDALRADVDDNASSIAALNDLTVLLNQDILDLQDRVSAVEAAQADFVQRSDFDALGGRVTTVETRVETVNNSLTGRIAALERNAFSVKPSLTIGYSVSRTSRNFDVDRLFPLNADGTVANNAFTSGGIDTDTGAQRRDFGDFGNASDPVVAGAAGLYGFADGVSYTVYFTDGSTATFDGLNPADYKVPTGKVIDTTKGRNGFGFNNLARYKEGSTDIGISLGFDTSGQFSQVTSGTGGSLFSTAGRLQVNQIDLNFGLVTGLPSDAYVDTNGNGKKDDGEATGRGTYLGSGGTAAILRDPAGNVYRPVFFRFKNATTQFSVGNNPVIVTLGQQQKFYFSDYVFDNNYDGRGDGFTVTVDGSNVPVIGAWKPQIKGVYGSRSGLDGTAEAGYGVYYRGVRAQITPVGTLTAGIHYAQEGRDMFGAAQNTTSTPSDVTTYGADLHGKAFGVELHSEYATSRVRPNTANAAVQTSNAFYARVATRKDNLAFDLNTPAAKFGNDTFGVSLYDLNYRKIDAGYNNVAGISEYGYGSYSRTSAQNIAYNPDTGVTAPFANLDRQAYTDANNDGTSDRNADGTVVATNTKIGQMGFGVKAAANLGPVAIGGYYDTSTGANGDNANRMTEAGGSAKVAYSIFSLRGTYNTLDSNRPQIYRDAAGTQIIGDAKVRRYAVQADVTPGLGLFVGAYYRDVNVNGVRSTTDRGLLGRGYLASSFEPGVGNNAYRTGLRCADNNFGTGTRDIDGVGGVLNPAVNLDQSRTATCFTSYGVEAGHAGDNANALVKDLFFRVGYSRVYVPTTATATTGDFSGSVTYGDARYDRKVGVANVRLAGSFSTTNTQLDSRPAGTRGAVGLIVRTDPLENVPFRPQFNGQVGYYTADNRVAAGNYNANATKYGAGVVLNDFLLPQTKIGVRYDGYMAQNRQYTPFDGDGTQGYFSDANNNRRTNLNGVYVEGAYQDLIFSYGTYTLSQKDLNGVEYGSGINNGQPARGQTFKISYKVNF 5
VIMSS43347 1 240 0.363350833333333 hypothetical protein 240 0 15 240 0 Deinococcus radiodurans R1 NP_285397.1 1 RefSeq MRTFQYANANPSANVSPMGRTSLATSAAKARGPLNGLIAERMRQLNLSTVKDFADYAGIGRTSIHDLVRGRTTTSGTWTKPSFDTLTKLAVALDKPTHELLYLIDPEAPGANLMFDVQQVPVYIAGQVGAGPQQLWESSDVVYVERQFAENRDLIAFTVVGDSMAGGRHPIHDGDVVIVDQRVGGEVNFPVVARLKDDGHVVKRCGPAASSTAPTRTSWTLTPQSLPLTVSPTWWDASSG
VIMSS44437 1 821 0.0700214372716199 PF01103.23:Bac_surface_Ag:460:818,PF07244.15:POTRA:105:180,PF07244.15:POTRA:183:274,PF07244.15:POTRA:278:352,PF07244.15:POTRA:357:429 outer membrane protein 821 675 15 803 1 Borreliella burgdorferi B31 NP_212929.1 1 RefSeq MGSIRGLFFVSFLIFFVVFSFGQVENYKGKIIKGINFEGLKNKKERDFINILKPYIGVSYSNEIFDKLQIDLYSLDYFSGLIKPIFKIDGEDLFITFIVKEKSLVNSVVFSDSSRVFWNSELVEKVNIKTNEPLNLASVNKGIGKLEEMYKDMGYLEVSANFEIKEEGNLVDIIFNIVAGPKYVVKGIDFEGNLSFKSSTLRKSLASRVVSLFSDGKYLKSNVDKDKRQLESFYKNNGYIDVKIINSTVDIKDSLKDSKRLEKEVFLKYFLSEGNVFRFGKLEISGNSVFSLEELKSFITFSEGDIFNDSKFEQDFVKIKESYFREGYIFTEIIPSQKIRGEFVDLLIKILEKDKAHIESITVSKNKNTASHVILREIPLQEGDVFSLDKFKMGMANLQQLGYFSNVIPDIVPGNTEGLMKINLNIEERATSNFGFGMNFGGNSNSSFPFSVFGQWELSNFLGEGYYFAARLNLSFLEQSLSLTFRDNWFFQKRWTVGGFIDFSHSVNTAYQDINGPIFSGKREVPDPFTSWEEYRDAKSFSDFNAMNYSLLKLSFGAFTGYTFSNYLGKQTLLGTLQTALKYVFYDNEVNRPSNYYLRDNYKTFRFENSLSLSAAWDTRNSTSLSNNGFLLKQQFDFFGGFLFGQSHFIKSSTTFERYFSLLGYEDVFTPYFDIILTLRSVYSNILPPLGNGFEIEIQPHHHIILSENFMQARGWGILKNIYSSFVNTVQISIPLLKNILVWDAFFIDFASYSLEGQENSLFRPFSSFAFSWGTGIRSLLPQLPLSFVIAYPFYFDNDKVNSYYKYYSGFKFFLGIEMRY 4
VIMSS46188 1 191 0.156705235602094 putative cytochrome C-type haem-binding periplasmic protein (NCBI ptt file) 191 0 15 191 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46188 1 MicrobesOnline MKKIFISFVVLATCLWAKNTAYTDEVVSLYLNKDDTKVIGRLLPTNPFEVLKSENNRVLLKIDGYVNPKAPSVIYFNDSQRIIVAAFSKNTKLNFSQRITGKNGKWDKVSLEIWADKKEFVKDNKEMLNRAKELFVNNCGICHAIHKEKEFTANAWPAIFRSMADRTGIDKKDRWLVIEYLQKNAKDFKTK
VIMSS47240 328 1041 0.200645098039216 PF03797.19:Autotransporter:467:632 putative secreted serine protease (NCBI ptt file) 1041 166 15 714 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47240 1 MicrobesOnline NYKAPKFTVKQVTDGTNQPKFLIVYISQDPPGIEDEIKRDLKQLYNGIQVQVNGQWIDYSDYIWDNRDSAQSQKLNTSTISSINGVVRVEKEELFGQGILDAQKALKGLSILDANRLSDQDVLKYEQEPNTAYYTINTAGYDAEFSNDISQRKWDESTHLSSAINKPTHLANLNIGLSKEGEGILIISGQNTYEGATLIKQGELKLKGKVKNNAYVEQKAILSGNGIVGQNLNNKGIVRPGNEDLNDLTVQGTYTQEGVDSKLQLDFGNYKNSKLIAKTYDIKSGNLEYIPLPKYYILNKPVKINLGDLEKSLSSFNHVLIQNTYALNFDFVLSDDLVSINKTLIKPNLKPNAYEIPNTSLGNALRQLRSRADLSQTYQEFFASLDNGIDVKTKLNRIEGSGYLSTFSNHNQSNLMQNNMLFTLHPLNINNFAQNNNILLASTYLPRIFSNEEYFWHLTPSYKYYKDKDFSGQKTGANISLGENFSSGFLAYALSLSSAKFNFNNGSDLKSYNMDLLLNYNHDLDFIKILSGLGIGVGFNTLNRFVVEQPIEGKYKTLQTSAQLGVTKDIILGQDFIFNPLMYFTHSFFYQEDFKENKSPFAKNYESLKHHSINANLGFNLAKNIEQDDYQASFSTFVIFEKRIYGRTLENKASFVDFPIAFIQKYKLKDNILSQGFNSEFLYKNNVFWQFMLMNRFSHNAYELHLMSSVGKRF 1
VIMSS47292 1 625 0.1043408 PF11186.8:DUF2972:422:611 DUF2972 domain-containing protein 625 190 15 625 0 Campylobacter jejuni WP_002858405.1 1 RefSeq MLNPNSAIERVKNHLAYKLGQTVIEHRHNGGGYIALFKKLYKIKKQHKKEQKIYQQIIQVFPQLKYPSLETCSDYNEALRCKFHLSYMIGEVLIKAYQNWYKGGGFKLKNNIKKANKEFQIFREILKEFKELNGETLKAIQDNKQLFLKEFPRIKNILKTHQDYQPILDNIFHNFNYFIKNFDLIEEWLLSDDFKEKYKKENHPYPSLLDPKKLNDENEKINYHNIPAELAWKMNLPLPPNYEFVGFFLHTSGEKAMERFLKEVGVVLIGAFGYEDGKRYISIFNFLISEACACNDLKFAIGILDVNCQKYDKFCFLLQNKPVLILLRDPIDSLKSFINVRHQKNGFNEILKIDINNTDFDKINDRIVYVHESNGCFNPDTNQKFPSLESIKALSDTNHWMLMYNIRRNKTIEFFRFNKIIYIDMMDIVGDKTLFTLEKLSKILNFSSPDKNNKIFYQQLYSPLTVLLPCIIKVNNKVKIFVSNRFSVKNIQIMENCIDITDKFKEIFHENLIIFCSKDHFDSLINNQTLYNVVLEYINKFLISLKKRINVEKNKEVKVDDVLDYFKKNISVAKSYKDILDEELVYIKQHRPDIVASWKYYQEFERMCKELDENNQNPSLSFSNQ
VIMSS52788 1 532 0.281313157894737 PF00383.23:dCMP_cyt_deam_1:267:429,PF14437.6:MafB19-deam:298:437 deoxycytidylate deaminase-related protein (NCBI ptt file) 532 171 15 532 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS52788 1 MicrobesOnline MFTMNKSSAKKILSTVPSPTKSNSSSSNDLQKRILERRSRELVIGLCGAIGSGVKALKESLVSSLETYGYEVVDIRISKIISEKTQTSLDGLSAFKRYNRLQDLGNSLRETHKSSILAACAIEEIALERTLICQNEIDETSEENDNEPSLIKTTKKIAYIIDQLKHPDEIKFLRSVYPRNFYLIGLIRTEGERRLNLEEEKISPSEIDTLMRRDRKDVSHGQQVEKSLFNADYFIHNIHNQKQMLDKSVERFIKLVHGINGISPTIDEIGMHAAYSAALRSACLSRQVGAAILDNQGNIISTGCNDVPSFGGGLYNSNSLADFRCVHTGRCSNDKHKDILKEEITDILKKSITNTLELKEIVNQITSETKIKTLIEYSRAVHAEMDSLIALARNNKETSVDKTLYVTTYPCHNCARHIVAAGIKKVVYVEPYEKSLAMKLHDDSISDNADAKNKVCFLPFEGVSSRRYEVFFQMHGDRKDDKTGKVLNINIQDSYHADSEFLDNYAEMEAKIAQSVNALLNVPSSEEESIQD
VIMSS538392 1 78 0.108530769230769 PF00550.25:PP-binding:3:68 CONSERVED HYPOTHETICAL PROTEIN (NCBI) 78 66 15 78 0 Mycobacterium bovis AF2122/97 VIMSS538392 1 MicrobesOnline MRDRILAAVCDVLYIDEADLIDGDETDLRDLGLDSVRFVLLMKQLGVNRQSELPSRLAANPSIAGWLRELEAVCTEFG
VIMSS539545 1 75 0.599468 PF11314.8:DUF3117:24:73 CONSERVED HYPOTHETICAL PROTEIN (NCBI) 75 50 15 75 0 Mycobacterium bovis AF2122/97 VIMSS539545 1 MicrobesOnline MLGADQARAGGPARIWREHSMAAMKPRTGDGPLEATKEGRGIVMRVPLEGGGRLVVELTPDEAAALGDELKGVTS
VIMSS539854 1 346 0.275248554913295 PF00535.26:Glycos_transf_2:2:131,PF13641.6:Glyco_tranf_2_3:7:122 probable sugar transferase (NCBI) 346 130 15 346 0 Mycobacterium bovis AF2122/97 VIMSS539854 1 MicrobesOnline MSIVSISYNQEEYIREALDGFAAQRTEFPVEVIIADDASTDATPRIIGEYAARYPQLFRPILRQTNIGVHANFKDVLSAARGEYLALCEGDDYWTDPLKLSKQVKYLDRHPETTVCFHPVRVIYEDGAKDSEFPPLSWRRDLSVDALLARNFIQTNSVVYRRQPSYDDIPANVMPIDWYLHVRHAVGGEIAMLPETMAVYRRHAHGIWHSAYTDRRKFWETRGHGMAATLEAMLDLVHGHREREAIVGEVSAWVLREIGKTPGRQGRALLLKSIADHPRMTMLSLQHRWAQTPWRRFKRRLSTELSSLAALAYATRRRALEGRDGGYRETTSPPTGRGRNVRGSHA
VIMSS540907 1 224 0.329774553571429 PF03992.16:ABM:25:84 CONSERVED HYPOTHETICAL PROTEIN (NCBI) 224 60 15 224 0 Mycobacterium bovis AF2122/97 VIMSS540907 1 MicrobesOnline MTGGATGALPRTMKEGWIVYARSTTIQAQSECIDTGIAHVRDVVMPALQGMDGCIGVSLLVDRQSGRCIATSAWETAEAMHASREQVTPIRDRCAEMFGGTPAVEEWEIAAMHRDHRSAEGACVRATWVKVPADQVDQGIEYYKSSVLPQIEGLDGFCSASLLVDRTSGRAVSSATFDSFDAMERNRDQSNALKATSLREAGGEELDECEFELALAHLRVPELV
VIMSS541803 1 125 0.2690656 PF06013.12:WXG100:27:111 HYPOTHETICAL PROTEIN (NCBI) 125 85 15 125 0 Mycobacterium bovis AF2122/97 VIMSS541803 1 MicrobesOnline MVEPGRIGGNQTRLAAVLLDVSTPNTLNADFDLMRSVAGITDARNEEIRAMLQAFIGRMSGVPPSVWGGLAAARFQDVVDRWNAESTRLYHVLHAIADTIRHNEAALREAGQIHARHIAAAGGDL
VIMSS5497066 1 295 0.142669152542373 PF01618.16:MotA_ExbB:139:239 motility protein A 295 101 15 211 4 Escherichia coli K-12 substr. MG1655 ecocyc::MOTA-FLAGELLAR-MOTOR-STATOR-PROTEIN 1 ecocyc MLILLGYLVVLGTVFGGYLMTGGSLGALYQPAELVIIAGAGIGSFIVGNNGKAIKGTLKALPLLFRRSKYTKAMYMDLLALLYRLMAKSRQMGMFSLERDIENPRESEIFASYPRILADSVMLDFIVDYLRLIISGHMNTFEIEALMDEEIETHESEAEVPANSLALVGDSLPAFGIVAAVMGVVHALGSADRPAAELGALIAHAMVGTFLGILLAYGFISPLATVLRQKSAETSKMMQCVKVTLLSNLNGYAPPIAVEFGRKTLYSSERPSFIELEEHVRAVKNPQQQTTTEEA
VIMSS56539 1 348 0.265387356321839 PF06996.11:T6SS_TssG:21:319 hypothetical protein (NCBI) 348 299 15 348 0 Pseudomonas aeruginosa PAO1 VIMSS56539 1 MicrobesOnline MAGEAGQPSEPLNLLAGMAAAPWDYDFFQALRRIECESPQLPRLGHSVRLADDPLRLGQKPDCTFAPSTLASVSQAGTAAVPRLDQFFFGLTGPNGPLPLHLTEYARERQRNVNDATFKRFMDVFHHRLLTLFYRAWAEARPEISHDRIDDDYWSARLAALSGRGMPSLRGREPLADTARYYYTGHLAAQTRYPDGLRVILAEYFEVPVAVEEYVGQWLELPERSRLGVDSTSLGMDVCLGTHVWDRQHKFRLRLGPLDLDQYQRFLPDGDHFVELAAWVAEYLGEELDWDVNLVLQRHEVPPLGLQGGGRLGFNTWLGDPGQDARDLLLARQYATARPHEHKEKEHE
VIMSS57087 1 611 0.354831587561375 PF09718.10:Tape_meas_lam_C:104:168,PF09718.10:Tape_meas_lam_C:216:289,PF09718.10:Tape_meas_lam_C:377:451 hypothetical protein (NCBI) 611 214 15 611 0 Pseudomonas aeruginosa PAO1 VIMSS57087 1 MicrobesOnline MATNTDGSLTLDLVLRSEGYRAGMDKVGRINDQKMRAMEARAEKAGKAIGKSLDSSALIASSVLDQALDMLGRTSRQAGQAKKPVQSAQDKVLAEWKTRQKELGEAWKSYREPLQDLSKLNEALLKNSSDKLDKALLNLSETGKLSLANVGKAAYADAARLASRQMTLMLLDGLFGWVASVGTEKPKVDDKAGKGQAKAGDDEKEQPSLQSQVFKQWLLQMNSVWGAYRAPLQDISGMTDELFRNASEKLEKSLFNFATSGKLSLSNFAKTVIDDVARIAARQLSMLALDGLFGWMNGKAGITEAQLASQKPYTSLLEKARAAAGQAAAGAPAAQGAAPMPAAAMDVGAMVATASGQTGDGSKVSAGGASAAGKPVGSWVEQMDASWASLRDQAQDVSGMMDMLFTNAFTNMENALFTFATTGKLSFKDFADSVIQDMARIAARQATLQIIGSIVGAVSGFFGSGATAGSRISDYTGSDMANWVSKQRAGGMPGFARGGAFNDGIQSAPALFSMAGGRPALIGERGPEAIMPLSRGSDGVLGVRALGGGEGGNVFNFSTSVSLGGGREGAATASGDDGTGQQLAGMINDAARNVVAQELRPGGLVWRMVNG
VIMSS57092 1 1204 0.371511295681063 PF13550.6:Phage-tail_3:339:505,PF09327.11:DUF1983:1068:1146 probable bacteriophage protein (NCBI) 1204 246 15 1204 0 Pseudomonas aeruginosa PAO1 VIMSS57092 1 MicrobesOnline MNKTITGHKGGSKKPRQPVEMPDSVRSIARAKILLALGEGEFDGGVDGRSIYLDDTPLLAADGSVNFPGVTWEFRPGSVDQEHIAGVPAVENELAVGVELKSDAPWVRAVNNTQLSAVRLRLSWPAIQRQQENGDVVGYRIDYAIDIAVDGGAWQEALKASLDDKSTSRYERSHRVDLPEARSGWQVRVRRLTPNQNNNRVADTMRVEAITEVIDAKLRYPNTALLFVEFDASQFQSIPQISVEARGRRVRVPSNYDPQTRSYSGTWDGSFKSAWTSNPAWHWYDIVLHKRFGLGRRIDASMVDKWSLYRIAQYCDQSVPDGKGGQEPRFSCNLYLQSRAEAWTVLRDLAAIFRGMSYWSGAEMVAVSDMPEDEAYTFSPSNTVRGDDGSHFNYSSSRQRDRHTLALVNYDNPGNGYQSQPVAVNNDRAQRRYGISQLEITAIGCTSEGEAQRRGQWALLTEELEQDAVTFRTGMDGRGLAPGKIIAVADPVKSGKQIGGRLSAVDGRALTLDRDVEARPGDRLLVNLPNGKAEARSVQSVVGRVLTVTAAYSETPRPQGQWALQSNSLTTQRFRIMSITRPEDNLFEITALQHNASKFDAIDNGARIELPPVTSIPPGVQAPPQNVRIKAFTKVDQGLAVTSLSASWDAAPNAVAYEAEWRKDSGNWVRVPRTSALGFDVPGIYAGRYLVRVRALNVMEVGSVYASSVETALEGKTTPPPALAYLRCVAGPWRIGLEWGFPTSGAADTAYTEIQQSATPGGSEETARALGLFAYPGNTHLVSPIPAGERLAFRGRLIDRSGNVGAWSNWVTGTSSSDASEYNQLITQEYVESALGQQFFSDIERMQVDIGGLQKQVGDLADVLLYDPAKVYAKNDMVRQGQRLYQALKAVPAKTAPPNAAYWSDIGQSLETANGLAQQVASHTAEISELDGSLTAQASRLGVLQAATRDDADDGNGAMADALRGWKTVARAAQEETVRATENEAQATRTTLLEARTADAEGRIATVERVATSDRQATAQRLDQLSASIGGTAASLQSEQTARANADSALAQRIDTVQARTDTNSAAIQTTSQAVTSLDGNVKAMYSVKLQAHANGQKYAAGWQLGFDSGTSVSTMAFQADRFIWFDSSSGTAVAPVSIVNGQMFIKNALIQDGAIDNAKIGNVIQSNAMSNNGMPIWRLDKAGTYTVRDSAGQVRVEMGLLQS
VIMSS57168 1 70 0.252858571428571 hypothetical protein of bacteriophage Pf1 (NCBI) 70 0 15 70 0 Pseudomonas aeruginosa PAO1 VIMSS57168 1 MicrobesOnline MRYLVEICTFHGPTRQRRWHRVHQGGSRVECQRWVEELVAVFPTEEEARRSFGLTRERARQVYRIRGVRA
VIMSS57171 1 144 0.485576388888889 helix destabilizing protein of bacteriophage Pf1 (NCBI) 144 0 15 144 0 Pseudomonas aeruginosa PAO1 VIMSS57171 1 MicrobesOnline MNMFATQGGVVELWVTKTDTYTSTKTGEIYASVQSIAPIPEGARGNAKGFEISEYNIEPTLLDAIVFEGQPVLCKFASVVRPTQDRFGRITNTQVLVDLLAVGGKPMAPTAQAPARPQVQAQAPRPAQQPQGQDKQDKTPDAKA
VIMSS57652 85 270 0.234394086021506 PF03466.20:LysR_substrate:7:181 probable transcriptional regulator (NCBI) 304 175 15 186 0 Pseudomonas aeruginosa PAO1 VIMSS57652 1 MicrobesOnline ALRENDLCGELRVMAPMSFGTLWLGPLVMEFMARNPRLEVVLQLDDRIVDFEKEGYDLAIRITRLQDSSLIARQLGTSRRVVCCSPEYLERHGPLQRIEDILCHPCIGYSHNTPSQLWSFEPRVAGEPARMITPRGRFNTNNGQTMRDAAVRGLGLAMLPLFIAAEDLAAGRLVEALPQERPLDDL
VIMSS57774 1 110 0.333501818181818 PF05957.13:DUF883:19:109 hypothetical protein (NCBI) 110 91 15 110 0 Pseudomonas aeruginosa PAO1 VIMSS57774 1 MicrobesOnline MARKNAVKDNLEQIQHQSYSELQELLSEANSMLADSAAFAGDKADSARARIGALLEKANDALGKGGSAVAERSRHAVDATESYIGANPWQTVAITTAVGLLAGFLLGRRS
VIMSS58154 1 470 0.102791276595745 PF00771.20:FHIPEP:26:454 type III secretory apparatus protein PcrD (NCBI) 706 429 15 297 8 Pseudomonas aeruginosa PAO1 VIMSS58154 1 MicrobesOnline MNDLSGLLGRVGERKDILLVVLLLAVVFMMVLPLPPLLLDILIAVNITISVVLLMMSVYIGSPLQFSVFPAVLLITTLFRLALSVSTTRMILLQADAGQIVNTFGSFVVGGNLVVGIIIFLIITIVQFLVITKGAERVAEVSARFSLDAMPGKQMSIDGDMRAGVIDVNEARARRAVIEKESQMFGSMDGAMKFVKGDAIAGLIIIVVNILGGIAIGVTQKGLSTADALQLYAVLTVGDGMVSQVPALLIAITAGIIVTRVSSDESADLGSDIGEQVVAQPKALLIGGLLLVLFGLIPGFPTLTFLALALLVGGGGYFMLWRQRAQASAGSRDLPALLAQGAGAPSAKARGKAGGGKPKAGRLAEQEEFALTVPLLIDVDASLQERLEAMSLNEELVRVRRALYLDFGVPFPGIHLRFNEAMGDGEYLVQLQEVPVARGCLRPGWLLVRERAAQLELLAVPHEPAELQVP
VIMSS58173 1 112 0.513660714285714 PF17001.5:T3SS_basalb_I:26:112 type III export protein PscI (NCBI) 112 87 15 112 0 Pseudomonas aeruginosa PAO1 VIMSS58173 1 MicrobesOnline MDISRMGAQAQITSLEELSGGPAGAAHVAEFERAMGGAGSLGGDLLSELGQIRERFSQAKQELQMELSTPGDDPNSLMQMQWSLMRITMQEELIAKTVGRMSQNVETLMKTQ
VIMSS5820566 1 121 0.300315702479339 PF09474.10:Type_III_YscX:1:121 hypothetical protein in type III secretion (RefSeq) 121 121 15 121 0 Pseudomonas aeruginosa LESB58 VIMSS5820566 1 MicrobesOnline MSRVGAWHIGIERLDLAHAEPFAPPLPERHLLAPDGRPVETHVASLYPAQQAQQRLFDYARPQLEFHGLLRPGDFRQALRDLRLALTLPRQPALQAAACLLGERDEDERLLQMALNLLHKV
VIMSS58248 158 610 0.369756291390728 PF00144.24:Beta-lactamase:6:221 hypothetical protein (NCBI) 610 216 15 453 0 Pseudomonas aeruginosa PAO1 VIMSS58248 1 MicrobesOnline PGEHLPPLFGERPNSLGQLPAKVSGVWLSNPPGTQVAHSNLGYELVGAAIERNTGKHFEQHMREHLLDPLQMTRSSFARNALPQAQRAHGYSGGGRPGSASDLPVNDLWSSPVDLSRFVRMLFANGRHKERQLLRKHSVEEMFRQQNAGNALDFDCQVGLAWFLSPCGSAPLEGGIRHYEYASATPGFSAHLILLPEQRLAAIVMSNADDSGSLTASLARQAASLMLQVKQGARRPAVQPTPARAPALEVPSPEDRRQLYGRYATRQGQIRLYERRGRLYADFGEQRVELLRDTSGWLQMRKRLLGFWPVGVDSAGQLQLDVVSYGQRRILVSRRHDQTAYLGERIEPTSLPQAWTEAVGTYRVASTGRHSYLNGLSIRIEDGFLLVRGQAGGTRSGEFILQPIDSAHAVLAGSGQGLGDTFSRDFDGLNALGYRFAQQDTKARPWLQRKESP
VIMSS58326 1 425 0.363375058823529 PF02321.18:OEP:35:211,PF02321.18:OEP:245:401 probable outer membrane protein precursor (NCBI) 425 334 15 406 1 Pseudomonas aeruginosa PAO1 VIMSS58326 1 MicrobesOnline MRGRRQYARKGRRHGKGAIWLLSLGLPMFASAMPLDQAVRAGLAIHPEVRSAMAEADRAGTEVEMAKGGYYPSVTMSGGPQEFDFGEIVYDLTASQMLYDWGRVTSKVDSASATQRKLSEAVLVARDDAALDIVETYLDVLASERRVEAVREHIQRLDGIREMTQARGGDGYADRSELDRANLELSRAQEQLSLEKGNLQDARNQYAILVGQEPADLVEPEPMSLQRYLAASDMARVIRESPLQRKALEDANVAEAEVREAKASLLPQLNLEASALRREIGGHPESDSVVSLRFRMDTFQGLSNFRRPTAAQQRLESAKWSADAMQRDIRRQLQNLFDNGDTLRWREQSLTQQVTESEQVGELYREQFEVGRRDVIDLLNVQRERFEAERQLINLRIERKRIEYRAAAQVGLLGPLLENRLNHGS
VIMSS5917679 1 214 0.332941588785047 PF13185.6:GAF_2:10:144,PF01590.26:GAF:13:143 HTH-type transcriptional activator RamA; LuxR-type transcriptional regulator; Regulator of acetate metabolism A; RAMA 281 135 15 214 0 Corynebacterium glutamicum (strain ATCC 13032 / DSM 20300 / JCM 1318 / LMG 3730 / NCIMB 10025) SwissProt::Q8NML3 1 SwissProt MDTQRIKDDEDAIRSALTSLKTATGIPVTMFATVLQDNRLQITQWVGLRTPALQNLVIEPGVGVGGRVVATRRPVGVSDYTRANVISHEKDSAIQDEGLHSIVAVPVIVHREIRGVLYVGVHSAVRLGDTVIEEVTMTARTLEQNLAINSALRRNGVPDGRGSLKANRVMNGAEWEQVRSTHSKLRMLANRVTDEDLRRDLEELCDQMVTPVRI
VIMSS5929043 1 167 0.210994011976048 PF12306.8:PixA:4:165 nematocidal protein AidA (RefSeq) 167 162 15 167 0 Burkholderia cenocepacia J2315 VIMSS5929043 1 MicrobesOnline MSRVTDVLVSFDTETILKKYPNPSKNPAAPTLIDWRYVYMVTNQDNVISGQAGGELDLKAQVGDLIRWRETSLSLGFENQVVFYKFIGNVGNDLISTPTPRVAEASIPVPNTSKPEVPTCQKVANYYWSSECLKVGRVTYHFQFQIIDRNCQSQGCFSWDPFISIHN
VIMSS59685 1 103 0.0696436893203883 PF04341.12:DUF485:11:98 hypothetical protein (NCBI) 103 88 15 57 2 Pseudomonas aeruginosa PAO1 VIMSS59685 0 MicrobesOnline MNDSIYQRIDTNPRFKELVAKRERFAWILSSIMLGLYVIFILLIAFQPQLLGARISPDSSVTWGIPMGVGLILAAFILTGLYVRRANGEFDSLNQEILKEAQQ
VIMSS59721 1 766 0.0797656657963446 PF12860.7:PAS_7:644:758,PF13188.7:PAS_8:639:687 probable two-component sensor (NCBI) 1159 120 15 481 13 Pseudomonas aeruginosa PAO1 VIMSS59721 1 MicrobesOnline MSLSIGLIAVVALVYMAILFAIAFYGDRRRTPLSPRLRAWVYSLSLAVYCTSWTFFGAVGQATDQLWSFLPIYLGPVLLMLFAPWVLQKMIMISKQENITSIADFIAARYGKSQALAVVVALICMVGVLPYIALQLKGIVLGVNLLIGSGADSTGTRAQDTALIVSLVLALFTIVFGTRSLDVTEHHRGMVLAIAFESLVKLTAFLAVGIFATFGLYDGFADLFSQARAAPQLADYWEETVHWPAMLLQTGVAMTAIMCLPRQFHVTVVENIEPRDLNLARWVFPIYLVLAALFVVPIALAGQLHLPAGVMPDSFVISLPLAEAHPALALLAFIGGASAATGMVIVASVALSTMVSNDMLLPWLLRRREKDTERPFEAFRHWLLTVRRVSIAVILLLAYVAYRLLGSSASLATIGQIAFAAIAQLGPAMIGALYWKQANRRGVFAGLAAGSLLWAYTLVLPVVAKGLGWPLERIPGLTWLASNPFGLPIEPLTQGVLISLVGNFALFGLVSVLSRTRVSEHWQASRFIGQEISQRQNSRFMLAVQVEDLLMLAARFVGEERARQSFIRFAYRQGKGFTPNQTANNEWIAHTERLLAGVLGASSARAVVKAAIEGREMQVEDVVRIADEASEVLQFNRALLQGAIENITQGISVVDQSLRLVAWNHRYLELFEYPDGLIYVGRPIADIIRYNADRGLCGPGDPDMHVAKRLYWMRQGTPHTSERLFPNGRVIELIGNPMPGGGFVMSFTDITAYREAEQGLKGANES
VIMSS60268 1 182 0.412814285714286 PF05433.15:Rick_17kDa_Anti:72:113 hypothetical protein (NCBI) 182 42 15 159 1 Pseudomonas aeruginosa PAO1 VIMSS60268 1 MicrobesOnline MNKSMLVGAVLGAVGVTAGGAVATYSLVDRGPDYAEVVAVQPVKETIKTPRQVCKDVAVTRQRPVKDQHQIAGTAIGAVVGGLLGNQIGGGTGKKIATVAGAVGGGYAGNKVQEGMQERDTYTTTETRCSTVHDSSEKVVGYDVKYMLDGKAGQIRMERDPGSQIPVDKNGRLILSQGETLR
VIMSS61490 1 198 0.265967676767677 PF05137.13:PilN:100:177 type 4 fimbrial biogenesis protein PilN 198 78 15 175 1 Pseudomonas aeruginosa PAO1 NP_253730.1 1 RefSeq MARINLLPWREELREQRKQQFLVILGGVLVASAALVFLGDQYFTAAIENQNARNDFLRKEIVVLDARIKEISELKSRRQQLLERMKIIQDLQGNRPIIGRVFDQLVRTLPDGVYFTDLKMTGKNIAIAGAAESNNRVSNLMRNMDASEWLTAPTLNEVKAVTQGAVDQANVFQLTVQQTQPGEEDAKAKHGVAQGAKK
VIMSS6575102 1 77 0.209036363636364 PF03966.16:Trm112p:2:75 Multifunctional methyltransferase subunit trm112; eRF1 methyltransferase subunit trm112; eRF1 MTase subunit trm112; tRNA methyltransferase 112 homolog 126 74 15 77 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q09723 1 SwissProt MKLLTANFLNCSNKKCTSSPEAFPLDVVDAKLAIQQLELKPEFLIGIMPRIDWNALLKTTRQLGNYSLPDEKPDLVD
VIMSS6575827 441 1072 0.139828164556962 PF03178.15:CPSF_A:294:592 DNA damage-binding protein 1; Damage-specific DNA-binding protein 1 1072 299 15 632 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O13807 1 SwissProt FSANHDLSCEESTIFVSTIYGNSQILQITTKEIRLFDGKKLHSWISPMSITCGSSFADNVCVAVAGGLILFFEGITEVGRYQCDTEVSSLCFTEENVVYVGLWSADIIMLTYCQDGISLTHSLKLTDIPRSIVYSQKYGDDGGTLYVSTNNGYVLMFNFQNGQVIEHSLRRNQLGVAPIILKHFDSKEKNAIFALGEKPQLMYYESDKLVITPLSCTEMLNISSYVNPSLGVNMLYCTNSYISLAKMSEIRSLNVQTVSVKGFPRRICSNSLFYFVLCMQLEESIGTQEQRLLSFLRVYEKNTLSEIAHHKFNEYEMVESIILMNDDKRVVVGTGFNFPDQDAPDSGRLMVFEMTSDNNIEMQAEHKVQGSVNTLVLYKHLIVAGINASVCIFEYEHGTMHVRNSIRTPTYTIDISVNQDEIIAADLMKSITVLQFIDDQLIEVARDYHPLWATSVEILSERKYFVTEADGNAVILLRDNVSPQLSDRKKLRWYKKFYLGELINKTRHCTFIEPQDKSLVTPQLLCATVDGSLMIVGDAGMSNTPLLLQLQDNIRKVIPSFGGLSHKEWKEYRGENETSPSDLIDGSLIESILGLREPILNEIVNGGHEGTKLDISVQDLKSIIENLEKLHP
VIMSS6577594 1 357 0.354909243697479 Crossover junction endonuclease mus81; EC 3.1.22.- 608 0 15 357 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P87231 1 SwissProt MDCGNPLFLQWIQEWMEESTRRFPKSYQTWRKAYDSMKSCPITFHRPSQALALKGIGPTICAKLEKKWNAYCLENNIPISTHNEQNDSHVNANKSSSETSSEKPRSVKKPTTRKRKVYVPSYRSGAYSILCALYMLNKHEFATKPQIVTMAQPYCDSSFGSATDRNMRYTAWSAMKTLITKNLVYQTGHPSKYCLTDDGEEVCIRLAKVDDSFQRKHTVSNFSVSKSDDHDSSLCQPPNFVTSINKAGSSSDHGGELHVTYCPVDHNEVSDGVETDIDVDQVDSLTGIHDHHIINNEQLIDLTEQEKKQPNESNLSNLKIETVLFSNCTVFLLIDTREIRSPLDRNLIIDKLTNDFG
VIMSS6580796 1 138 0.157305072463768 PF03226.14:Yippee-Mis18:42:136 Moh1p (RefSeq) 138 95 15 138 0 Saccharomyces cerevisiae VIMSS6580796 1 MicrobesOnline MGLRYSIYIENPLSSPSSSYKSINDPLFHSQHRSQKNVSFITYGCRHCKTHLSSSFQIISRDYRGRTGTAYLMNKVVNVVEGKVEQRRMLTGDYLVCDILCHWCKRNVGWKYLQSSNDDQQYKEGKFILELKNICKCT
VIMSS6580894 1 397 0.106725188916877 PF01553.21:Acyltransferase:76:282,PF16076.5:Acyltransf_C:300:361 Uncharacterized acyltransferase CST26; Chromosome stability protein 26; EC 2.3.-.- 397 269 15 308 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38226 1 SwissProt MLHQKIAHKVRKVVVPGISLLIFFQGCLILLFLQLTYKTLYCRNDIRKQIGLNKTKRLFIVLVSSILHVVAPSAVRITTENSSVPKGTFFLDLKKKRILSHLKSNSVAICNHQIYTDWIFLWWLAYTSNLGANVFIILKKSLASIPILGFGMRNYNFIFMSRKWAQDKITLSNSLAGLDSNARGAGSLAGKSPERITEEGESIWNPEVIDPKQIHWPYNLILFPEGTNLSADTRQKSAKYAAKIGKKPFKNVLLPHSTGLRYSLQKLKPSIESLYDITIGYSGVKQEEYGELIYGLKSIFLEGKYPKLVDIHIRAFDVKDIPLEDENEFSEWLYKIWSEKDALMERYYSTGSFVSDPETNHSVTDSFKINRIELTEVLILPTLTIIWLVYKLYCFIF
VIMSS6581025 291 740 0.579935777777777 Protein SMY2; Suppressor of MYO2-66 protein 740 0 15 450 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32909 1 SwissProt INLNLAPYASGVAATGTIKATENDIFKPLTHDNIWDMDGGTTSKGVDIKLASATTISQTDESHKQEYKSTTMLEKGKKEKSESVAKALLDEQEKRNRELKRKEEARLSKKQKQKEDDLLKKQKEQKEQKEKEALEAEKQKKSEKTKKDTQTQTEGFKTSKDLPSLNSSSANPAPWASKVKVNNAIETSIKNGVSSTGKKKGEPLGLQQRNSKEEKQKEELKSVLNWANKSSLPSNQTIDIKSQFQKSPKGMKESSPLKELEDPNFIEEQKKLWEKVQSSSKQVKSTSSASTTTSSWTTVTSKGKAPIGTVVSPYSKTNTSLNSSLTAKTSTTSTTTTFASMNNVSPRQEFIKWCKSQMKLNSGITNNNVLELLLSLPTGPESKELIQETIYANSDVMDGRRFATEFIKRRVACEKQGDDPLSWNEALALSGNDDDGWEFQVVSKKKGRKH
VIMSS6581031 1 572 0.153935314685315 PF07690.16:MFS_1:116:526,PF00083.24:Sugar_tr:128:310 Dityrosine transporter 1 572 411 15 296 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38125 1 SwissProt MGSEPFQKKNLGLQINSQESGTTRSTFHSLEDLGDDVINESWDQVNQKRANIDHDVFHEHPDSSPSLSAQKAKTKEEEVAVKSSNSQSRDPSPDTQAHIPYTYFSKDQRLIIFGIIIFIGFLGPMSGNIYIPALPLLQREYDVSATTINATVSVFMAVFSVGPLFWGALADFGGRKFLYMVSLSLMLIVNILLAAVPVNIAALFVLRIFQAFASSSVISLGAGTVTDVVPPKHRGKAIAYFMMGPNMGPIIAPIVAGLILMKGNYWRWLFGFTSIMTGIALILVTALLPETLRCIVGNGDPKWGDKKDERENNESPFFEGNKISHRRLFPDIGIRKPVNNDAFFQENFPKPPKAGLTLYWKMIKCPPIIITSVSTALLFSSYYAFSVTFSYYLEHDYRFTMLEIGAAYVCPGVAMLLGSQSGGHLSDYLRSRWIKSHPKKKFPAEFRLLLNLIGILLTICGTIGYGWAIFFHYHFVVLLVFSALTAFGMTWCSNTSMTYLTELFPKRAAGTVAVSSFFRNVGAAISSAIILQLCNAMGIGWCFTGLGLCSSISLIGILYLLIFQRKYTAKEF
VIMSS6581145 1 321 0.416351713395639 PF10176.9:DUF2370:96:318 Metal homeostatis protein BSD2; Bypass SOD defects protein 2 321 223 15 257 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38356 1 SwissProt MPEQELLIGQEMNTLHAGSSTDGINVGNAGRTRDTQTGVEGETEIGSDEEDSIEDEGSSSGGNSTTERLVPHQLREQAARHIGKIGRHFNILDRLFKKRTQQSSDIQQGAMFDGVFSNLSAKPDTTETEGNNEQDIPPTYDEAAADMAPSYYGMDLNNSDIYYDEICIEGLPVGNIANLLWNIIVSTSFQFIGFLITYILHTSHAAKQGSRFGLGLTFIGYGYSMIPNDVTSKVGKNKSLNRMELEDPNEFDDVRLNSQSTTQDKFESHLNHGLDEEKQNIPWLAVFVAFLGLFITLKSIYDYIQVKKLEKKYLNQSQNQA
VIMSS6581147 1 474 0.0287902953586498 PF07690.16:MFS_1:5:381,PF00083.24:Sugar_tr:5:144 Vacuolar basic amino acid transporter 2 474 377 15 211 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38358 1 SwissProt MSISNWITTAYLITSTSFQPLYGSFSDALGRRNCLFFANGAFTIGCLACGFSKNIYMLSFMRALTGIGGGGLITLSTIVNSDVIPSSKRGIFQAFQNLLLGFGAICGASFGGTIASSIGWRWCFLIQVPISVISSILMNYYVPNQKEYNRQNSSIFQNPGKILRDIDVMGSILIITGLTLQLLYLSLGCSTSKLSWTSPSVLLLLVGSVIILLLFILHERKTSARAIIPMELVNSSYSVVVLSISILVGFASYAYLFTLPLFFQIVLGDSTAKAGLRLTIPSLFTPVGSLITGFSMSKYNCLRLLLYIGISLMFLGNFLFLFIEKTSPNWLIGLFLIPANLGQGITFPTTLFTFIFMFSKSDQATATSTLYLFRSIGSVWGVAISAGVIQLSFAGLLRSNLKGLLDENKIKKLIVQLSANSSYIGSLHGEVKNTVIKSFDEATKRAHLMSTLLSSLALILCILKDNLAKPKTRR
VIMSS6581153 1 614 0.146207003257329 PF00083.24:Sugar_tr:104:561 Maltose permease MAL31; Maltose transport protein MAL31 614 458 15 438 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38156 1 SwissProt MKGLSSLINRKKDRNDSHLDEIENGVNATEFNSIEMEEQGKKSDFDLSHLEYGPGSLIPNDNNEEVPDLLDEAMQDAKEADESERGMPLMTALKTYPKAAAWSLLVSTTLIQEGYDTAILGAFYALPVFQKKYGSLNSNTGDYEISVSWQIGLCLCYMAGEIVGLQMTGPSVDYMGNRYTLIMALFFLAAFIFILYFCKSLGMIAVGQALCGMPWGCFQCLTVSYASEICPLALRYYLTTYSNLCWAFGQLFAAGIMKNSQNKYANSELGYKLPFALQWIWPLPLAVGIFFAPESPWWLVKKGRIDQARRSLERTLSGKGPEKELLVSMELDKIKTTIEKEQKMSDEGTYWDCVKDGINRRRTRIACLCWIGQCSCGASLIGYSTYFYEKAGVSTDTAFTFSIIQYCLGIAATFISWWASKYCGRFDLYAFGLAFQAIMFFIIGGLGCSDTHGAKMGSGALLMVVAFFYNLGIAPVVFCLVSEIPSSRLRTKTIILARNAYNVIQVVVTVLIMYQLNSEKWNWGAKSGFFWGGFCLATLAWAVVDLPETAGRTFIEINELFRLGVPARKFKSTKVDPFAAAKAAAAEINVKDPKEDLETSVVDEGRNTSSVVNK
VIMSS6581166 1 423 0.527576359338061 PF17321.2:Vac17:6:423 vacuole-related protein 17; Vacuole-specific MYO2 receptor VAC17 423 418 15 423 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25591 1 SwissProt MATQALEDITERLLIRSQEAILQLDLWIQRQQRSSICQTTDQESLDKLSQQYNQYMSQLNSLYVRSESVRDKLSKEQQRRLITEDNEHQRIEDLVREFQDITLRLNELATVPNEAPNDSPQSQSTRSSLGSFQPRPLKIIERQRLCMVTPSKPPKKSVGFNPINEVDCPSKTNSLPCSPKKQPARNRTLRAAKSHDTGLNKSKKPSSSDTYESFFKNRQRLSLTFFDEMDDEDFDSDQDTIILPNISTPPHVGVTAKGAEFEPLRRYNSHESILSNKPAPSKSLNLGSFSASFFRPSNPTFGTSISNVQVNCHPTVAATMAPSRNGPRISSSKALLSSFIARSDTHTVKENNTNLKHASFMDKFNSSLSTISESFQSKRGRKNKGMNEERISNHNVAQEQKNNMDISVSIEELQDALNTELLF 2
VIMSS6581197 101 440 0.630960882352941 Nuclear fusion protein BIK1 440 0 15 340 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P11709 1 SwissProt SIVRLTNQFSPMDDPKSPTPMRSFRITSRHSGNQQSMDQEASDHHQQQEFGYDNREDRMEVDSILSSDRKANHNTTSDWKPDNGHMNDLNSSEVTIELREAQLTIEKLQRKQLHYKRLLDDQRMVLEEVQPTFDRYEATIQEREKEIDHLKQQLELERRQQAKQKQFFDAENEQLLAVVSQLHEEIKENEERNLSHNQPTGANEDVELLKKQLEQLRNIEDQFELHKTKWAKEREQLKMHNDSLSKEYQNLSKELFLTKPQDSSSEEVASLTKKLEEANEKIKQLEQAQAQTAVESLPIFDPPAPVDTTAGRQQWCEHCDTMGHNTAECPHHNPDNQQFF
VIMSS6581324 1 518 0.106614285714286 PF00083.24:Sugar_tr:45:466,PF07690.16:MFS_1:89:416,PF07690.16:MFS_1:313:452 Glycerophosphoinositol transporter 1 518 422 15 281 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25346 1 SwissProt MEDKDITSVNEKEVNENTNPRIIKYDAERRATRTETSKKDKWKNIVTIIASGFALISDGYVNGSMSMLNKVFVMEYGKKNYSSKVSTRVSNAALVGIIFGQFFMGIAADYYSRKSCILVATAILVIGSALCAASHGTTVPGMFWMLTVMRGLVGIGVGAEYPTSTLSANESANEYTTTKRGGILVMVTNLPLAFGGPFATIIFLIVYKICSGTKHLEAIWRTVFAIGCFWPLSVFYFRWKTATTEVYEKGRIKRNIPYFLALKFYWKRLLGTCGTWFMYDFVTFPNGIFSSTIISSVIKDQNDLVKVAEWNLLLGVLAVLGVPIGAYLSDRIGRKYTLMFGFSGYIIFGLIIGCAYDQLKKITPLFIIFYAFMNMLGNAGPGDMLGVISSEASATAVRGVFYGLSAVTGKIGSVVGVECFQPIRDNLGARWTFIIAAICGLIGIIITYFFVPHSLESDLMKQDVEFHNYLVSNGWTGKMGFDETDEESMVRTIEVEENGTNCSKKNAEIISVRQVDQS
VIMSS6581335 1 609 0.121654679802956 PF00083.24:Sugar_tr:102:560,PF07690.16:MFS_1:107:396 Alpha-glucosides permease MPH2; Maltose transport protein 2 609 459 15 338 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P0CD99 1 SwissProt MKNLSFLINRRKENTSDSNVYPGKAKSHEPSWIEMDDQTKKDGLDIVHVEFSPDTRAPSDSNKVITEIFDATEDAKEADESERGMPLATALNTYPKAAAWSLLVSTTLIMEGYDTAILGAFYALPIFQRKFGSQNDKTGEWEISASWQIGLTLCYMAGEIVGLQLTGPSVDLVGNRYTLIIALFFLAAFTFILYFCNSLGMIAVGQALCGMPWGCFQCLTVSYASEICPLALRYYLTTYSNLCWLFGQLFAAGIMKNSQKKYADSELGYKLPFALQWILPVPLALGIFFAPESPWWLVKKGRFDEARRSLRRTLSGKGPEKEILVTLEVDKIKVTIDKEKRLTSKEGSYSDCFEDKINRRRTRITCLCWAGQATCGSILIGYSTYFYEKAGVSTEMSFTFSIIQYCLGICATFLSWWASKYFGRYDLYAFGLAFQTIVFFIIGGLGCSSTHGSKMGSGSLLMAVAFFYNLGIAPVVFCLVSEMPSSRLRTKTIILARNTYNVVSIICSVLILYQLNSKKWNWGAKSGFFWGVLCFCTLIWAVVDLPETAGKTFVEINELFKLGVSARKFKSTKVDPFVVKTPLKTSLITTPREISKLPLQRNSNVSHHL
VIMSS6581420 1 522 0.363316091954022 PF00400.32:WD40:336:362 DNA damage-binding protein CMR1; Changed mutation rate protein 1 522 27 15 522 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12510 1 SwissProt MPELTEFQKKRLENIKRNNDLLKKLHLSGVASQIKHEAGVLEKSRAPAKKKQKTTNTRATKSASPTLPTRRSRRLRGESADDVKGIPNVNDNQLLKMGSPDGQDKNFIDAIKEKPVIGDVKLSDLIKDEDESALLEKFKRFNNGNFSSGDFFEEIKKRQGDVTGMDEFDLDLYDVFQPNEIKITYERISATYFHPAMEKKLIIAGDTSGTVGFWNVRDEPLADSEEDRMEEPDITRVKLFTKNVGRIDCFPADTSKILLTSYDGSIRSVHLNNLQSEEVLTLKNEYDDSLGISDCQFSYENPNVLFLTTLGGEFTTFDTRVKKSEYNLRRLADKKIGSMAINPMRPYEIATGSLDRTLKIWDTRNLVKKPEWSQYEDYPSHEIVSTYDSRLSVSAVSYSPTDGTLVCNGYDDTIRLFDVKSRDHLSAKLEPKLTIQHNCQTGRWTSILKARFKPNKNVFAIANMKRAIDIYNSEGQQLAHLPTATVPAVISWHPLRNWIAGGNSSGKIFLFTDDSGTIKQEE
VIMSS6581511 1 620 0.161551612903226 Synchronized import protein 1; Symportin 1 620 0 15 620 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07395 1 SwissProt MGRSKKRSRASSSRLNPLRKAGSNDNNKDTNVVNKKLQPLLQNLSSVVPNDRSIALSSISVLCEDAHMRQLLLKEKLVPIILNKLLNDSNSDIVVESFGLLRNLSLEEGYDVSIYLWRSDIWTSITSNFGRIVESLSALQAAEQQPQLKPAGKSKIESKRLLFDFADNLLSLVVALSNGSDDILNEILTESKINEIFQVISQLLKYGVEKLPINLFNTTLDLIYDLSSESFEFIDHVSNNELLSQFLNGLSPALHPQANELTKVLIEGIHCQFLDMKITYDQCNKMIHSVCHSINNIDPVQLVNDINNPVEIGPATSKDESSKVITKIKDYNAKRNESMIKLQSIEIAIDLITAIIEIVASKYESPESQEVAIPEELINTLTNFLPHVFMILKDTFTSRILIGWNNLIWLFVSLSLTELSGELLTTLWSYVTQLDSQDDLSIKIGRMGCIWALLKLIFPDGAFESENRALINVQMLNNSGFARGIIEEFQNNNDLELQQKCINVLSTYAMIQGQIDANKEIGQFFIQTLTQLNVRPEILIEMTNSLFQIYGDASYDYNEPIFVRGGFLSILKDQVVPNLRQQFKMVDKNKNPELKERCHDCFTTLDSFIHYKMNENSTNQ
VIMSS6581615 1 200 0.125702 Putative protein of unknown function; expression is increased in ssu72-ts69 mutant (RefSeq) 200 0 15 200 0 Saccharomyces cerevisiae VIMSS6581615 1 MicrobesOnline MEQILYNQSLKISTLSTFQGLKFLKVLIFSIFQQLFYNPVIQLFGTKASIMESDSTTLLESSWSTERNFLNMNSDSISATKNVFILFFTIFRLAEYIVYKLSDQKYRLHTSLNVQHFRWNSKKNTNRKRTLSFSKAYLPRTNILPIFVADGLKNRFSGPLPGKSLESFQKLNLLSDNNIKRYAVPGNKAVNTLLWQEQYS
VIMSS6581862 1 289 0.0851598615916955 PF01569.21:PAP2:100:245 Diacylglycerol pyrophosphate phosphatase 1; DGPP phosphatase; Phosphatidate phosphatase; EC 3.1.3.81; EC 3.1.3.4 289 146 15 184 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05521 1 SwissProt MNRVSFIKTPFNIGAKWRLEDVFLLIIMILLNYPVYYQQPFERQFYINDLTISHPYATTERVNNNMLFVYSFVVPSLTILIIGSILADRRHLIFILYTSLLGLSLAWFSTSFFTNFIKNWIGRLRPDFLDRCQPVEGLPLDTLFTAKDVCTTKNHERLLDGFRTTPSGHSSESFAGLGYLYFWLCGQLLTESPLMPLWRKMVAFLPLLGAALIALSRTQDYRHHFVDVILGSMLGYIMAHFFYRRIFPPIDDPLPFKPLMDDSDVTLEEAVTHQRIPDEELHPLSDEGM
VIMSS6581872 174 674 0.400979840319361 PF11496.8:HDA2-3:29:137 HDA1 complex subunit 2; Histone deacetylase complex 1 subunit 2 674 109 15 501 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06629 1 SwissProt YNEKHKFPNLPTVDSTINKDGTPNSVSSTSSNSNSTSYTGYSKDDYDYSVKRNLKKRKINTDDWLFLATTKHLKHDQYLLANYDIDMIISFDPMLEVELPALQVLRNNANKDIPIIKLLVQNSPDHYLLDSEIKNSSVKSSHLSNNGHVDDSQEYEEIKSSLLYFLQARNAPVNNCEIDYIKLVKCCLEGKDCNNILPVLDLITLDEASKDSSDSGFWQPQLTKLQYSSTELPLWDGPLDIKTYQTELMHRAVIRLRDIQDEYAKGTVPLYEKRLNETQRQNQLDEIKNSVGLTFKKKQEVEKSINDSEKRLKHAMTESTKLQNKINHLLKNRQELENFNKLPSNTISSENHLEEGSALADKLKEYIDKNATLFNKLKELQQANAEKSKLNDELRSKYQIESSKAAESAQTLKILQESMKSLENEVNGPLTKFSTESLKKELERLQNDFQSLKARNKFLKNYITLMNRQYDLKNKNNVQVEKAAANGTRFRSTRSNTPNYT
VIMSS6581937 1 890 0.51620415730337 Spindle pole body component 110; Extragenic suppressor of CMD1-1 mutant protein 1; Nuclear filament-related protein 1; Spindle pole body spacer protein SPC110 944 0 15 890 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32380 1 SwissProt MDEASHLPNGSLKNMEFTPVGFIKSKRNTTQTQVVSPTKVPNANNGDENEGPVKKRQRRSIDDTIDSTRLFSEASQFDDSFPEIKANIPPSPRSGNVDKSRKRNLIDDLKKDVPMSQPLKEQEVREHQMKKERFDRALESKLLGKRHITYANSDISNKELYINEIKSLKHEIKELRKEKNDTLNNYDTLEEETDDLKNRLQALEKELDAKNKIVNSRKVDDHSGCIEEREQMERKLAELERKLKTVKDQVLELENNSDVQSLKLRSKEDELKNLMNELNELKSNAEEKDTQLEFKKNELRKRTNELNELKIKSDEMDLQLKQKQNESKRLKDELNELETKFSENGSQSSAKENELKMLKNKIAELEEEISTKNSQLIAKEGKLASLMAQLTQLESKLNQRDSQLGSREEELKKTNDKLQKDIRIAREETVSKDERIIDLQKKVKQLENDLFVIKKTHSESKTITDNELESKDKLIKILENDLKVAQEKYSKMEKELKEREFNYKISESKLEDEKTTLNEKISNLAAENSQLKNKIEDNSTATHHMKENYEKQLESLRKDIEEYKESAKDSEDKIEELKIRIAENSAKVSEKRSKDIKQKDEQISDLTQNLKLQEDEISSLKSIIDRYKKDFNQLKSEQSNIQHDLNLQILNLENKLIESEDELKSLRDSQKIEIENWKRKYNNLSLENDRLLTEKESASDKEREISILNRKLDEMDKEKWNLQESKEKYKRELQKVITANDRLRREKEELNENSNNIRIMEDKMTRIKKNYLSEITSLQEENRRLEERLILNERRKDNDSTMQLNDIISYYKLKYHSEVRHNNDLKVINDYLNKVLALGTRRLRLDTRKGEHSLNISLPDDDELDRDYYNSHVYTRYHDYEYPLRFNLNR
VIMSS6581965 1 79 0.365511392405063 PF05347.15:Complex1_LYR:10:66 Succinate dehydrogenase assembly factor 1, mitochondrial; SDH assembly factor 1; SDHAF1 79 57 15 79 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E785 1 SwissProt MPKRLSGLQKEVLHLYRASIRTAHTKPKENQVNFVNYIHEEFGKYRNLPRKDFTTIEHLLRVGNKKIATFSHPELTNIH
VIMSS6582141 1 233 0.168624463519313 Protein of unknown function involved in telomere maintenance; target of UME6 regulation (RefSeq) 233 0 15 233 0 Saccharomyces cerevisiae VIMSS6582141 1 MicrobesOnline MANDGIQRNDNRKGFKTVQFSAYSKEIDVIMKKISFLERNITQQLDTLPHFPKTLPPNHKDCVSRKHRARRGWSSQLKNLLGIYSKEEIFTLDNLAATLHDQVLKLQATLFPNAILKQVHLDNANIENKRILKEITYKYLSNENCKEENKFGTFIVKRIFFGDLSLGVSVLINRIAFESATSSIMVVRSSFIESDFFYEDYLIFDCRAKRRKKLKRKILFISTTMNFNYQTKV
VIMSS6582552 1 309 0.128010355987055 PF00153.27:Mito_carr:7:80,PF00153.27:Mito_carr:100:214,PF00153.27:Mito_carr:220:303 Putative mitochondrial transport protein; null mutant is viable, exhibits decreased levels of chitin and normal resistance to calcofluor white (RefSeq) 309 273 15 286 1 Saccharomyces cerevisiae VIMSS6582552 1 MicrobesOnline MANQNSDLYKQITAGSVAAVFQTTMTYPFEYLKTGLQLQPKGTAFEIILPQIKSYFVGCSALNVAAFGKTILRFVTFDKLCHSLNNNIDNNDNFQRLTGYNLLIAGTLTGIVESLFIIPFENIKTTLIQSAMIDHKKLEKNQPVVNAKATFHKVATKSTPVARIEKLLPAVKHMYQTRGPAAFVQGTTATIFRQIANTSIQFTAYTAFKRLLQARNDKASSVITGLATSFTLVAMTQPIDVVKTRMMSQNAKTEYKNTLNCMYRIFVQEGMATFWKGSIFRFMKVGISGGLTFTVYEQVSLLLGFSSRS
VIMSS6582609 1 459 0.344902832244009 Mitochondrial distribution and morphology protein 34; Mitochondrial outer membrane protein MMM2 459 0 15 459 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53083 1 SwissProt MSFRFNEAVFGDNSFNERIREKLSTALNSPSKKKLDILKSGIKVQKVDFPTIPQLEILDLDIITQPKSLAKGICKISCKDAMLRIQTVIESNLLLINEQDTPSFTMPQLINNGSFTIPITMTFSSIELEAITNIFVKNPGIGISFNDVDLDFKFDCSVKILQSTIERRLKESMHVVFKDVLPSLIFNTSQNWFTNRGESTSTIPGKREHHHQQTTMSRNVILDGSDFQELSPINMLRLSSIVSSRSTLSLHSTVMNSLSAIPGCLERQNLYRFISRMPSLNNYYSSQSFPQPKSSTVSSKQLVKPFYCSHNLLPKTVLDSSQYDLATITKIQSRLFDRSNSNDDNAKPRRRKIKCKKTRTPSNLQSQGEQAVDDSTAIETVTSTPVQTPIPELEEQSPPYLKTTVSIRDKYVIPEKISLNLDSKKDTSKKKPFYFIGLNSQEPSNNWKWGMEDSPPPYH
VIMSS6582825 210 476 0.437688389513109 SWR1-complex protein 4; ESA1-associated factor 2 476 0 15 267 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53201 1 SwissProt RNYFKASDPSNPLLSSLNFSAEKEIERKKYLQRLLSRSAAEIAEEEALVVESKKFEMAAKRTLAERESLLRLLDSPHSDQTITQYLTSQGMSQLYNALLADKTRKRKHDLNIPENPWMKQQQQFAQHRQLQQLNVKKSEVKENLSPKKTKRQRQEMQTALKRKSESAYAEQLLKDFNSDERKALGVITHGEKLSPGVYLRSTKLSTFKPALQNKILAILQELSLPSRPVMPSFDVMERQEELLKKINTLIDLKKHVDKYEAGMSITK
VIMSS6582864 1 222 0.20530045045045 PF04061.14:ORMDL:74:209 Protein ORM1 222 136 15 157 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53224 1 SwissProt MTELDYQGTAEAASTSYSRNQTDLKPFPSAGSASSSIKTTEPVKDHRRRRSSSIISHVEPETFEDENDQQLLPNMNATWVDQRGAWIIHVVIIILLKLFYNLFPGVTTEWSWTLTNMTYVIGSYVMFHLIKGTPFDFNGGAYDNLTMWEQIDDETLYTPSRKFLISVPIALFLVSTHYAHYDLKLFSWNCFLTTFGAVVPKLPVTHRLRISIPGITGRAQIS
VIMSS6582929 1 77 0.114788311688312 PF09446.10:VMA21:9:61 Vacuolar ATPase assembly integral membrane protein VMA21 77 53 15 31 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41806 0 SwissProt MAVDVPRAVINKLMLFTAAMVVLPVLTFFIIQQFTPNTLISGGLAAAMANVVLIVYIVVAFREDTEDHKVDGNKKED
VIMSS6582940 1 389 0.308819280205655 PF02104.15:SURF1:80:353 Cytochrome oxidase assembly protein SHY1; SURF1 homolog of Yeast; SURF1-like protein 389 274 15 347 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53266 1 SwissProt MSLLGARSTYRWFSIAASIPTKNAIGKSTYLLASRNQQYRGIITSTVDWKPIKTGKSPNDDSRRERSFGKKIVLGLMFAMPIISFYLGTWQVRRLKWKTKLIAACETKLTYEPIPLPKSFTPDMCEDWEYRKVILTGHFLHNEEMFVGPRKKNGEKGYFLFTPFIRDDTGEKVLIERGWISEEKVAPDSRNLHHLSLPQEEHLKVVCLVRPPKKRGSLQWAKKDPNSRLWQVPDIYDMARSSGCTPIQFQALYDMKDHPIIEEHTRNEASQNNSTSSLWKFWKREPTTAVNGTQAVDNNTSKPRSRQEMPTDQTIEFDERQFIKAGVPIGRKPTIDLKNNHLQYLVTWYGLSFLSTIFLIVALRKAKRGGVVSQDQLMKEKLKHSRKYM
VIMSS6582981 406 864 0.144602614379085 Mitochondrial group I intron splicing factor CCM1; COB and COX1 mRNA maturation protein 1; Required for respiratory growth protein 2 864 0 15 459 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48237 1 SwissProt SSNAVVSEGKSDSLRLLGWKYLHELEDKNLYRHKKDDLNLFLAMMALAAFDGDIELSRALYYLFIAKKYKTLCANWKGNILVDQDTIWKSTLMPEMLNYLMLAYARFDPRNLPVLSGYEKGIELRRKFLREFDSSMRLDDTDKLVKFKLPFLPISDLNSEAQVLAESNAIWSFNMENGGTRNTLTSSNEAALEDIKKYRQLLDSFAQEAEDFNEFKFKVMYEVTKMQRESINVNVFNKISLHTYLSIPINLKQQKEFLRRLTFFTFQQHEFEAVIKRLYEGYRNIPSSHTRDQNSISTEAISVSKPETTEDLNLIMHDIWYITCLRHKIMMDTTLYELVMKAAIEFQNEDLAKKVWNDRGKFRTTVPFLKMDQRIRIAKDQKFAHLMVEFFTKQGKYSDAIAIILSSKNRFNWTYSMVRNLHKALEEIEDRNSVEILLDVVNKKSHAKALKWEEQELNM
VIMSS6583144 1 546 0.0346802197802198 PF13520.6:AA_permease_2:65:500,PF00324.21:AA_permease:74:476 Low affinity methionine permease, similar to Mup1p (RefSeq) 546 436 15 277 12 Saccharomyces cerevisiae VIMSS6583144 1 MicrobesOnline MEPLLFNSGKANPSQDVFIDVEVGDITTKYGSTNTGSFSSMDTVEAQAIKAETARFMEVPQGRHLGVFSTVVLFVSRIMGSGIFAVPSVILLNTGGNKLIYFAIWVFSAAIAFAGLYLFLEFGSWIPKSGGRKNFLERSFERPRLLISVVFSCYSVLTGYALTGSIVFGKYVLSAFGVTDDSWSKYVSISFIIFAVLIHGVSVRHGVFIQNALGGLKLIMIVLMCFAGLYTLFFYKSTGQVAWDLPVTQVEKDSLLSVSSIATAFISSFFCFSGWDTVHTVTSEIKNPVKTLKVSGPLSLIICFVCYTMMNVAYLKVLTYEEIVSAGPLVGSVLFTKLFGPRVGGKFIAFSIAISAASNILVVIYSISRVNQEIFKEGYLPFSIHMSKNWPFDAPLPSISLCGFITIAWILILPKEGESFNYLVSMDGYGNQFFLLLVAIGLFIWRFKHKNEVPEIRASTFGVLAIITLSLYMLMAPFFADPSLNRVGFLPPYQIMSLLVIVACFFFWLVKFVLLPKFFHYKLLPKITYLHDGLIVTEWVKKPCLC
VIMSS6583177 217 627 0.542869586374696 uncharacterized protein 627 0 15 388 1 Saccharomyces cerevisiae S288C NP_011855.1 1 RefSeq ASFIAMLNGANVSVGKYIWKLLIPASLGNIVGGLFFSAVVPFYLHLVVVERDRKRLSLPEYEARDEQPELNMDSRVVRIQKNECDDDATETGEDLENLTEKGFASIYNTNHDNSSYFTGRSLNSLRSIPSSVITSDNVTMESDLGEPVQFIPKSNSTTRSPHLGLPHNLPHNHSIKSINRHRINKRHSLRSPPGVFPVRGMGEPLEREKTIEDATYDPKENELFLRRAETHNSAYVKNKKKEDDNLLRLVKTEEDREQKEYEKNGGYNILENKPGTRLEKIITHLAENVSSREVTPPILPRTTQDTFPHNAPASSPAYTDDAHSLRKANSTTLGGLFRAVSKEFHSSKDAESPDDLLKKMAAVGINRNARITANNVAGIVNLNKEDLDSTTRRQKITEPKNFYNRHTSPQL
VIMSS6583375 1 133 0.177543609022556 PF04145.15:Ctr:61:122 Copper transport protein CTR2; Copper transporter 2 189 62 15 110 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38865 1 SwissProt MDDKKTWSTVTLRTFNQLVTSSLIGYSKKMDSMNHKMEGNAGHDHSDMHMGDGDDTCSMNMLFSWSYKNTCVVFEWWHIKTLPGLILSCLAIFGLAYLYEYLKYCVHKRQLSQRVLLPNRSLTKINQADKVSN
VIMSS6583379 1 743 0.306170255720053 PF04082.18:Fungal_trans:304:521,PF00172.18:Zn_clus:21:56 Protein STB5 743 254 15 743 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38699 1 SwissProt MDGPNFAHQGGRSQRTTELYSCARCRKLKKKCGKQIPTCANCDKNGAHCSYPGRAPRRTKKELADAMLRGEYVPVKRNKKVGKSPLSTKSMPNSSSPLSANGAITPGFSPYENDDAHKMKQLKPSDPINLVMGASPNSSEGVSSLISVLTSLNDNSNPSSHLSSNENSMIPSRSLPASVQQSSTTSSFGGYNTPSPLISSHVPANAQAVPLQNNNRNTSNGDNGSNVNHDNNNGSTNTPQLSLTPYANNSAPNGKFDSVPVDASSIEFETMSCCFKGGRTTSWVREDGSFKSIDRSLLDRFIAAYFKHNHRLFPMIDKIAFLNDAATITDFERLYDNKNYPDSFVFKVYMIMAIGCTTLQRAGMVSQDEECLSEHLAFLAMKKFRSVIILQDIETVRCLLLLGIYSFFEPKGSSSWTISGIIMRLTIGLGLNRELTAKKLKSMSALEAEARYRVFWSAYCFERLVCTSLGRISGIDDEDITVPLPRALYVDERDDLEMTKLMISLRKMGGRIYKQVHSVSAGRQKLTIEQKQEIISGLRKELDEIYSRESERRKLKKSQMDQVERENNSTTNVISFHSSEIWLAMRYSQLQILLYRPSALMPKPPIDSLSTLGEFCLQAWKHTYTLYKKRLLPLNWITLFRTLTICNTILYCLCQWSIDLIESKIEIQQCVEILRHFGERWIFAMRCADVFQNISNTILDISLSHGKVPNMDQLTRELFGASDSYQDILDENNVDVSWVDKLV
VIMSS6583468 1 393 0.508898982188295 Mitochondrial outer membrane protein OM45; Outer membrane protein of 45 kDa 393 0 15 375 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P16547 1 SwissProt MSSRIIVGSAALAAAITASIMVREQKAKGQRREGNVSAYYNGQEYGSSAPPQLGKLHNIKQGIKEDALSLKDALLGVSQKAREEAPKVTKRVISPEEDAQTRKQLGQKAKDSSSQSIFNWGFSEAERRKAIAIGEFDTAKKRFEEAVDRNEKELLSTVMREKKAALDRASIEYERYGRARDFNELSDKLDQQERNSNPLKRLLKNNTGDANTEEAAARSVQGWGDTAQEFGREELEEAKRNASSEPSEAQKRLDELKKIKEKGWFGYNKGEQSEQQIAERVARGLEGWGETAAQLSKDEMDDLRWNYENSKKQLDKNVSDAMDSLSKAKEDLKQYGSHWWSGWTSKVDNDKQALKDEAQKKYDEALKKYDEAKNKFKEWNDKGDGKFWSSKKD
VIMSS6583565 1 338 0.156214792899408 PF10436.9:BCDHK_Adom3:77:211 [Pyruvate dehydrogenase (acetyl-transferring)] kinase 1, mitochondrial; PDK 1; Pyruvate dehydrogenase kinase 1; Protein kinase of PDH protein 1; Pyruvate dehydrogenase complex kinase 1; PDC kinase 1; [Pyruvate dehydrogenase [lipoamide]] kinase 1; EC 2.7.11.2 394 135 15 338 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40530 1 SwissProt MWKIMRSWKCGGMRWAHRQRPSHELLSQLSFDQHYKIRSNIELLIQDYASKPIAPLNYEYFLQYRPPLTKKEEYMLTIKTINLLLSLTCKRLNAIQRLPYNAVINPHIERTNSLYLKSLQTLLSIAYPYELHNPPKIQAKFTELLDDHEDAIVVLAKGLQEIQSCYPKFQISQFLNFHLKERITMKLLVTHYLSLMAQNKGDTNKRMIGILHRDLPIAQLIKHVSDYVNDICFVKFNTQRTPVLIHPPSQDITFTCIPPILEYIMTEVFKNAFEAQIALGKEHMPIEINLLKPDDDELYLRIRDHGGGITPEVEALMFNYSYSTHTQQSADSESTDLP
VIMSS6583705 1 505 0.71834712871287 Serine/threonine-protein kinase HAL5; Halotolerance protein 5; EC 2.7.11.1 855 0 15 505 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38970 1 SwissProt MGDEKLSRHTSLKRARSLSESIKGLFKPSGISGSNNAAAPSSRPGQDQAHSHQTARIITSNVSSPSISPVHSPVLQAAPKHHKLGVPNIAKLSLSPSREPSLNSENEMFSQESFISEKDEDEANLLEREDLQNKKEEKARAKHVRSKEAYVPHHRYTVGSDEVERQPRERLKNFPQNAGSSNPANSNANHVLDQENNFSIDAMLDYDEESKLRRRNSLGVRNHSNRTRSRKNSLSTPRSPPMKNGNGGMNSNATNNVGNGTGNRIYMRGRNHSDSISASSLPKFQEIECKCILDLGHFKVFENGYHEHSLRVLPIITNNKNVDSGDEKDADASVNSGDDGDNDSEANMHKQKSVFSLSGLFKSHKDGNQQQQQQQQQEENGEQINLEKAFSIIPSQRFIKSQTLKKSRTSNLKNGNNDELMKNDGKNIPQIVNPNAAVGVEELKLINALSEKIRKGLKSENTKGNNGEGRSNSNKQEDSDDTEGKAGTTNDDTSHKPCSQKYGKS
VIMSS6584024 1 269 0.283154275092937 PF06420.12:Mgm101p:100:269 Mitochondrial genome maintenance protein MGM101 269 170 15 269 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32787 1 SwissProt MKSIFKVRGCVSHAAQFCQKRTVVSTGTSNTATAGAVRKSFNSTETKPVFATKSEAGNGSHMKEYSSGINSKLGGTPLETRSTADDSLNNSYKQVKGDIDWYTSWYGLGMKPFEAKVQKDLIEPLDPKDIEIKPDGLIYLPEIKYRRILNKAFGAGGWGLVPRSQTIVTSKLVTREYGLICHGQLISVARGEQDYFNEAGIPTATEGCKSNALMRCCKDLGVGSELWDPVFIKKFKVDHCTEKFVEHVTTKRKKKIWLRKDRQVEYPYK
VIMSS6584042 91 705 0.137053008130081 PF04082.18:Fungal_trans:110:371 Protein of unknown function that may interact with ribosomes, based on co-purification experiments; similar to transcriptional regulators from the zinc cluster (binuclear cluster) family; null mutant is sensitive to caffeine (RefSeq) 705 262 15 615 0 Saccharomyces cerevisiae VIMSS6584042 1 MicrobesOnline QSQPNIHEGQNPLSNMRYLSSKHNRHILYGPTSYRAILATQTDTFAKYREEIWQVLKLSRNNWKREHHYSTLSEISSIETAPPHSGSPSVIEYLCESLPNYEVLCEYLTDFFASDFYDSYQIVHKEKVLRDLQDCFVKGPRSHKTGQHTIISLNLDSKKNYYKVGVMTAIMCLASHPKEVPEAIEVFHKVLTSFVSAKVFYTERVQFLFLRYLYINVAGLDGGDQSHCIFIHGLTIDTAIHMGLNEDLRRLYLSKNHPIEEIPYLERLWLWILFTDVKISLSTGIPVRINDDFVNKVRLENYSSSGDILLYKTTLRLRNIMKQIHAREKPPDIPLIIEDLKKFTIKMFKPLDFYLNASNLNGNEFTELQLWHATLHMIGSLSNLYTLTHQDFDARIFNFSVLAPLNSLHLCFNVLETYFELDNSKLSSKSLCLSKKWPHLNNALFLIYVNAFRALIQIYTIFLQYMENKDIQLFIQRNSSALTYSICPGDFEGPHNKCISLKIAFKEMENIFDHIHQEKLKPLTQIWQNSYYFSIIISMEKIGRRAFNKGMKNIDEGPETENDATENSLTTILNDLEGPLEDFSENFIDDILGSPSAFFDTAISGWSNFEDFFSR
VIMSS6584123 235 548 0.265935031847134 PF00561.20:Abhydrolase_1:4:168 Sterol esterase TGL1; Triglyceride lipase-cholesterol esterase 1; EC 3.1.1.13 548 165 15 314 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34163 1 SwissProt GLHNRIVDTLAKSSPGFMYLFFGRKIVLPSAVIWQRTLHPTLFNLCIDIANKILFNWKSFNILPRQKIASYAKLYSTTSVKSIVHWFQILRSQKFQMFEESDNMLNSLTRPYQIANFPTRTNIKIPILLIYGGIDSLVDIDVMKKNLPFNSVFDVKVDNYEHLDLIWGKDADTLVIAKVLRFIEFFNPGNVSVKTNQLLPSASLVEELPSTTWKTTHPTHGLSYRTHSADRSPLSVQADEADEVHNADNSRFLRRVFSTSAIDEDNENEHQDDTEDQIHKEQQRRLSAYLESSKDLRQLDANSSTTALDALNKE
VIMSS6584381 1 302 0.24659238410596 Putative cystathionine gamma-synthase YLL058W; O-succinylhomoserine (thiol)-lyase; EC 2.5.1.48 575 0 15 302 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12198 1 SwissProt MTEIEFGQPLPSNLDYAVSFGIPTWDSAIGYAEKVPEVIGKMATGYPRYFPQPPVQRLCAYFVKKFGRGSENCRPFPSVNLGLKCFEYVKSVSGPESKAHLEVETVTIKNRGAKTSKEPAELVLTIAAVLASEEEFETVKEYWKLRGECVSSRLALSVNQLLDCANHGSEQVLRELEAGVFAAKKGEEKAKNLIKGRIVENRFRPFGLEKKTPNWEGLNLNPNEDVYLVSSGMSAISTARNLLTFWEEKKNSGDSLNKTTSDQKKKPLLCDTVGIFGFPFKDTQVIMTKFGKCKFFGFGNSR
VIMSS6584568 1 381 0.288205249343832 PF01633.20:Choline_kinase:170:338,PF04428.14:Choline_kin_N:95:139 Choline kinase; EC 2.7.1.32 582 214 15 381 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P20485 1 SwissProt MVQESRPGSVRSYSVGYQARSRSSSQRRHSLTRQRSSQRLIRTISIESDVSNITDDDDLRAVNEGVAGVQLDVSETANKGPRRASATDVTDSLGSTSSEYIEIPFVKETLDASLPSDYLKQDILNLIQSLKISKWYNNKKIQPVAQDMNLVKISGAMTNAIFKVEYPKLPSLLLRIYGPNIDNIIDREYELQILARLSLKNIGPSLYGCFVNGRFEQFLENSKTLTKDDIRNWKNSQRIARRMKELHVGVPLLSSERKNGSACWQKINQWLRTIEKVDQWVGDPKNIENSLLCENWSKFMDIVDRYHKWLISQEQGIEQVNKNLIFCHNDAQYGNLLFTAPVMNTPSLYTAPSSTSLTSQSSSLFPSSSNVIVDDIINPPK
VIMSS6584763 1 129 0.211496124031008 Uncharacterized vacuolar protein YLR297W 129 0 15 106 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05899 1 SwissProt MVEGDFVDEQSNIALLSSKSMCGDHHSVKNSIGDEIFKLLTKILNSDEKASGDVHTLVSGTPDLSNFNLDNEPLENILAVFIISFIIVVVGVLLLGLIGMIFISLRSGSSNDKKLQSNDEEKQALAEKA
VIMSS6584952 1 542 0.0684273062730628 PF07690.16:MFS_1:76:476 Aminotriazole resistance protein 542 401 15 274 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P13090 1 SwissProt MGNQSLVVLTESKGEYENETELPVKKSSRDNNIGESLTATAFTQSEDEMVDSNQKWQNPNYFKYAWQEYLFIFTCMISQLLNQAGTTQTLSIMNILSDSFGSEGNSKSWLMASFPLVSGSFILISGRLGDIYGLKKMLLVGYVLVIIWSLICGITKYSGSDTFFIISRAFQGLGIAFVLPNVLGIIGNIYVGGTFRKNIVISFVGAMAPIGATLGCLFAGLIGTEDPKQWPWAFYAYSIAAFINFVLSIYAIPSTIPTNIHHFSMDWIGSVLGVIGLILLNFVWNQAPISGWNQAYIIVILIISVIFLVVFIIYEIRFAKTPLLPRAVIKDRHMIQIMLALFFGWGSFGIFTFYYFQFQLNIRQYTALWAGGTYFMFLIWGIIAALLVGFTIKNVSPSVFLFFSMVAFNVGSIMASVTPVHETYFRTQLGTMIILSFGMDLSFPASSIIFSDNLPMEYQGMAGSLVNTVVNYSMSLCLGMGATVETQVNSDGKHLLKGYRGAQYLGIGLASLACMISGLYMVESFIKGRRARAAAEYDCTVA
VIMSS6584953 1 398 0.218233668341709 PF03452.14:Anp1:171:395 Mannan polymerase I complex VAN1 subunit; M-pol I subunit VAN1; Vanadate resistance protein 535 225 15 375 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P23642 1 SwissProt MGMFFNLRSNIKKKAMDNGLSLPISRNGSSNNIKDKRSEHNSNSLKGKYRYQPRSTPSKFQLTVSITSLIIIAVLSLYLFISFLSGMGIGVSTQNGRSLLGSSKSSENYKTIDLEDEEYYDYDFEDIDPEVISKFDDGVQHYLISQFGSEVLTPKDDEKYQRELNMLFDSTVEEYDLSNFEGAPNGLETRDHILLCIPLRNAADVLPLMFKHLMNLTYPHELIDLAFLVSDCSEGDTTLDALIAYSRHLQNGTLSQIFQEIDAVIDSQTKGTDKLYLKYMDEGYINRVHQAFSPPFHENYDKPFRSVQIFQKDFGQVIGQGFSDRHAVKVQGIRRKLMGRARNWLTANALKPYHSWVYWRDADVELCPGSVIQDLMSKNYDVIVPNVWRPLPTFLGTE
VIMSS6584965 1 1655 0.0920688821752267 PF10487.9:Nup188:40:975,PF18378.1:Nup188_C:1302:1628 Nucleoporin NUP188; Nuclear pore protein NUP188 1655 1263 15 1655 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P52593 1 SwissProt MATPSFGNSSPQLTFTHVANFMNDAAADVSAVDAKQLAQIRQFLKANKTNLIESLNTIRQNVTSSGDHNKLRSTIANLLQINVDNDPFFAQSEDLSHAVEFFMSERSSRLHIVYSLLVNPDIDLETYSFIDNDRFNVVGKLISIISSVIQNYDIITASSLAHDYNNDQDMFTIVSLVQLKKFSDLKFILQILQILNLMILNTKVPVDIVNQWFLQYQNQFVEFCRNINSTDKSIDTSSLQLYKFQNFQDLSYLSETLISRISSLFTITTILILGLNTSIAQFDIQSPLYMDTETFDTVNSALENDVATNIVNEDPIFHPMIHYSWSFILYYRRALQSSESFDDSDITKFALFAESHDVLQKLNTLSEILSFDPVYTTVITVFLEFSLNFIPITASTSRVFAKIISKAPEQFIENFLTNDTFEKKLSIIKAKLPLLNESLIPLINLALIDTEFANFELKDICSFAVTKSSLNDLDYDLIADTITNSSSSSDIIVPDLIELKSDLLVAPPLENENSNCLLSIPKSTKGKILTIKQQQQQQQQQNGQQPPTTSNLIIFLYKFNGWSLVGRILQNLLHSYMEKGTQLDDLQHELMISIIKLVTNVVDPKTSIEKSSEILSYLSNSLDTSASTINGASIIQVIFEIFEISLQRKDYTSIVQCCEFMTMLTPNYLHLVSSYLNKSDLLDKYGKTGLSNMILGSVELSTGDYTFTIQLLKLTKVFIRESLSLKNIHISKRSKIDIINKLILHAIHIFESYYNWKYNNFLQKFEIAFHLTLIFYDVLHDVFTINPHQKDQLIISSSANKLLQLFLTPMDSIDLAPNTLTNILISPLNTTTKILGDKILGNLYSKVMNNSFKLCTLLIAIRGSNRDLKPSNLEKLLFINSSKLVDVYTLPSYVHFKVQIIELLSYLVEAPWNDDYPFLLSFLGEAKSMAFLKEVLSDLSSPVQDWNLLRSLYIFFTTLLESKQDGLSILFLTGQFASNKKINDESSIDKKSSILTVLQKNSLLLDSTPEEVSCKLLETITYVLNTWTNSKIFIKDPKFVNSLLAKLKDSKKLFQKKENLTRDETVSLIKKYKLISRIVEIFALCIYNSTDSNSEILNFLNQEDLFELVHHFFQIDGFNKTFHDELNLKFKEKWPSLELQSFQKIPLSRINENENFGYDIPLLDIVLKADRSWNEPSKSQTNFKEEITDASLNLQYVNYEISTAKAWGALITTFVKRSTVPLNDGFVDLVEHFLKLNIDFGSDKQMFTQIYLERIELSFYILYSFKLSGKLLKEEKIIELMNKIFTIFKSGEIDFIKNIGKSLKNNFYRPLLRSVLVLLELVSSGDRFIELISDQLLEFFELVFSKGVYLILSEILCQINKCSTRGLSTDHTTQIVNLEDNTQDLLLLLSLFKKITNVNPSKNFNVILASSLNEVGTLKVILNLYSSAHLIRINDEPILGQITLTFISELCSIEPIAAKLINSGLYSVLLESPLSVAIQQGDIKPEFSPRLHNIWSNGLLSIVLLLLSQFGIKVLPETCLFVSYFGKQIKSTIYNWGDNKLAVSSSLIKETNQLVLLQKMLNLLNYQELFIQPKNSDDQQEAVELVIGLDSEHDKKRLSAALSKFLTHPKYLNSRIIPTTLEEQQQLEDESSRLEFVKGISRDIKALQDSLFKDV
VIMSS6584999 285 584 0.253566333333334 PF02734.17:Dak2:128:296 Dihydroxyacetone kinase 1; DHA kinase 1; Glycerone kinase 1; Triokinase 1; Triose kinase 1; EC 2.7.1.28; EC 2.7.1.29 584 169 15 300 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54838 1 SwissProt SKTTDFLKENYNITPVQTIAGTLMTSFNGNGFSITLLNATKATKALQSDFEEIKSVLDLLNAFTNAPGWPIADFEKTSAPSVNDDLLHNEVTAKAVGTYDFDKFAEWMKSGAEQVIKSEPHITELDNQVGDGDCGYTLVAGVKGITENLDKLSKDSLSQAVAQISDFIEGSMGGTSGGLYSILLSGFSHGLIQVCKSKDEPVTKEIVAKSLGIALDTLYKYTKARKGSSTMIDALEPFVKEFTASKDFNKAVKAAEEGAKSTATFEAKFGRASYVGDSSQVEDPGAVGLCEFLKGVQSAL
VIMSS6585012 1 104 0.559396153846154 Ribonucleotide reductase inhibitor protein SML1 104 0 15 104 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04964 1 SwissProt MQNSQDYFYAQNRCQQQQAPSTLRTVTMAEFRRVPLPPMAEVPMLSTQNSMGSSASASASSLEMWEKDLEERLNSIDHDMNNNKFGSGELKSMFNQGKVEEMDF
VIMSS6585024 1 403 0.186596277915633 PF11055.8:Gsf2:1:383 Glucose-signaling factor 2; Extracellular mutant protein 6 403 383 15 380 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04697 1 SwissProt MEIYIRLNADVEHDYAFQVSNEDTINNKIKKIFPSKTGLADLMVLRPSIFHEKEPVKFYKSIHPGYLSEGGCLMFHYEADNEENLEELNDSKPLIDQLWPGQLVVPEWKLSKKNIWVYTIIMLAWLYTDLPDAISPTPGICLTNQLSRLLIPVAKHMDLPEIAAKLEQEVQANYSSLVAQWLFFVMHIFKVGIITLFLKLGIANPISFNPYKLWSLRDLTSPSANGAKNSGGNNNTTDLKTRLRSLGWIGAKRATYDDYQTNYYNYVIDKMGGAVAAYRAGAIRKAAAPGIQLVAGEGFQSPLEDRFTASTFTAIKTERKFILSEEYFVELENNLKKILEEYDGDIGKMNAEIRRFRRFGIYEPDEKLASLVKLRREIADEKEKASNNDATFGIKKNDLKKSN
VIMSS6585263 1 525 0.596154285714286 High-osmolarity-induced transcription protein 1 719 0 15 507 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03213 1 SwissProt MSGMGIAILCIVRTKIYRITISFDYSTLMSPFFLFLMMPTTLKDGYRMNSQVNEDAIGINLDLSLPTHISPTTGSESASGSNASTLRNDGNALDGGLLRTSAAISAPTGTSQPTETIGEKLSNEERVNSNVSASNSTTAGTGRMLSQSLTNDSPSNEISTDQLKIFQRMDEMSARMIEMEESFNKLSNKIAEQNTMVLNLKQDNYKVMNKLNILLKLVAQPSARPSTNNAQNKLAIELLNSISAVSSAYLQKMQNNGSGRQHTADLCTGDSNTHSGINQHRTTNGTIDVNTNTAQLNNQFSNALNTILPDQQHNRNNVSQNINQSLPNRQLGPVINTQANQNQSQVLIHNTNTHQQVNRSPISFPNASTDKPFKLNPNGIKRRRRNTQSNNNASTNDHASAAQKPISALSPLTNSHNSTTSMNYTNSSIHSGVTSASNSFHDLNSLNNFGTTTALSLPSLALDNASFPPNQNVIPPIINNTQQPLSFSQLINQDSTTSELLPSGKSGVNTNIVNRNRASTLPSYP
VIMSS6585359 1 553 0.0829710669077758 Non-SCF-type F-box protein ROY1; Repressor of YPT52 553 0 15 553 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04847 1 SwissProt MAFQDQDIFIVFSHASLFLNQNDLLSLSLTSKKMHDMIAIPRLYSNIHITKNPVLRTNKWFLDGGKTYVSGYRSVLKTGDKNDIFLYDRIERLLETSHLKCIKQLTIDEDLFHNREEGLQLLQRLVNEITDLDVIESLDIKDPTLFELCSAKYYRLSSLKKRVVYGETGFDGIKLWQNFKSLKWQLPESLDLQNVIIPEVGVMLMKQLNGGELEIKDEAYSSLRVFEYFDSLNLRFKNLRRLKLNHVHKQGDGSATSMRLSSRAFKDVVNLSNLKALELEFSCEVDDCECDDDFLQDITGNLVSLTSLGFIEKTFTKKGYHYMDEKWDLVVNKFILNLPNVSKDLRLLSIRHDPPLNGKGIDTVDGNLLRRKKLYEKVLPKLTSLETIIAPTVLQSITSYEMYACDLLWNGCKCAFCSKYLPLFDKYIMNHQYFSTPDARYLDIIPIVFAAYTGKSLAKRFDPQKNWDLDLLQYAPEDTTWNFHGFERIHHFASYECYFDESSFEPLATIISHFFYPYMNYLIKILPNLRQTMLSGIYFSVSPELHTYETIYD
VIMSS6585383 1 1433 0.408098394975575 PF04082.18:Fungal_trans:403:692,PF00172.18:Zn_clus:68:104 Regulatory protein CAT8 1433 327 15 1433 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P39113 1 SwissProt/TReMBL MANNNSDRQGLEPRVIRTLGSQALSGPSISNRTSSSEANPHFSKNVKEAMIKTASPTPLSTPIYRIAQACDRCRSKKTRCDGKRPQCSQCAAVGFECRISDKLLRKAYPKGYTESLEERVRELEAENKRLLALCDIKEQQISLVSQSRPQTSTDNTINGNFKHDLKDAPLNLSSTNIYLLNQTVNKQLQNGKMDGDNSGSAMSPLGAPPPPPHKDHLCDGVSCTNHLHVKPTSTSLNDPTAISFEQDEAPGLPAVKALKSMTTHQRSTQLATLVSLSIPRSTEEILFIPQLLTRIRQIFGFNSKQCLYTVSLLSSLKNRLPAPRLLAPSTSTKLKEKDEDKKLDDDSAFVKRFQSTNLSEFVDLKKFLISLKFNINSFSKQSEKPANDQDDELLSLTEIKELLHLFFKFWSNQVPILNNDHFLIYFNNFVEVVKHLSTENLETNNTTKSTVTTNHEIFALKLLMMLQMGLLVKIKMEKIKYTVPKNPKAKYARLMAYYHQLSLIIPKNPYFLNMSTTSLPSLQLLSLASFYYLNVGDISAIYGVRGRIVSMAQQLRLHRCPSAVLSVHSNPVLQKFEQSERRLLFWAIYYVDVFASLQLGVPRLLKDFDIECALPISDVEYKDQLSMENEKADKKAKKIQLQGQVSSFSLQIIRFAKILGNILDSIFKRGMMDERITSEVALVHENALDNWRNQLPEMYYFQITVNGTVNLDEIRATNQRNTETKFDKKDIILFEKKILLLFYFLAKSMIHLPVIATKPLPKNVDNATKKKQSMFNNDSKGATNQDHMILDVDMTSPAIRTSSSYIILQQATNATLTIFQAINSMYLPLPLNVSRTLIRFSLLCARGSLEYTKGGALFLDNKNLLLDTIKDIENDRLLDLPGIASWHTLKLFDMSINLLLKAPNVKVERLDKFLEKKLNYYNRLMGLPPATTTSLKPLFGSQSKNSLENRQRTPNVKRENPEHEYLYGNDSNNNNNSEAGHSPMTNTTNGNKRLKYEKDAKRNAKDGGISKGENAHNFQNDTKKNMSTSNLFPFSFSNTDLTALFTHPEGPNCTNTNNGNVDVCNRASTDATDANIENLSFLNMAPFLQTGNSNIGQNTIENKPMHMDAIFSLPSNLDLMKDNMDSKPEQLEPVIKQNPENSKNNQFHQKGKSTNMEKNNLSFNNKSNYSLTKLMRLLNNDNSFSNISINNFLYQNDQNSASADPGTNKKAVTNAGANFKPPSTGSNTSQGSILGSTKHGMDNCDFNDLGNFNNFMTNVNYSGVDYDYIVDASLGLAPLLVDTPDISNTNTTSTTSNRSKNSIILDTTFNDDLDRSRMNAREVLNPTDSILSQGMVSSVSTRNTSNQRSLSSGNDSKGDSSSQENSKSATGNQLDTPSTLFQMRRTSSGPSASHRGPRRPQKNRYNTDRSKSSGGGSSNTDNVSDLFQWQNAK
VIMSS6585400 1 558 0.18214247311828 PF00155.21:Aminotran_1_2:145:545 Serine palmitoyltransferase 1; SPT 1; SPT1; Long chain base biosynthesis protein 1; EC 2.3.1.50 558 401 15 558 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25045 1 SwissProt MAHIPEVLPKSIPIPAFIVTTSSYLWYYFNLVLTQIPGGQFIVSYIKKSHHDDPYRTTVEIGLILYGIIYYLSKPQQKKSLQAQKPNLSPQEIDALIEDWEPEPLVDPSATDEQSWRVAKTPVTMEMPIQNHITITRNNLQEKYTNVFNLASNNFLQLSATEPVKEVVKTTIKNYGVGACGPAGFYGNQDVHYTLEYDLAQFFGTQGSVLYGQDFCAAPSVLPAFTKRGDVIVADDQVSLPVQNALQLSRSTVYYFNHNDMNSLECLLNELTEQEKLEKLPAIPRKFIVTEGIFHNSGDLAPLPELTKLKNKYKFRLFVDETFSIGVLGATGRGLSEHFNMDRATAIDITVGSMATALGSTGGFVLGDSVMCLHQRIGSNAYCFSACLPAYTVTSVSKVLKLMDSNNDAVQTLQKLSKSLHDSFASDDSLRSYVIVTSSPVSAVLHLQLTPAYRSRKFGYTCEQLFETMSALQKKSQTNKFIEPYEEEEKFLQSIVDHALINYNVLITRNTIVLKQETLPIVPSLKICCNAAMSPEELKNACESVKQSILACCQESNK
VIMSS6585424 1 552 0.137669021739131 PF04120.12:Iron_permease:348:404,PF04120.12:Iron_permease:454:528 Low-affinity Fe(2+) transport protein; Low-affinity Fe(II) transport protein 552 132 15 394 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40988 1 SwissProt MGKIAEFLGNPGARPDVHHRAPTVDCKQYEEFGDSNDYKNDDVVRVVSHSDESTDDELCNVNLTETGAIFTSKGFTGLSKGFTDKTLDFLVRVAGSQAVFFIVWIILIIWVVIGIVYNAPFNWQVVMQDGQSIQSYVWDTLLMRQQLMSTHEQILICGRLKSRLASFKNYLTRSTPEEEKADCTVEANEVSSVENHIDPSAINGELPVENWYDRLSNVASRYMGSIAAMVIFWIGIFVWIGCGAIPKDAGNTPPYTGETTGSNPRLKKFSDAWQMYINTAVAVSLLICTTFLQNIRARHDYFTGRFLVDIFDMDEKIDYRIRKHFNDFETPHPVVTIESKKRSTGRKMIDWYADIIGTGIGVLIGVAVFATWIGIGSPMKWDDNWWLIIGTYTGLIGFLDGFVLREVYFRIVQHEEKNYSDVAKEDLELFQELGIECPEEFSGKAPEINTIGYRTSQYINRICSTPWSVLVSVIIIIGLICIASGLRWSTTGQLIANTPTMIIEEFFLLVLLQAHNWADRQRRVEVTALYARRRILLSYVEKRFPEVMMLEK
VIMSS6585455 1 420 0.76758119047619 Protein STB1; SIN3-binding protein 1 420 0 15 420 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P42845 1 SwissProt MSQPQMSPEKEQELASKILHRAELAQMTRQLKLGLSNVPSTKRKQDSTTKKRSGEDAEDVDEDHKTLLEAISPAKKPLHDDTNKMTVISPVKFVEKPNTPPSSRQRKAEDRSQQIKPRKEDTPSTPRASATPIILPHASSHYQRPHDKNFMTPKRNNNNSSNHSNNNNNIKKKAAGSKDAPQDSDNTAGADLLMYLATSPYNKSSHHGTPMAVRMPTTPRSYHYASQLSLNGNTASTSNDAVRFSHIKPSASSPQSTFKSNLLPNFPDESLMDSPSLYLSNNNGSVQATLSPQQRRKPTTNTLHPPSNVPTTPSRELNGTNFNLLRTPNFNMGDYLHNLFSPSPRVPAQQGASNTSASIPSVPAMVPGSSSNTSAIATAAISSHTTNNFLDMNANGIPLIVGPGTDRIGEGESIDDKLTD
VIMSS6585496 1 1225 0.481972 PF06367.16:Drf_FH3:512:707,PF06371.13:Drf_GBD:176:246,PF06371.13:Drf_GBD:297:457 Bni1p (RefSeq) 1953 428 15 1225 0 Saccharomyces cerevisiae VIMSS6585496 1 MicrobesOnline MLKNSGSKHSNSKESHSNSSSGIFQNLKRLANSNATNSNTGSPTYASQQQHSPVGNEVSTSPASSSSFRKLNAPSRSTSTEARPLNKKSTLNTQNLSQYMNGKLSGDVPVSSQHARSHSMQSKYSYSKRNSSQASNKLTRQHTGQSHSASSLLSQGSLTNLSKFTTPDGKIYLEMPSDPYEVEVLFEDIMYKRNIFQSLSEDKQEALMGYSIEKKWLIVKQDLQNELKKMRANTTSSSTASRTSMASDHHPILTANSSLSSPKSVLMTSASSPTSTVYSNSLNHSTTLSSVGTSTSKGKKLVSGSLKKQPSLNNIYRGGAENNTSASTLPGDRTNRPPIHYVQRILADKLTSDEMKDLWVTLRTEQLDWVDAFIDHQGHIAMANVLMNSIYKTAPRENLTKELLEKENSFFKCFRVLSMLSQGLYEFSTHRLMTDTVAEGLFSTKLATRKMATEIFVCMLEKKNKSRFEAVLTSLDKKFRIGQNLHMIQNFKKMPQYFSHLTLESHLKIIQAWLFAVEQTLDGRGKMGSLVGASDEFKNGGGENAILEYCQWTMVFINHLCSCSDNINQRMLLRTKLENCGILRIMNKIKLLDYDKVIDQIELYDNNKLDDFNVKLEANNKAFNVDLHDPLSLLKNLWDICKGTENEKLLVSLVQHLFLSSSKLIEENQNSSKLTKQLKLMDSLVTNVSVASTSDEETNMNMAIQRLYDAMQTDEVARRAILESRALTKKLEEIQAERDSLSEKLSKAEHGLVGQLEDELHERDRILAKNQRVMQQLEAELEELKKKHLLEKHQQEVELRKMLTILNSRPEESFNKNEGTRGMNSSLNSSEKANIQKVLQDGLSRAKKDYKDDSKKFGMTLQPNKRLKMLRMQMENIENEARQLEMTNFAEFEKDRLEPPIHIKKPKVKKMKNKDRKPLVKPQEADVNKLNDLRRALTEIQMESNDISKFNVEERVNELFNEKKSLALKRLKELETKYKGFGIDFNVDEIMDSPKKNTGDVETEEDANYASLDPKTYQKKLDEINRITDQLLDIQTQTEHEIQVEEDGESDLSSSSSDDESEEIYQDASPTQELRSEHSELSSGSGPGSFLDALSQKYGTGQNVTASAAFGENNNGSGIGPLHSKVEKTFMNRLRKSTVSSAPYLEELTQKVNKVEPYEQNEDEGLDKKSLPENSTASAASAFDKAEKDMRQHVENGKQGRVVNHEEDKTADFSAVSKLNNTDGA
VIMSS6585523 273 771 0.498883967935872 Sla2p (RefSeq) 968 0 15 499 0 Saccharomyces cerevisiae VIMSS6585523 1 MicrobesOnline SKEIKFKKREPSVTPARTPARTPTPTPPVVAEPAISPRPVSQRTTSTPTGYLQTMPTGATTGMMIPTATGARNAIFPQATAQMQPDFWANQQAQFANEQNRLEQERVQQLQQQQAQQELFQQQLQKAQQDMMNMQLQQQNQHQNDLIALTNQYEKDQALLQQYDQRVQQLESEITTMDSTASKQLANKDEQLTALQDQLDVWERKYESLAKLYSQLRQEHLNLLPRFKKLQLKVNSAQESIQKKEQLEHKLKQKDLQMAELVKDRDRARLELERSINNAEADSAAATAAAETMTQDKMNPILDAILESGINTIQESVYNLDSPLSWSGPLTPPTFLLSLLESTSENATEFATSFNNLIVDGLAHGDQTEVIHCVSDFSTSMATLVTNSKAYAVTTLPQEQSDQILTLVKRCAREAQYFFEDLMSENLNQVGDEEKTDIVINANVDMQEKLQELSLAIEPLLNIQSVKSNKETNPHSELVATADKIVKSSEHLRVDVPKP
VIMSS6585545 1 555 0.0388436036036036 PF03901.17:Glyco_transf_22:11:414 Alpha-1,2-mannosyltransferase ALG9; Asparagine-linked glycosylation protein 9; Dol-P-Man:Man(6)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase; Dol-P-Man:Man(8)GlcNAc(2)-PP-Dol alpha-1,2-mannosyltransferase; EC 2.4.1.259; EC 2.4.1.261 555 404 15 401 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53868 1 SwissProt MNCKAVTISLLLLLFLTRVYIQPTFSLISDCDETFNYWEPLNLLVRGFGKQTWEYSPEYSIRSWAFLLPFYCILYPVNKFTDLESHWNFFITRACLGFFSFIMEFKLHREIAGSLALQIANIWIIFQLFNPGWFHASVELLPSAVAMLLYVGATRHSLRYLSTGSTSNFTKSLAYNFLASILGWPFVLILSLPLCLHYLFNHRIISTIRTAFDCCLIFSLTAFAVIVTDSIFYGKLAPVSWNILFYNVINASEESGPNIFGVEPWYYYPLNLLLNFPLPVLVLAILGIFHLRLWPLWASLFTWIAVFTQQPHKEERFLYPIYGLITLSASIAFYKVLNLFNRKPILKKGIKLSVLLIVAGQAMSRIVALVNNYTAPIAVYEQFSSLNQGGVKAPVVNVCTGREWYHFPSSFLLPDNHRLKFVKSGFDGLLPGDFPESGSIFKKIRTLPKGMNNKNIYDTGKEWPITRCDYFIDIVAPINLTKDVFNPLHLMDNWNKLACAAFIDGENSKILGRAFYVPEPINRIMQIVLPKQWNQVYGVRYIDYCLFEKPTETTN
VIMSS6585675 1 366 0.210879508196721 PF12326.8:EOS1:134:344 N-glycosylation protein EOS1; ER-localized and oxidants sensitive protein 1 366 211 15 274 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53938 1 SwissProt MTWILSTGMGPHEDKYAKHERATFKKTYSSMKTLSLNHLTAKQHMLMALCRDISLLPPLTYIFTSLRKAWRVSMRTSITLYEPQSLRDAFTYFWQKLNSAYDNNSSFEGASQKAVNGDGKDSLLLSALTTARASEYLLCSLWCLVSLYLSYAILDSLMVRWIVKYSTVAAILRMFSMSLIIVTLELLLLSSLSPELDYFLHTWILISCVLTAVYIWQSYLTSDLRYIRNQEGEVQEDTNVPEETEDYEDGEDDADEDSHVVVADESTVDVPSNDSLSDNSDGGLFPVNRPSVSHSQSPKRPKKYPKKAFNFTTKRTIDLYKITVLCVVPVGLASFITMLGLLRNLFIQRLDVEQLERILHEMHPPA
VIMSS6585775 1 894 0.19904351230425 PF03105.19:SPX:1:41,PF03105.19:SPX:69:257,PF00939.19:Na_sulph_symp:439:885,PF03600.16:CitMHS:468:818 Low-affinity phosphate transporter PHO91 894 677 15 615 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P27514 1 SwissProt MKFSHSLQFNSVPEWSTKYLAYSQLKKLIYSLQKDKLYSNNKHHVVEPHDANDENLPLLADASPDDQFYISKFVAALNQELKKIDKFYISQETGLIANYNELKDDVMELENTNKATQLFNQQQQHQLQSVARNRKSKSQQRQRRFSSVSSTDSNPSLTDMSIDSAPVIHTQVSNTTNNGNSMQNLASASVSLSNSNPVYLSPFTQHRLSLKKRLISIYTQLSELKDFIELNQTGFSKICKKFDKSLNTNLKQNYLNYIKFHSHVFNPATINRIQHHITETILTYASLNKGTRRPSNTFNLDADRINNDENSSGNEEDEDGNRQEVLDFQDAERELSSHLRDHVVWERNTVWKDMMNLERKYQSAKTDNKKFSKLSSSQLRPNANITESMAMSSGGAGIIAPSTDSLTFRELMHLPPKQWLQFIMGQTSLLKFLLITSCFIALLTFNLTPFTQDSLQKNCFAILIYASLLWATETIPLFVTSLMIPLLIVVFPVIKDPITSQPMSPRDSSQFILSTMWSSVIMLLLGGFTLAAALSKYNIAKVLSTHILASAGTNPHFILLTNMFVALFVSMWVSNVAAPVLCYSIVQPLLRTLPRNCSYAKALILGIALASNIGGMSSPIASPQNIFSIGIMDPSPSWAEWFMIALPVCFICVMAIWVLLIITFPPEPNVKILQLHPSRDPFTLKQWFVTLVCIITIVLWCLSNQISGIFGEMGIISIIPIVVFFGTGLLTSDDFNNFMWTIVVLAMGGTTLGKAVSSSGLLSTMAQLIKAQVEHEPIFIIVLIFGLVILVMATFVSHTVAAMIIVPLMSEIGSNLPSGDHSRLLIVIAALLCSSAMGLPTSGFPNVTAISMIDEVGDRYLTVGTFITRGVPASLLSYAAIVTVGYGILKVMGF
VIMSS6585804 1 372 0.0834801075268817 PF01040.18:UbiA:104:350 4-hydroxybenzoate polyprenyltransferase, mitochondrial; 4-HB polyprenyltransferase; 4-hydroxybenzoate hexaprenyltransferase; Para-hydroxybenzoate--polyprenyltransferase; PHB:PPT; PHB:polyprenyltransferase; EC 2.5.1.39 372 247 15 257 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32378 1 SwissProt MFIWQRKSILLGRSILGSGRVTVAGIIGSSRKRYTSSSSSSSSPSSKESAPVFTSKELEVARKERLDGLGPFVSRLPKKWIPYAELMRLEKPVGTWLLYLPCSWSILMGAMMQGATLSATAGMLGIFGVGALVMRGAGCTINDFLDRKLDQRVIRSVERPIASGRVSPRRALVFLGAQTLVGMGVLSLLPAQCWWLGLASLPIVFTYPLFKRFTYYPQAALSACFNWGALLGFPAMGVMSWPTMIPLYLSSYLWCMTYDTIYAHQDKKFDIKAGIKSTALAWGPRTKSIMKAMSASQIALLAVAGLNSGLLWGPGFIGGLGVFAYRLFSMIKKVDLDNPKNCWKYFNANINTGLYFTYALAVDYILRLFGFL
VIMSS6585824 1 719 0.139458275382476 PF08030.12:NAD_binding_6:530:698,PF08022.12:FAD_binding_8:425:524,PF01794.19:Ferric_reduct:273:389 Ferric reductase transmembrane component 4; Ferric-chelate reductase 4; EC 1.16.1.9 719 386 15 607 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53746 1 SwissProt MLLVHIISFLLFFQLSAAKAPPSKTSLINTHERRSIYSCYVGLRKETWGFNGSAICRYEPAIQSMLYCLYEDTHEKGYSNKTLEKGFEEMRQFCYTPKFLNMTDAEFYTSLDNGTYYIQDQPKAGINITYPIRLNTTLRKAYYDAYYGYYYNHDIPYYFGGIICAYFVGVMLLAGLIRFLNYTPIKKIMFQQKLVNYVRGYTTLPTLYEKHAEPFSYLKVITGYLPTRFETLVILGYLILHTIFMAYKYQYDPYHIIFAAHRAEVAHFVAYRSGILSFAHLPLIVLFAGRNNFLQLISGLKHTSFIVFHKWLGRMMFLDAIIHAAGFTNYYLYYKKWNTVRLRVYWKFGIATTCLAGMLIFFSIAAFRRHYYETFMALHIVFAALFLYTCWEHVTNFSGIEWIYAAIAIWGVDRIVRITRIALLGFPKADLQLVGSDLVRVTVKKPKKFWKAKPGQYVFVSFLRPLCFWQSHPFTVMDSCVNDRELVIVLKAKKGVTKLVRNFVERKGGKASMRLAIEGPYGSKSTAHRFDNVLLLAGGSGLPGPISHALELGKTTAASGKNFVQLVIAVRGLDMLNACKKELMALKGLNVQVHIYNSKQELASAEKISSNEVKNGETTAEKAPSSLSNSEKAPSESENTELPLSLNDTSISDLEFATFHVGRPNVEEILNESVNHSGSLAVVCCGPPIFVDTARNQTAKAVIRNPSRMIEYLEEYQAW
VIMSS6585927 1 991 0.217665590312815 PF02714.15:RSN1_7TM:383:656,PF13967.6:RSN1_TM:9:160,PF14703.6:PHM7_cyt:183:370,PF12621.8:PHM7_ext:892:984 Phosphate metabolism protein 7 991 707 15 760 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12252 1 SwissProt MADSSSTSAFISTLIIYGLTAVVFVWLFLLLRPKNRRVYEPRSLKDIQTIPEEERTEPVPEGYFGWVEYLLSKPHSFLIQHTSVDGYFLLRYIGIVGSLSFVGCLLLLPILLPVNATNGNNLQGFELLSFSNVTNKNRFYAHVFLSWIFFGLFTYVIYKELYYYVVFRHAMQTTPLYDGLLSSRTVIVTELHKSIAQEGEMQMRFPKASNVAFAYDLSDLQELCKERAKNAAKYEAALNKVLNKCVKMTRNKTQKQLDKLYNNGTKPKDDLETYVPHKKRPKHRLGKLPLCLGGKKVNTLSYSSKRIGELNEEIHEKQADWASNDRQPACFIQFETQLEAQRCYQSVEAILGKKNFGKRLIGYSPEDVNWGSMRLSSKERHSRRAVANTIMVLLIIFWAFPVAVVGIISNVNFLTDKVPFLRFINNMPTFLMGVITGLLPTIALVVLMSLVPPFIVMLGKLSGCVTRQETDLYSQAWYYAFAVIQIFLVVTATSSASSTVDSIIDRPRSAMTLLANNLPKASNFYIMYFILKGLTGPTWTILQAVNLLLSKVLGRVLDSTPRQKWNRYNTLATPRMGIVYPGIEILVCIYICYSIIAPILLFFSTVMLTLLYVAYLYNLNYVFGFSFDLKGRNYPRALFQIFVGIYLSEVCLLGLFIMAKTWGPLVLEVFWIVVTALAHIYMKRKFIPLFDAVPLSAIRHARGEPGYSYPTSDLGLQEIKDIADEMKGKYEQDNTHGILTPVTKDDLKKANLIPDNDGSSENGTPSNPFESGSERASLSGSNAESDSIKKLNDTVIKKSSTLSSSTKDNNESTFVPEGEKFRKFHYSDVEALRNKRPYDEDDHSKHGPEGAVPVNADAGVIYSDPAAVMKEPQAFPPDVLETNTWTRRILQFFNPRRSYPFDSVRMRFPLVFNTSIEYDEEYLSSAYTDPCVREKDPIVWCCKDPLGVSKQQIQEARSNGLDVRDDFTRYDEKGKVIFTYNPPDYEPEAKK
VIMSS6586064 1 486 0.368886625514403 Growth regulation protein 486 0 15 486 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P12611 1 SwissProt MDDIITQVSPDNAESAPILQEQQQQQNSQYEGNEEDYGDSLIHLNIQENHYFITRDQLMSLPESLLLCLFPSGVFLDRCGQVITNLTRDDEVYIVNFPPDCFEYIMEIYTKAHDDLYNHPVEKFFDRPSSSFVSNAKGFFGLSSNNSISSNNEQDILHQKPAIIVLREDLDYYCVPQEEFQFDSTNEENNEDLLRHFMAQVKMAAGSYLTSKTSIFQGLYSSNRLKQQQQQQKIEKGSNSSSNTKSTSKKLGPAEQHLMDMLCSSGFTKETCWGNRTQETGKTVISSLSLCRLANETTEGFRQKFNEAKAKWEAEHKPSQDNFITPMQSNISINSLSASKSNSTISTARNLTSGSTAPATARDKRKSRLSKLADNVRSHSSSRHSSQTRSKPPELPKLYDLVPKPNINAKLLLFWRKPARKCWWGEEDIELEVEVFGSWKDESKKIIELILPTNVDPEAELHKIIVPVRLHIRRVWTLELSVIGVQ
VIMSS6586090 1 598 0.0715680602006689 PF02133.15:Transp_cyt_pur:34:467 Nicotinamide riboside transporter 1; Thiamine transport protein 71 598 434 15 376 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08485 1 SwissProt MSFSSIVSKFLRYLEIPAKNRTAVNFLRNPDLQPIKSANQTWGFWSNLAYWGAVSFTAGTWMSGSAALSVGLSYPETIVSFLLGNVLTIIFTMANSYPGYDWKIGFTLAQRFVFGIYGSAFGIIIRILMSIVNYGSNAWLGGLSINMILDSWSHHYLHLPNTLSPSVAMTTKQLVGFIIFHVLTALCYFMKPYHMNYLLIWSCVATCFAMLGIVIYLTKNAHGVGELFTSTKSTVTGSKRAWAWVYMISYWFGSISPGSTNQSDYSRFGSSNLAIWTGSVCALLIPATLVPIFGVISASTCDKLYGKQFWMPMDIFDYWLTNNYSAGARAGAFFCGLCFTMSQMSSTISNCGFATGMDMAGLLPKYVDIKRGALFCACISWACLPWNFYNSSSTFLTVMSSFGVVMTPIIAVMICDNFLIRKRQYSITNAFILKGEYYFTKGVNWRAIVAWVCGMAPGLPGIAWEVNNNYFHDSGIVKFFYGDSFFSFLISFFVYWGLCVFFPFKITVRHDDKDYYGAFTDEEARKKGMIPYSEISEEEIRAYTLGECYTTGHEYKPESSDNESPELIKTSSENTNVFEIVHQKDDEKHSFSTTQQVV
VIMSS6586132 1 145 0.436413793103448 Trafficking protein particle complex subunit 33; TRAPP subunit 33; Transport protein particle 33 kDa subunit 268 0 15 145 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99394 1 SwissProt MSSTHSNNVGHPQSSPQGPLTEQQRAQQQYQIFENSLPKVSQSVYQMLLNEMVPLAMGIERQISGDVISSDSNVTSENGNINNMIKRLKIEEHHTVDIIRSHNLIHELYKADEEEKEKVLARLRNIGFQIGLKLSELLIFSNNPN
VIMSS6586379 1 996 0.203722991967872 PF00172.18:Zn_clus:24:59 Peroxisome proliferation transcriptional regulator; Oleate-activated transcription factor 2 996 36 15 996 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P52960 1 SwissProt MYFTDESSPAMNRVGKKRNRLSFVCQACRKAKTKCDQEKPRCGRCTKQNLFCIYDVARQAAPRNPNKDATIARLKKEIRYWRNKTVDLTQEKKDFYTALKRPTEELAARRTCKSLQENSFPISLYKTHPRLIMTKVMKREINPLSEKYLIFQDTFLKTLIASVLLSCSRNSMIPALNADISRSRTQPCVKNNVVKMREVLLKNSKYESQRKSINEFTDRLLQRKNPEEQIAVNKVISLLYSNRESSYLEDTCPTENDYSDLLKGYINEIEKTLPPKAIIEQYLSHFFEHIFHLIPFASKEMLEESIHTTVQYNELGEVRLSMGTTLIRNKMENLCILLLILRIAYISLTFIEDKIEDYSPYITKEMLEQYPIQSEVIFLAQQILASENWCACANENTISCLLYIWCAFVFSPTEGDFLLEQPSDVIINLVILIGTSIGLHRDPSDFPALNHPEASDKRLLNLRRIQWLSIISMATLESSLKGRLLVSPLSMIDLFIDVRDPNCVEIYKKRVKKDLTGSESDEQLLEIHEIFFHRAQLALFLSDLNNITISYSGSVPMDTLETLRVKANELLKNKFQLRSVDINIYDEEKTFQKLTFNSILNSISLSGQILGKLMMLRASIALMLYFETLAMERSECLSFFYKYFFQCCADTISLIRFFFLYFNGSYEKVLSSLVCFITTKVIQLAVPTTMFTLLVIIMRVELAKNMLLVKCNECNARGDISDLPEIKEKIKSLDTIKENFERLLLEVYLLASQNLRFKYFYIFKMLTLFDVFIQRLRKGQLFSGLFVKVDKDLTTKKIATMLELTLGINLDKSDHLIDRLKGKNLTVNFTLDQLYQIIKEFDRIKNIGVADPQNSLNPSKPNMKDNTPTIELLLNSSVENESVPPYSSSNDPTNVGNASTYSLAHNISNQNNEENMPPSIGSSESNRAAPNLNFMPINNNYNNSGSNINNNDNVKLPSNFKNYYDPPMSSLDISMDVPDIFGSLDFFDYDLLFQND
VIMSS6586506 1 165 0.278258787878788 PF05436.11:MF_alpha_N:1:86,PF04648.12:MF_alpha:90:102,PF04648.12:MF_alpha:111:123,PF04648.12:MF_alpha:132:144,PF04648.12:MF_alpha:153:165 Mating factor alpha-1 165 138 15 165 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P01149 1 SwissProt/TReMBL MRFPSIFTAVLFAASSALAAPVNTTTEDETAQIPAEAVIGYLDLEGDFDVAVLPFSNSTNNGLLFINTTIASIAAKEEGVSLDKREAEAWHWLQLKPGQPMYKREAEAEAWHWLQLKPGQPMYKREADAEAWHWLQLKPGQPMYKREADAEAWHWLQLKPGQPMY
VIMSS6586560 1 446 0.395786322869955 Regulator of drug sensitivity 2 446 0 15 446 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P19541 1 SwissProt MSANSGVKRASKAFKTCLFCKRSHVVCDKQRPCSRCVKRDIAHLCREDDIAVPNEMPSQHESSPNDNNIQGKYANKAHTGIPSDYQNEPVNKSGSTYGEELSPKLDSSLVNDTTSLLLPQQPVFVSENVGSEFSSLNEFLSMLENPLLTQTSLSSSSASNVHLENGSQTTQSPLEYQNDNRRDEIGVARQENRSPTIMSGSSNSISKGDKQDQEKEESRILANANENSAPTPKEQFFLTAADPSTEMTPEHRLKLVINAKLEAGLLKPYNYAKGYARLQDYMDKYMNQSSKQRILKPLSTIRPAFRTIARSLKDVDLVLVEESFERMLLSYDRVFTSMSMPACLCRRTGEIYRANKEFASLVDCTVDDLRDGKLAIYELMTEESAVNFWEKYGSIAFDKGQKAVLTSCSLRTKDGIRKRPCCFSFTIRRDRYNIPICIVGNFIPLS
VIMSS6586565 1 562 0.36349537366548 PF08558.10:TRF:30:304,PF00249.31:Myb_DNA-binding:410:464 Protein TBF1; TBF-alpha; TTAGGG repeat-binding factor 1 562 330 15 562 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02457 1 SwissProt MDSQVPNNNESLNRFNDIIQSLPARTRLTICSLCLLDNISTQLLRFLILNANSPNIIAVLTDQTAFLSSGETEIFQTLVKLFKQIRMIYHTRSPLLSVHDVAPGLWFPNSPPPLILRGHEAFIITAIRKANLLTFLLTSLNCLNYGFELLQSIFLDIFCPNTNTVGNNSLEQSGKFLKSQAILYLDLKTQAYIAGLKEFQDETNEISLEKKQELLDLIFPSNLADILVQRRTGDSGDITLLTPSEKDFVERCDRRRENLKIVQDFNSLTQSYEWAQFIRELLDYCNKNMGLIIWGRKGRGKSPLYDFDVNEFDPQVLFSTGTRTVEFMDDQNQPSSASAFLSTARPNHYSTHTPTTDVSSKNPAITQSIVDAAVAASMSNSSSGPHSSHNNSSNSNNNGSIGLRKPKAKRTWSKEEEEALVEGLKEVGPSWSKILDLYGPGGKITENLKNRTQVQLKDKARNWKLQYLKSGKPLPDYLIKVTGNLEKIYKAKKKFSQSPNSSTIMEQNLSQHPSSAASATEDTQTHQEDSHGQNSDNMPSNGLFGNSTSDNTGFDPHLEDGM
VIMSS6586585 1 657 0.0900596651445967 PF03109.16:ABC1:225:352 ABC1 family protein YPL109C, mitochondrial 657 128 15 657 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02981 1 SwissProt MSFLKFAYRNSWRYYSKSTRHFHKIPIRQFIIPTSIAFYLTQNSFPKQNCLIYNDSLKPDPKGDTFEMGLYVSSENELQEKLKSFRSAKITESRNKLIRYLRIFWFGFNDNIVEPVCTILRFLEISAIFLPLLLLYPISWFGHKLKITDTNITETRGSLIWCQLLRKALELAGPSFIKLGQWAGSRTDIFSHALCHELGKLHSNVTAHSLSFTLEKLSQALKVDKIEDAFDEFNRTPIGVGSIAQVYVGELSQKYIDKYDNIQIGKDGNRWCAIKILHPNVRSQIRRDLKIMKFCADAINWIPTMEWLSLPSEVDQFSILMNIQLDLRIEALNLERFNENFKNSIQVKFPKPFLPLSNRDVMFEEHVYGLSMEKFLSTKKQINDVELCKKVSDPFVDAFLQMLILDDFVHADLHPGNVIIRFVKTNKYGTNIISSELESYRITHDLRKKIEEDQDQDFVGKLKSVLTNYTPQICFIDTGIITELNEKNRINFIALFNALARFDGYRAGELMIERSRTPETAIDKEVFAFKVEKLVDKVKQRTFTLGTVSIGDLLDQMLSMVRSHHVRMESDFVSVVVAILLLEGIGRQLDPNLDLFESSLPILREFGFKREAKSLLKDASTLSMLKIWVGLEVRQLMHLSMKQIYDLVRTDQLCPNY
VIMSS6586694 1 754 0.176196153846154 PF00916.20:Sulfate_transp:119:513,PF01740.21:STAS:578:707 Putative sulfate transporter YPR003C 754 525 15 521 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53394 1 SwissProt MTSNNSLLGRGRMSYSSTAPPRFKRSVDQRDTFSDNFDYDKDSSNRGRTYIAASNSTTGVPPPNNSRSGCTNNTNNTNNTSNTSNTNNNDSVDENTVFETLPYYLPCFSWLPEYTFNKLWGDVIAGISVASFQIPLALSYTTSIAHVPPLCGLYSLAISPFVYGILGSVPQMIVGPESAISLVVGQAVESITLHKENVSLIDISTVITFVSGTILLFSGISRFGFLGNVLSKALLRGFISSVGLVMIINSLISELKLDKFLVSLPQHYHTPFEKILFLIDYAPAQYHIPTAIFSGCCLIVLFLTRLLKRKLMKYHKSAIFFPDILLVVIVTILISMKFNLKHRYGISIIGDFSMDNFDELKNPLTRPRRKLIPDLFSASLIVAMLGFFESTTASKSLGTTYNLTVSSNRELVALGFMNIVISLFGALPAFGGYGRSKINALSGAQSVMSGVFMGVITLITMNLLLQFVHYIPNCVLSVITTIIGISLLEEVPGDIKFHLRCGGFSELFVFAVTFCTTIFYSIEAGICIGCVYSIINIIKHSAKSRIQILARVAGTSNFTNLDDYMMNMKRNSLDVEGTEEIEGCMIVRIPEPLTFTNSEDLKQRLDRIERYGSSKIHPGRKSLRSKDSIKYVIFDLGGMTSIDSSAAQVLEEIITSYKRRNVFIYLVNVSINDKVRRRLFKAGVAASVERAQANNNENNTSNTFSDAGETYSPYFDSIDAALYEIEKMKIKGNNVPNNDSESFMSNTLFNSSLV
VIMSS6586723 1 1121 0.480951293487956 PF02752.22:Arrestin_C:638:925 Transcription factor CSR2; CHS5 SPA2 rescue protein 2 1121 288 15 1121 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12734 1 SwissProt MQSTVPIAIASNGNKRDVVQNVSAGDEGDILQRLARNREMISTSLSPQKSSGFSGRRRSSSVRDALSSFFGTGNSPTSSMDDYSNLMNRNYSTASTAMCRGNSFPSDVGTKAYNITGSYQPDRHRNSVPYTTIDQLHTRQDTGLRRESDPVAAKQISSNNDIVRSFITHHASNSTMFINRVLSDYLADRGFIKQTPLYNKKSVLEISIATSAESVFLPTTKSDETEYLSLIHGSLNQARTQPVGSTNTAESDFLPSCPTMDTLNENNDLSLFPLHTQRTSPSNTARTGNAMDTSNSDRASPASNNNTTDADSFVASGNNNPMNNNNSPARNRHPNSHSRSLPNAWNSQMPSFSFALIFSLNKSTTLSDIKVELTSNVRVVWFNGLPPTKNVNEECYNIGSLDWTLNADNFNLFIPQGAKSPLDIVENHSNNRKLKVLQKLSMRKRRSFSNKAVLRENILNNLNASNSTNKLNAGVYVFTIPIVLASRIPESLYYPSARVSYSLRLATKLKDEHTQLVASRPRSSSISSPQKLRSYSCSDSYEYSQIDDTIEGETYNNDKNSTGKIAFPSSWLKSAKGRLKRNNSNGRSDNNGASSSGLAMQHDSEDTINLQYPLNLVRTPPEISVTTANKPLYINKVWENCLSYEISFAQKYVPLNGEIPITIKVAPLVKSLSVKRIRVSCREKISYRSKDYQYDFDQLDPLASDPCNPYHMRYLVRKKKDRSLPLFEVASKCTSGPSIREEVVTNTVDDNLLAYTSSKENNKDIPFSESFTVKTKLKFPKYCEVDATKAASLPPYGIDLFDPIKDPTQSENTSNNGNVLGFLVGRPNRASKTVHKIPQDKNHNEVNDTNGNSNTSLQTSSNVPIQHYTRLNKPRRGLYLDSMHFKNIQCSHKLEIVLRVSKTDSGSSKIIRHYEVIVDTPIYLISDLCNTSNIDLPTYDMATTESSKVLPPTFEEATSVSASPRSSVSYYPDDISMQQLNLSRSTSLANGYLSTLHPKTTAVSDSSNGAPIRDQQEQQARPLRTEDYALQMGNENNAYSNMDGLLSQDIFEQETAATLFKRDIVTMNFNNNIFTPRYSPRTFTNTDYNYNDNDNNDNDTEGPGPIIHPGPEPPRYDEISS 1
VIMSS6586734 1 543 0.508013075506445 PF00076.22:RRM_1:338:388 mRNA-binding protein PUF2; Pumilio homology domain family member 2 1075 51 15 543 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12221 1 SwissProt MDNKRLYNGNLSNIPEVIDPGITIPIYEEDIRNDTRMNTNARSVRVSDKRGRSSSTSPQKIGSYRTRAGRFSDTLTNLLPSISAKLHHSKKSTPVVVVPPTSSTPDSLNSTTYAPRVSSDSFTVATPLSLQSTTTRTRTRNNTVSSQITASSSLTTDVGNATSANIWSANAESNTSSSPLFDYPLATSYFEPLTRFKSTDNYTLPQTAQLNSFLEKNGNPNIWSSAGNSNTDHLNTPIVNRQRSQSQSTTNRVYTDAPYYQQPAQNYQVQVPPRVPKSTSISPVILDDVDPASINWITANQKVPLVNQISALLPTNTISISNVFPLQPTQQHQQNAVNLTSTSLATLCSQYGKVLSARTLRGLNMALVEFSTVESAICALEALQGKELSKVGAPSTVSFARVLPMYEQPLNVNGFNNTPKQPLLQEQLNHGVLNYQLQQSLQQPELQQQPTSFNQPNLTYCNPTQNLSHLQLSSNENEPYPFPLPPPSLSDSKKDILHTISSFKLEYDHLELNHLLQNALKNKGVSDTNYFGPLPEHNSKVPK
VIMSS6586842 1 140 0.00909714285714285 PF10190.9:Tmemb_170:36:135 Putative protein of unknown function (RefSeq) 140 100 15 71 3 Saccharomyces cerevisiae VIMSS6586842 0 MicrobesOnline MRSFVTNNDIPVGYVTPKFPSLYWPINNSKYNTAFLYYISDIWKFSLYWTLIFNGAFYVTAGVYASLTHRKKAGSVWIFVMYVLYGGVQGLTTGTVMGFLIGAIYRSGLFSMSTWVPLCCAVVQILFDVVLSYSMVGSVM
VIMSS661605 1 355 0.221551267605634 hypothetical protein 355 0 15 332 1 Leptospira interrogans serovar Lai str. 56601 NP_710797.2 1 RefSeq MRKLSSLISVLVLLMFLGNCAATVDVEYPVFPKDKEGRALQKFLGTIRNVGLAVEAPKKSLWEAIFGEGSSFIDQMPSKVFEAFDKESYYKLTDLSKRADAINEASLSLTGITKNRAKIGNLIGAEAILYIGYQKPYTECSTENKIDAVAAGLKVAGFAASMATGKDVNTGNEPVSKPTGVRMMLIPLDATLIKVETGEVKKAVVSSPAKIFNSVGNLECPSILDSFGQGLDEAAAYIKGRLSPIVKTERIKVFVKDEDEEVKELLQEGYEEIVGETPSFKKAKEAWEKADKKAKGQSWGAKANLATYYFSTGDFEKSIKLYEEAMKLKDADKSYLRELRKRVEATFAVDESNAK
VIMSS689 1 283 0.551516607773851 PF17458.2:DUF5421:1:283 hypothetical protein 283 283 15 283 0 Chlamydia trachomatis D/UW-3/CX NP_220190.1 1 RefSeq MELNKTSESLFSAKIDHNHPRTEAHEPRDQREVRVFSLEGRSSTRQEKADRMPGRTSSRQESSKGSEEGAVHESTAGVSSKEEEESKGDGFFTGGNPTSGMALVETPMAVVSEAMVETSTMTVSQVDLQWVEQLVTSTVESLLVADIDGKQLVEIVLDNSNTVPAAFCGANLTLVQTGEEISVSFSNFVDQAQLTEATQLVQQNPKQLVSLVESLKARQLNLTELVVGNVAVSLPTIEKIETPLHMIAATIRHHDQEGDQEGEGRQDQHQGQHQEKKVEEAHI
VIMSS700 379 1080 0.111917948717949 PF00905.22:Transpeptidase:458:683 penicillin-binding protein 1080 226 15 702 0 Chlamydia trachomatis D/UW-3/CX NP_220201.1 1 RefSeq MKDSPNQEECRSSVLRWLENLEYIGEVFDRRVPLRRERLDPLSGKYFDEELSFSYRAFLDFILPDTSKVKQMLCEKGSVGLSIYLQGTIEQLLEMFECEEKECGLVFDVLFPKEDGHEIIGEVTSLKRQKQFKAILAEREEEVQAFRERLGSIFADLSANYDKILFLDLLRTAVDPEKVSISLLAEIGHMSVLDFVDYQGHFIALRKSFAKLMENAFIDHDFTAWREEHFTQFIKQKRDEELERKQQYPTPYVDYLVEERSRQYALFCREHMDSFITFLLSEIEPPLGNPYYQEIACWRQELRSGAYPALEWREHYDFLHKHLSQTSYDLCELFAAFREFSELKRPLYGQYPLTLTRNIEQIEQDLIASFYPLYGYGHLSAHAFGQAATLGSIFKLVSAYSVLVQHLSDQEDLSKLLVIVDKQSLGLRSGKPHVGFFKDGSPIASFFKGGILPGNDYSGRGYIDLIAALEMSSNPYFSLLVSEYLSDPEDLCEAAKLFGFGEKTGIGLPGEYAGRVPIDVAYNRSGLYATAIGQHTLVVTPLQTAVMMATLVNGGIVYQPSLIQGEWYQGSFSPEQAKKKREIFLPDSIVDLFKRGMHNVIWGQYGTTRFMRQRFAPERLARIIGKTSTAEVIARVGLDRERGRMKLKDVWFAAVGYEDEALSHPDIVVVVYLRLGEFGRDAAPMAVRIIEKWEEIRKKSFS
VIMSS73348 1 185 0.36709027027027 PF04448.12:DUF551:115:181 unknown protein encoded within prophage CP-933O (NCBI ptt file) 185 67 15 185 0 Escherichia coli O157:H7 EDL933 VIMSS73348 1 MicrobesOnline MSTITRERAEIKSYITGFLSDSAHDNKSSDSLLANVFRIALASLEAEPIAMVVPDEMDLLTCHLDGVTKTYADGWNACRVAMLQAGNFRENKNSSTNNFREISETSTRSPITLDGWISCTERMPEKSQNVLISMNIDSEAGPLIYSARYLGGTFRRGGIAVSPGNDLRQATHWMSLPEPPQEVNQ
VIMSS76064 1 142 0.303585915492958 142 0 15 142 0 Escherichia coli O157:H7 EDL933 VIMSS76064 1 MicrobesOnline MDVLCPCLFHKKRLTVNMNNINQSENINIQLNKAPQTNFVDEHTSLASAPSAAGAAQFLDQLLPKTAGVSSPEQVLIEEIKKRHLATMNSDLSFDALSAGGLSPEDVLTLQKNVLNANVNVDVVSKLASLLSTSVTKLVSMQ
VIMSS76066 1 151 0.102290728476821 151 0 15 151 0 Escherichia coli O157:H7 EDL933 VIMSS76066 1 MicrobesOnline MNNNNGIAKNDCDWLTALDFVKDVNGSPTHLTFYIYQKNAFLHDFGNYWVLYIELSGDFRQVPTDTFIRLCNILAVSNEYKQMGIFLSNKKWYLCQIFHKDNNHRANMSKAIMQHTLASLLDKQFDKLEQLSSSDTMMPPTHLFSDIGRIV
VIMSS76151 1 319 0.0225156739811912 PF00528.22:BPD_transp_1:95:304 phosphate ABC transporter membrane subunit PstC (EC 7.3.2.1) 319 210 15 181 6 Escherichia coli K-12 substr. MG1655 ecocyc::PSTC-MONOMER 0 ecocyc MAATKPAFNPPGKKGDIIFSVLVKLAALIVLLMLGGIIVSLIISSWPSIQKFGLAFLWTKEWDAPNDIYGALVPIYGTLVTSFIALLIAVPVSFGIALFLTELAPGWLKRPLGIAIELLAAIPSIVYGMWGLFIFAPLFAVYFQEPVGNIMSNIPIVGALFSGPAFGIGILAAGVILAIMIIPYIAAVMRDVFEQTPVMMKESAYGIGCTTWEVIWRIVLPFTKNGVIGGIMLGLGRALGETMAVTFIIGNTYQLDSASLYMPGNSITSALANEFAEAESGLHVAALMELGLILFVITFIVLAASKFMIMRLAKNEGAR
VIMSS76744 1 731 0.309948974008208 PF00805.22:Pentapeptide:390:423,PF00805.22:Pentapeptide:425:453,PF00805.22:Pentapeptide:461:493,PF00805.22:Pentapeptide:554:584,PF13599.6:Pentapeptide_4:396:451,PF13599.6:Pentapeptide_4:555:627 731 171 15 731 0 Escherichia coli O157:H7 EDL933 VIMSS76744 1 MicrobesOnline MGSLFNIYKDIFPTLGMYSGLKACHEKNNLPFDINTEIETIQKQINYDINHLNDGLIKRVLNLFIHLISNPDNLELTLNRYSSTTEQIIGRTKRNGLHEFDDGDLKIIFNRQDDNESVLTVKDKDKDKDKDKDISHHCNVKTEQLQQFIKIMEQKAQLPIYIDKNNLKESIFSVLHNDPQQVDKDQHLPCEKFLKHACKSSNSFEVKLDATHQYQHLNNFMISFDPVENQLTIRDNNNKTETFSFTNLQWENLLQYYKENHQQPNIAGSRNLTDNIDKIKNTISTSEIIECASPEIRSSVLNDLYSIANFLPDNNLTPNESWKRFCETCERFYVAQKSITGDKSERLTRKLSISDAGITMTFKIGDVVINTISTAIPEDATGQRCIEGLNLAEMDLTDIDLSKMALRNVNFNGSILRNAKFSGTICEGVDFTDCDLRNAEFENASLENNDFRKVRHLTYVNFKNANLRNSNFNGKVLTGVTFTGSDLSNAYLEHIDFTTVILYETSKIPGIPGTPQIPGTPKVILTGAILNYSDLSGKDLSEYNLTGILCMYTNFSNANLTNCKISNANFSNAKFYNTNCTGANCSNILFDYAWFDNTIFIKTLFKNTCFYNVRAKNVYLEGAYLNNDNIVNQANNSTEKQSIDSTDKQANDSTVQQSIDSTVQQANDSTDKQANDNIDKQVNDSTDKQAKNSTEQQDSNSFNQARLKKEVNRRFSIPGLTSYQPTYIVEE
VIMSS81596 1 434 0.312286866359447 PF08341.11:TED:95:156 hypothetical protein (NCBI ptt file) 434 62 15 434 0 Mycobacterium leprae TN VIMSS81596 1 MicrobesOnline MTVLSISSRALAQVVTCRRITVRPTTELTHMTRYRSGTYSHTVDTIIFADGTCARTDLIRLNPNLHAYSLDFTGIAPQHPSRYRLGTFSALPHLSAHSCEAEVDWILRHSFPMRSTTDLSQRIREAGYPLGPANISEHEAIAATQAAIWYLTNGLALDTRPRNVPVAVHRCPGPVITFEFDGEPQLGGYSAWVDAEAPVDLKLQKSSNGVVWQDVSGSQRTIPAGIGRHQQTLGVGSTLLASSHGRGGRGYRYYRLIATTDAASPTIDHVDFWLTGTRHYHNADRVVYLYNYLLAETSHVLHQTDEPDLVDTNAIAEPELVGPFQVRIPLMFNASDGHTLVNSYGYVIDDIIQPGTDFYLRQTAGKSTTTLTATTPHNVAGRVVTGVAIEGTPQRLTPIALAIPTEMAIEFDISWEANEAEMFEEAEPLGDEDR
VIMSS893 1 1016 0.282984448818897 PF07548.11:ChlamPMP_M:515:682,PF02415.17:Chlam_PMP:271:305,PF02415.17:Chlam_PMP:345:362 outer membrane protein PmpH 1016 221 15 1016 0 Chlamydia trachomatis D/UW-3/CX NP_220394.1 1 RefSeq MPFSLRSTSFCFLACLCSYSYGFASSPQVLTPNVTTPFKGDDVYLNGDCAFVNVYAGAENGSIISANGDNLTITGQNHTLSFTDSQGPVLQNYAFISAGETLTLKDFSSLMFSKNVSCGEKGMISGKTVSISGAGEVIFWDNSVGYSPLSIVPASTPTPPAPAPAPAASSSLSPTVSDARKGSIFSVETSLEISGVKKGVMFDNNAGNFGTVFRGNSNNNAGSGGSGSATTPSFTVKNCKGKVSFTDNVASCGGGVVYKGTVLFKDNEGGIFFRGNTAYDDLGILAATSRDQNTETGGGGGVICSPDDSVKFEGNKGSIVFDYNFAKGRGGSILTKEFSLVADDSVVFSNNTAEKGGGAIYAPTIDISTNGGSILFERNRAAEGGAICVSEASSGSTGNLTLSASDGDIVFSGNMTSDRPGERSAARILSDGTTVSLNASGLSKLIFYDPVVQNNSAAGASTPSPSSSSMPGAVTINQSGNGSVIFTAESLTPSEKLQVLNSTSNFPGALTVSGGELVVTEGATLTTGTITATSGRVTLGSGASLSAVAGAANNNYTCTVSKLGIDLESFLTPNYKTAILGADGTVTVNSGSTLDLVMESEAEVYDNPLFVGSLTIPFVTLSSSSASNGVTKNSVTINDADAAHYGYQGSWSADWTKPPLAPDAKGMVPPNTNNTLYLTWRPASNYGEYRLDPQRKGELVPNSLWVAGSALRTFTNGLKEHYVSRDVGFVASLHALGDYILNYTQDDRDGFLARYGGFQATAASHYENGSIFGVAFGQLYGQTKSRMYYSKDAGNMTMLSCFGRSYVDIKGTETVMYWETAYGYSVHRMHTQYFNDKTQKFDHSKCHWHNNNYYAFVGAEHNFLEYCIPTRQFARDYELTGFMRFEMAGGWSSSTRETGSLTRYFARGSGHNMSLPIGIVAHAVSHVRRSPPSKLTLNMGYRPDIWRVTPHCNMEIIANGVKTPIQGSPLARHAFFLEVHDTLYIHHFGRAYMNYSLDARRRQTAHFVSMGLNRIF 1
WP_000375136.1 1 219 0.0204374429223744 PF01027.20:Bax1-I:19:213 modulator of FtsH protease 219 195 15 64 7 Escherichia coli K-12 substr. MG1655 ecocyc::EG11113-MONOMER 0 ecocyc MDRIVSSSHDRTSLLSTHKVLRNTYFLLSLTLAFSAITATASTVLMLPSPGLILTLVGMYGLMFLTYKTANKPTGIISAFAFTGFLGYILGPILNTYLSAGMGDVIAMALGGTALVFFCCSAYVLTTRKDMSFLGGMLMAGIVVVLIGMVANIFLQLPALHLAISAVFILISSGAILFETSNIIHGGETNYIRATVSLYVSLYNIFVSLLSILGFASRD
WP_000990133.1 1 226 0.218611946902655 PF07729.12:FCD:86:208,PF00392.21:GntR:17:73 GntR family transcriptional regulator 226 180 15 226 0 Staphylococcus aureus subsp. aureus MW2 WP_000990133.1 1 RefSeq MMYGYPEKWLEGMTTGEGIAAELRLGIVNGHIAEGTLLTENQMAKQFNVSRSPIRDAFKLLQQNQLIQLERMGAHVLPFGEQEKKEMYDLRLMLESFAFSRVKNQERLPIVKEMKKQLEMMKVAVKFEDAESFTKHDFEFHETLIKASNHQYLNSFWSHLKPVMMALVLTSMRQRMQQNPQDFERIHHNHQVFIDAVEQYDSQILKEAFHLNFDDVGKDIEGFWLN
WP_005463181.1 1 349 0.332503151862465 hypothetical protein 349 0 15 326 1 Vibrio parahaemolyticus WP_005463181.1 1 RefSeq MKTSLVNIAENQESLWGQANKEIEKKQNQPQQQAESGSVVPGQPLPGSSVSLNDLWRSIRESMKQVADSVSGSGQEKVATKKGLIELQKDSQIAMLNERASQLEEQKKAQQTQGILGKIAMAFGFIAAIIMAPFNPVMAAVMIGGMVASLVIPKIADEIMKSAGVDEKTRGIVKMGLDIAIGLGTMLLSFNPAGIASSAGKAIAGGAAKAAALVKRGVDAAKTLKSFTAISSKAGGLAEKIRKSAQPLLDKIQEFAKGGQMSAARIGQASSVGSNVTSLVSTGYGIKTADISKQMEVNQAKQDELQTRIEQVLKMLDQAMRSVAHSFETLIKTNEDYRSFSKTMTSIHM
XP_005248944.1 1 272 0.543615073529412 Fanconi anemia group E protein isoform X3 494 0 15 272 0 Homo sapiens XP_005248944.1 1 RefSeq MATPDAGLPGAEGVEPAPWAQLEAPARLLLQALQAGPEGARRGLGVLRALGSRGWEPFDWGRLLEALCREEPVVQGPDGRLELKPLLLRLPRICQRNLMSLLMAVRPSLPESGLLSVLQIAQQDLAPDPDAWLRALGELLRRDLGVGTSMEGASPLSERCQRQLQSLCRGLGLGGRRLKSPQAPDPEEEENRDSQQPGKRRKDSEEEAASPEGKRVPKRLRCWEEEEDHEKERPEHKSLESLADGGSASPIKDQPVMAVKTGEDGSNLDDAK
XP_005253166.1 1 275 0.828476727272727 PF16322.5:Tub_N:71:275 tubby protein homolog isoform X1 548 205 15 275 0 Homo sapiens XP_005253166.1 1 RefSeq MVQSSARASAGPAACEPAPSPAPPPPAEPRAEPEAAMEGVSSHRTLSYSRWSYDSVLDDEGRNLRQQKLDRQRALLEQKQKKKRQEPLMVQANADGRPRSRRARQSEEQAPLVESYLSSSGSTSYQVQEADSLASVQLGATRPTAPASAKRTKAAATAGGQGGAARKEKKGKHKGTSGPAALAEDKSEAQGPVQILTVGQSDHAQDAGETAAGGGERPSGQDLRATMQRKGISSSMSFDEDEEDEEENSSSSSQLNSNTRPSSATSRKSVREAAS
XP_005255551.1 1 855 0.279373333333333 PF12595.8:Rhomboid_SP:91:308,PF01694.22:Rhomboid:650:788 Inactive rhomboid protein 1; iRhom1; Epidermal growth factor receptor-related protein; Rhomboid 5 homolog 1; Rhomboid family member 1; p100hRho 855 357 15 720 6 Homo sapiens (Human) SwissProt::Q96CC6 1 SwissProt MSEARRDSTSSLQRKKPPWLKLDIPSAVPLTAEEPSFLQPLRRQAFLRSVSMPAETAHISSPHHELRRPVLQRQTSITQTIRRGTADWFGVSKDSDSTQKWQRKSIRHCSQRYGKLKPQVLRELDLPSQDNVSLTSTETPPPLYVGPCQLGMQKIIDPLARGRAFRVADDTAEGLSAPHTPVTPGAASLCSFSSSRSGFHRLPRRRKRESVAKMSFRAAAALMKGRSVRDGTFRRAQRRSFTPASFLEEDTTDFPDELDTSFFAREGILHEELSTYPDEVFESPSEAALKDWEKAPEQADLTGGALDRSELERSHLMLPLERGWRKQKEGAAAPQPKVRLRQEVVSTAGPRRGQRIAVPVRKLFAREKRPYGLGMVGRLTNRTYRKRIDSFVKRQIEDMDDHRPFFTYWLTFVHSLVTILAVCIYGIAPVGFSQHETVDSVLRNRGVYENVKYVQQENFWIGPSSEALIHLGAKFSPCMRQDPQVHSFIRSAREREKHSACCVRNDRSGCVQTSEEECSSTLAVWVKWPIHPSAPELAGHKRQFGSVCHQDPRVCDEPSSEDPHEWPEDITKWPICTKNSAGNHTNHPHMDCVITGRPCCIGTKGRCEITSREYCDFMRGYFHEEATLCSQVHCMDDVCGLLPFLNPEVPDQFYRLWLSLFLHAGILHCLVSICFQMTVLRDLEKLAGWHRIAIIYLLSGVTGNLASAIFLPYRAEVGPAGSQFGILACLFVELFQSWQILARPWRAFFKLLAVVLFLFTFGLLPWIDNFAHISGFISGLFLSFAFLPYISFGKFDLYRKRCQIIIFQVVFLGLLAGLVVLFYVYPVRCEWCEFLTCIPFTDKFCEKYELDAQLH
XP_005258229.1 1 801 0.539230711610487 PF03359.13:GKAP:655:800 disks large-associated protein 1 isoform X1 987 146 15 801 0 Homo sapiens XP_005258229.1 1 RefSeq MKGLSGSRSHHHGVTCDSACDSLSHHSDRKPYLLSPVEHHPADHPYYTQRNSFQAECVGPFSDPLASSTFPRRHYTSQQELKDECALVPRTLATKANRIPANLLDQFERQLPLSRDGYHTLQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGPSKGSVNGGKASPDEAQAARYGKRSKSKERRAEPKARPSTSPGWWSSDDNLDGDMCIYHAPSGVMTMGRCPDRSASQYFLEAYNTISEQAVKASRSNNDVKCSTCANLPVSLDTPLLKKSAWSSTLTVSRAREVYQKASVNMDQAMVKSESCQQERSCQYLQVPQDEWTGYTPRGKDDEIPCRRMRSGSYIKAMGDEDSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEVSINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQAVEALDLPMPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGVIKLSSAVEVSSCITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDIISQSGLSNSTESLDSMKALTAAIEAANAQIHGPASQHMGNNTATVTTTTTIATVTTEDRKKDHFKKNRCLSIGIQVDDAEEPDKTGENKAPSKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDSITEDPLEAVQRSVCHR
XP_005273408.1 123 330 0.525492307692307 fas apoptotic inhibitory molecule 3 isoform X1 330 0 15 185 1 Homo sapiens XP_005273408.1 1 RefSeq HSEYEPSWEEQPMPETPKWFHLPYLFQMPAYASSSKFVTRVTTPAQRGKVPPVHHSSPTTQITHRPRVSRASSVAGDKPRTFLPSTTASKISALEGLLKPQTPSYNHHTRLHRQRALDYGSQSGREGQGFHILIPTILGLFLLALLGLVVKRAVERRKALSRRARRLAVRMRALESSQRPRGSPRPRSQNNIYSACPRRARGADAAGV
XP_006247993.1 1 471 0.0942193205944798 PF07690.16:MFS_1:28:375 monocarboxylate transporter 4 isoform X1 471 348 15 213 12 Rattus norvegicus XP_006247993.1 1 RefSeq MGGAVVDEGPTGIKAPDGGWGWAVLFGCFIITGFSYAFPKAVSVFFKELMHEFGIGYSDTAWISSILLAMLYGTGPLCSMCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQIYLTTGVITGLGLALNFQPSLIMLNRYFNKRRPMANGLAAAGSPVFLCALSPLGQLLQDHYGWRGGFLILGGLLLNCCVCAALMRPLVAPQASGGAEPHGPQRPSPRLLDLSVFRDRGFLIYAVAASIMVLGLFVPPVFVVSYAKDMGVPDTKAAFLLTILGFIDIFARPTAGFITGLKKVRPYSVYLFSFAMFFNGFTDLTGSTASDYGGLVVFCIFFGISYGMVGALQFEVLMAIVGTQKFSSAIGLVLLLEAVAVLIGPPSGGKLLDATKVYKYVFILAGAEVLTSSLVLLLGNFFCIGKRKRPEVTKPEEVASEEEKLHKPPVDVRVDSREVEHFLKAEPEKNGEVVHTPETSV
XP_006249200.1 1 354 0.65212627118644 neurosecretory protein VGF isoform X2 617 0 15 354 0 Rattus norvegicus XP_006249200.1 1 RefSeq MKTFTLPASVLFCFLLLIRGLGAAPPGRSDVYPPPLGSEHNGQVAEDAVSRPKDDSVPEVRAARNSEPQDQGELFQGVDPRALAAVLLQALDRPASPPAVPAGSQQGTPEEAAEALLTESVRSQTHSLPASEIQASAVAPPRPQTQDNDPEADDRSEELEALASLLQELRDFSPSNAKRQQETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPSVPSQFQARMSENVPLPETHQFGEGVSSPKTHLGETLTPLSKAYQSLSAPFPKVRRLEGSFLGGSEAGERLLQQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRDLGGRGL
XP_006256086.1 171 428 0.430658914728682 PF15975.5:Flot:140:218 flotillin-1 isoform X1 428 79 15 258 0 Rattus norvegicus XP_006256086.1 1 RefSeq QVQKDARIGEAEAKRDAGIREAKAKQEKVSAQCLSEIEMAKAQRDYELKKATYDIEVNTRRAQADLAYQLQVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAEAERYRLERLAEAEKAQLIMQAEAEAESVRMRGEAEAFAVGARARAEAEQMAKKAEAFQMYQEAAQLDMLLEKLPQVAEEISGPLTSANKITLVSSGSGTMGAAKVTGEVLDILSRLPESVERLTGVSISQVNHNKPLRTA
XP_006498938.1 187 558 0.544084139784946 PF09316.10:Cmyb_C:131:176,PF09316.10:Cmyb_C:222:312 myb-related protein B isoform X2 602 137 15 372 0 Mus musculus XP_006498938.1 1 RefSeq GGFPAESRDCKPVYLLLELEDKEQHQGVQPVDGQGSLVSSWPLVPSIVKEESSEEEIAIAATSAKELGHEPVPADLGEVRTPEPPESLKREYQEFSSPETSLPYKWVVEAANLLIPAVGSSLSEALDLIESFLNFWNKQDTLELESPSLTSTPVCSQKVVVTTPLHRDKTPLHQKYPSSVSQKLQSARAVVAHAFNPSTWEAEAGGFLSSRPAWSKESEVLPDQKYSMDNTPHTPTPFKNALEKYGPLKPLPQTPHLEEDLKEVLRSEAGMELIIEDDMRPEKQKRKPGLRRSPIKKVRKSLALDIMDEDGKLMSSTMPKPLSLPTSVTPSSCGFTSPGSKEGNSLLNQGFLQAKPEKVVAAQKTRSHIPTP
XP_006499315.1 1 1271 0.537608890637292 activating molecule in BECN1-regulated autophagy protein 1 isoform X1 1271 0 15 1271 0 Mus musculus XP_006499315.1 1 RefSeq MKVVPEKNAVRILWGRERGTRAMGAQRLLQELVEDKTRWMKWEGKRVELPDSPRSTFLLAFSPDRTLLASTHVNHNIYITEVKTGKCVHSLIGHRRTPWCVTFHPTISGLIASGCLDGEVRIWDLHGGSESWFTDSNNAIASLAFHPTAQLLLIATANEIHFWDWSRREPFAVVKTASEMERVRLVRFDPLGHYLLTAIVNPSNQQGDDEPEIPIDGTELSHYRQRALLQSQPVRRTPLLHNFLHMLSSRSSGIQVGEQSTVQDSATPSPPPPPPQPSTERPRTSAYIRLRQRVSYPTTVECCQHPGILCLCSRCAGTRVPSLLPHQDSVPPASARATTPSFSFVQTEPFHPPEQASSTQQDQGLLNRPSAFSTVQSSTAGNTLRNLSLGPTRRSLGGPLSSHPSRYHRELAPGLTGSEWTRTVLTLNSRSEVESMPPPRTSASSVSLLSVLRQQEGGSQASVYTSATEGRGFPSSGLATESDGGNGSSQNNSGSIRHELQCDLRRFFLEYDRLQELDQSLSGETPQTQQAQEMLNNNIESERPGPSHLPTPHSSENNSNLSRGHLNRCRACHNLLTFNNDTLRWERTTPNYSSGEASSSWHVSTTFEGMPPSGNQLPPLERTEGQMPSSSRLELSSSASSQEERTVGVAFNQETGHWERIYTQSSRSGTVSQEALHQDMPEESSEEDSLRRLSPAAYYAQRMIQYLSRRDSIRQRSMRYQQNRLRSSTSSSSSDNQGPSVEGTDLEFEDFEDNGDRSRHRAPRNARMSAPSLGRFVPRRFLLPEYLPYAGIFHERGQPGLATHSSVNRVLAGAVIGDGQSAVASNIANTTYRLQWWDFTKFDLPEISNASVNVLVQNCKIYNDASCDISADGQLLAAFIPSSQRGFPDEGILAVYSLAPHNLGEMLYTKRFGPNAISVSLSPMGRYVMVGLASRRILLHPSTEHMVAQVFRLQQAHGGETSMRRVFNVLYPMPADQRRHVSINSARWLPEPGLGLAYGTNKGDLVICRPEALNSGIEYYWDQLSETVFTVHSSSRSSERPGTSRATWRTDRDMGLMNAIGLQPRNPTTSVTSQGTQTLALQLQNAETQTEREEEEPGAASSGPGEGEGSEYGGSGEDALSRIQRLMAEGGMTAVVQREQSTTMASMGGFGNNIIVSHRIHRSSQTGTESGAARTSSPQPSTSRGLPSEPGQLAERALSPRTASWDQPSTSGRELPQPALSSSSPVPIPVPLASNEGPTMHCNVTNNSHLPEGDGSNRGEAAGPSGEPQNR
XP_006505879.1 1 505 0.279464554455446 PF00777.18:Glyco_transf_29:234:499 lactosylceramide alpha-2,3-sialyltransferase isoform X1 505 266 15 482 1 Mus musculus XP_006505879.1 1 RefSeq MHTEAVGGAARRPQKLRSQAAAPACRGTWRSPQSAERTPGSPDSGDPPLRYPRPSGSSPALRRRTRLLGDTGGGSRPLAAYMGAPGAACAGVDAALRPYANAGPEKRDLSAERKDQKTMPSEFTSAKLRSDCSRTSLQWYTRTQHKMRRPSLLIKDICKCTLVAFGVWLLYILILNYTAEECDMKRMHYVDPDRIKRAQSYAQEVLQKECRPRYAKTAMALLFEDRYSINLEPFVQKVPTASEAELKYDPPFGFRKFSSKVQSLLDMLPEHDFPEHLRAKACKRCVVVGNGGILHGLELGHALNQFDVVIRLNSAPVEGYSEHVGNKTTIRMTYPEGAPLSDVEYYANDLFVTVLFKSVDFKWLQAMVKNESLPFWVRLFFWKQVAEKVPLQPKHFRILNPVIIKETAFDILQYSEPQSRFWGHDKNIPTIGVIAVVLATHLCDEVSLAGFGYDLSQPRTPLHYFDSQCMGAMHWQVMHNVTTETKFLLKLLKEGVVEDLSGGIH
XP_006513479.1 1 512 0.090478125 PF01770.18:Folate_carrier:23:426,PF07690.16:MFS_1:77:400 Reduced folate transporter; Intestinal folate carrier 1; IFC-1; Reduced folate carrier 1; RFC-1; RFC1; Solute carrier family 19 member 1 512 404 15 267 11 Mus musculus (Mouse) SwissProt::P41438 1 SwissProt MVPTGQVAEKQAYEEPRQDHELKSWRCLVFYLCFFGFMAQLRPGESFITPFLLERKFTKEQVTNEIIPMLPYSHLAVLVPVFLLTDYLRYKPVLVLQCLSFVCVWLLLLLGTSVVHMQLMEVFYSVTMAARIAYSSYIFSLVHPSRYQRMASYSRAAVLLGVFISSVLGQALVTVGHISTYTLNCVSLGFILFSLVLSLFLKRPKRSLFFNRSTLARGALPCELDQMHPGPDRPETRKLDRMLGTCRDSFLVRMLSELVENARQPQLRLWCLWWVFNSSGYYLITYYVHVLWRSTDSSLSYNGAVDAASTLLSAITSFSAGFLSIRWTLWSKLVIAGVIAIQASLVFCMFQIRDIWVCYVTFVLFRGAYQFLVPIATFQIASSLSKELCALVFGINTFLATALKTCITLVVSDKRGLGLQVRDQFRIYFIYFLMLSITCFAWAGLDGLRYCQRGRHQPLAQAQELRSPLETSVQAISLQDGDLRGPQPSAPQLLSEDGMEDDRGDLRVEAKA
XP_006513877.1 1 478 0.453893723849372 calcyphosin-2 isoform X3 592 0 15 478 0 Mus musculus XP_006513877.1 1 RefSeq MDLEVKGVAASRSQTRLFSGRKNSLQQGWTSRSWTNQNSCLPMVPPLDLGSLVDSDEEDNFSQTARGTVHVHLNPPRSEPALGWVLPCQRPNSQHRLQEVEQDVIPEDLPAPTGKYRLKYQQYASEMKDGYKQYIQRSTEKPKAASRPEATEKVEGASLDDLMTLDRKALLQQGYADSPYGRQSITRKSDVETVAIEKKKQTVAEQMMMDHLSRAVISDPEQDLNTKNQESSRVPPDSERAPLRVRRRTLHETKIRTNSALTENDLSQKVEFDGRVLSRNGRDACRELIGFFFAHDQSLTVYEYRMFGKNRTSVLPFIKKDIYHHQCGRRKGKQYELGDVYTGATLTFLSCDQPSLPKTIKENALLRLRITNIDQVALNSLKAASAEHGEEEAVSPEAHDQLVLQAIQDKLKEQLHKKGARILTGLGRYFQGLDKEGNGLLEKADFQQALKTFHLEVSEQDFESFWLILQGYGHSKNK
XP_006518633.1 1 105 0.618476190476191 Homeobox expressed in ES cells 1; Anterior-restricted homeobox protein; Homeobox protein ANF; Rathke pouch homeo box 185 0 15 105 0 Mus musculus (Mouse) SwissProt::Q61658 1 SwissProt MSPSLREGAQLRESKPAPCSFSIESILGLDQKKDCTTSVRPHRPWTDTCGDSEKGGNPPLHAPDLPSETSFPCPVDHPRPEERAPKYENYFSASETRSLKRELSW
XP_006526978.1 1 199 0.507465829145729 T-cell leukemia homeobox protein 1 isoform X1 345 0 15 199 0 Mus musculus XP_006526978.1 1 RefSeq MEHLGPHHLHPGHAEPISFGIDQILNSPDQGGCMGPASRLQDGDYGLGCLVGGAYTYGGGGSAAGAGAGGTGAYGAGGPGGPGGPAGGGGGACSMGPLPGSYNVNMALAGGPGPGGGGGGGGAGGAGALSAAGVIRVPAHRPLAGAVAHPQPLATGLPTVPSVPAVPGVNNLTGLTFPWMESNRRYTKDRFTVALSPFT
XP_006531103.1 65 402 0.537995266272189 heat shock factor protein 4 isoform X4 402 0 15 338 0 Mus musculus XP_006531103.1 1 RefSeq RGDDSRWRPEDLSRLLGEVQALRGVQESTEARLQELRQQNEILWREVVTLRQSHSQQHRVIGKLIQCLFGPLQTGPSSTGAKRKLSLMLDEGSACSASAKFNACPVSGALLQDPYFIQSPSPCSPSQRPRWASALTGPEGPSSLTSQKILHLLKDTGFLPPVVAGAPPPLPVAVVQAILEGKGSYSPEGPRSVQQPEPRGPREVPDRGTLGLDRGNRSPESLLPPMLLRPAPETLEPVAPVDVLGPSLHGREWTLMDLDMELSLMQPLAPETDEAELTVKELNSSGVGKDHTLGTPLMLDVQADLEGAALSVPGALTLYNVTESNASYLDPGASPSSP
XP_006532167.1 234 580 0.660338904899135 PF17218.3:CBX7_C:306:337 chromobox protein homolog 2 isoform X2 580 32 15 347 0 Mus musculus XP_006532167.1 1 RefSeq EQKAARRPVSLAKVLKTTRKDLGTSAAKLPPPLSAPVAGLAALKAHTKEACGGPSTMATPENLASLMKGMAGSPSRGGIWQSSIVHYMNRMSQSQVQAASRLALKAQATNKCGLGLDLKVRTQKGGELGGSPAGGKVPKAPGGGAAEQQRGNHSGSPGAQLAPTQELSLQVLDLQSVKNGVPGVGLLARHAPAKAIPATNPATGKGPGSGPTGANMTNAPTDNNKGEKLTCKATALPAPSVKRDTVKSVAASGGQEGHTAPGEGRKPPALSELSTGEENSSSDSDPDSTSLPSAAQNLSVAIQTSQDWKPTRSLIEHVFVTDVTANLITVTVKESPTSVGFFNLRHY
XP_006534619.1 60 211 0.671703947368421 transcription factor HES-7 isoform X1 211 0 15 152 0 Mus musculus XP_006534619.1 1 RefSeq PPGVPRSPGQDAEALASCYLSGFRECLLRLAAFAHDASPAARSQLFSALHGYRRPKPPRPEAVDPGLPAPRPPLDPASPILGPALHQRPPVHQGPPSPRLAWSPSHCSSRAGDSGAPAPLTGLLPPPPPPYRQDGAPKAPSLPPPAFWRPWP
XP_006540659.1 1 188 0.616413297872341 PF07716.15:bZIP_2:117:170 D site-binding protein isoform X1 188 54 15 188 0 Mus musculus XP_006540659.1 1 RefSeq MARPLSDRTPGPLLLGGPAGAPPGGGALLGLRSLLQGNSKPKEPASCLTSRDTPSPVDPDTVEVLMTFEPDPADLALSSIPGHETFDPRRHRFSEEELKPQPIMKKARKVQVPEEQKDEKYWSRRYKNNEAAKRSRDARRLKENQISVRAAFLEKENALLRQEVVAVRQELSHYRAVLSRYQAQHGTL
XP_006722389.1 259 2255 0.387210816224335 centrosomal protein of 192 kDa isoform X2 2506 0 15 1997 0 Homo sapiens XP_006722389.1 1 RefSeq NGLRQANENGSLNCKFQSENNSSLISLDSHSSETTHKESEESQVICLPGTSNSIGTGDSRRYTDGMLPFSSGTWGTEKEIENLKGIVPDLNSECASKDVLVKTLRAIDVKLNSDNFHDANANRGGFDLTDPVKQGAECPHQNKTVLHMDGCLDTETPTVSIQENVDVASLKPISDSGINFTDAIWSPTCERRTCECHESIEKNKDKTDLPQSVVYQNEEGRWVTDLAYYTSFNSKQNLNVSLSDEMNEDFRSGSEAFDLIAQDEEEFNKEHQFIQEENIDAHNTSVALGDTSWGATINYSLLRKSRSTSDLDKDDASYLRLSLGEFFAQRSEALGCLGGGNNVKRPSFGYFIRSPEKREPIALIRKSDVSRGNLEKEMAHLNHDLYSGDLNEQSQAQLSEGSITLQVEAVESTSQVDENDVTLTADKGKTEDTFFMSNKPQRYKDKLPDSGDSMLRISTIASAIAEASVNTDPSQLAAMIKALSNKTRDKTFQEDEKQKDYSHVRHFLPNDLEKSNGSNALDMEKYLKKTEVSRYESALENFSRASMSDTWDLSLPKEQTTQDIHPVDLSATSVSVRAPEENTAAIVYVENGESENQESFRTINSSNSVTNRENNSAVVDVKTCSIDNKLQDVGNDEKATSISTPSDSYSSVRNPRITSLCLLKDCEEIRDNRENQRQNECVSEISNSEKHVTFENHRIVSPKNSDLKNTSPEHGGRGSEDEQESFRPSTSPLSHSSPSEISGTSSSGCALESFGSAAQQQQPPCEQELSPLVCSPAGVSRLTYVSEPESSYPTTATDDALEDRKSDITSELSTTIIQGSPAALEERAMEKLREKVPFQNRGKGTLSSIIQNNSDTRKATETTSLSSKPEYVKPDFRWSKDPSSKSGNLLETSEVGWTSNPEELDPIRLALLGKSGLSCQVGSATSHPVSCQEPIDEDQRISPKDKSTAGREFSGQVSHQTTSENQCTPIPSSTVHSSVADMQNMPAAVHALLTQPSLSAAPFAQRYLGTLPSTGSTTLPQCHAGNATVCGFSGGLPYPAVAGEPVQNSVAVGICLGSNIGSGWMGTSSLCNPYSNTLNQNLLSTTKPFPVPSVGTNCGIEPWDSGVTSGLGSVRVPEELKLPHACCVGIASQTLLSVLNPTDRWLQVSIGVLSISVNGEKVDLSTYRCLVFKNKAIIRPHATEEIKVLFIPSSPGVFRCTFSVASWPCSTDAETIVQAEALASTVTLTAIAESPVIEVETEKKDVLDFGDLTYGGWKALPLKLINRTHATVPIRLIINANAVAWRCFTFSKESVRAPVEVAPCADVVTRLAGPSVVNHMMPASYDGQDPEFLMIWVLFHSPKKQISSSDILDSAEEFSAKVDIEVDSPNPTPVLRSVSLRARAGIARIHAPRDLQTMHFLAKVASSRKQHLPLKNAGNIEVYLDIKVPEQGSHFSVDPKNLLLKPGEEHEVIVSFTPKDPEACEERILKIFVQPFGPQYEVVLKGEVISSGSKPLSPGPCLDIPSILSNKQFLAWGGVPLGRTQLQKLALRNNSASTTQHLRLLIRGQDQDCFQLQNTFGSEQRLTSNCEIRIHPKEDIFISVLFAPTRLSCMLARLEIKQLGNRSQPGIKFTIPLSGYGGTSNLILEGVKKLSDSYMVTVNGLVPGKESKIVFSVRNTGSRAAFVKAVGFKDSQKKVLLDPKVLRIFPDKFVLKERTQENVTLIYNPSDRGINNKTATELSTVYLFGGDEISRQQYRRALLHKPEMIKQILPEHSVLQNINFVEAFQDELLVTEVYDLPQRPNDVQLFYGSMCKIILSVIGEFRDCISSREFLQPSSKASLESTSDLGASGKHGGNVSLDVLPVKGPQGSPLLSRAARPPLDQLASEEPWTVLPEHLILVAPSPCDMAKTGRFQIVNNSVRLLRFELCWPAHCLTVTPQHGCVAPESKLQILVSPNSSLSTKQSMFPWSGLIYIHCDDGQKKIVKVQIREDLTQVELLTRLTSKPFGILSPVSEP
XP_008762281.1 111 296 0.919441397849462 nuclease-sensitive element-binding protein 1 isoform X2 296 0 15 186 0 Rattus norvegicus XP_008762281.1 1 RefSeq NHYRRYPRRRGPPRNYQQNYQNSESGEKNEGSESAPEGQAQQRRPYRRRRFPPYYMRRPYARRPQYSNPPVQGEVMEGADNQGAGEQGRPVRQNMYRGYRPRFRSVHFRGPPRQRQPREDGNEEDKENQGDETQGQQPPQRRYRRNFNYRRRRPENPKPQDGKETKAADPPAENSSAPEAEQGGAE
XP_011242859.1 1 904 0.313081194690266 PF18027.1:Pepdidase_M14_N:704:838 cytosolic carboxypeptidase 1 isoform X5 1113 135 15 904 0 Mus musculus XP_011242859.1 1 RefSeq MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMTTKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMNASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCLQLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLKSKTNARRAVDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYNTSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGPVAQLYSLPPEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVPGRTIEELKMYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSVKKGVVMKERASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQNVPSQVASGLNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETEDDEDTESHSSTEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPYGVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGNLRKVIQIRKSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYGMQPLMYSVQEALNARPWWIRMGTDICYYKNHFSRSSVAAGGQKGKSYYTITFTVNFPHKDDVCYFAYHYPYTYSTLQMHLQKLESAHNPQQIYFRKDVLCETLSGNICPLVTITAMPESNYYEHICQFRTRPY
XP_011509553.1 1 193 0.103066321243523 PF04598.12:Gasdermin:32:76 pejvakin isoform X4 193 45 15 193 0 Homo sapiens XP_011509553.1 1 RefSeq MESIRTTRQCSLSVHAGIRGEAMRFHFMDEQNPKGRDKAIVFPAHTTIAFSVFELFIYLDGAFDLCVTSVSKGGFEREETATFALLYRLRNILFERNRRVMDVISRSQLYLDDLFSDYYDKPLSMTDISLKEGTHIRVNLLNHNIPKGPCILCGMGNFKRETVYGCFQCSVDGQKYVRLHAVPCFDIWHKRMK
XP_011509774.1 1 1740 0.570796609195402 PF00855.17:PWWP:1616:1711 methyl-CpG-binding domain protein 5 isoform X1 1740 96 15 1740 0 Homo sapiens XP_011509774.1 1 RefSeq MNGGKECDGGDKEGGLPAIQVPVGWQRRVDQNGVLYVSPSGSLLSCLEQVKTYLLTDGTCKCGLECPLILPKVFNFDPGAAVKQRTAEDVKADEDVTKLCIHKRKIIAVATLHKSMEAPHPSLVLTSPGGGTNATPVVPSRAATPRSVRNKSHEGITNSVMPECKNPFKLMIGSSNAMGRLYVQELPGSQQQELHPVYPRQRLGSSEHGQKSPFRGSHGGLPSPASSGSQIYGDGSISPRTDPLGSPDVFTRSNPGFHGAPNSSPIHLNRTPLSPPSVMLHGSPVQSSCAMAGRTNIPLSPTLTTKSPVMKKPMCNFSTNMEIPRAMFHHKPPQGPPPPPPPSCALQKKPLTSEKDPLGILDPIPSKPVNQNPVIINPTSFHSNVHSQVPMMNVSMPPAVVPLPSNLPLPTVKPGHMNHGSHVQRVQHSASTSLSPSPVTSPVHMMGTGIGRIEASPQRSRSSSTSSDHGNFMMPPVGPQATSSGIKVPPRSPRSTIGSPRPSMPSSPSTKSDGHHQYKDIPNPLIAGISNVLNTPSSAAFPTASAGSSSVKSQPGLLGMPLNQILNQHNAASFPASSLLSAAAKAQLANQNKLAGNNSSSSSNSGAVAGSGNTEGHSTLNTMFPPTANMLLPTGEGQSGRAALRDKLMSQQKDALRKRKQPPTTVLSLLRQSQMDSSAVPKPGPDLLRKQGQGSFPISSMSQLLQSMSCQSSHLSSNSTPGCGASNTALPCSANQLHFTDPSMNSSVLQNIPLRGEAVHCHNANTNFVHSNSPVPNHHLAGLINQIQASGNCGMLSQSGMALGNSLHPNPPQSRISTSSTPVIPNSIVSSYNQTSSEAGGSGPSSSIAIAGTNHPAITKTTSVLQDGVIVTTAAGNPLQSQLPIGSDFPFVGQEHALHFPSNSTSNNHLPHPLNPSLLSSLPISLPVNQQHLLNQNLLNILQPSAGEGKSEINLHPLGFLNPNVNAALAFLSSDMDGQVLQPVHFQLLAALLQNQAQAAAMLPLPSFNLTISDLLQQQNTPLPSLTQMTAPPDHLPSNQSDNSRAETLLTSPLGNPLPSFAGSDTTFNPLFLPAVNGASGLMTLNPQLLGGVLNSASANTANHPEVSIATSSQATTTTTTTSSAVAALTVSTLGGTAVVSMAETLLNISNNAGNTPGPAKLNSNSVVPQLLNPLLGTGLLGDMSSINNTLSNHQLTHLQSLLNNNQMFPPNQQQQQLLQGYQNLQAFQGQSTIPCPANNNPMACLFQNFQVRMQEDAALLNKRISTQPGLTALPENPNTTLPPFQDTPCELQPRIDPSLGQQVKDGLVVGGPGDASVDAIYKAVVDAASKGMQVVITTAVNSTTQISPIPALSAMSAFTASIGDPLNLSSAVSAVIHGRNMGGVDHDGRLRNSRGARLPKNLDHGKNVNEGDGFEYFKSASCHTSKKQWDGEQSPRGERNRWKYEEFLDHPGHIHSSPCHERPNNVSTLPFLPGEQHPILLPPRNCPGDKILEENFRYNNYKRTMMSFKERLENTVERCAHINGNRPRQSRGFGELLSTAKQDLVLEEQSPSSSNSLENSLVKDYIHYNGDFNAKSVNGCVPSPSDAKSISSEDDLRNPDSPSSNELIHYRPRTFNVGDLVWGQIKGLTSWPGKLVREDDVHNSCQQSPEEGKVWVMWFGLHTFTQVEPEKLKTLTEGLEAYSRVRKRNRKSGKLNNHLEAAIHEAMSELDKMSGTVHQIPQGDRQMRPPKPKRRKISR
XP_011511154.1 1 258 0.647236046511628 PF10248.9:Mlf1IP:1:192 myeloid leukemia factor 1 isoform X2 258 192 15 258 0 Homo sapiens XP_011511154.1 1 RefSeq MRQMIRSFSEPFGRDLLSISDGRGRAHNRRGHNDGEDSLTATSCSLVPFGDFGGMHTDVSSFQTMDQMVSNMRNYMQKLERNFGQLSVDPNGHSFCSSSVMTYSKIGDEPPKVFQASTQTRRAPGGIKETRKAMRDSDSGLEKMAIGHHIHDRAHVIKKSKNKKTGDEEVNQEFINMNESDAHAFDEEWQSEVLKYKPGRHNLGNTRMRSVGHENPGSRELKRREKPQQSPAIEHGRRSNVLGDKLHIKGSSVKSNKK
XP_011519155.1 1 140 0.019975 PF04103.15:CD20:10:107 sarcospan isoform X1 140 98 15 94 2 Homo sapiens XP_011519155.1 1 RefSeq MLCVSYQVDERTCIQFSMKLLYFLLSALGLTVCVLAVAFAAHHYSQLTQFTCETTLDSCQCKLPSSEPLSRTFVYRDVTDCTSVTGTFKLFLLIQMILNLVCGLVCLLACFVMWKHRYQVFYVGVRICSLTASEGPQQKI
XP_011523552.1 1 827 0.280372551390568 PF12595.8:Rhomboid_SP:99:304,PF01694.22:Rhomboid:622:760 inactive rhomboid protein 2 isoform X2 827 345 15 672 7 Homo sapiens XP_011523552.1 1 RefSeq MASADKNGGSVSSVSSSRLQSRKPPNLSITIPPPEKETQAPGEQDSMLPERKNPAYLKSVSLQEPRSRWQESSEKRPGFRRQASLSQSIRKGAAQWFGVSGDWEGQRQQWQRRSLHHCSMRYGRLKASCQRDLELPSQEAPSFQGTESPKPCKMPKIVDPLARGRAFRHPEEMDRPHAPHPPLTPGVLSLTSFTSVRSGYSHLPRRKRMSVAHMSLQAAAALLKGRSVLDATGQRCRVVKRSFAFPSFLEEDVVDGADTFDSSFFSKEEMSSMPDDVFESPPLSASYFRGIPHSASPVSPDGVQIPLKEYGRAPVPGPRRGKRIASKVKHFAFDRKKRHYGLGVVGNWLNRSYRRSISSTVQRQLESFDSHRPYFTYWLTFVHVIITLLVICTYGIAPVGFAQHVTTQLVLRNKGVYESVKYIQQENFWVGPSSIDLIHLGAKFSPCIRKDGQIEQLVLRERDLERDSGCCVQNDHSGCIQTQRKDCSETLATFVKWQDDTGPPMDKSDLGQKRTSGAVCHQDPRTCEEPASSGAHIWPDDITKWPICTEQARSNHTGFLHMDCEIKGRPCCIGTKGSCEITTREYCEFMHGYFHEEATLCSQVHCLDKVCGLLPFLNPEVPDQFYRLWLSLFLHAGVVHCLVSVVFQMTILRDLEKLAGWHRIAIIFILSGITGNLASAIFLPYRAEVGPAGSQFGLLACLFVELFQSWPLLERPWKAFLNLSAIVLFLFICGLLPWIDNIAHIFGFLSGLLLAFAFLPYITFGTSDKYRKRALILVSLLAFAGLFAALVLWLYIYPINWPWIEHLTCFPFTSRFCEKYELDQVLH
XP_011533342.1 1 887 0.400009470124013 PF15300.6:INT_SG_DDX_CT_C:807:868,PF13519.6:VWA_2:4:131 Integrator complex subunit 6; Int6; DBI-1; Protein DDX26; Protein deleted in cancer 1; DICE1 887 190 15 887 0 Homo sapiens (Human) SwissProt::Q9UL03 1 SwissProt MPILLFLIDTSASMNQRSHLGTTYLDTAKGAVETFMKLRARDPASRGDRYMLVTFEEPPYAIKAGWKENHATFMNELKNLQAEGLTTLGQSLRTAFDLLNLNRLVTGIDNYGQGRNPFFLEPAIIITITDGSKLTTTSGVQDELHLPLNSPLPGSELTKEPFRWDQRLFALVLRLPGTMSVESEQLTGVPLDDSAITPMCEVTGGRSYSVCSPRMLNQCLESLVQKVQSGVVINFEKAGPDPSPVEDGQPDISRPFGSQPWHSCHKLIYVRPNPKTGVPIGHWPVPESFWPDQNSPTLPPRTSHPVVKFSCTDCEPMVIDKLPFDKYELEPSPLTQFILERKSPQTCWQVYVSNSAKYSELGHPFGYLKASTALNCVNLFVMPYNYPVLLPLLDDLFKVHKAKPTLKWRQSFESYLKTMPPYYLGPLKKAVRMMGAPNLIADSMEYGLSYSVISYLKKLSQQAKIESDRVIGSVGKKVVQETGIKVRSRSHGLSMAYRKDFQQLLQGISEDVPHRLLDLNMKEYTGFQVALLNKDLKPQTFRNAYDIPRRNLLDHLTRMRSNLLKSTRRFLKGQDEDQVHSVPIAQMGNYQEYLKQVPSPLRELDPDQPRRLHTFGNPFKLDKKGMMIDEADEFVAGPQNKHKRPGEPNMQGIPKRRRCMSPLLRGRQQNPVVNNHIGGKGPPAPTTQAQPDLIKPLPLHKISETTNDSIIHDVVENHVADQLSSDITPNAMDTEFSASSPASLLERPTNHMEALGHDHLGTNDLTVGGFLENHEEPRDKEQCAEENIPASSLNKGKKLMHCRSHEEVNTELKAQIMKEIRKPGRKYERIFTLLKHVQGSLQTRLIFLQNVIKEASRFKKRMLIEQLENFLDEIHRRANQINHINSN
XP_015141635.1 1 135 0.316101481481482 brain-derived neurotrophic factor isoform X3 254 0 15 135 0 Gallus gallus XP_015141635.1 1 RefSeq MFHQVRRVMTILFLTMVISYFSCMKAAPMKEASVRGHGSLAYPGLRTHGTLESLTGPNAGSRGLTSLADTFEHVIEELLDEDQDIQPSEENKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRR
XP_015152955.1 1 181 0.359701104972376 PF02394.16:IL1_propep:110:168 interleukin-1 beta isoform X1 343 59 15 181 0 Gallus gallus XP_015152955.1 1 RefSeq MEIPHGSRFLPALLENASLSSGAQSAPKAAGGAQHRGLPSPSAFTLGTEMAFVPDLDVLESSSLSEETFYGPSCLCLQKVRMGGLGGTVARCRGSVGHSAAAFSPQKPRLDSEHTTVDVQVTVRKGRGARSFRRAAVLVVAMTKLLRRPRSRDFADSDLSALLEEVFEPVTFQRLESSYAG
XP_016855583.1 1 218 0.493455504587156 PF16273.5:NuDC:157:217,PF14050.6:Nudc_N:32:64 nuclear migration protein nudC isoform X1 392 94 15 195 1 Homo sapiens XP_016855583.1 1 RefSeq MISNYRNGSELVCFNSGVGCFLIGCDWAFTVLVNTFFSFLRRKTDFFIGGEEGMAEKIGRRENECQQKKCQTLIKPSDLVRTHSYHENSMGETILVIQLPPPGTAFDMWELQFKLITQTFSHHNQLAQKTRREKRARQEAERREKAERAARLAKEAKSETSGPQIKELTDEEAERLQLEIDQKKDAENHEAQLKNGSLDSPGKQDTEEDEEEDEKDKG
XP_016859748.1 457 971 0.111176310679612 PF00093.18:VWC:155:206,PF00093.18:VWC:228:278,PF00093.18:VWC:301:352,PF02822.14:Antistasin:13:42,PF02822.14:Antistasin:49:76,PF02822.14:Antistasin:83:108,PF02822.14:Antistasin:111:136 cysteine-rich motor neuron 1 protein isoform X5 971 265 15 492 1 Homo sapiens XP_016859748.1 1 RefSeq EEPTIITVDPPACGELSNCTLTGKDCINGFKRDHNGCRTCQCINTEELCSERKQGCTLNCPFGFLTDAQNCEICECRPRPKKCRPIICDKYCPLGLLKNKHGCDICRCKKCPELSCSKICPLGFQQDSHGCLICKCREASASAGPPILSGTCLTVDGHHHKNEESWHDGCRECYCLNGREMCALITCPVPACGNPTIHPGQCCPSCADDFVVQKPELSTPSICHAPGGEYFVEGETWNIDSCTQCTCHSGRVLCETEVCPPLLCQNPSRTQDSCCPQCTDQPFRPSLSRNNSVPNYCKNDEGDIFLAAESWKPDVCTSCICIDSVISCFSESCPSVSCERPVLRKGQCCPYCIEMYVPEPTNIPIEKTNHRGEVDLEVPLWPTPSENDIVHLPRDMGHLQVDYRDNRLHPSEDSSLDSIASVVVPIIICLSIIIAFLFINQKKQWIPLLCWYRTPTKPSSLNNQLVSVDCKKGTRVQVDSSQRMLRIAEPDARFSGFYSMQKQNHLQADNFYQTV
XP_016862373.1 612 1332 0.458221636615812 kinesin-like protein KIF15 isoform X1 1411 0 15 721 0 Homo sapiens XP_016862373.1 1 RefSeq RQLELESELQSLQKANLNLENLLEATKACKRQEVSQLNKIHAETLKLKNVMWIYNDLFNLENAGFFPIQIITTPTKAYQLHSRPVPKLSPEMGSFGSLYTQNSSILDNDILNEPVPPEMNEQAFEAISEELRTVQEQMSALQAKLDEEEHKNLKLQQHVDKLEHHSTQMQELFSSERIDWTKQQEELLSQLNVLEKQLQETQTKNDFLKSEVHDLRVVLHSADKELSSVKLEYSSFKTNQEKEFNKLSERHMHVQLQLDNLRLENEKLLESKACLQDSYDNLQEIMKFEIDQLSRNLQNFKKENETLKSDLNNLMELLEAEKERNNKLSLQFEEDKENSSKEILKVLEAVRQEKQKETAKCEQQMAKVQKLEESLLATEKVISSLEKSRDSDKKVVADLMNQIQELRTSVCEKTETIDTLKQELKDINCKYNSALVDREESRVLIKKQEVDILDLKETLRLRILSEDIERDMLCEDLAHATEQLNMLTEASKKHSGLLQSAQEELTKKEALIQELQHKLNQKKEEVEQKKNEYNFKMRQLEHVMDSAAEDPQSPKTPPHFQTHLAKLLETQEQEIEDGRASKTSLEHLVTKLNEDREVKNAEILRMKEQLREMENLRLESQQLIEKNWLLQGQLDDIKRQKENSDQNHPDNQQLKNEQEESIKERLAKSKIVEEMLKMKADLEEVQSALYNKEMECLRMTDEVERTQTLESKAFQEKEQLR
XP_016862507.1 251 1245 0.197522512562814 E3 ubiquitin-protein ligase RNF123; Kip1 ubiquitination-promoting complex protein 1; RING finger protein 123; RING-type E3 ubiquitin transferase RNF123; EC 2.3.2.27 1314 0 15 995 0 Homo sapiens (Human) SwissProt::Q5XPI4 1 SwissProt SRPLRYPVAGYRPLQDPPSADLVRAQRLLGCFRAVLSVELDPVEGRLLDKESSKWRLRGQPTVLLTLAHIFHHFAPLLRKVYLVEAVLMSFLLGIVEKGTPTQAQSVVHQVLDLLWLFMEDYEVQDCLKQLMMSLLRLYRFSPIVPDLGLQIHYLRLTIAILRHEKSRKFLLSNVLFDVLRSVVFFYIKSPLRVEEAGLQELIPTTWWPHCSSREGKESTEMKEETAEERLRRRAYERGCQRLRKRIEVVEELQVQILKLLLDNKDDNGGEASRYIFLTKFRKFLQENASGRGNMPMLCPPEYMVCFLHRLISALRYYWDEYKASNPHASFSEEAYIPPQVFYNGKVDYFDLQRLGGLLSHLRKTLKDDLASKANIVIDPLELQSTAMDDLDEDEEPAPAMAQRPMQALAVGGPLPLPRPGWLSSPTLGRANRFLSTAAVSLMTPRRPLSTSEKVKVRTLSVEQRTREDIEGSHWNEGLLLGRPPEEPEQPLTENSLLEVLDGAVMMYNLSVHQQLGKMVGVSDDVNEYAMALRDTEDKLRRCPKRRKDILAELTKSQKVFSEKLDHLSRRLAWVHATVYSQEKMLDIYWLLRVCLRTIEHGDRTGSLFAFMPEFYLSVAINSYSALKNYFGPVHSMEELPGYEETLTRLAAILAKHFADARIVGTDIRDSLMQALASYVCYPHSLRAVERIPEEQRIAMVRNLLAPYEQRPWAQTNWILVRLWRGCGFGYRYTRLPHLLKTKLEDANLPSLQKPCPSTLLQQHMADLLQQGPDVAPSFLNSVLNQLNWAFSEFIGMIQEIQQAAERLERNFVDSRQLKVCATCFDLSVSLLRVLEMTITLVPEIFLDWTRPTSEMLLRRLAQLLNQVLNRVTAERNLFDRVVTLRLPGLESVDHYPILVAVTGILVQLLVRGPASEREQATSVLLADPCFQLRSICYLLGQPEPPAPGTALPAPDRKRFSLQSYADYISADELAQVEQMLAHLTSASAQAAAAS
XP_016864701.1 1 3997 0.592151063297471 PF00041.21:fn3:3645:3723 cardiomyopathy-associated protein 5 isoform X1 3997 79 15 3997 0 Homo sapiens XP_016864701.1 1 RefSeq MVTVQREDSGITWETNSSRSSTPWASEESQTSGVCSREGSTVNSPPGNVSFIVDEVKKVRKRTHKSKHGSPSLRRKGNRKRNSFESQDVPTNKKGSPLTSASQVLTTEKEKSYTGIYDKARKKKTTSNTPPITGAIYKEHKPLVLRPVYIGTVQYKIKMFNSVKEELIPLQFYGTLPKGYVIKEIHYRKGKDASISLEPDLDNSGSNTVSKTRKLVAQSIEDKVKEVFPPWRGALSKGSESLTLMFSHEDQKKIYADSPLNATSALEHTVPSYSSSGRAEQGIQLRHSQSVPQQPEDEAKPHEVEPPSVTPDTPATMFLRTTKEECELASPGTAASENDSSVSPSFANEVKKEDVYSAHHSISLEAASPGLAASTQDGLDPDQEQPDLTSIERAEPVSAKLTPTHPSVKGEKEENMLEPSISLSEPLMLEEPEKEEIETSLPIAITPEPEDSNLVEEEIVELDYPESPLVSEKPFPPHMSPEVEHKEEELILPLLAASSPEHVALSEEEREEIASVSTGSAFVSEYSVPQDLNHELQEQEGEPVPPSNVEAIAEHAVLSEEENEEFEAYSPAAAPTSESSLSPSTTEKTSENQSPLFSTVTPEYMVLSGDEASESGCYTPDSTSASEYSVPSLATKESLKKTIDRKSPLILKGVSEYMIPSEEKEDTGSFTPAVAPASEPSLSPSTTEKTSECQSPLPSTATSEHVVPSEGEDLGSERFTPDSKLISKYAAPLNATQESQKKIINEASQFKPKGISEHTVLSVDGKEVIGPSSPDLVVASEHSFPPHTTEMTSECQAPPLSATPSEYVVLSDEEAVELERYTPSSTSASEFSVPPYATPEAQEEEIVHRSLNLKGASSPMNLSEEDQEDIGPFSPDSAFVSEFSFPPYATQEAEKREFECDSPICLTSPSEHTILSDEDTEEAELFSPDSASQVSIPPFRISETEKNELEPDSLLTAVSASGYSCFSEADEEDIGSTAATPVSEQFSSSQKQKAETFPLMSPLEDLSLPPSTDKSEKAEIKPEIPTTSTSVSEYLILAQKQKTQAYLEPESEDLIPSHLTSEVEKGEREASSSVAAIPAALPAQSSIVKEETKPASPHSVLPDSVPAIKKEQEPTAALTLKAADEQMALSKVRKEEIVPDSQEATAHVSQDQKMEPQPPNVPESEMKYSVLPDMVDEPKKGVKPKLVLNVTSELEQRKLSKNEPEVIKPYSPLKETSLSGPEALSAVKMEMKHDSKITTTPIVLHSASSGVEKQVEHGPPALAFSALSEEIKKEIEPSSSTTTASVTKLDSNLTRAVKEEIPTDSSLITPVDRPVLTKVGKGELGSGLPPLVTSADEHSVLAEEDKVAIKGASPIETSSKHLAWSEAEKEIKFDSLPSVSSIAEHSVLSEVEAKEVKAGLPVIKTSSSQHSDKSEEARVEDKQDLLFSTVCDSERLVSSQKKSLMSTSEVLEPEHELPLSLWGEIKKKETELPSSQNVSPASKHIIPKGKDEETASSSPELENLASGLAPTLLLLSDDKNKPAVEVSSTAQGDFPSEKQDVALAELSLEPEKKDKPHQPLELPNAGSEFSSDLGRQSGSIGTKQAKSPITETEDSVLEKGPAELRSREGKEENRELCASSTMPAISELSSLLREESQNEEIKPFSPKIISLESKEPPASVAEGGNPEEFQPFTFSLKGLSEEVSHPADFKKGGNQEIGPLPPTGNLKAQVMGDILDKLSEETGHPNSSQVLQSITEPSKIAPSDLLVEQKKTEKALHSDQTVKLPDVSTSSEDKQDLGIKQFSLMRENLPLEQSKSFMTTKPADVKETKMEEFFISPKDENWMLGKPENVASQHEQRIAGSVQLDSSSSNELRPGQLKAAVSSKDHTCEVRKQVLPHSAEESHLSSQEAVSALDTSSGNTETLSSKSYSSEEVKLAEEPKSLVLAGNVERNIAEGKEIHSLMESESLLLEKANTELSWPSKEDSQEKIKLPPERFFQKPVSGLSVEQVKSETISSSVKTAHFPAEGVEPALGNEKEAHRSTPPFPEEKPLEESKMVQSKVIDDADEGKKPSPEVKIPTQRKPISSIHAREPQSPESPEVTQNPPTQPKVAKPDLPEEKGKKGISSFKSWMSSLFFGSSTPDNKVAEQEDLETQPSPSVEKAVTVIDPEGTIPTNFNVAEKPADHSLSEVKLKTADEPRGTLVKSGDGQNVKEKSMILSNVEDLQQPKFISEVSREDYGKKEISGDSEEMNINSVVTSADGENLEIQSYSLIGEKLVMEEAKTIVPPHVTDSKRVQKPAIAPPSKWNISIFKEEPRSDQKQKSLLSFDVVDKVPQQPKSASSNFASKNITKESEKPESIILPVEESKGSLIDFSEDRLKKEMQNPTSLKISEEETKLRSVSPTEKKDNLENRSYTLAEKKVLAEKQNSVAPLELRDSNEIGKTQITLGSRSTELKESKADAMPQHFYQNEDYNERPKIIVGSEKEKGEEKENQVYVLSEGKKQQEHQPYSVNVAESMSRESDISLGHSLGETQSFSLVKATSVTEKSEAMLAEAHPEIREAKAVGTQPHPLEESKVLVEKTKTFLPVALSCRDEIENHSLSQEGNLVLEKSSRDMPDHSEEKEQFRESELSKGGSVDITKETVKQGFQEKAVGTQPRPLEESKVLVEKTKTFLPVVLSCHDEIENHSLSQEGNLVLEKSSRDMPDHSEEKEQFKESELWKGGSVDITKESMKEGFPSKESERTLARPFDETKSSETPPYLLSPVKPQTLASGASPEINAVKKKEMPRSELTPERHTVHTIQTSKDDTSDVPKQSVLVSKHHLEAAEDTRVKEPLSSAKSNYAQFISNTSASNADKMVSNKEMPKEPEDTYAKGEDFTVTSKPAGLSEDQKTAFSIISEGCEILNIHAPAFISSIDQEESEQMQDKLEYLEEKASFKTIPLPDDSETVACHKTLKSRLEDEKVTPLKENKQKETHKTKEEISTDSETDLSFIQPTIPSEEDYFEKYTLIDYNISPDPEKQKAPQKLNVEEKLSKEVTEETISFPVSSVESALEHEYDLVKLDESFYGPEKGHNILSHPETQSQNSADRNVSKDTKRDVDSKSPGMPLFEAEEGVLSRTQIFPTTIKVIDPEFLEEPPALAFLYKDLYEEAVGEKKKEEETASEGDSVNSEASFPSRNSDTDDGTGIYFEKYILKDDILHDTSLTQKDQGQGLEEKRVGKDDSYQPIAAEGEIWGKFGTICREKSLEEQKGVYGEGESVDHVETVGNVAMQKKAPITEDVRVATQKISYAVPFEDTHHVLERADEAGSHGNEVGNASPEVNLNVPVQVSFPEEEFASGATHVQETSLEEPKILVPPEPSEERLRNSPVQDEYEFTESLHNEVVPQDILSEELSSESTPEDVLSQGKESFEHISENEFASEAEQSTPAEQKELGSERKEEDQLSSEVVTEKAQKELKKSQIDTYCYTCKCPISATDKVFGTHKDHEVSTLDTAISAVKVQLAEFLENLQEKSLRIEAFVSEIESFFNTIEENCSKNEKRLEEQNEEMMKKVLAQYDEKAQSFEEVKKKKMEFLHEQMVHFLQSMDTAKDTLETIVREAEELDEAVFLTSFEEINERLLSAMESTASLEKMPAAFSLFEHYDDSSARSDQMLKQVAVPQPPRLEPQEPNSATSTTIAVYWSMNKEDVIDSFQVYCMEEPQDDQEVNELVEEYRLTVKESYCIFEDLEPDRCYQVWVMAVNFTGCSLPSERAIFRTAPSTPVIRAEDCTVCWNTATIRWRPTTPEATETYTLEYCRQHSPEGEGLRSFSGIKGLQLKVNLQPNDNYFFYVRAINAFGTSEQSEAALISTRGTRFLLLRETAHPALHISSSGTVISFGERRRLTEIPSVLGEELPSCGQHYWETTVTDCPAYRLGICSSSAVQAGALGQGETSWYMHCSEPQRYTFFYSGIVSDVHVTERPARVGILLDYNNQRLIFINAESEQLLFIIRHRFNEGVHPAFALEKPGKCTLHLGIEPPDSVRHK
XP_016866313.1 126 311 0.503353225806452 Trem-like transcript 1 protein; TLT-1; Triggering receptor expressed on myeloid cells-like protein 1 311 0 15 163 1 Homo sapiens (Human) SwissProt::Q86YW5 1 SwissProt EEEEETHKIGSLAENAFSDPAGSANPLEPSQDEKSIPLIWGAVLLVGLLVAAVVLFAVMAKRKQGNRLGVCGRFLSSRVSGMNPSSVVHHVSDSGPAAELPLDVPHIRLDSPPSFDNTTYTSLPLDSPSGKPSLPAPSSLPPLPPKVLVCSKPVTYATVIFPGGNKGGGTSCGPAQNPPNNQTPSS
XP_016873606.1 1 108 0.430422222222222 PF15338.6:TPIP1:24:106 p53-regulated apoptosis-inducing protein 1 isoform X5 108 83 15 108 0 Homo sapiens XP_016873606.1 1 RefSeq MCRRRPFPRTLRLLGGRQGLPWMGSSSEASFRSAQASCSGARRQGLGRGDQNLSVMPPNGRAQTHTPGWVSDPLVLGAQVHGGCRGIEALSVSSGSWSSATVWILTVQ
XP_016880658.1 1 1479 0.66562339418526 PF03915.13:AIP3:203:277 SRC kinase signaling inhibitor 1 isoform X1 1479 75 15 1479 0 Homo sapiens XP_016880658.1 1 RefSeq MLSADDAEYPREYRTLGGGGGGGSGGRRFSNVGLVHTSERRHTVIAAQSLEALSGLQKADADRKRDAFMDHLKSKYPQHALALRGQQDRMREQVGGWTVDPVCLLSSLCSHLHGDSAPSGAGQPAQQPNYWSFKTRSSRHTQGAQPGLADQAAKLSYASAESLETMSEAELPLGFSRMNRFRQSLPLSRSASQTKLRSPGVLFLQFGEETRRVHITHEVSSLDTLHALIAHMFPQKLTMGMLKSPNTAILIKDEARNVFYELEDVRDIQDRSIIKIYRKEPLYAAFPGSHLTNGDLRREMVYASRESSPTRRLNNLSPAPHLASGSPPPGLPSGLPSGLQSGSPSRSRLSYAGGRPPSYAGSPVHHAAERLGGAPAAQGVSPSPSAILERRDVKPDEDLASKAGGMVLVKGEGLYADPYGLLHEGRLSLAAAAGDPFAYPGAGGLYKRGSVRSLSTYSAAALQSDLEDSLYKAAGGGGPLYGDGYGFRLPPSSPQKLADVAAPPGGPPPPHSPYSGPPSRGSPVRQSFRKDSGSSSVFAESPGGKTRSAGSASTAGAPPSELFPGPGERSLVGFGPPVPAKDTETRRDPMLRGEVAQTPFLPRERMEAMEKQIASLTGLVQSALLRGSEPETPSEKIEGSNGAATPSAPCGSGGRSSGATPVSGPPPPSASSTPAGQPTAVSRLQMQLHLRGLQNSASDLRGQLQQLRKLQLQNQESVRALLKRTEAELSMRVSEAARRQEDPLQRQRTLVEEERLRYLNDEELITQQLNDLEKSVEKIQRDVSHNHRLVPGPELEEKALVLKQLGETLTELKAHFPGLQSKMRVVLRVEVEAVKFLKEEPQRLDGLLKRCRGVTDTLAQIRRQVDEGVWPPPNNLLSQSPKKVTAETDFNKSVDFEMPPPSPPLNLHELSGPAEGASLTPKGGNPTKGLDTPGKRSVDKAVSVEAAERDWEEKRAALTQYSAKDINRLLEETQAELLKAIPDLDCASKAHPGPAPTPDHKPPKAPHGQKAAPRTEPSGRRGSDELTVPRYRTEKPSKSPPPPPPRRSFPSSHGLTTTRTGEVVVTSKKDSAFIKKAESEELEVQKPQVKLRRAVSEVARPASTPPIMASAIKDEDDEDRIIAELEVFERSSVSSLPPTPRRQLIPTLLSPQDLGPPGGSAPGPTRKHRATEPGEREVALSAFPVSTPHFQAAPGPRAFCVPRIILTECAPNPPSPPEARLEELGPRTAPTPRPQTLADSTRGWDGPQSPPGVVGETSGPRSSFMPRKEGAALKRLGGGGSSLEDGGARVQCPQGPAQDGTPETSTADTYPEEILKDSGHDAQTCSREHQGQAAANSGRTTWGATAQQMDSLEETLRELEATLSNMGTGPAMGSPGSPPPLPLRPQSGGGSVPPMKVVTPGASRLKAAQGQAGSPDKSKHGKQRAEYMRIQAQQQATKPSKEMSGSNETSSPVSEKPSASRTSIPVLTSFGARNSSISF
XP_017446366.1 81 558 0.737834937238494 PF12885.7:TORC_M:88:241 CREB-regulated transcription coactivator 2 isoform X1 558 154 15 478 0 Rattus norvegicus XP_017446366.1 1 RefSeq LAEFQSPLHSPLDSSRSTRHHGLVERVQRDPRRMVSPLRRYPRHIDSSPYSPAYLSPPPESGWRRMMPWGNLPAEKGQLFRLPSALNRTSSDSALHTSVMNPNPQDTYPGPTPPSVLPSRRGGFLDGEMDAKVPAIEENLVDDKHLLKPWDAKKLSSSSSRPRSCEVPGINIFPSPDQPANVPVLPPAMSTGGSLPDLTNLHFPPPLPTPLDPEETVYPSLSGGNSTTNLTHTMTHLGISGGLGLGPSYDVPGLHSPLSHPSLQSSLSNPNLQASLSSPQPQLQGSHSHPSLPASSLAHHALPTTSLGHPSLSAPALSSSSSSSSTSSPVLSAPPYPASTPGASPRHRRVPLSPLSLPAGPADARRSQQQLPKQFSPTMSPTLSSITQGVPLDTSKLPTDQRLPPYPYSPPSLVIPSHPPTPKSLQQLPSQACLVQPSGGQPPGRQPHYGTLYPPGSSGHGQQPYHRPINDFSLGNVT
XP_722183.2 1 593 0.372076053962901 PF11765.8:Hyphal_reg_CWP:12:334 Hyphally regulated cell wall protein 1; Adhesin-like protein HYR1 919 323 15 593 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AL03 1 SwissProt MKVVSNFIFTILLTLNLSAALEVVTSRIDRGGIQGFHGDVKVHSGATWAILGTTLCSFFGGLEVEKGASLFIKSDNGPVLALNVALSTLVRPVINNGVISLNSKSSTSFSNFDIGGSSFTNNGEIYLASSGLVKSTAYLYAREWTNNGLIVAYQNQKAAGNIAFGTAYQTITNNGQICLRHQDFVPATKIKGTGCVTADEDTWIKLGNTILSVEPTHNFYLKDSKSSLIVHAVSSNQTFTVHGFGNGNKLGLTLPLTGNRDHFRFEYYPDTGILQLRAAALPQYFKIGKGYDSKLFRIVNSRGLKNAVTYDGPVPNNEIPAVCLIPCTNGPSAPESESDLNTPTTSSIETSSYSSAATESSVVSESSSAVDSLTSSSLSSKSESSDVVSSTTNIESSSTAIETTMNSESSTDAGSSSISQSESSSTAITSSSETSSSESMSASSTTASNTSIETDSGIVSQSESSSNALSSTEQSITSSPGQSTIYVNSTVTSTITSCDENKCTEDVVTIFTTVPCSTDCVPTTGDIPMSTSYTQRTVTSTITNCDEVSCSQDVVTYTTNVPHTTVDATTTTTTSTGGDNSTGGNESGSNHGS
XP_750852.1 180 489 0.267215161290323 C6 finger domain transcription factor gliZ; Gliotoxin production protein Z 489 0 15 310 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WMK0 1 SwissProt LFDDDEESNPFFSSGSENTGGTSFSPDWIPPLPSISDSDLSFPLLESCLGPDHDCNDSLFWSTTAEPDCQPTSKEPLDPRSKLLRSCKCLQTLSSLTARDIRRFDATFVFVRNYARAFSAFYHCSHCPKDAGSISMAVTALQLATTALEKTATAGTDAAGFKSGCSGMTAADLDEDLLTPGAEFFLPANGRGASNPAFQLGSYQIAPLPGEPDLEEHSEILNILIRSAVRRLLAVCWQIWDLLRGPASRDGRGHVFEPYDPSSSSSSSSSSFSSGPEIHQLCDLSCSAEAAQFRSTLVQIPARLCNLLAL
XP_750856.2 1 365 0.171317534246575 PF00067.22:p450:72:353 Cytochrome P450 monooxygenase gliC; Gliotoxin biosynthesis protein C; EC 1.-.-.- 512 282 15 342 1 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::E9RCR4 1 SwissProt MAFTLTILVPCMVLALVAARPVLYWVLSVVIDAFLRWKYPLPHHAGSKPMPRARYTWPNGQGTEKFFNGRSAARQWRQRWGPIYQIWSGWCPEIVLTTPTHAVQFFRNSHRHTKAVNNDSGWLFGEVLGVCVGLLSGTDWKRVRQQVEDGFSRPTAARYTGDLVFLAREYLQNTLLASSEQSLENKGIIHVEPAKTLQFYPFLSVAQILFGRLSPMQRTQLTTLAPLREELFKEVIRGGINRLSIAPWFKSRGVRLLNEFQTQWEQFVEDAYHAAVKRNQSPRPLVIGLWEAYQAGTISKRECLQTLDESLYANLDVTTHALSWNVLLLAENGEAQTELRQEVLSALQSEASESYERYIDRDDTF
XP_962611.1 1 944 0.385667055084746 PF04082.18:Fungal_trans:397:709,PF00172.18:Zn_clus:94:131 transcriptional activator xlnR 944 351 15 944 0 Neurospora crassa OR74A XP_962611.1 1 RefSeq MLSNPLHRFAPYHAMPSPTLLSGGHVTASHLHAAGLDTMGPGSHYALQQLQQHVSVHNHHLARAGPQPKHRQHPYGPVTRATGAAGPIRRRISRACDQCNQLRTKCDGQHPCAHCIEFGLGCEYIRERKKRGKASRKDLAAQAAAAAAAQLNGHKNPSQAGENDQSPPNRTESTTATKRASSLPIEHQTTSNDKTMSDMSEGSVRSQRTGSMDSIDLGAHQTHIASHPGAMDRDLESPAALDLSYGNVHQEYHRQGMGAHLMNGASHHTPYGSNQAAMSNYPDLPYALHTQSPTGYSANTSSGFRIGASPLSAYPMAGGSTSPGWMNLASPPPQFAQHIPQPTYSHAQLRYPVLEPLLPHLGNLMPVSLACDLIDLYFASSSSAQMHPMSPYVLGFVFRKRSFLHPTKPRQCQPALLASMLWVAAQTSDAPFLTSVPSARGKICQKLLELTVSLLKPLIHTPSEEPSPVSSPIVDGVALGGLGVALPGSISMDALTGETGAFGAAGTLDDVVTYIHLATVVSASEYKGASLRWWNAAWSLARELKLGREIPQNSPSMQNSGSELDGEMGNIPGMITEEEREERRRIWWLVYIVDRHLALCYNRPLFLLDIECDGLLQPMDDTDYQNGNFYAYTDPNVLASDPNTPAARHRGPSFVCTGHSIFGYFLPLMTILGEIVDLHHARNHPRFGVGFRSSREWDDQTAEITRHLEIYEESIKRFEHRNLSLSAQAQAADEKAAEAAGVPTANDVPHDAGTPSVQSVHSVHTTSSRMTESDIQTRIVMAYGTHVMHVLHILLTGKWDPINLLDDNDLWISSQGFITATGHAVSAAEAISNILEYDPGLEFMPFFFGIYLLQGSFLLLLIADKLQVEASPSVVKACETIIRAHEACVVTLNTEYQRNFSRVMRSALAQVRGRVPEDLGEQHQRRRELLALYRWTGDGTGLAL
YP_001089006.1 1 642 0.105551869158879 PF13188.7:PAS_8:303:355,PF13188.7:PAS_8:521:568,PF13426.7:PAS_9:313:352,PF13426.7:PAS_9:529:631 sporulation-associated two-component sensor histidine kinase 912 164 15 469 8 Clostridioides difficile 630 YP_001089006.1 1 RefSeq MKNIIPDVKPDIKEREDMSLLFIIMGSVLLLFIIKDIEKYETLLHAIYTIFLVATGVIIFNTTKFKVDSFSTFLGLIFIATGVLECIYLFNSLGIKTKSIMEINITISAITDLFPILGVYLSFKFVKDNKQIYSSVILFIATATLTITSLFIISGICDYLGNGKSQYALGVIISIFIIIISIVSGIELNSSSNKGKWEYDEKKIFNRIIVIIILSRVPNLLHIVIDNRNVERILSQIIINVALYYLYNYIVSKNIKKTALELHDTNEELTKKTESLKEKNKKLIYETEKIEELKGILMKRESRLQSTLDVAVNSIVVFSKDGEITYANKSFRNTFGDYKEQDKLTDKIKNFNDLIESIHYVFVHEKNAEKLISTSDNKVYQAIFAPLIIASQTEGVLCVLEDKTKKKEYERKLIEANKRSKDFLESIGDGIVVLEGNNKIYVNNACREIFKNNLESIDFSLVCKSEESIEKRYVIDGEVKYVEMSFSQYTNAGTNKTIIVIRDTTSRKISQIKLEESQSSYSRFIDILPDGICLLKEDLSINYANKSFLDMLAFTNIDDIKDSNIKLLMNANTEEKMKFTDNMAKVLNENRSILLLEYELINSYDDIVEVELSALPFAIYNTRYIMLIIKDLVHKKYSEQAE
YP_001129389.1 1 444 0.175545045045045 Viral protein kinase; EC 2.7.-.- 444 0 15 444 0 Human herpesvirus 8 type P (isolate GK18) (HHV-8) (Kaposi's sarcoma-associated herpesvirus) SwissProt::F5HGH5 1 SwissProt MRWKRMERRPPLTPLRRSRTQSSGGGLTICPRCALKLPKATRISERPWASTWQLNQHIQVSKTKKATAYLKAPREWGQCTHQDPDWSKRLGRGAFGIIVPISEDLCVKQFDSRREFFYEAIANDLMQATRERYPMHSGGSRLLGFVQPCIPCRSIVYPRMKCNLLQLDWSQVNLSVMAAEFTGLMAAVSFLNRYCGMVHCDVSPDNILATGDLTPMNPGRLVLTDFGSVALHSGSKWTNLVVTSNLGFKQHCYDFRVPPKLICKHLYKPSCVLFQCYLSSLGKMHAQVLDQPYPISPNMGLTIDMSSLGYTLLTCLELYLDLPLNNPLKFLGSATRDGRPEPMYYLGFMIPRVVMTQILSAVWTMTLDLGLDCTGKAQAIPMRQEHQLAFQKQCYLYKANQKAESLANCSDKLNCPMLKSLVRKLLERDFFNHGGHPHTRGLVF
YP_001551772.1 1 150 0.561487333333334 Early 4 ORF6/7 control protein; E4-ORF6/7; Early E4 17 kDa protein 150 0 15 150 0 Human adenovirus C serotype 2 (HAdV-2) (Human adenovirus 2) SwissProt::P03238 1 SwissProt MTTSGVPFGMTLRPTRSRLSRRTPYSRDRLPPFETETRATILEDHPLLPECNTLTMHNAWTSPSPPVEQPQVGQQPVAQQLDSDMNLSELPGEFINITDERLARQETVWNITPKNMSVTHDMMLFKASRGERTVYSVCWEGGGRLNTRVL
YP_002518971.1 1 522 0.224880459770115 PF12860.7:PAS_7:282:395,PF12860.7:PAS_7:411:521,PF13188.7:PAS_8:282:320 Sensor protein DivL; EC 2.7.13.3 769 225 15 502 1 Caulobacter vibrioides (strain ATCC 19089 / CB15) (Caulobacter crescentus) SwissProt::Q9RQQ9 1 SwissProt MTSYDLILAAAAGAVCLAISVALWSHGQRRNLEARIVALKTRLIQQGGSDDAPAWLDAFDTAVIAVEGGRANLVAGGEGLIACAKALGADAEVSAVVAALSDADPNYAQKLTALFERGEPCVFEARGPHGLVSVEGRAAGALAWLRLAPIDRADSGLPTAARFAAFVDSVVEPCWIAGADGQAIWGNAAFVRAVGAASAQAPALAGKSFDRGADAVVVEAAGKGERREALRWINVEGRRRAFRLSAQPLDGGGVGVFCADVTEIEDVRDAFKKHVEAHDETLNHIAEAVAIFSQTRRLSYHNTAFAELWGLEPAWLADRPTHGEVLDRLRQRRRLPETIDYAGWKAAELARYEDLGPQADDLWDLPDGRTLKVVRQPHPLGGMLLIYSDITGELRLKAQYNALIQVQQATLDKLNDAVAVFGSDGRLRLHNEAFETFWNVTPHALEAAGDFEGVVELCVPRLHDLSFWRELKGRVADPDPQMRAPTSGEVRTSDSRIVLYQSRPLPDGATLIAFADVTDTRD
YP_009137122.1 1 693 0.362032611832611 PF03362.13:Herpes_UL47:241:616 Tegument protein UL47; 82/81 kDa tegument protein; VMW82/81; VP13/14 693 376 15 693 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P10231 1 SwissProt MSAREPAGRRRRASTRPRASPVADEPAGDGVGFMGYLRAVFRGDDDSELEALEEMAGDEPPVRRRREGPRARRRRASEAPPTSHRRASRQRPGPDAARSQSVRGRLDDDDEVPRGPPQARQGGYLGPVDARAILGRVGGSRVAPSPLFLEELQYEEDDYPEAVGPEDGGGARSPPKVEVLEGRVPGPELRAAFPLDRLAPQVAVWDESVRSALALGHPAGFYPCPDSAFGLSRVGVMHFASPDNPAVFFRQTLQQGEALAWYITGDGILDLTDRRTKTSPAQAMSFLADAVVRLAINGWVCGTRLHAEARGSDLDDRAAELRRQFASLTALRPVGAAAVPLLSAGGLVSPQSGPDAAVFRSSLGSLLYWPGVRALLDRDCRVAARYAGRMTYLATGALLARFNPDAVRCVLTREAAFLGRVLDVLAVMAEQTVQWLSVVVGARLHPHVHHPAFADVAREELFRALPLGSPAVVGAEHEALGDTAARRLLANSGLNAVLGAAVYALHTALATVTLKYARACGDAHRRRDDAAATRAILAAGLVLQRLLGFADTVVACVTLAAFDGGFTAPEVGTYTPLRYACVLRATQPLYARTTPAKFWADVRAAAEHVDLRPASSAPRAPVSGTADPAFLLKDLEPFPPAPVSGGSVLGPRVRVVDIMSQFRKLLMGDEGAAALRAHVSGRRATGLGGPPRP
YP_233019.1 1 203 0.0682665024630542 PF05313.12:Pox_P21:1:191 Virion membrane protein A17 precursor; 23 kDa late protein 203 191 15 124 4 Vaccinia virus (strain Western Reserve) (VACV) (Vaccinia virus (strain WR)) SwissProt::P68593 1 SwissProt MSYLRYYNMLDDFSAGAGVLDKDLFTEEQQQSFMPKDGGMMQNDYGGMNDYLGIFKNNDVRTLLGLILFVLALYSPPLISILMIFISSFLLPLTSLVITYCLVTQMYRGGNGNTVGMSIVCIVAAVIIMAINVFTNSQIFNIISYIILFILFFAYVMNIERQDYRRSINVTIPEQYTCNKPYTAGNKVDVDIPTFNSLNTDDY
YP_233041.1 1 221 0.436600904977376 PF05950.11:Orthopox_A36R:1:158 Protein A36 221 158 15 201 1 Vaccinia virus (strain Western Reserve) (VACV) (Vaccinia virus (strain WR)) SwissProt::P68619 1 SwissProt MMLVPLITVTVVAGTILVCYILYICRKKIRTVYNDNKIIMTKLKKIKSSNSSKSSKSTDSESDWEDHCSAMEQNNDVDNISRNEILDDDSFAGSLIWDNESNVMAPSTEHIYDSVAGSTLLINNDRNEQTIYQNTTVVINETETVEVLNEDTKQNPNYSSNPFVNYNKTSICSKSNPFITELNNKFSENNPFRRAHSDDYLNKQEQDHEHDDIESSVVSLV
CharProtDB::CH_123153 315 634 0.693670625 PF13928.6:Flocculin_t3:1:36,PF13928.6:Flocculin_t3:62:101 hyphal-specific cell wall protein aka ECE2 634 76 14 320 0 Candida albicans CharProtDB::CH_123153 1 CharProtDB TVTSCSNSVCTESEVTTGVIVITSKDTIYTTYCPLTETTPVSTAPATETPTGTVSTSTEQSTTVITVTSCSESSCTESEVTTGVVVVTSEETVYTTFCPLTENTPGTDSTPEASIPPMETIPAGSESSMPAGETSPAVPKSDVPATESAPVPEMTPAGSQPSIPAGETSPAVPKSDVPATESAPAPEMTPAGTETKPAAPKSSAPATEPSPVAPGTESAPAGPGASSSPKSSVLASETSPIAPGAETAPAGSSGAITIPESSAVVSTTEGAIPTTLESVPLMQPSANYSSVAPISTFEGAGNNMRLTFGAAIIGIAAFLI
NP_001001433.1 1 226 0.425916814159292 Syntaxin-16; Syn16 325 0 14 226 0 Homo sapiens (Human) SwissProt::O14662 1 SwissProt MATRRLTDAFLLLRNNSIQNRQLLAEQVSSHITSSPLHSRSIAAELDELADDRMALVSGISLDPEAAIGVTKRPPPKWVDGVDEIQYDVGRIKQKMKELASLHDKHLNRPTLDDSSEEEHAIEITTQEITQLFHRCQRAVQALPSRARACSEQEGRLLGNVVASLAQALQELSTSFRHAQSGYLKRMKNREERSQHFFDTSVPLMDDGDDNTLYHRGFTEDQLVLV
NP_001005232.1 1 170 0.447621764705882 Homeobox protein DBX1; Developing brain homeobox protein 1 335 0 14 170 0 Mus musculus (Mouse) SwissProt::P52950 1 SwissProt MMFPGLLAPPAGYPSLLRPTPTLTLPQSLQSAFSGHSSFLVEDLIRISRPPTYLSRSIPAASLSPPSQEAPAALADSGTSDLGSPGSGSRRGSSPQTALSPASEPTFLKFGVNAILSSAPRRETSPALLQSPPPKTFAFPYFEGSFQPFIRSSYFPASSSVVPIPGTFSW
NP_001017989.2 1 180 0.22833 PF07047.12:OPA3:6:126 optic atrophy 3 protein isoform a 180 121 14 180 0 Homo sapiens NP_001017989.2 1 RefSeq MVVGAFPMAKLLYLGIRQVSKPLANRIKEAARRSEFFKTYICLPPAQLYHWLEMRTKMRIMGFNAAAIKPLNEGAAAELGAELLGEGIIFITACSCLMLEYWRHQLQQRRKEKERRVAREALRGEVGHLGLALEELQAQVQATSTQLALEELRAQLQEVRAHLCLRDPPPAPPVAPASEK
NP_001077764.1 86 181 0.442316666666667 Dehydration-responsive element-binding protein 1E; Protein DREB1E 181 0 14 96 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGJ6 1 SwissProt SDSAWRLPVPASTDPDTIRRTAAEAAEMFRPPEFSTGITVLPSASEFDTSDEGVAGMMMRLAEEPLMSPPRSYIDMNTSVYVDEEMCYEDLSLWSY
NP_001078147.1 144 358 0.479330697674419 myb domain protein 26 358 0 14 215 0 Arabidopsis thaliana NP_001078147.1 1 RefSeq GFNPTTVDDESSRFMSNIITNTNPNFITPSHLSLPSPHVMTPLMFPTSREGDFKFLTTNNPNQSHHHDNNHYNNLDILSPTPTINNHHQPSLSSCPHDNNLQWPALPDFPASTISGFQETLQDYDDANKLNVFVTPFNDNAKKLLCGEVLEGKVLSSSSPISQDHGLFLPTTYNFQMTSTSDHQHHHRVDSYINHMIIPSSSSSSPISCGQYVIT
NP_001081436.1 1 295 0.321085762711865 PF00688.18:TGFb_propeptide:80:185 Nodal homolog 2-A; Nodal-related protein 2-A; Xnr-2-A; Xnr2-A; nr-2 405 106 14 295 0 Xenopus laevis (African clawed frog) SwissProt::Q91620 1 SwissProt MASLGVILFFVIASLIHGKPIHSERKAAKIPLEGSNLGYKKPNNIYGSRLSQGMRYPPSMMQLYQTLILGNDTDLSILEYPVLQESDAVLSLIAKSCVVVGNRWTLSFDMSSISSSNELKLAELRIRLPSFERSQDVTVEIYHTKEGQENLFMGSFKTNPSVAMGSSWKIFNLTRMLQYYLHQGEPFTNVEYIEVKNMHERAKPHVIKRGVRAEVEEGLQRNKDNTPASSFPTERVVLVVFTRDKPTASHFGSPSLIHTVESSKYVMSENTVRVTDTRRPRRNQKTKNTIVMNTI
NP_001081778.1 1 941 0.24060095642933 PF07452.12:CHRD:285:394,PF07452.12:CHRD:406:517,PF07452.12:CHRD:533:650,PF00093.18:VWC:43:116,PF00093.18:VWC:693:750,PF00093.18:VWC:781:837 Chordin; Organizer-specific secreted-dorsalizing factor 941 529 14 941 0 Xenopus laevis (African clawed frog) SwissProt::Q91713 1 SwissProt MQCPPILLVWTLWIMAVDCSRPKVFLPIQPEQEPLQSKTPAGCTFGGKFYSLEDSWHPDLGEPFGVMHCVLCYCEPQRSRRGKPSGKVSCKNIKHDCPSPSCANPILLPLHCCKTCPKAPPPPIKKSDFVFDGFEYFQEKDDDLYNDRSYLSSDDVAVEESRSEYVALLTAPSHVWPPVTSGVAKARFNLQRSNLLFSITYKWIDRLSRIRFSDLDGSVLFEHPVHRMGSPRDDTICGIWRSLNRSTLRLLRMGHILVSLVTTTLSEPEISGKIVKHKALFSESFSALLTPEDSDETGGGGLAMLTLSDVDDNLHFILMLRGLSGEEGDQIPILVQISHQNHVIRELYANISAQEQDFAEVLPDLSSREMLWLAQGQLEISVQTEGRRPQSMSGIITVRKSCDTLQSVLSGGDALNPTKTGAVGSASITLHENGTLEYQIQIAGTMSTVTAVTLETKPRRKTKRNILHDMSKDYHDGRVWGYWIDANARDLHMLLQSELFLNVATKDFQEGELRGQITPLLYSGLWARYEKLPVPLAGQFVSPPIRTGSAGHAWVSLDEHCHLHYQIVVTGLGKAEDAALNAHLHGFAELGEVGESSPGHKRLLKGFYGSEAQGSVKDLDLELLGHLSRGTAFIQVSTKLNPRGEIRGQIHIPNSCESGGVSLTPEEPEYEYEIYEEGRQRDPDDLRKDPRACSFEGQLRAHGSRWAPDYDRKCSVCSCQKRTVICDPIVCPPLNCSQPVHLPDQCCPVCEEKKEMREVKKPERARTSEGCFFDGDRSWKAAGTRWHPFVPPFGLIKCAICTCKGSTGEVHCEKVTCPKLSCTNPIRANPSDCCKQCPVEERSPMELADSMQSDGAGSCRFGRHWYPNHERWHPTVPPFGEMKCVTCTCAEGITQCRRQECTGTTCGTGSKRDRCCTKCKDANQDEDEKVKSDETRTPWSF
NP_001084148.1 129 309 0.516167955801105 PF12336.8:SOXp:6:61 Transcription factor Sox-3-A; xSox3; xSox-B1 309 56 14 181 0 Xenopus laevis (African clawed frog) SwissProt::P55863 1 SwissProt NLLAPGVSPVASSVGVGQRIDTYAHMNGWTNGAYSLMQDQLGYSQHPAMNSPQMQQIQHRYDMSGLQYNPMMTSAQNAYMNAAASTYSMSPAYNQQSSTVMSLASMGSVVKSEPSSPPPAITSHTQRACLGDLRDMISMYLPPGGDASDPSLQNSRLHSVHQHYQSAAGPGVNGTVPLTHI
NP_001092757.1 1 466 0.162941201716738 PF05653.14:Mg_trans_NIPA:118:409,PF00892.20:EamA:170:235 Magnesium transporter NIPA4; Ichthyin; NIPA-like protein 4; Non-imprinted in Prader-Willi/Angelman syndrome region protein 4 466 292 14 282 8 Homo sapiens (Human) SwissProt::Q0D2K0 1 SwissProt MPGDSSPGTLPLWDASLSPPLGPDPGGFSRASHAGDKSRPPAPELGSPGAVRPRVGSCAPGPMELRVSNTSCENGSLLHLYCSSQEVLCQIVNDLSPEVPSNATFHSWQERIRQNYGFYIGLGLAFLSSFLIGSSVILKKKGLLRLVATGATRAVDGGFGYLKDAMWWAGFLTMAAGEVANFGAYAFAPATVVTPLGALSVLISAILSSYFLRESLNLLGKLGCVICVAGSTVMVIHAPEEEKVTTIMEMASKMKDTGFIVFAVLLLVSCLILIFVIAPRYGQRNILIYIIICSVIGAFSVAAVKGLGITIKNFFQGLPVVRHPLPYILSLILALSLSTQVNFLNRALDIFNTSLVFPIYYVFFTTVVVTSSIILFKEWYSMSAVDIAGTLSGFVTIILGVFMLHAFKDLDISCASLPHMHKNPPPSPAPEPTVIRLEDKNVLVDNIELASTSSPEEKPKVFIIHS
NP_001101979.2 1 478 0.25245230125523 PF01734.22:Patatin:11:176 Patatin-like phospholipase domain-containing protein 2; Adipose triglyceride lipase; EC 3.1.1.3 478 166 14 478 0 Rattus norvegicus (Rat) SwissProt::P0C548 1 SwissProt MFPRETKWNISFAGCGFLGVYHIGVASCLREHAPFLVANATHIYGASAGALTATALVTGACLGEAGANIIEVSKEARKRFLGPLHPSFNLVKTIRGCLLKTLPADCHTRASGRLGISLTRVSDGENVIISHFSSKDELIQANVCSTFIPVYCGLIPPTLQGVRYVDGGISDNLPLYELKNTITVSPFSGESDICPQDSSTNIHELRITNTSIQFNLRNLYRLSKALFPPEPMVLREMCKQGYRDGLRFLRRNGLLNQPNPLLALPPVVPQEEDAEEAAVTEERTGGEDRILEHLPARLNEALLEACVEPKDLMTTLSNMLPVRLATAMMVPYTLPLESAVSFTIRLLEWLPDVPEDIRWMKEQTGSICQYLVMRAKRKLGDHLPSRLSEQVELRRAQSLPSVPLSCATYSEALPNWVRNNLSLGDALAKWEECQRQLLLGLFCTNVAFPPDALRMRAPASPTATDPATPQDPSGLPPC
NP_001103224.1 1 1879 0.276330122405534 PF00041.21:fn3:252:317,PF00041.21:fn3:334:407,PF00041.21:fn3:510:582,PF00041.21:fn3:597:672,PF00041.21:fn3:688:759,PF00041.21:fn3:774:847,PF00041.21:fn3:863:937,PF00041.21:fn3:951:1025,PF00041.21:fn3:1039:1112,PF00041.21:fn3:1126:1200,PF00041.21:fn3:1216:1290,PF00041.21:fn3:1305:1378,PF00041.21:fn3:1394:1466,PF00041.21:fn3:1480:1560,PF00041.21:fn3:1575:1649 receptor-type tyrosine-protein phosphatase beta isoform a 2215 1112 14 1856 1 Homo sapiens NP_001103224.1 1 RefSeq MEAEFYMVILTCLIFRNSEGFQIVHVQKQQCLFKNEKVVVGSCNRTIQNQQWMWTEDEKLLHVKSALCLAISNSSRGPSRSAILDRCSQAPRWTCYDQEGFLEVENASLFLQKQGSRVVVKKARKYLHSWMKIDVNKEGKLVNESLCLQKAGLGAEVSVRSTRNTAPPQILTTFNAVPDGLVFLIRNTTEAFIRNAAENYSQNSSERQHPNLHMTGITDTSWVLSTTQPFSSTTEETGLAEPERCNFTLAESKASSHSVSIQWRILGSPCNFSLIYSSDTLGAALCPTFRIDNTTYGCNLQDLQAGTIYNFRIISLDEERTVVLQTDPLPPARFGVSKEKTTSTSLHVWWTPSSGKVTSYEVQLFDENNQKIQGVQIQESTSWNEYTFFNLTAGSKYNIAITAVSGGKRSFSVYTNGSTVPSPVKDIGISTKANSLLISWSHGSGNVERYRLMLMDKGILVHGGVVDKHATSYAFHGLTPGYLYNLTVMTEAAGLQNYRWKLVRTAPMEVSNLKVTNDGSLTSLKVKWQRPPGNVDSYNITLSHKGTIKESRVLAPWITETHFKELVPGRLYQVTVSCVSGELSAQKMAVGRTFPDKVANLEANNNGRMRSLVVSWSPPAGDWEQYRILLFNDSVVLLNITVGKEETQYVMDDTGLVPGRQYEVEVIVESGNLKNSERCQGRTVPLAVLQLRVKHANETSLSIMWQTPVAEWEKYIISLADRDLLLIHKSLSKDAKEFTFTDLVPGRKYMATVTSISGDLKNSSSVKGRTVPAQVTDLHVANQGMTSSLFTNWTQAQGDVEFYQVLLIHENVVIKNESISSETSRYSFHSLKSGSLYSVVVTTVSGGISSRQVVVEGRTVPSSVSGVTVNNSGRNDYLSVSWLLAPGDVDNYEVTLSHDGKVVQSLVIAKSVRECSFSSLTPGRLYTVTITTRSGKYENHSFSQERTVPDKVQGVSVSNSARSDYLRVSWVHATGDFDHYEVTIKNKNNFIQTKSIPKSENECVFVQLVPGRLYSVTVTTKSGQYEANEQGNGRTIPEPVKDLTLRNRSTEDLHVTWSGANGDVDQYEIQLLFNDMKVFPPFHLVNTATEYRFTSLTPGRQYKILVLTISGDVQQSAFIEGFTVPSAVKNIHISPNGATDSLTVNWTPGGGDVDSYTVSAFRHSQKVDSQTIPKHVFEHTFHRLEAGEQYQIMIASVSGSLKNQINVVGRTVPASVQGVIADNAYSSYSLIVSWQKAAGVAERYDILLLTENGILLRNTSEPATTKQHKFEDLTPGKKYKIQILTVSGGLFSKEAQTEGRTVPAAVTDLRITENSTRHLSFRWTASEGELSWYNIFLYNPDGNLQERAQVDPLVQSFSFQNLLQGRMYKMVIVTHSGELSNESFIFGRTVPASVSHLRGSNRNTTDSLWFNWSPASGDFDFYELILYNPNGTKKENWKDKDLTEWRFQGLVPGRKYVLWVVTHSGDLSNKVTAESRTAPSPPSLMSFADIANTSLAITWKGPPDWTDYNDFELQWLPRDALTVFNPYNNRKSEGRIVYGLRPGRSYQFNVKTVSGDSWKTYSKPIFGSVRTKPDKIQNLHCRPQNSTAIACSWIPPDSDFDGYSIECRKMDTQEVEFSRKLEKEKSLLNIMMLVPHKRYLVSIKVQSAGMTSEVVEDSTITMIDRPPPPPPHIRVNEKDVLISKSSINFTVNCSWFSDTNGAVKYFTVVVREADGSDELKPEQQHPLPSYLEYRHNASIRVYQTNYFASKCAENPNSNSKSFNIKLGAEMESLGGKCDPTQQKFCDGPLKPHTAYRISIRAFTQLFDEDLKEFTKPLYSDTFFSLPITTESEPLFGAIEGVSAGLFLIGMLVAVVALLICRQKVSHGRERPSARLSIRR
NP_001116428.1 134 367 0.751469658119658 drebrin-like protein isoform c 439 0 14 234 0 Homo sapiens NP_001116428.1 1 RefSeq KASGANYSFHKESGRFQDVGPQAPVGSVYQKTNAVSEIKRVGKDSFWAKAEKEEENRRLEEKRRAEEAQRQLEQERRERELREAARREQRYQEQGGEASPQSRTWEQQQEVVSRNRNEQGSTCASLQESAVHPREIFKQKERAMSTTSISSPQPGKLRSPFLQKQLTQPETHFGREPAAAISRPRADLPAEEPAPSTPPCLVQAEEEAVYEEPPEQETFYEQPPLVQQQGAGSE
NP_001123544.1 162 576 0.870640481927711 PF02809.20:UIM:22:38,PF02809.20:UIM:47:63,PF02809.20:UIM:73:87 Epsin-1; EH domain-binding mitotic phosphoprotein; EPS-15-interacting protein 1 576 49 14 415 0 Homo sapiens (Human) SwissProt::Q9Y6I3 1 SwissProt SAAVGSGPPPEAEQAWPQSSGEEELQLQLALAMSKEEADQPPSCGPEDDAQLQLALSLSREEHDKEERIRRGDDLRLQMAIEESKRETGGKEESSLMDLADVFTAPAPAPTTDPWGGPAPMAAAVPTAAPTSDPWGGPPVPPAADPWGGPAPTPASGDPWRPAAPAGPSVDPWGGTPAPAAGEGPTPDPWGSSDGGVPVSGPSASDPWTPAPAFSDPWGGSPAKPSTNGTTAAGGFDTEPDEFSDFDRLRTALPTSGSSAGELELLAGEVPARSPGAFDMSGVRGSLAEAVGSPPPAATPTPTPPTRKTPESFLGPNAALVDLDSLVSRPGPTPPGAKASNPFLPGGGPATGPSVTNPFQPAPPATLTLNQLRLSPVPPVPGAPPTYISPLGGGPGLPPMMPPGPPAPNTNPFLL
NP_001157083.1 1 89 0.142349438202247 PF14993.6:Neuropeptide_S:24:88 Neuropeptide S 89 65 14 66 1 Mus musculus (Mouse) SwissProt::P0C0P8 1 SwissProt MIGSLKLSFVLALSLSVMHVLWCYPVLSSKVPGKPDYFLILLSSCPARLEGSDRLAFLKPILEKTSMKRSFRNGVGSGAKKTSFRRAKQ
NP_001158275.1 76 196 0.034395041322314 RNA-binding motif protein, X chromosome isoform 2 196 0 14 121 0 Homo sapiens NP_001158275.1 1 RefSeq HVEEIVMEVHLEGNRCPLVEMFICPQEMMGILLKTAIQAEITQVLVILEIMHHHHEIILTVIMVIPVHVMTIHQEDIAIEMDMVVIVTIQIIQVEVPTEIHMRVMVGDFAHYGRGVLIDSQ
NP_001159399.1 1 549 0.292009289617486 PF07162.11:B9-C2:303:483 Meckel syndrome type 1 protein isoform 2 549 181 14 549 0 Homo sapiens NP_001159399.1 1 RefSeq MAVPVSSFAQRTRSRFRVHLQRITSSNFLHYQPAAELGKDLIDLATFRPQPTASGHRPEEDEEEEIVIGWQEKLFSQFEVDLYQNETACQSPLDYQYRQEILKLENSGGKKNRRIFTYTDSDRYTNLEEHCQRMTTAASEVPSFLVERMANVRRRRQDRRGMEGGILKSRIVTWEPSEEFVRNNHVINTPLQTMHIMADLGPYKKLGYKKYEHVLCTLKVDSNGVITVKPDFTGLKGPYRIETEGEKQELWKYTIDNVSPHAQPEEEERERRVFKDLYGRHKEYLSSLVGTDFEMTVPGALRLFVNGEVVSAQGYEYDNLYVHFFVELPTAHWSSPAFQQLSGVTQTCTTKSLAMDKVAHFSYPFTFEAFFLHEDESSDALPEWPVLYCEVLSLDFWQRYRVEGYGAVVLPATPGSHTLTVSTWRPVELGTVAELRRFFIGGSLELEDLSYVRIPGSFKGERLSRFGLRTETTGTVTFRLHCLQQSRAFMESSSLQKRMRSVLDRLEGFSQQSSIHNVLEAFRRARRRMQEARESLPQDLVSPSGTLVS
NP_001159857.2 1 630 0.565594920634921 transcription factor Sp4 isoform 2 780 0 14 630 0 Mus musculus NP_001159857.2 1 RefSeq MSDQKKEEEEEAAAAMATEGGKTSEPENNNKKPKTSGSQDSQPSPLALLAATCSKIGTPGENQATGQQQIIIDPSQGLVQLQNQPQQLELVTTQLAGNAWQLVASTPPASKENNVSQPASSSSSSSSSNNGSSSPTKTKSGNPSTPNQFQVIQVQNPSGSVQYQVIPQLQTVEGQQIQINPTSSSSLQDLQGQIQLISAGNNQAILTAANRTASGNILAQNLANQTVPVQIRPGVSIPLQLQTLPGTQAQVVTTLPINIGGVTLALPVINNVTAGGGTGQVGQPTTTTDSGTSNGNQLVSTPTTSTAPASTMPESPSSSTTCTTTASTTLTSSDTLVSSADTGQYASTSASSSERTIEEPQTPAATESEAQSSSQLQSNGIQNAQDQSNSLQQVQIVGQPILQQIQIQQPQQQIIQAIPPQSFQLQSGQTIQTIQQQPLQNVQLQAVNPTQVLIRAPTLTPSGQISWQTVQVQNIQSLSNLQVQNAGLSQQLTITPVSSSGGTTLAQIAPVAVAGAPITLNTAQLASVPNLQTVSVANLGAAGVQVQGVPVTITSVAGQQQGQDGVKVQQATIAPVTVAVGGIANATIGAVSPDQLTQVHLQQGQQTSDAEVQPGKRLRRVACSCPNCRE
NP_001161147.1 179 404 0.33963982300885 PF13927.6:Ig_3:58:131,PF07679.16:I-set:59:144,PF13895.6:Ig_2:70:137,PF00047.25:ig:70:139,PF08205.12:C2-set_2:5:41 cell adhesion molecule 2 isoform 2 precursor 404 124 14 203 1 Homo sapiens NP_001161147.1 1 RefSeq KYLKEEDANRKTFTVSSTLDFRVDRSDDGVAVICRVDHESLNATPQVAMQVLEIHYTPSVKIIPSTPFPQEGQPLILTCESKGKPLPEPVLWTKDGGELPDPDRMVVSGRELNILFLNKTDNGTYRCEATNTIGQSSAEYVLIVHDPNALAGQNGPDHALIGGIVAVVVFVTLCSIFLLGRYLARHKGTYLTNEAKGAEDAPDADTAIINAEGSQVNAEEKKEYFI
NP_001165102.1 1 490 0.320973265306122 PF00611.23:FCH:14:88 nostrin isoform 4 563 75 14 490 0 Homo sapiens NP_001165102.1 1 RefSeq MRDPLTDCPYNKVYKNLKEFSQNGENFCKQVTSVLQQRANLEISYAKGLQKLASKLSKALQNTRKSCVSSAWAWASEGMKSTADLHQKLGKAIELEAIKPTYQVLNVQEKKRKSLDNEVEKTANLVISNWNQQIKAKKKLMVSTKKHEALFQLVESSKQSMTEKEKRKLLNKLTKSTEKLEKEDENYYQKNMAGYSTRLKWENTLENCYQVTHSICLYAFWVKRAWGKCVSDLRYQDTFLPGNLPPLWFGYDIVKRLIMRLCSVCLQSILELEKERIQLLCNNLNQYSQHISLFGQTLTTCHTQIHCAISKIDIEKDIQAVMEETAILSTENKSEFLLTDYFEEDPNSAMDKERRKSLLKPKLLRLQRDIEKASKDKEGLERMLKTYSSTSSFSDAKSQKDTAALMDENNLKLDLLEANSYKLSSMLAELEQRPQPSHPCSNSIFRWREKEHTHSYVKISRPFLMKRLENIVSKASSGGQSNPGSSTPAP
NP_001180464.1 232 674 0.197276297968397 PF13516.6:LRR_6:107:123,PF13516.6:LRR_6:134:157,PF13516.6:LRR_6:378:399 F-box/LRR-repeat protein 5 isoform 4 674 63 14 443 0 Homo sapiens NP_001180464.1 1 RefSeq YPVHWARGDWYSGPATELDTEPDDEWVKNRKDESRAFHEWDEDADIDESEESAEESIAISIAQMEKRLLHGLIHNVLPYVGTSVKTLVLAYSSAVSSKMVRQILELCPNLEHLDLTQTDISDSAFDSWSWLGCCQSLRHLDLSGCEKITDVALEKISRALGILTSHQSGFLKTSTSKITSTAWKNKDITMQSTKQYACLHDLTNKGIGEEIDNEHPWTKPVSSENFTSPYVWMLDAEDLADIEDTVEWRHRNVESLCVMETASNFSCSTSGCFSKDIVGLRTSVCWQQHCASPAFAYCGHSFCCTGTALRTMSSLPESSAMCRKAARTRLPRGKDLIYFGSEKSDQETGRVLLFLSLSGCYQITDHGLRVLTLGGGLPYLEHLNLSGCLTITGAGLQDLVSACPSLNDEYFYYCDNINGPHADTASGCQNLQCGFRACCRSGE
NP_001182485.1 149 625 0.60375073375262 clathrin interactor 1 isoform 3 625 0 14 477 0 Homo sapiens NP_001182485.1 1 RefSeq VGGFRYSERYDPEPKSKWDEEWDKNKSAFPFSDKLGELSDKIGSTIDDTISKFRRKDREDSPERCSDSDEEKKARRGRSPKGEFKDEEETVTTKHIHITQATETTTTRHKRTANPSKTIDLGAAAHYTGDKASPDQNASTHTPQSSVKTSVPSSKSSGDLVDLFDGTSQSTGGSADLFGGFADFGSAAASGSFPSQVTATSGNGDFGDWSAFNQAPSGPVASSGEFFGSASQPAVELVSGSQSALGPPPAASNSSDLFDLMGSSQATMTSSQSMNFSMMSTNTVGLGLPMSRSQPLQNVSTVLQKPNPLYNQNTDMVQKSVSKTLPSTWSDPSVNISLDNLLPGMQPSKPQQPSLNTMIQQQNMQQPMNVMTQSFGAVNLSSPSNMLPVRPQTNALIGGPMPMSMPNVMTGTMGMAPLGNTPMMNQSMMGMNMNIGMSAAGMGLTGTMGMGMPNIAMTSGTVQPKQDAFANFANFSK
NP_001185403.1 1 389 0.0485311053984576 PF00892.20:EamA:22:161,PF00892.20:EamA:200:338 Protein WALLS ARE THIN 1 389 279 14 172 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94AP3 1 SwissProt MADNTDNRRSLWGVPEKLQLHIAMLTLQFGYAGFHVVSRAALNMGISKLVFPVYRNIIALLLLLPFAYFLEKKERPAITLNFLIQFFFLALIGITANQGFYLLGLDNTSPTFASSMQNSVPAITFLMAALLRIEKVRINRRDGISKILGTALCVAGASVITLYKGPTIYTPASHLHAHLLTTNSAVLAPLGNAAPKNWTLGCIYLIGHCLSWSGWLVFQAPVLKSYPARLSVTSYTCFFGIIQFLIIAAFCERDSQAWVFHSGWELFTILYAGIVASGIAFAVQIWCIDRGGPVFVAVYQPVQTLVVAIMASIALGEEFYLGGIIGAVLIIAGLYFVLYGKSEERKFAALEKAAIQSSAEHGIERAPVSRNSIKSSITTPLLHQSTDNV
NP_001185443.1 196 776 0.299235972461273 PF01852.19:START:93:320 HD-ZIP IV family of homeobox-leucine zipper protein with lipid-binding START domain-containing protein 776 228 14 581 0 Arabidopsis thaliana NP_001185443.1 1 RefSeq LLKAELEKLREENKAMRESFSKANSSCPNCGGGPDDLHLENSKLKAELDKLRAALGRTPYPLQASCSDDQEHRLGSLDFYTGVFALEKSRIAEISNRATLELQKMATSGEPMWLRSVETGREILNYDEYLKEFPQAQASSFPGRKTIEASRDAGIVFMDAHKLAQSFMDVGQWKETFACLISKAATVDVIRQGEGPSRIDGAIQLMFGEMQLLTPVVPTREVYFVRSCRQLSPEKWAIVDVSVSVEDSNTEKEASLLKCRKLPSGCIIEDTSNGHSKVTWVEHLDVSASTVQPLFRSLVNTGLAFGARHWVATLQLHCERLVFFMATNVPTKDSLGVTTLAGRKSVLKMAQRMTQSFYRAIAASSYHQWTKITTKTGQDMRVSSRKNLHDPGEPTGVIVCASSSLWLPVSPALLFDFFRDEARRHEWDALSNGAHVQSIANLSKGQDRGNSVAIQTVKSREKSIWVLQDSSTNSYESVVVYAPVDINTTQLVLAGHDPSNIQILPSGFSIIPDGVESRPLVITSTQDDRNSQGGSLLTLALQTLINPSPAAKLNMESVESVTNLVSVTLHNIKRSLQIEDC
NP_001230056.1 1 814 0.491111916461916 PF10254.9:Pacs-1:398:810 phosphofurin acidic cluster sorting protein 2 isoform 3 814 413 14 814 0 Homo sapiens NP_001230056.1 1 RefSeq MQGSKRILRSHEIVLPPSGQVETDLALTFSLQYPHFLKREGNKLQIMLQRRKRYKNRTILGYKTLAAGSISMAEVMQHPSEGGQVLSLCSSIKEAPVKAAEIWIASLSSQPIDHEDSTMQAGPKAKSTDNYSEEEYESFSSEQEASDDAVQGQDLDEDDFDVGKPKKQRRSIQQNFKQKVVALLRRFKVSDEVLDSEQDPAEHIPEAEEDLDLLYDTLDMEHPSDSGPDMEDDDSVLSTPKPKLRPYFEGLSHSSSQTEIGSIHSARSHKEPPSPADVPEKTRSLGGRQPSDSVSDTVALGVPGPREHPGQPEDSPEAEASTLDVFTERLPPSGRITKTESLVIPSTRSEGKQAGRRGRSTSLKERQAARPQNERANSLDNERCPDARSQLQIPRKTVYDQLNHILISDDQLPENIILVNTSDWQGQFLSDVLQRHTLPVVCTCSPADVQAAFSTIVSRIQRYCNCNSQPPTPVKIAVAGAQHYLSAILRLFVEQLSHKTPDWLGYMRFLVIPLGSHPVARYLGSVDYRYNNFFQDLAWRDLFNKLEAQSAVQDTPDIVSRITQYIAGANCAHQLPIAEAMLTYKQKSPDEESSQKFIPFVGVVKVGIVEPSSATSGDSDDAAPSGSGTLSSTPPSASPAAKEASPTPPSSPSVSGGLSSPSQGVGAELMGLQVDYWTAAQPADRKRDAEKKDLPVTKNTLKCTFRSLQVSRLPSSGEAAATPTMSMTVVTKEKNKKVMFLPKKAKDKDVESKSQCIEGISRLICTARQQQNMLRVLIDGVECSDVKFFQLAAQWSSHVKHFPICIFGHSKATF
NP_001238891.1 1 523 0.622938432122371 PF00621.20:RhoGEF:444:522 Rho guanine nucleotide exchange factor 26; SH3 domain-containing guanine exchange factor 871 79 14 523 0 Homo sapiens (Human) SwissProt::Q96DR7 1 SwissProt MDGESEVDFSSNSITPLWRRRSIPQPHQVLGRSKPRPQSYQSPNGLLITDFPVEDGGTLLAAQIPAQVPTASDSRTVHRSPLLLGAQRRAVANGGTASPEYRAASPRLRRPKSPKLPKAVPGGSPKSPANGAVTLPAPPPPPVLRPPRTPNAPAPCTPEEDLTGLTASPVPSPTANGLAANNDSPGSGSQSGRKAKDPERGLFPGPQKSSSEQKLPLQRLPSQENELLENPSVVLSTNSPAALKVGKQQIIPKSLASEIKISKSNNQNVEPHKRLLKVRSMVEGLGGPLGHAGEESEVDNDVDSPGSLRRGLRSTSYRRAVVSGFDFDSPTSSKKKNRMSQPVLKVVMEDKEKFSSLGRIKKKMLKGQGTFDGEENAVLYQNYKEKALDIDSDEESEPKEQKSDEKIVIHHKPLRSTWSQLSAVKRKGLSQTVSQEERKRQEAIFEVISSEHSYLLSLEILIRMFKNSKELSDTMTKTERHHLFSNITDVCEASKKFFIELEARHQNNIFIDDISDIVEKHTA
NP_001243513.1 1 107 0.35641214953271 glycophorin-C isoform 3 107 0 14 84 1 Homo sapiens NP_001243513.1 0 RefSeq MASASTTMHTTTIAEPDPGMSGWPDGRMETSTPTIMDIVVIAGVIAAVAIVLVSLLFVMLRYMYRHKGTYHTNEAKGTEFAESADAALQGDPALQDAGDSSRKEYFI
NP_001257943.1 1 1329 0.485281565086532 kinectin isoform d 1329 0 14 1306 1 Homo sapiens NP_001257943.1 1 RefSeq MEFYESAYFIVLIPSIVITVIFLFFWLFMKETLYDEVLAKQKREQKLIPTKTDKKKAEKKKNKKKEIQNGNLHESDSESVPRDFKLSDALAVEDDQVAPVPLNVVETSSSVRERKKKEKKQKPVLEEQVIKESDASKIPGKKVEPVPVTKQPTPPSEAAASKKKPGQKKSKNGSDDQDKKVETLMVPSKRQEALPLHQETKQESGSGKKKASSKKQKTENVFVDEPLIHATTYIPLMDNADSSPVVDKREVIDLLKPDQVEGIQKSGTKKLKTETDKENAEVKFKDFLLSLKTMMFSEDEALCVVDLLKEKSGVIQDALKKSSKGELTTLIHQLQEKDKLLAAVKEDAAATKDRCKQLTQEMMTEKERSNVVITRMKDRIGTLEKEHNVFQNKIHVSYQETQQMQMKFQQVREQMEAEIAHLKQENGILRDAVSNTTNQLESKQSAELNKLRQDYARLVNELTEKTGKLQQEEVQKKNAEQAATQLKVQLQEAERRWEEVQSYIRKRTAEHEAAQQDLQSKFVAKENEVQSLHSKLTDTLVSKQQLEQRLMQLMESEQKRVNKEESLQMQVQDILEQNEALKAQIQQFHSQIAAQTSASVLAEELHKVIAEKDKQIKQTEDSLASERDRLTSKEEELKDIQNMNFLLKAEVQKLQALANEQAAAAHELEKMQQSVYVKDDKIRLLEEQLQHEISNKMEEFKILNDQNKALKSEVQKLQTLVSEQPNKDVVEQMEKCIQEKDEKLKTVEELLETGLIQVATKEEELNAIRTENSSLTKEVQDLKAKQNDQVSFASLVEELKKVIHEKDGKIKSVEELLEAELLKVANKEKTVQDLKQEIKALKEEIGNVQLEKAQQLSITSKVQELQNLLKGKEEQMNTMKAVLEEKEKDLANTGKWLQDLQEENESLKAHVQEVAQHNLKEASSASQFEELEIVLKEKENELKRLEAMLKERESDLSSKTQLLQDVQDENKLFKSQIEQLKQQNYQQASSFPPHEELLKVISEREKEISGLWNELDSLKDAVEHQRKKNNDLREKNWEAMEALASTEKMLQDKVNKTSKERQQQVEAVELEAKEVLKKLFPKVSVPSNLSYGEWLHGFEKKAKECMAGTSGSEEVKVLEHKLKEADEMHTLLQLECEKYKSVLAETEGILQKLQRSVEQEENKWKVKVDESHKTIKQMQSSFTSSEQELERLRSENKDIENLRREREHLEMELEKAEMERSTYVTEVRELKAQLNETLTKLRTEQNERQKVAGDLHKAQQSLELIQSKIVKAAGDTTVIENSDVSPETESSEKETMSVSLNQTVTQLQQLLQAVNQQLTKEKEHYQVLE
NP_001258786.1 336 725 0.717958974358974 beta-adducin isoform 1 725 0 14 390 0 Mus musculus NP_001258786.1 1 RefSeq KHRPHEVGSVQWAGSTFGPMQKSRLGEHEFEALMRMLDNLGYRTGYTYRHPFVQEKTKHKSEVEIPATVTAFVFEEDGVPVPALRQHAQKQQKEKTRWLNTPNTYLRVNVADEVQRNMGSPRPKTTWMKADEVEKSSSGMPIRIENPNQFVPLYTDPQEVLDMRNKIREQNRQDIKSAGPQSQLLASVIAEKSRSPSTESQLMSKGDADTKDESEETVPNPFSQLTDQELEEYKKEVERKKLEQEQEGEKDIATEKPGSPVKSTPASPVQSPSKAGTKSPAVSPSKTSEDTKKTEVSEANTEPEPVKPEGLVVNGKEEEPSVEEALSKGLGQMTTNADTDGDSYKDKTESVTSGPLSPEGSPSKSPSKKKKKFRTPSFLKKSKKKEKVES
NP_001258814.1 1 206 0.544348543689321 PF10199.9:Adaptin_binding:46:185 alpha- and gamma-adaptin-binding protein p34 isoform 2 206 140 14 206 0 Homo sapiens NP_001258814.1 1 RefSeq MILVCDRVSEDGINRQKAQEWCIKHGFELVELSPEELPEEDDDFPESTGVKRIVQALNANVWSNVVMKNDRNQGFSLLNSLTGTNHSIGSADPCHPEQPHLPAADSTESLSDHRGGASNTTDAQVDSIVDPMLDLDIQELASLTTGGGDVENFERLFSKLKEMKDKAATLPHEQRKVHAEKVAKAFWMAIGGDRDEIEGLSSDEEH
NP_001259578.1 1 1038 0.278391907514451 PF00093.18:VWC:102:174,PF00093.18:VWC:744:803,PF00093.18:VWC:832:898,PF00093.18:VWC:941:1019,PF07452.12:CHRD:475:583,PF07452.12:CHRD:601:708 Dorsal-ventral patterning protein Sog; Short gastrulation protein 1038 496 14 1019 1 Drosophila melanogaster (Fruit fly) SwissProt::Q24025 1 SwissProt MANKLRKSNAIEWATATGTVPLLERSCCHSEDAALEPQASKTSHREQAPILRHLSQLSHLLIIAGLLIVCLAGVTEGRRHAPLMFEESDTGRRSNRPAVTECQFGKVLRELGSTWYADLGPPFGVMYCIKCECVAIPKKRRIVARVQCRNIKNECPPAKCDDPISLPGKCCKTCPGDRNDTDVALDVPVPNEEEERNMKHYAALLTGRTSYFLKGEEMKSMYTTYNPQNVVATARFLFHKKNLYYSFYTSSRIGRPRAIQFVDDAGVILEEHQLETTLAGTLSVYQNATGKICGVWRRVPRDYKRILRDDRLHVVLLWGNKQQAELALAGKVAKYTALQTELFSSLLEAPLPDGKTDPQLAGAGGTAIVSTSSGAASSMHLTLVFNGVFGAEEYADAALSVKIELAERKEVIFDEIPRVRKPSAEINVLELSSPISIQNLRLMSRGKLLLTVESKKYPHLRIQGHIVTRASCEIFQTLLAPHSAESSTKSSGLAWVYLNTDGSLAYNIETEHVNTRDRPNISLIEEQGKRKAKLEDLTPSFNFNQAIGSVEKLGPKVLESLYAGELGVNVATEHETSLIRGRLVPRPVADARDSAEPILLKRQEHTDAQNPHAVGMAWMSIDNECNLHYEVTLNGVPAQDLQLYLEEKPIEAIGAPVTRKLLEEFNGSYLEGFFLSMPSAELIKLEMSVCYLEVHSKHSKQLLLRGKLKSTKVPGHCFPVYTDNNVPVPGDHNDNHLVNGETKCFHSGRFYNESEQWRSAQDSCQMCACLRGQSSCEVIKCPALKCKSTEQLLQRDGECCPSCVPKKEAADYSAQSSPATNATDLLQQRRGCRLGEQFHPAGASWHPFLPPNGFDTCTTCSCDPLTLEIRCPRLVCPPLQCSEKLAYRPDKKACCKICPEGKQSSSNGHKTTPNNPNVLQDQAMQRSPSHSAEEVLANGGCKVVNKVYENGQEWHPILMSHGEQKCIKCRCKDSKVNCDRKRCSRSTCQQQTRVTSKRRLFEKPDAAAPAIDECCSTQCRRSRRHHKRQPHHQQRSSS
NP_001261176.1 1 629 0.152036089030207 PF00520.31:Ion_trans:212:461 painless, isoform B 629 250 14 503 6 Drosophila melanogaster NP_001261176.1 1 RefSeq MDINSRPGRANEANLVETAVIYGNWQALERLLKEPNLRLTPDSKLLNAVIGRLDEPPYDGSSHQRCFELLINSDRVDINEADSGRLVPLFFAVKYRNTSAMQKLLKNGAYIGSKSAFGTLPIKDMPPEVLEEHFDSCITTNGERPGDQNFEIIIDYKNLMRQERDSGLNQLQDEMAPIAFIAESKEMRHLLQHPLISSFLFLKWHRLSVIFYLNFLIYSLFTASIITYTLLKFHESDQRALTAFFGLLSWLGISYLILRECIQWIMSPVRYFWSITNIMEVALITLSIFTCMESSFDKETQRVLAVFTILLVSMEFCLLVGSLPVLSISTHMLMLREVSNSFLKSFTLYSIFVLTFSLCFYILFGKSVEEDQSKSATPCPPLGKKEGKDEEQGFNTFTKPIEAVIKTIVMLTGEFDAGSIQFTSIYTYLIFLLFVIFMTIVLFNLLNGLAVSDTQVIKAQAELNGAICRTNVLSRYEQVLTGHGRAGFLLGNHLFRSICQRLMNIYPNYLSLRQISVLPNDGNKVLIPMSDPFEMRTLKKASFQQLPLSAAVPQKKLLDPPLRLLPCCCSLLTGKCSQMSGRVVKRALEVIDQKNAAEQRRKQEQINDSRLKLIEYKLEQLIQLVQDRK
NP_001265639.1 1 142 0.078362676056338 PF15056.6:NRN1:31:115 neuritin isoform 1 precursor 142 85 14 142 0 Homo sapiens NP_001265639.1 1 RefSeq MGLKLNGRYISLILAVQIAYLVQAVRAAGKCDAVFKGFSDCLLKLGDSMANYPQGLDDKTNIKTVCTYWEDFHSCTVTALTDCQEGAKDMWDKLRKESKNLNIQGSLFELCGSGNGAAGSLLPAFPVLLVSLSAALATWLSF 2
NP_001268971.1 1 295 0.334382033898305 PF03114.18:BAR:19:253,PF10455.9:BAR_2:117:251,PF16746.5:BAR_3:115:254 endophilin-B1 isoform 3 355 236 14 295 0 Mus musculus NP_001268971.1 1 RefSeq MNIMDFNVKKLAADAGTFLSRAVQFTEEKLGQAEKTELDAHLENLLSKAECTKIWTEKIMKQTEVLLQPNPNARIEEFVYEKLDRKAPSRINNPELLGQYMIDAGTEFGPGTAYGNALIKCGETQKRIGTADRELIQTSALNFLTPLRNFIEGDYKTIAKERKLLQNKRLDLDAAKTRLKKAKAAETKSSSEQELRITQSEFDRQAEITRLLLEGISSTHAHHLRCLNDFVEAQMTYYAQCYQYMLDLQKQLGSFPSNYLSNNNQTSGTPVPYALSNAIGPSAQASTGSLVITCP
NP_001271287.1 1 174 0.2927 protein boule-like isoform 3 174 0 14 174 0 Homo sapiens NP_001271287.1 1 RefSeq MTELEYPKGSSIMPAAGTMYLTTSTGYPYTYHNGVAYFHTPEVTSVPPPWPSRSVCSSPVMVAQPIYQQPAYHYQATTQYLPGQWQWSVPQPSASSAPFLYLQPSEVIYQPVEIAQDGGCVPPPLSLMETSVPEPYSDHGVQATYHQVYAPSAITMPAPVMQPEPIKTVWSIHY
NP_001275696.1 1 1030 0.348043689320389 armadillo repeat-containing protein 5 isoform c 1030 0 14 1030 0 Homo sapiens NP_001275696.1 1 RefSeq MVTLGAGVRLFTGVYPVVLNQIRATSEASAITRTTMPAPELTSTSRAEDTRDTGVQLRKRKIPQARHSRKRQRTFSFRSLGSAARSGAESEARAKMAAAKPTLTDSLSFCLAQLAAAAGEALGGEKDPATNETPLSRALLALRTRHIKAAGGIERFRARGGLRPLLALLRRAAAAGSAPSQAGPGSAPSSAASGASSPAPASGPAPSAVSSSSPTPPVRLRKTLDLALSILADCCTEGACRTEVRRLGGILPLVTILQCMKTDSIQNRTARALGNLAMEPESCGDIHCAGAVPLLVESLTACQDSQCLQSVVRALRNLADSPQHRLALAQQGAVRPLAELLATAPDAALTLALVRALLELSRGCSRACAEQLSLGGGLGPLVSLASHPKRAVREGTILILANLCAQGLIRPALGNAGGVEVLVDELRQRRDPNGASPTSQQPLVRAVCLLCREAINRARLRDAGGLDLLMGLLRDPRASAWHPRIVAALVGFLYDTGALGRLQALGLVPLLAGQLCGEAGEEEEEGREAASWDFPEERTPERAQGGSFRSLRSWLISEGYATGPDDISPDWSPEQCPPEPMEPASPAPTPTSLRAPRTQRTPGRSPAAAIEEPWGREGPALLLLSRFSQAPDPSGALVTGPALYGLLTYVTGAPGPPSPRALRILSRLTCNPACLEAFVRSYGAALLRAWLVLGVAPDDWPAPRARPTLHSRHRELGERLLQNLTVQAESPFGVGALTHLLLSGSPEDRVACALTLPFICRKPSLWRRLLLEQGGLRLLLAALTRPAPHPLFLFFAADSLSCLQDLVSPTVSPAVPQAVPMDLDSPSPCLYEPLLGPAPVPAPDLHFLLDSGLQLPAQRAASATASPFFRALLSGSFAEAQMDLVPLRGLSPGAAWPVLHHLHGCRGCGAALGPVPPPGQPLLGSEAEEALEAAGRFLLPGLEEELEEAVGRIHLGPQGGPESVGEVFRLGRPRLAAHCARWTLGSEQCPRKRGLALVGLVEAAGEEAGPLTEALLAVVMGIELGARVPA
NP_001277074.1 1 350 0.366254857142857 PF04379.14:DUF525:236:319,PF08755.11:YccV-like:90:182 polymerase delta-interacting protein 2 isoform 2 350 177 14 350 0 Homo sapiens NP_001277074.1 1 RefSeq MAACTARRALAVGSRWWSRSLTGARWPRPLCAAAGAGAFSPASTTTTRRHLSSRNRPEGKVLETVGVFEVPKQNGKYETGQARLYDRDVASAAPEKAENPAGHGSKEVKGKTHTYYQVLIDARDCPHISQRSQTEAVTFLANHDDSRALYAIPGLDYVSHEDILPYTSTDQVPIQHELFERFLLYDQTKAPPFVARETLRAWQEKNHPWLELSDVHRETTENIRVTVIPFYMGMREAQNSHVYWWRYCIRLENLDSDVVQLRERHWRIFSLSGTLETVRGRGVVGREPVLSKEQPAFQYSSHVSLQASSGHMWGTFRFERPDGSHFDVRIPPFSLESNKDEKTPPSGLHW
NP_001286752.1 1 361 0.667919944598338 Protein twist 490 0 14 361 0 Drosophila melanogaster (Fruit fly) SwissProt::P10627 1 SwissProt MMSARSVSPKVLLDISYKPTLPNIMELQNNVIKLIQVEQQAYMQSGYQLQHQQQHLHSHQHHQQHHQQQHAQYAPLPSEYAAYGITELEDTDYNIPSNEVLSTSSNQSAQSTSLELNNNNTSSNTNSSGNNPSGFDGQASSGSSWNEHGKRARSSGDYDCQTGGSLVMQPEHKKLIHQQQQQQQQHQQQIYVDYLPTTVDEVASAQSCPGVQSTCTSPQSHFDFPDEELPEHKAQVFLPLYNNQQQQSQQLQQQQPHQQSHAQMHFQNAYRQSFEGYEPANSLNGSAYSSSDRDDMEYARHNALSSVSDLNGGVMSPACLADDGSAGSLLDGSDAGGKAFRKPRRRLKRKPSKTEETDEFS
NP_001292592.1 1 512 0.2495921875 PF12736.7:CABIT:1:176,PF12736.7:CABIT:193:430 protein THEMIS isoform 2 512 414 14 512 0 Mus musculus NP_001292592.1 1 RefSeq MADKTPYLSIEEITRTVNIGPSRLGHPCFYHLKDIKLENLIIKQGEPIRFNSVEEINGETLVNCGVVRNHQSHSFTLPLSQEGEFYECEDEHIYTLKEIVEWKIPKNRTRTVKLTDFSNKWDSTNPFPEDFYGTLILKPVYEIQGVLKFQKDIVRILPSLDVEVKDITDSYDANWFLQLLSTDDLFEMTSKEFPVVAEVVEISQGNHLPQSILQREKTIVIHKKYQASRILASEIRSNFPKRHFLIPISYKGKFKRRPREFPTAYDLQIAKSRKETLHVVATKAFHTLHKELSPVSVGDQFLVHHSETTEVVFEGTRKVNVLTCEKVLNKTREDAQLPLYMEGGFVEVIHDKKQYQISELCTQFCWPFNVKVAVRDLSIKDDILAATPGLQLEEDITDSYLLISDFANPEECWEIPMSRLNMTVRLVNGSSLPADAGLLQVRSFVEEITEEQYYMMRRYESSLSHPPPRPPKHPSAEEMKLTLLSLAEERTINLPKSLKNSSKLKNIKNNKT
NP_001295114.1 1 428 0.379923364485981 PF00134.23:Cyclin_N:81:196,PF02984.19:Cyclin_C:208:291 cyclin-L1 isoform 2 428 200 14 428 0 Homo sapiens NP_001295114.1 1 RefSeq MASGPHSTATAAAAASSAAPSAGGSSSGTTTTTTTTTGGILIGDRLYSEVSLTIDHSLIPEERLSPTPSMQDGLDLPSETDLRILGCELIQAAGILLRLPQVAMATGQVLFHRFFYSKSFVKHSFEIVAMACINLASKIEEAPRRIRDVINVFHHLRQLRGKRTPSPLILDQNYINTKNQVIKAERRVLKELGFCVHVKHPHKIIVMYLQVLECERNQTLVQTAWNYMNDSLRTNVFVRFQPETIACACIYLAARALQIPLPTRPHWFLLFGTTEEEIQEICIETLRLYTRKKPNYELLEKEVEKRKVALQEAKLKAKGLNPDGTPALSTLGGFSPASKPSSPREVKAEEKSPISINVKTVKKEPEDRQQASKSPYNGVRKDSKRSRNSRSASRSRSRTRSRSRSHTPRRQDEVLLRCPGRSRTPGLK
NP_001300627.1 1 167 0.126132335329341 PF05529.12:Bap31:1:135 B-cell receptor-associated protein 31; BCR-associated protein 31; Bap31; p28 245 135 14 103 3 Mus musculus (Mouse) SwissProt::Q61335 0 SwissProt MSLQWTTVATFLYAEVFAVLLLCIPFISPKRWQKVFKSRLVELVVTYGNTFFVVLIVILVLLVIDAVREILKYDDVTEKVNLQNNPGAMEHFHMKLFRAQRNLYIAGFSLLLSFLLRRLVTLISQQATLLASNEAFKKQAESASEAAKKYMEENDQLKKGAAEDGDK
NP_001304916.1 1 128 0.2675015625 PF06083.11:IL17:44:127 interleukin-17B isoform 2 128 84 14 128 0 Homo sapiens NP_001304916.1 1 RefSeq MKPYARMEEYERNIEEMVAQLRNSSELAQRKCEVNLQLWMSNKRSLSPWGYSINHDPSRIPVDLPEARCLCLGCVNPFTMQEDRSMVSVPVFSQVPVRRRLCPPPPRTGPCRQRAVMETIAVGCTCIF
NP_001307375.1 403 868 0.516944206008583 MORC family CW-type zinc finger protein 3 isoform 2 868 0 14 466 0 Homo sapiens NP_001307375.1 1 RefSeq FRIRQPEMIPRINAELLFRPTALSTPSFSSPKESVPRRHLSEGTNSYATRLLNNHQVPPQSEPESNSLKRRLSTRSSILNAKNRRLSSQFENSVYKGDDDDEDVIILEENSTPKPAVDHDIDMKSEQSHVEQGGVQVEFVGDSEPCGQTGSTSTSSSRCDQGNTAATQTEVPSLVVKKEETVEDEIDVRNDAVILPSCVEAEAKIHETQETTDKSADDAGCQLQELRNQLLLVTEEKENYKRQCHMFTDQIKVLQQRILEMNDKYVKKETCHQSTETDAVFLLESINGKSESPDHMVSQYQQALEEIERLKKQCSALQHVKAECSQCSNNESKSEMDEMAVQLDDVFRQLDKCSIERDQYKSEVELLEMEKSQIRSQCEELKTEVEQLKSTNQQTATDVSTSSNIEESVNHMDGESLKLRSLRVNVGQLLAMIVPDLDLQQVNYDVDVVDEILGQVVEQMSEISST
NP_001309738.1 1 281 0.419451601423488 angiopoietin-4 isoform 2 precursor 410 0 14 281 0 Homo sapiens NP_001309738.1 1 RefSeq MLSQLAMLQGSLLLVVATMSVAQQTRQEADRGCETLVVQHGHCSYTFLLPKSEPCPPGPEVSRDSNTLQRESLANPLHLGKLPTQQVKQLEQALQNNTQWLKKLERAIKTILRSKLEQVQQQMAQNQTAPMLELGTSLLNQTTAQIRKLTDMEAQLLNQTSRMDAQMPETFLSTNKLENQLLLQRQKLQQLQGQNSALEKRLQALETKQQEELASILSKKAKLLNTLSRQSAALTNIERGLRGVRHNSSLLQDQQHSLRQLLVLLRHLVQERANASAPAFI
NP_001319707.1 1 2598 0.130621516551193 PF02259.23:FAT:2089:2492 Serine/Threonine-kinase ATM-like protein 2598 404 14 2575 1 Arabidopsis thaliana NP_001319707.1 1 RefSeq MVASRDVHEIVSKLSSDKAKTREDGVKLLNTWLEGERSITFCRFLSQNTAKLKLDEIPNAETWPFLVKLLLQCVSMEVSGSKRRMPKPTFAKTLRVVVQRTEETKFPGVQFPLLSMAKTLFTHVHDILSNTPSFQSEYGTILRHLLEIKEYRFQMRKRTYSSLVLLYMERAETGFCEKNSGQHSQKEEAFRYILTLQSLLENSPGDFPDDLREEIVNGLIHIFSSVRDEGKLSRKLIECVNTFLLKDGPNLGSLSLEIHNAVEQFVFRCWLTTHDKNLKEILVSYGRLQLNLTRDSSESSSLVEQLLDVVTRELDLGSSSSSASWGDTTKDEKLGALSSYQNSLVELAAHVFYRACVNTSRPSLSEKRARRQHIAMRMVDALTEGKWLWCAAFGCLVRNYCARINMDLLIYWFEAICTNFQRLLEDASMRRSYDGLLWTLRSLQGLSSGLSLPDITMDISKSSASSSELDRGWQSIWSSLIHGLATFSSMSVIVDAVLVLLGSIISSNHITVKILPQEVWDHQLFRHIPSEPALYFIACYFSRMGCQGNLQDDLHLRRNLLRAVCAPLSWKVRLTLDERMVQLLPAAAFSLCAGFKVSLPLPKEHLPTPSQWDVCEQIDDVDRERNFGLFECSVEALTRICSNSSKISGCQVPDVVQLPLVLRDPLLHDMDIYFLSIIPEVKEKGPLSDIFMGCALLCHFMHGSYITRKGKGSSSFFLKACQYLLEGLDHAVESVSKSLNDLQRRGSLGFGSDFNEKGSIIVSLRSFTQSPVFSNRRDQNLLGASYDFVIHSLENLLRSFAKVYEEYTEHAWNTHSDTVPSKSLAPDSPEVGRIVDMDLDLAEDTKERDIIAAGGKAVPGLPVSMGNWKLGMVSLISCFSPVLQFPTWDVLYNLLEKESDPKVLENILYHLCKLSCLTSIPKVDDLVIFLDGMLSTQVKMKRNCLNIVTALHVLLHTLSSSRRDSSGVEKNCGLSLKEAESFQVFVQLGAMVNKVSEFGLLGWFGRVKLINCICDLVLLNPQTGQTMIERLLLMLSDSDYRVRFVLARQIGILFQTWDGHEALFQDICSSFGIKLVTSSKEKLVTAKDVLAVGPQPRQKMETVIITLMHLAYHSENIELQAVFMMCAVSAKDPCQRELIIAALDNLSAQLHYPSRFKYLEELLGPILFHWIASGVSLAGLIETSQLFIPNAEPKYFIHFCSHWLLPALLLHEDHTNLDWVAKMAGQPVVVLVKENFVPIFSICMGLHCSKTSECDKGAMVLQNSILYVGETSENERDKLIKQNMVSIVSFILSCASSSPEPPVPTFSRDTISLAVQTVVDGFLENTDYPKNAAITDRINIFRPDRVFMFITEMHYRMSAACHHRHTRHHLAALEELTILLGHRALVPSSLNYIFNLVGQFIGYPSLQDQCCSIASCLLDLFKSNPAKEIVSVLGDQLQFLVSKLVTCCIDAEADTKISGAKSSQLVNLLHKLVVSSDSSLNEDIRDLEPLPDLKYFQVIRESHIRICEAYSPRNHLLKVEHSTFLIYIFLEILSLSNFLFLSCSTIQQCSRRSNYLPPRFLSRSLQALHNKLIASEVSQEDTNGETAETFWQSDDEIVNAVWTLVRVSASDEADSMRLLVSDFLSRIGIRDPHTVVFHLPGNLVSMHGLQGFGHNTGSKVRSLTENGISDETLITLLNFLKKYLLDDSVKIIDVTSQTLRGILSTERGQQALSSFDSCERALIEVHGRGVNLDIVEKILLDSQKQFKAEKFSLETPEVWSTDNKNFDRWICQLVYCMIALCEDVPIRLCQNIALLKAEISELLFPSVVVSLAGRIGMDINLHDLITSQVKEHIFTDSNKLTKSKQVMLNTLNELRMCYVLERSIFSGQTKREKNSRSCSTAAKIRDVESGSNGMAASITTNWEKVYWLSIDYLVVAGSAVVCGAYLTASMYVEYWCEEKFGNLSLGDPDFSYHDKLPDHVEILVSAITRINEPDSLYGVIHSNKLSAQIITFEHEGNWTRALEYYDLQARSQKMVVPSSLSENLEVEQFQPTTSARHSVFGEGEVQRQPFKGLIRSLQQTGCMHVLDLYCRGLTSREGCFQYDPEFIELQYEAAWRAGKWDFSLLYPQTHCQPLQHAKNNNYHESLHCCLRALQEGDYDGFYGKLKDTKKELVLSISRASEESTEFIYSTVVKLQILHHLGLVWDLRWTTSSHQSVHGYLVKQMACVDPVIPTMDQLSWLNKDWNSIITQTQLHMTLLEPFIAFRRVLLQILGCEKCTMQHLLQSASLLRKGTRFSHAAASLHEFKFLCARSNGQQPVPDWLGKLEEAKLLHAQGRHEVSISLANYILHNYQLKEEASDIYRVIGKWLAETRSSNSRTILEKYLRPAVSLAEEQSSKICKRLVDRQSQTWFHLAHYADALFKSYEERLSSSEWQAALRLRKHKTKELEVLIKRFKSSKKAEQSDYSLKIQDLQKQLTMDKEEAEKLQVDRDNFLKLALEGYKRCLEIGDKYDVRVVFRQVSMWFSLASQKNVIDNMLSTIKEVQSYKFIPLVYQIASRLGSSKDESGSNSFQSALVSLIRKMAIDHPYHTILQVLKCYPSLVLFIFLLFCLVNWHRTC
NP_001328944.1 191 995 0.508490310559005 Homeodomain-like protein 995 0 14 805 0 Arabidopsis thaliana NP_001328944.1 1 RefSeq YYASGLLDQCQSSPLIALQNKSIASSSSWMHSNGDEGSSRPGVDAEESECSQASTVFSQSTNDLQDEVQRGNEEYYMPEFHSGTEQQISNAASHAEPYYPSFKDVKIVVPEISCETECSKKFQNLNCSHELRTTTATEDQLPGVSNDAKQDRGLELLTHNMDNGGKNQALQQDFQSSVRLSDQPFLSNSDTDPEAQTLITDEECCRVLFPDNMKDSSTSSGEQGRNMVDPQNGKGSLCSQAAETHAHETGKVPALPWHPSSSEGLAGHNCVPLLDSDLKDSLLPRNDSNAPIQGCRLFGATELECKTDTNDGFIDTYGHVTSHGNDDNGGFPEQQGLSYIPKDSLKLVPLNSFSSPSRVNKIYFPIDDKPAEKDKGALCYEPPRFPSADIPFFSCDLVPSNSDLRQEYSPFGIRQLMISSMNCTTPLRLWDSPCHDRSPDVMLNDTAKSFSGAPSILKKRHRDLLSPVLDRRKDKKLKRAATSSLANDFSRLDVMLDEGDDCMTSRPSESPEDKNICASPSIARDNRNCASARLYQEMIPIDEEPKETLESGGVTSMQNENGCNDGGASAKNDQETSGSFFELRLCSPGMTRARPDNKVNASAKDLSNQHKISLGDFPTEEMSSEPLCTVDSIPLSAIDKTNTAETSFDIENFNIFDGTPFRKLLDTPSPWKSPLLFGSFLQSPKLPPEITFEDIGCFMSPGERSYDAIGLMKHLSEHSATAYADALEVLGNDTPESILKKRQLNKSIQGKENQHQPHDQLGNRSQVECRALDFSDCGTPGKAKVPSASPGGYSSPSSYLLKSCR
NP_001332081.1 1 675 0.069438962962963 PF03169.15:OPT:41:655 Metal-nicotianamine transporter YSL3; Protein YELLOW STRIPE LIKE 3; AtYSL3 675 615 14 402 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q2EF88 1 SwissProt MRSMMMEREGRNEIEREVIDDLEETQNEGDDFKSIPPWKEQITFRGIVASLIIGIIYSVIVMKLNLTTGLVPNLNVSAALLAFVFLRSWTKLLTKAGIVTKPFTKQENTVVQTCAVACYSIAVGGGFGSYLLGLNRITYEQSGGTHTDGNYPEGTKEPGIGWMTAFLFFTCFVGLLALVPLRKIMIIDYKLTYPSGTATAVLINGFHTPKGNKMAKKQVFGFVKYFSFSFIWAFFQWFFSGGTECGFIQFPTFGLEALKNTFYFDFSMTYVGAGMICPHIVNISLLFGAVLSWGIMWPLIKGLKGDWFPSTLPENSMKSLNGYKVFISISLILGDGLYQFIKILFKTGINMYVKLNNRNSGKSNSEKDKQSIADLKRDEIFVRDSIPLWVAAVGYAAFSVVSIIAIPIMFPELKWYFIVVAYMLAPSLGFSNAYGAGLTDMNMAYNYGKVALFILAAMAGKQNGVVAGLVGCGLIKSIVSISSDLMHDFKTGHLTLTSPRSMLVSQAIGTAIGCVVAPLTFFLFYKAFDVGNQEGEYKAPYALVYRNMAILGVEGFSALPQHCLQLCYGFFAFAVAANLVRDRLPDKIGNWVPLPMAMAVPFLVGGYFAIDMCVGSLIVFAWNMRDRVKAGLMVPAVASGLICGDGLWILPSSVLALAGVRPPICMGFMPSKYSS
NP_001483.3 1 261 0.443352873563218 Embryonic growth/differentiation factor 1; GDF-1 372 0 14 261 0 Homo sapiens (Human) SwissProt::P27539 1 SwissProt MPPPQQGPCGHHLLLLLALLLPSLPLTRAPVPPGPAAALLQALGLRDEPQGAPRLRPVPPVMWRLFRRRDPQETRSGSRRTSPGVTLQPCHVEELGVAGNIVRHIPDRGAPTRASEPASAAGHCPEWTVVFDLSAVEPAERPSRARLELRFAAAAAAAPEGGWELSVAQAGQGAGADPGPVLLRQLVPALGPPVRAELLGAAWARNASWPRSLRLALALRPRAPAACARLAEASLLLVTLDPRLCHPLARPRRDAEPVLGG
NP_001793.1 1 454 0.455309691629956 cerebellar degeneration-related protein 2 454 0 14 454 0 Homo sapiens NP_001793.1 1 RefSeq MLAENLVEEFEMKEDEPWYDHQDLQQDLQLAAELGKTLLDRNTELEDSVQQMYTTNQEQLQEIEYLTKQVELLRQMNEQHAKVYEQLDVTARELEETNQKLVADSKASQQKILSLTETIECLQTNIDHLQSQVEELKSSGQGRRSPGKCDQEKPAPSFACLKELYDLRQHFVYDHVFAEKITSLQGQPSPDEEENEHLKKTVTMLQAQLSLERQKRVTMEEEYGLVLKENSELEQQLGATGAYRARALELEAEVAEMRQMLQSEHPFVNGVEKLVPDSLYVPFKEPSQSLLEEMFLTVPESHRKPLKRSSSETILSSLAGSDIVKGHEETCIRRAKAVKQRGISLLHEVDTQYSALKVKYEELLKKCQEEQDSLSHKAVQTSRAAAKDLTGVNAQSEPVASGWELASVNPEPVSSPTTPPEYKALFKEIFSCIKKTKQEIDEQRTKYRSLSSHS
NP_002135.2 1 170 0.574138235294118 Homeobox protein Hox-B1; Homeobox protein Hox-2I 301 0 14 170 0 Homo sapiens (Human) SwissProt::P14653 1 SwissProt MDYNRMNSFLEYPLCNRGPSAYSAHSAPTSFPPSSAQAVDSYASEGRYGGGLSSPAFQQNSGYPAQQPPSTLGVPFPSSAPSGYAPAACSPSYGPSQYYPLGQSEGDGGYFHPSSYGAQLGGLSDGYGAGGAGPGPYPPQHPPYGNEQTASFAPAYADLLSEDKETPCPS 1
NP_002999.1 1 582 0.73709879725086 PF05474.11:Semenogelin:1:582 Semenogelin-2; Semenogelin II; SGII 582 582 14 582 0 Homo sapiens (Human) SwissProt::Q02383 1 SwissProt MKSIILFVLSLLLILEKQAAVMGQKGGSKGQLPSGSSQFPHGQKGQHYFGQKDQQHTKSKGSFSIQHTYHVDINDHDWTRKSQQYDLNALHKATKSKQHLGGSQQLLNYKQEGRDHDKSKGHFHMIVIHHKGGQAHHGTQNPSQDQGNSPSGKGLSSQCSNTEKRLWVHGLSKEQASASGAQKGRTQGGSQSSYVLQTEELVVNKQQRETKNSHQNKGHYQNVVDVREEHSSKLQTSLHPAHQDRLQHGPKDIFTTQDELLVYNKNQHQTKNLSQDQEHGRKAHKISYPSSRTEERQLHHGEKSVQKDVSKGSISIQTEEKIHGKSQNQVTIHSQDQEHGHKENKISYQSSSTEERHLNCGEKGIQKGVSKGSISIQTEEQIHGKSQNQVRIPSQAQEYGHKENKISYQSSSTEERRLNSGEKDVQKGVSKGSISIQTEEKIHGKSQNQVTIPSQDQEHGHKENKMSYQSSSTEERRLNYGGKSTQKDVSQSSISFQIEKLVEGKSQIQTPNPNQDQWSGQNAKGKSGQSADSKQDLLSHEQKGRYKQESSESHNIVITEHEVAQDDHLTQQYNEDRNPIST
NP_004280.5 1 531 0.546935404896421 Nuclear factor erythroid 2-related factor 3; NF-E2-related factor 3; NFE2-related factor 3; Nuclear factor, erythroid derived 2, like 3 694 0 14 511 1 Homo sapiens (Human) SwissProt::Q9Y4A8 1 SwissProt MKHLKRWWSAGGGLLHLTLLLSLAGLRVDLDLYLLLPPPTLLQDELLFLGGPASSAYALSPFSASGGWGRAGHLHPKGRELDPAAPPEGQLLREVRALGVPFVPRTSVDAWLVHSVAAGSADEAHGLLGAAAASSTGGAGASVDGGSQAVQGGGGDPRAARSGPLDAGEEEKAPAEPTAQVPDAGGCASEENGVLREKHEAVDHSSQHEENEERVSAQKENSLQQNDDDENKIAEKPDWEAEKTTESRNERHLNGTDTSFSLEDLFQLLSSQPENSLEGISLGDIPLPGSISDGMNSSAHYHVNFSQAISQDVNLHEAILLCPNNTFRRDPTARTSQSQEPFLQLNSHTTNPEQTLPGTNLTGFLSPVDNHMRNLTSQDLLYDLDINIFDEINLMSLATEDNFDPIDVSQLFDEPDSDSGLSLDSSHNNTSVIKSNSSHSVCDEGAIGYCTDHESSSHHDLEGAVGGYYPEPSKLCHLDQSDSDFHGDLTFQHVFHNHTYHLQPTAPESTSEPFPWPGKSQKIRSRYLEDT
NP_005377.1 1 81 0.102650617283951 neuronatin isoform alpha 81 0 14 58 1 Homo sapiens NP_005377.1 0 RefSeq MAAVAAASAELLIIGWYIFRVLLQVFLECCIYWVGFAFRNPPGTQPIARSEVFRYSLQKLAYTVSRTGRQVLGERRQRAPN
NP_006572.2 1 359 0.100425626740947 PF00852.19:Glyco_transf_10:185:357,PF17039.5:Glyco_tran_10_N:63:169 Alpha-(1,3)-fucosyltransferase 9; Fucosyltransferase 9; Fucosyltransferase IX; Fuc-TIX; FucT-IX; Galactoside 3-L-fucosyltransferase; EC 2.4.1.- 359 280 14 336 1 Homo sapiens (Human) SwissProt::Q9Y231 1 SwissProt MTSTSKGILRPFLIVCIILGCFMACLLIYIKPTNSWIFSPMESASSVLKMKNFFSTKTDYFNETTILVWVWPFGQTFDLTSCQAMFNIQGCHLTTDRSLYNKSHAVLIHHRDISWDLTNLPQQARPPFQKWIWMNLESPTHTPQKSGIEHLFNLTLTYRRDSDIQVPYGFLTVSTNPFVFEVPSKEKLVCWVVSNWNPEHARVKYYNELSKSIEIHTYGQAFGEYVNDKNLIPTISTCKFYLSFENSIHKDYITEKLYNAFLAGSVPVVLGPSRENYENYIPADSFIHVEDYNSPSELAKYLKEVDKNNKLYLSYFNWRKDFTVNLPRFWESHACLACDHVKRHQEYKSVGNLEKWFWN
NP_006867.1 1 415 0.177164578313253 PF13896.6:Glyco_transf_49:94:409 Beta-1,4-glucuronyltransferase 1; I-beta-1,3-N-acetylglucosaminyltransferase; iGnT; N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase; Poly-N-acetyllactosamine extension enzyme; UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 1; EC 2.4.1.- 415 316 14 392 1 Homo sapiens (Human) SwissProt::O43505 1 SwissProt MQMSYAIRCAFYQLLLAALMLVAMLQLLYLSLLSGLHGQEEQDQYFEFFPPSPRSVDQVKAQLRTALASGGVLDASGDYRVYRGLLKTTMDPNDVILATHASVDNLLHLSGLLERWEGPLSVSVFAATKEEAQLATVLAYALSSHCPDMRARVAMHLVCPSRYEAAVPDPREPGEFALLRSCQEVFDKLARVAQPGINYALGTNVSYPNNLLRNLAREGANYALVIDVDMVPSEGLWRGLREMLDQSNQWGGTALVVPAFEIRRARRMPMNKNELVQLYQVGEVRPFYYGLCTPCQAPTNYSRWVNLPEESLLRPAYVVPWQDPWEPFYVAGGKVPTFDERFRQYGFNRISQACELHVAGFDFEVLNEGFLVHKGFKEALKFHPQKEAENQHNKILYRQFKQELKAKYPNSPRRC
NP_009760.1 1 211 0.0176473933649289 PF04511.15:DER1:12:202 Degradation in the endoplasmic reticulum protein 1 211 191 14 119 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38307 0 SwissProt MDAVILNLLGDIPLVTRLWTIGCLVLSGLTSLRIVDPGKVVYSYDLVFKKGQYGRLLYSIFDYGAFNWISMINIFVSANHLSTLENSFNLRRKFCWIIFLLLVILVKMTSIEQPAASLGVLLHENLVYYELKKNGNQMNVRFFGAIDVSPSIFPIYMNAVMYFVYKRSWLEIAMNFMPGHVIYYMDDIIGKIYGIDLCKSPYDWFRNTETP
NP_009794.3 547 1120 0.325613066202091 PF03522.15:SLC12:9:93 Vacuolar cation-chloride cotransporter 1; Vacuolar homolog of CCC family protein 1 1120 85 14 574 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38329 1 SwissProt DNPRTSWNLIRFCNHLKKGGLYILGHVAVTADFPKQLNELKTQQKAWMKIRDMAAIKAFVQVGTGPSLIWGIRNVFIGSGLGGMKPNITVVGFFDLESYRKHIPQSRSQNNLQKQVEIKATVPRSTCSDVKINVPLPTDECKNETKVNVQQWVQIVEDLSLMQSNIAIAHGFKNLEIPNKRDSCFPKKTIDLYPIQMCGKVEAKGDQPAAITTNFDTYTLILQLAAILVTVPEWKRTHSLRVILFVEQEYHRTNETQRMKKLLQVLRIDAEVLVVSLDQFRVYNTIVKGDPIVFDYVNSKLADNEWWKDLVEARDTLKPKRRFSTIEPQTIAKQFTQSRKYTSGVQKLGVSFTMNTRMPTNRIDTPCESEDSDLDTDLTSIRDAFSASTNISVGKDLTTKSKTGSDRTNLLVKNLQSDVSTQSLRPVFSSNTLPRTRVVEDGTGEQPTLIPIAEPDLSNGNGTGSGIGNGNKLKKPVLPELSPCCSKDSLVTAMQNLGFNDLPSTAQHLVLNDVMTQMSKSSDLIFSTLPVPALGTHEDHDASLQYVEDLDIWLEGLPPCMLINSQTMTVTTAL
NP_009850.1 1 216 0.220102777777778 PF00153.27:Mito_carr:10:100,PF00153.27:Mito_carr:109:202 tricarboxylate transport protein 299 185 14 216 0 Saccharomyces cerevisiae CharProtDB::CH_091072 1 CharProtDB MSSKATKSDVDPLHSFLAGSLAGAAEACITYPFEFAKTRLQLIDKASKASRNPLVLIYKTAKTQGIGSIYVGCPAFIIGNTAKAGIRFLGFDTIKDMLRDSETGELSGTRGVIAGLGAGLLESVAAVTPFEAIKTALIDDKQSATPKYHNNGRGVVRNYSSLVRDKGFSGLYRGVLPVSMRQAANQAVRLGCYNKIKTLIQDYTDSPKDKPLSSGL
NP_009876.1 1 201 0.279483084577115 Karyogamy protein KAR4 335 0 14 201 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25583 1 SwissProt MAFQDPTYDQNKSRHINNSHLQGPNQETIEMKSKHVSFKPSRDFHTNDYSNNYIHGKSLPQQHVTNIENRVDGYPKLQKLFQAKAKQINQFATTPFGCKIGIDSIVPTLNHWIQNENLTFDVVMIGCLTENQFIYPILTQLPLDRLISKPGFLFIWANSQKINELTKLLNNEIWAKKFRRSEELVFVPIDKKSPFYPGLDQ
NP_009886.1 1 417 0.338910071942446 PF08602.10:Mgr1:1:134,PF08602.10:Mgr1:142:387 Mitochondrial inner membrane i-AAA protease supercomplex subunit MGR1; Mitochondrial genome-required protein 1 417 380 14 417 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25573 1 SwissProt MAVFTPPSGNSNSTDHTHTQDDHDKDDNDIKKFYIRPSLGLKLWGPLVPAPDNLPGLYTLITIQSAVGFFALWRLRRLYKLPPPRRIATGTHSDLSFGELPSEMIVNGKTKIKKDIADFPTLNRFSTTHGDIVLAPPPIIPRQSRFVSVRKLLWGLFGSLLLSQSLLELTRLNFLKYDPWCDEMKSVRDKKFFNNIVKYYHEGIDPTKIKVKDAMNGTPLSTNIPEVKQSVALARAQVEAQNPIIKWFGPLEYKPMSFNEYLNRMEFHLDMFEFFQNKRNIRENSIELINSISHNPQSSSTGLEGLSESKKLHLQNVEKRLHFLASSGDSISAPVKKRSSTTLSRGVILPHDTKGPQDIDLDTIRSLYDPWMTLALETSLSIKFIPTTMPSHTKTPTSTDQPLPGPTPKALTNEKTH
NP_010206.1 1 1049 0.166012583412774 PF10366.9:Vps39_1:603:715,PF10367.9:Vps39_2:905:1016 Vacuolar morphogenesis protein 6; Vacuolar protein sorting-associated protein 39 1049 225 14 1049 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07468 1 SwissProt MLRAQKLHSLKSSDITAILPTEQSQKLVLAKKNGDVEVYSRDGNTLKLFQVYPDLLQNAKNDPLPPVIENFYFANELSTIFAQCKETLILLSTTNLHEYDRIIDRRGINHCWLFERSHKNKEEKNTYLIYSTINTAKMRVLIWEGRTYKNMMEASLSYRKETIRSIYPGETGITLATDLGIYHWPYNKPSLIRIEKTVKNKFPKDMISALTELKEQAEKVIEKKPKKNSHFDAQSFSSMDRMSRKSSMSSLWYRTIRNERGNKIRYTFELDGNDATPMIIDGATKKIFKVELMHNNEEPFLIATDHATFSESNSEFDHMQYLSSNLLMLYNSSTIKFVDYENGFTFLQQKIPEGIKWVKNLSGTYFLVWTSNDEVQLFSYHVDDGSEDDDQESICGDINDPDFYQLWRKVLFYKFFIDSPHSKELCVSDNPEESLDICAMKLRDLTVMWCLRIFDKFQNYMVQLERSRNSRMIRSKCEEMIIKSIFDLFIKFWAPPQLVILKVFPSAISSLVLEITGQEHHCLLKEAEEVKETYDIPPHLLNRWCLPYLTDTRRHLQNLLSKENDDESRITWCYRDREIKQSFDFFLISNHDDVDLNTMLTLIDTVLFKCYLYYNPPMVGPFIRVENHCDSHVIVTELKIRHMFKDLIDFYYKRGNHEEALKFLTDLVDELENDNTDQKQRQKIDHGVKILVIYYLKKLSNPQLDVIFTYTDWLLNRHNDSIKEILSSIFFYDSQACSSRDHLKVYGYIKKFDKLLAIQYLEFAISTFRLEGNKLHTVLIKLYLENLDIPSTRIKLKSLLETTSVYEPRTILKLLNDAIESGSDQLPTNQLNFVKYLKIFPLSKLENHKEAVHILLDEIDDYKAATSYCNDVYQSDSTKGEELLLYLYSKLVSIYDSNRNSKLILNFLQDHGSKLNSAEIYKNLPQDISLYDIGRVVSQLLKKHTSKMDETRLEKALLQVELVATTYKLNERMSSYGVLSDSHKCPICKKVISNFGTDSISWFTREGRNIITHYNCGKVLQERFNAKNEKSSRIKQKTLGEVINELNNK
NP_010269.1 1 310 0.0577209677419355 PF02544.16:Steroid_dh:155:310 Very-long-chain enoyl-CoA reductase; Enoyl reductase TSC13; Temperature-sensitive CSG2 suppressor protein 13; Trans-2-enoyl-CoA reductase; EC 1.3.1.93 310 156 14 221 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99190 1 SwissProt MPITIKSRSKGLRDTEIDLSKKPTLDDVLKKISANNHNISKYRIRLTYKKESKQVPVISESFFQEEADDSMEFFIKDLGPQISWRLVFFCEYLGPVLVHSLFYYLSTIPTVVDRWHSASSDYNPFLNRVAYFLILGHYGKRLFETLFVHQFSLATMPIFNLFKNCFHYWVLSGLISFGYFGYGFPFGNAKLFKYYSYLKLDDLSTLIGLFVLSELWNFYCHIKLRLWGDYQKKHGNAKIRVPLNQGIFNLFVAPNYTFEVWSWIWFTFVFKFNLFAVLFLTVSTAQMYAWAQKKNKKYHTRRAFLIPFVF 1
NP_010695.1 1 1289 0.158012335143522 PF08626.11:TRAPPC9-Trs120:9:1252 Trafficking protein particle complex II-specific subunit 120; TRAPP II-specific subunit 120; Transport protein particle 120 kDa subunit 1289 1244 14 1289 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04183 1 SwissProt MNILKHFPSYVGPSKIRTLVIPIGHWTRKEFNNAVQKLSEFNEIHLSDVTPIDSPIFTPQGFPHGKLFFDFLTIDHDDALELFLYDFEPFRKTFVIIGLVNDYSDPLTNLNFMKEKYPTLISPNLVYASSTPTKELEQTIDTMENVFASSPDMQKNIETIMCDIARNFLTALNSYYSSYKHVTLRSPGAIGGNAVLKTTLIRQNSYTSSSSSTPMSAVQSSVSSSSKAGSVTTASKRLSSFEMTTNSLKRSASLKLATTLSTSENRSQQKSLGRQMKILGNFQLLAGRYVDALNSFVDAITTLYKVRDYLWLGSALDGISICFLLLSYLGLSYQIPQIVSLICPVEKLNFESSSTGISPVDSNSKATASTTASSTPRNSISIAAMQSPRNSIMSLSAPALNIDVENINLPLLIKCISDKVLYYYDLSLMHNSEYAPQVVYCEFLLKTLTFMTSCYKSSEFSKDVLDNIVKNQHRALSDIPNSPMFPRFEVYFYSNKLFELQLKEMQVEAQIKIYSTMAEVYRLLGYKRKQLFVLRLLMVALLATPNKIAWHPDYRTLIDTIIELLNINESEAKINVDDPSQSTWLILQKKILQLCIKVSRKINDFEYVAKFSSILITKYTHLLNQSEQDALFKEYIQPSITNESITSYWDPFILREVVINRILDSDPTSNEIPLESDVSSLESLENRQKTQDINPQEVFNPFKRVQPTSFVSNNSTKVPILVFLVGDKAEFTCRVQNPFKFDFTINDIQLDEEISEFCEIDRKAVSYSGPYNVKAESIRSITLPLIIKKPTYKKIYEISCLKISILKLPLQKFDIINDSRRSNPVEEEAEYSKCIYGKLKIKILPEQPQLELLSTSKMTRNSWMMLDGTKTDFHITVRNKSLSCAINHIKIIPMNNIEQMLKPDYWKKMPPDDLYIMEKQLDWLSKSCVRIIKLPTVIKPNETITFDLELDNTAVPFNFTGFDLLIEYGMSATDESCIYLKKLSIPYEVTLRRTIEVPSMDIIPLNELFSSQVENVDWIEYVMSKIRAESNLHSRDFILLLLDFRNSWIDGIKLNVQFEDFTSNEYHVEASHTSRIIVPIKKIDYKKYNFENTPIPRIYPGRQFIQSGLNEEQTIEMRQKFWCREHIISKLKCNWKLTTDQSVTGSVDFNKFIEKFDHKMVYTIYPGRLFYGVQLLLDEPKVKVGEIINLKIITEPTSTCRRKQNSTVNFLDIVIFDSKTSKILPRSNRRILYNGSLTKPISTTKVSEINLEIIPIEKGRYEFSVCISKSNNQDGIIQFDSENVILSVI
NP_010756.3 102 224 0.396286991869919 t-SNARE affecting a late Golgi compartment protein 1; Syntaxin TLG1 224 0 14 105 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03322 1 SwissProt TIPLEETVENSTLNTSMAENNDGGMSNPFQEQMLREQDVHLDGIHKTMQNLHIQAQTMGDELENQGQLLDNMDEGMDGVVNKLARGRRQLEWVYEKNKEKYDDCCIGLLIVVLIVLLVLAFIA
NP_010796.1 1 663 0.194201206636501 PF00324.21:AA_permease:153:618,PF13520.6:AA_permease_2:152:580 High-affinity glutamine permease 663 467 14 444 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48813 1 SwissProt MTLGNRRHGRNNEGSSNMNMNRNDLDDVSHYEMKEIQPKEKQIGSIEPENEVEYFEKTVEKTIENMEYEGEHHASYLRRFIDSFRRAEGSHANSPDSSNSNGTTPISTKDSSSQLDNELNRKSSYITVDGIKQSPQEQEQKQENLKKSIKPRHTVMMSLGTGIGTGLLVGNSKVLNNAGPGGLIIGYAIMGSCVYCIIQACGELAVIYSDLIGGFNTYPLFLVDPALGFSVAWLFCLQWLCVCPLELVTASMTIKYWTTSVNPDVFVVIFYVLIVVINVFGAKGYAEADFFFNCCKILMIVGFFILAIIIDCGGAGTDGYIGSKYWRDPGAFRGDTPIQRFKGVVATFVTAAFAFGMSEQLAMTASEQSNPRKAIPSAAKKMIYRILFVFLASLTLVGFLVPYTSDQLLGAAGSATKASPYVIAVSSHGVRVVPHFINAVILLSVLSVANGAFYTSSRILMSLAKQGNAPKCFDYIDREGRPAAAMLVSALFGVIAFCASSKKEEDVFTWLLAISGLSQLFTWITICLSHIRFRRAMKVQGRSLGEVGYKSQVGVWGSAYAVLMMVLALIAQFWVAIAPIGGGGKLSAQSFFENYLAMPIWIALYIFYKVWKKDWSLFIPADKVDLVSHRNIFDEELLKQEDEEYKERLRNGPYWKRVLDFWC
NP_011012.3 1 670 0.660193134328358 PF00249.31:Myb_DNA-binding:73:117,PF13921.6:Myb_DNA-bind_6:75:118 Transcriptional regulatory protein DOT6; Disrupter of telomere silencing protein 6; PAC-binding factor 2 670 46 14 670 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40059 1 SwissProt MSISTSLNSASIHLSSMDTHPQLHSLTRQPHSSSTAMSKNEAQESSPSLPASSSSSTSASASASSKNSSKNPSSWDPQDDLLLRHLKEVKKMGWKDISQYFPNRTPNACQFRWRRLKSGNLKSNKTALIDINTYTGPLKITHGDETANAQQKPSKKVEENVLTEDTAEFTTTSSIPIPSRKTSLPSFHASMSFSQSPSNVTPTTIVSNAASSMPFAPPTLPAALPHHPHQHLHHHPHHKTLKPRSNSHSFTNSLNQDPIVRSNDEEKYGFIPKVFVRSRRSSFAYPQQVAITTTPSSPNSSHVLLSSKSRRGSLANWSRRSSFNVSSNNTSRRSSMILAPNSVSNIFNVNNSGSNTASTSNTNSRRESVIKKEFQQRLNNLSNSGGPTSNNGPIFPNSYTFMDLPHSSSVSSSSTLHKSKRGSFSGHSMKSSCNPTNLWSKDEDALLMENKKRNLSVMELSILLPQRTEVEIQWRLNALSSDADMLSPTHSPQKTLSKKTCPRMFKSGSTTDDDKGSDKEDVMGDGSNDDDEDNVDPLHRAKQSSNKTVFSSSSSNISSKDVSPDPIFSPDPADDSSNTSDAGSRCTITSDTSSSAATMNRTPNSKNPQDIALLNNFRSEAITPRPKPSSTTTSITTETTNNMINHSSSTTTTTNNSPLPSINTIFKDML
NP_011084.1 1 801 0.187013732833958 PF04136.15:Sec34:86:234 Conserved oligomeric Golgi complex subunit 3; COG complex subunit 3; Component of oligomeric Golgi complex 3; Protein SEC34 801 149 14 801 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40094 1 SwissProt MARSRKNSLVRDIASHPTIPESQTIVGLLDDSYLFDKLKKLSLAVENSDSLQRTDVSEGCSEVNGSEATTSADVKKTNKYLYYTTYLDQLNIKIDEYKVVLDQTRQVNDQLDSSIKKFRKISQDTGAFIEETKTIYEKQSKLSNLTESIPKALHYFEVLDPIMRRLNHATSPAIVKKSSFTTMLATIDESLRFLDENSDLKDAAAYRIKFKQCLIRACELISHFLTNLLKQTNQEILDKTKNKNSLTGLPSTTRDAFLYSKFYTIADTFKIQVSEIVKRSNEKAYNKYHDELNSILYECFNHYFQTRLRLLTPVIWSHIDEIVVKDKDQGLVKFIQDGKVYFQQLCADEYKLFVEFFPEKECRFKINQWFLQLCEPLYDSIRVRVLKETDICTLCDSVTLFAPYYEFEEGSEEYVKQFTDIQYDKLFEPIVQKVQARLILRVQIYVQQNILSYRPTRDVFMISNRRRKSKTSLQGGNEDATTSDDNPDPLLESYLSSFKNRSILPISPNDADDKSIDSEESTDKISQLQTYYPPLLKTLALLSKIYEMINSVVFDDLAHHVVHDCIVSLRNAYDMVIKSSAGKSDFNNLDISLAYLKNLLMLRDSIQNFNIQYTVNETYLDFSGVEGFFKSLKENGRNVLKKTKSSSILTLARELVPKVVNNMVDARTELISELRNVIKDFTESTSLELIDDTLDINSDEDLLSKNVKLRENIKARLPRIYEQILNYIDDQEIVTNLLDAVQELITQSYSKYYETITELAENGKFAKDQVADVMYLDVFTDFFAKEVADLLRNGDIDTITK
NP_011292.1 1 417 0.214515107913669 Conserved oligomeric Golgi complex subunit 1; COG complex subunit 1; Complexed with DOR1 protein 3; Component of oligomeric Golgi complex 1; Protein SEC36 417 0 14 417 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53079 1 SwissProt MDEVLPLFRDSHIPQIKDYQLELQNDLTKTNEAFQKNLLKNYNKILSLTDSVNDLSLNLKNVDQDFKSLCFNDEKFQLNKLTPLPYQTTTHISPPRDEEKVSIPSQNILVISNWTISINNFCNRIVTSTTPSRIFDELLLNFHELSLIPVPSKFEALVKDKCCRLQKFLVDSMKTLNLTLLQWVKLYNLLNTEFSSKWDDDLLSIFNESLFETLFNDNVQALLISSANSKDHQYHSNQQYKDAIVVDFVNSSTFRDHLIRRTVKEINTHLDTLSTLRAKLKEPETLHKLDIFHDNDTNLNDGTVSPLDDDALKQYIDTAVFYSKGLTNDTTLQIYQTVQPTIEILQNLELYKCPQETLTDLRNKLITQLQEFKTQISSRLPSPLENSTSVVDDFITSYNNHNLLQLVIDQITQLRQQ
NP_011306.1 92 382 0.585910309278351 Regulatory protein MIG2 382 0 14 291 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53035 1 SwissProt GIPTIASGVMIHQPIPQVLPANMAINVQAVNGGNIIHAPNAVHPMVIPIMAQPAPIHASAASFQPATSPMPISTYTPVPSQSFTSFQSSIGSIQSNSDVSSIFSNMNVRVNTPRSVPNSPNDGYLHQQHIPQQYQHQTASPSVAKQQKTFAHSLASALSTLQKRTPVSAPSTTIESPSSPSDSSHTSASSSAISLPFSNAPSQLAVAKELESVYLDSNRYTTKTRRERAKFEIPEEQEEDTNNSSSGSNEEEHESLDHESSKSRKKLSGVKLPPVRNLLKQIDVFNGPKRV
NP_011347.1 1 110 0.0471772727272727 Putative uncharacterized protein HUR1 110 0 14 87 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P45820 1 SwissProt MFILVSVVNICTYIHLHMFPLISTFTSIGLGVLMKDKGKEGKTIKAQNVTYQTFEKYVESSSFFFLVHNFLNSSTMKTLLLMSNNNSISEIPSFSVLKILWKNGIYIAHI
NP_011349.3 43 225 0.473716939890711 Transcriptional activator protein CUP2; Copper-fist transcription factor 225 0 14 183 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P15315 1 SwissProt CGHCKELRRTKNFNPSGGCMCASARRPAVGSKEDETRCRCDEGEPCKCHTKRKSSRKSKGGSCHRRANDEAAHVNGLGIADLDVLLGLNGRSSDVDMTTTLPSLKPPLQNGEIKADSIDNLDLASLDPLEQSPSISMEPVSINETGSAYTTTNTALNDIDIPFSINELNELYKQVSSHNSHSQ
NP_011374.1 1 711 0.150685513361463 PF00632.25:HECT:609:708 Probable E3 ubiquitin-protein ligase HUL5; HECT-type E3 ubiquitin transferase HUL5; EC 2.3.2.26 910 100 14 711 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53119 1 SwissProt MLNFTGQTRRRNVNLGNRTRNSKKDLLEKAKRERERRAQDKLKEDASKTIQKSIRRHFSNVRLFKNTFTSSQLVHMIPAYGGKLIYYISQYDLQQLLKLSHNFLSSYPNSLGNRQLLSLLKLYQDDALVAETLSDLNMDCPTVDEFLDSLSVYLCRASFLSYSSASKLADVIEAWEVMHSSASISIFSISIGSYEKRPFALQFYCILAERNLLPQLINTNPILWDNMAKTYSHCSKGGQKNIAKLLIPNFNNHIAPSVLRSDNDYVLKFYEKAFIDEVIATTANYVSDEDHVKNLMCYIASSPNQSCKNSVLITLLSNKDFVRRLSWEFFHTKFNASKTEAHPLFSVLAQLIDMHLLISTDRELLDYNSVIPIEELKKFTSTLKDFTFRQYWELPKSERNPMLKEAVPLLSKVYERDSRLHFLSTENNPTYWENSEKQFLNLRFYEELQEYEDLYREHLEEESDEDMEKEIDLDKERPPLKSLLLNKMKKRLKSSLRFRKLEILLELPFFIPFEERVDLFYMFIALDKKRLSLDDDHNLINMFTPWASTGMRKQSAIISRDNVLEDAFNAFNSIGERFKASLDVTFINEFGEEAGIDGGGITKEFLTTVSDEGFKDPKHELFRTNDRYELYPSVVYDATKLKYIWFLGKVVGKCLYEHVLIDVSFADFFLKKLLNYSNGFLSSFSDLGSYDSVLYNNLIKLLNMTTDEIKS
NP_011579.1 1 593 0.0853838111298482 PF07690.16:MFS_1:138:495 vitamin H transporter 593 358 14 375 10 Saccharomyces cerevisiae CharProtDB::CH_091101 1 CharProtDB MTISNKSWRSYFPHLRKLPEDDQYLYSDDTNSSIIAEEELHHSVDKSSKTDVTAETTAVEPHPHNLRHDLPYEVRDEAGRKWWKYFDEFEYRVNKEYKKSRKWYEFLYPNHTTQTKAERRLLYKLDIIIALYFFMLCWSKSVDLNNYTNAYVSNMKEDLNMKGNDYVYTSTIANVGAIVFQLPFMYLLPRFPSHIILPVMDLGWTWFTFACYRANSLAELRAYRFILSAFGAAYYPVSQYILGCWYAPDEINSRVCLFFCGQQLGSVTSGLLQSRIFKSLNGVHGLAGWRWMFLIDAIAISLPTAIIGFFVIPGVPSKCYSLFLTDEEIRIARARNKRNQIKDGVDKSKLAPLWSRKLWKKVFCTPAFWVLVVFDTCSWNNMTAYSGSYTLWLKSNTKYSIAQVNNLSVIPACLGFAYVIFCAFGADLFRCKWIFMVFAAIMNTVSCALLIKWDIPSKAKWYAFFTTYFSVAASPCLWSFINDFLRFDPQVKAITWIAIYSFSQSTYAWIPTLAWPTVESPRFKTGYTVSLIFGAIYGLWTFVVLFFYKRNEKKHALGNGIILYDSNKGEELPEFVKKNMEERDGYYYLKRSS
NP_011688.3 1 248 0.138056451612903 PF04893.17:Yip1:99:231 Protein transport protein YIP1; YPT-interacting protein 1 248 133 14 146 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53039 1 SwissProt MSFYNTSNNANNGGGFYQPSAQFAVPQGSMSFQNTVGSSNTGNDNNLGVAPDPLPVGILHALSTKGYPHEPPLLEEIGINFDHIITKTKMVLIPIRFGSGVPQEILNDSDLAGPLIFFLLFGLFLLMAGKVHFGYIYGVALFGTISLHNLSKLMSNNDTSTQTNLQFFNTASILGYCFLPLCFLSLLGIFHGLNNTTGYVVSVLFVIWSTWTSSGFLNSLLQLQNARLLIAYPLLIFYSVFALMVIFV
NP_011747.2 1 204 0.169776470588235 PF01145.25:Band_7:61:202 Prohibitin-2 310 142 14 181 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50085 1 SwissProt MNRSPGEFQRYAKAFQKQLSKVQQTGGRGQVPSPRGAFAGLGGLLLLGGGALFINNALFNVDGGHRAIVYSRIHGVSSRIFNEGTHFIFPWLDTPIIYDVRAKPRNVASLTGTKDLQMVNITCRVLSRPDVVQLPTIYRTLGQDYDERVLPSIVNEVLKAVVAQFNASQLITQREKVSRLIRENLVRRASKFNILLDDVSITYM
NP_012176.1 1 491 0.0220075356415478 PF08426.10:ICE2:13:487 Protein ICE2; Inheritance of cortical ER protein 2 491 475 14 313 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40499 1 SwissProt MTSLSKSFMQSGRICAACFYLLFTLLSIPISFKVGGLECGLSFTVTLFTLYFITTTLNVLARRHGGRLYIFFTNCLYYSQHFIIASLLYLFLSGFSNDELGNVLKNKYNESESFLEALKNSLNSNQINYVLYYYYYRFVVQPWQFVLTKSTPFFTLSEGFFTILAIQAVGETNRWLSNDLNSNTWIISSLLTSGGVITASLYYLYRIYVTPIWPLSIQTASLLGLVLSMVCGLGLYGIVSQKGSVIESSLFFAYIVRCIYEISPKLATTATDEILNLFKDVWQKHQRNLPTADNLLCYFHNVILKNAEVLWGSFIPRGRKKTGDFHDKLISILSFEKVSLISKPFWKFFKNFTFSVPLSINEFCQVTIKMASESVSPAIVINLCFRVLMFYSATRIIPALQRKNDKQLRKSRRIMKGLYWYSPCILIAMYTHLILQYSGELKKDLCIWGCSEKWFGVDQPEIIVDSWGFWNWCNIFCTILVYATELIGSGS
NP_012462.3 1 528 0.189396590909091 DnaJ-like chaperone JEM1; DnaJ-like protein of the ER membrane 1 645 0 14 528 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40358 1 SwissProt MILISGYCLLVYSVILPVLISASKLCDLAELQRLNKNLKVDTESLPKYQWIAGQLEQNCMTADPASENMSDVIQLANQIYYKIGLIQLSNDQHLRAINTFEKIVFNETYKGSFGKLAEKRLQELYVDFGMWDKVHQKDDQYAKYLSLNETIRNKISSKDVSVEEDISELLRITPYDVNVLSTHIDVLFHKLAEEIDVSLAAAIILDYETILDKHLASLSIDTRLSIHYVISVLQTFVLNSDASFNIRKCLSIDMDYDKCKKLSLTISKLNKVNPSKRQILDPATYAFENKKFRSWDRIIEFYLKDKKPFITPMKILNKDTNFKNNYFFLEEIIKQLIEDVQLSRPLAKNLFEDPPITDGFVKPKSYYHTDYLVYIDSILCQASSMSPDVKRAKLAAPFCKKSLRHSLTLETWKHYQDAKSEQKPLPETVLSDVWNSNPHLLMYMVNSILNKSRSKPHSQFKKQLYDQINKFFQDNGLSESTNPYVMKNFRLLQKQLQTYKEHKHRNFNQQYFQQQQQQQQHQRHQAPP
NP_012619.1 1 110 0.444078181818182 PF00631.22:G-gamma:28:110 Guanine nucleotide-binding protein subunit gamma 110 83 14 110 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P18852 1 SwissProt MTSVQNSPRLQQPQEQQQQQQQLSLKIKQLKLKRINELNNKLRKELSRERITASNACLTIINYTSNTKDYTLPELWGYPVAGSNHFIEGLKNAQKNSQMSNSNSVCCTLM
NP_012944.3 1 495 0.717690505050505 Increased rDNA silencing protein 4 615 0 14 495 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36115 1 SwissProt MRLSFGKQRYHGGTTVTLTEQGASDSLRAAQAIFQNHSNEVSSPCPPVTVSRNPQTRLSEPSLQKSGRKQEQKKARIRTKQVPKIKTTAPNDVELSKKHRSSPAGKDNVSSTAQMAAALAHSQSKLSSDNNSSHSSALDTLKVLETPNLNGLLGIHSRSSSRNGSNESLTPGQRTPDNRSQENLLTSFSSGRRLSSSSMEPATNKDSNKALPKRRPSPPLQSSLVGSGQLHENENLSSISIDSRHSLNPDTSDVISNRSQTSLSQTINQLSLCESEPSIASSNTTTTTSNQGSGLPNLVPNYSSDMRKKKLVNKFKRKVFGSKPKHLSSQYEMDASSEELGQHEQQPSMRFKTTLRKTSVSTNAENDHASSLHEGNLRYKYNPSNDTYDVYDDTDSDSESDQNQDALTKPRKRDRIKRKIRNSANKTAHHRPIHRTRDRKFNEDKPWKSHTDITFVTDNERKRYESMWVSNRHRHLNLLSWWPSITGDSGAINTL
NP_013039.1 1 583 0.0642885077186964 PF00324.21:AA_permease:77:539,PF13520.6:AA_permease_2:73:490 S-methylmethionine permease 1 583 467 14 318 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12372 1 SwissProt MDEFESTKLSKVQFSTSVLSTPSNEGNNLIHRFKNSFKRNDSPAIQEGLLYSELSEEEKIQWDLANQPYKKVLDQRHLTMIAIGGTLGTGLFIGLGESLASGPASLLIGFLLVGASMLCVVQCGAELSCQYPVSGSYALHASRFIDPSVGFSIGINYLLMWLISYPSELVGCSLTISYWAPSVNPAAWVAIAFVLSMLLNLFGARGFAESEFYMSIFKIVALFIFIIIGIVLIAGGGPDSTGYIGTKYWHDPGSFAVPVFKNLCNTFVSAAYSFSGTEMVVLTSTEARSVSSVSRAAKGTFWRIIIFYIVTVIIIGCLVPYNDPRLISGSSSEDITASPFVIALSNTGAMGTRVSHFMNAVILIAVFSVCNSCVYASSRLIQGLATAGQLPKICAYMDRNGRPLVGMAICGAFGLLGFLVVSKNQGTVFTWLFALCSISFFTTWFCICFCQVRFRMAMKAQGRSKDDIIYRSTLGIYGGIFGCILNVLLVIGEIYVSAAPVGSPSSAANFFEYCMSIPIMIAVYIGHRIYRRDWRHWYIKRMDIDLDSGHSLEDFEATKLERDEDKKYVSSKPLYYRIYRFFC
NP_013228.1 1 772 0.129551683937824 PF00888.22:Cullin:575:734 Anaphase-promoting complex subunit 2 853 160 14 772 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12440 1 SwissProt MSFQITPTRDLKVITDELQTLSSYIFHTNIVDDLNSLLTWMSPNDAKSNHQLRPPSLRIKNIIKVLFPNNATTSPYSMINTSQANNSIVNEGNTNKELQLQLFSTLKEFYIFQVRYHFFLHFNNINYLKDIQRWENYYEFPLRYVPIFDVNVNDWALELNSLRHYLLNRNIKFKNNLRTRLDKLIMDDDFDLADNLIQWLKSANGSLSSTELIVNALYSKINKFCEDNMSRVWNKRFMIMETFNKFINQYWSQFSKLVGCPEDDHELTTTVFNCFESNFLRIRTNEIFDICVLAYPDSKVTLLELRKIMKDFKDYTNIVTTFLSDFKKYILNPSVTTVDALLRYVKTIKAFLVLDPTGRCLHSITTFVKPYFQERKHLVNVLLYAMLDLPEEELKEKINFNVDMKALLSLVDTLHDSDINQDTNITKRDKNKKSPFLWNLKVKGKRELNKDLPIRHAMLYEHILNYYIAWVPEPNDMIPGNIKSSYIKTNLFEVLLDLFESREFFISEFRNLLTDRLFTLKFYTLDEKWTRCLKLIREKIVKFTETSHSNYITNGILGLLETTAPAADADQSNLNSIDVMLWDIKCSEELCRKMHEVAGLDPIIFPKFISLLYWKYNCDTQGSNDLAFHLPIDLERELQKYSDIYSQLKPGRKLQLCKDKGKVEIQLAFKDGRKLVLDVSLEQCSVINQFDSPNDEPICLSLEQLSESLNIAPPRLTHLLDFWIQKGVLLKENGTYSVIEHSEMDFDQAQKTAPMEIENSNYELHNDSEIER
NP_013297.1 1 576 0.427008333333333 PF00400.32:WD40:279:315 Periodic tryptophan protein 1 576 37 14 576 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21304 1 SwissProt MISATNWVPRGFSSEFPEKYVLDDEEVERINQLAQLNLDDAKATLEEAEGESGVEDDAATGSSNKLKDQLDIDDDLKEYNLEEYDDEEIADNEGGKDVSMFPGLSNDSDVKFHEGEKGEDPYISLPNQEDSQEEKQELQVYPSDNLVLAARTEDDVSYLDIYVYDDGAGFHSSDIPVEEGDEADPDVARGLVRDPALYVHHDLMLPAFPLCVEWLDYKVGSNSEEAANYAAIGTFDPQIEIWNLDCVDKAFPDMILGEPLDNSMVSLKSKKKKKKSKTGHITTHHTDAVLSMAHNKYFRSVLASTSADHTVKLWDLNSGNAARSLASIHSNKNVSSSEWHMLNGSILLTGGYDSRVALTDVRISDESQMSKYWSAMAGEEIETVTFASENIILCGTDSGNVYSFDIRNNENRKPVWTLKAHDAGISTLCSNKFIPGMMSTGAMGEKTVKLWKFPLDDATNTKGPSMVLSRDFDVGNVLTSSFAPDIEVAGTMVIGGVNKVLKLWDVFTNRSVRKSFKSELENVQARAKEEAQKIGKSSRIARKYTSNDNPDTVITIDDQGEDEEEREGGDEHDDMA
NP_013681.1 1 655 0.18131465648855 PF09531.10:Ndc1_Nup:21:640 Nucleoporin NDC1; Nuclear division cycle protein 1; Nuclear pore protein NDC1 655 620 14 523 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32500 1 SwissProt MIQTPRELLNPRYTYHTIFSDVCKTRFNHLVTRLFFICSIIQTVVISLLALPHSPLWELALAFIPNILALNLVSLLIIVTRKNYMHVKNFGFANSLTFILGQLLSVKFLVYQGVYSMGSILLSFVLGVVFGRGGSGWKPYYKLFIWLVVPTIYNLQHHVTDADKLSFNCENFFQAPQDYVLERVKRIMEKSVILSVISMFVLPIFTTVFFSRQKSGLFDSFTNGVLAVTNLLIISCIIFITFEFINIAFDAHMSIGCLHKGKLISNLSSTPMETLLSGLSADKPFTRLTAYQELAYRATSLDPSLRAPIYHSKFRSSSGNTWSLILNECLKTIQINNEKVVQYLRSVQDLGGSATARHKKKVENLDYMYENGKLTSANERLFGNRPSMMAPLRDNGLLDESPNRLRVRTDDSVLLNRGNKKRHRSSYYDNDLDETTQTFNGSIFTHETTFMTAMRLMLKKLKNSIMSFIFPSYAERQSSDESDNYRLLPNGSNKAQISIIDIWSISKKRQAEKLVPLPICHANSVVALTGLLIRSKTEDPKGGIIASVGDILKTLERSICALGEFADWDPESMAYTAFQTQRTAQDRVQQDSEDEDSMKDTTDMISVLYQLSTSAFMEIVLEYNVALNDVYLDADVAKLANWFLEVYASGNPNAT
NP_014354.2 1 176 0.0506221590909091 PF03208.19:PRA1:32:172 Prenylated Rab acceptor 1 176 141 14 121 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53633 1 SwissProt MNQLGALAQVSRFTQNFSMENIKSEFQSLQSKLATLRTPQEFFNFKKISKPQNFGEVQSRVAYNLKYFSSNYGLIIGCLSIYTLLTNLLLLFVIVLVVAGIVGINKLKGEELVTPFGSFKTNQLYTGLVCVAVPIGFLASPISTLLWLIGASAVSVFGHASLMEKPIETVFDEETV
NP_014805.3 88 810 0.197058506224066 Zinc finger transcription factor YRR1; Pleiotropic drug-resistance protein 2 810 0 14 723 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12172 1 SwissProt FNKNIEKKATYGPYPNADLLKKVEELENKIRILEAEKNTNSSASSMYTSPNFPPLGTSVGRGSTETSSPLPDGVINPYADRYYLQSKHSGRSTLYGPTSMRTQIANSNWGFIEKYKQLWAKVKVERNKWKQNNQKTMCRELGLLDESDWQPDPLIKQICRFLPSYNKALSILDDFFNDGACNEINVILDKAKVRRDFLDYFMPEKEVKAEGDRSIVYILSNPKKNYYKAAVILLILCLKYFHTDVPTPIEKFFTLLKGASTAKVFYIERAQMLILFYYHRETYSFGGDGSDLVNINECLVTTVTTIGLHLNIRETFKEHEVFMGSIESLENVWLMAIFIDYNISCNVGRPLLINKFYLDENQDHCILNSKSKTYEGKLKRYLKLTRPMLLTLYDRDKFPDLKAYSKRIINFVEEELGPLGHYTGENISEEVPLRESRILSMAVGLLLSFYALIHSVLKVRNIESKNNTFQLVLINFSIIVNTTIRCYRIDKALYPEKFEASNPHLPPHMALSMSLTAGLFSKTLVFFCSLIYFKLTLFENGLCLSNDMEVGWSDLTKLTVPLDKDLSLGTAMSLYSSIFDRLFTVGNKELIRTMHRSSQFVIELAIERTYRTILGNVIEFRKLTEETWLAQIKQELDPQSDNPSSEAKIVSDRQRDLSLAVPTPTPSIIPMLPSPGETKNHAKSQSEIIQMLTDEFWANYNSGWEELINQSEFSTLFDDYKDN
NP_015353.1 1 180 0.0686455555555556 PF03134.19:TB2_DP1_HVA22:71:147 Protein YOP1; YIP1 partner protein 1; YPT-interacting protein 2 180 77 14 134 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12402 1 SwissProt MSEYASSIHSQMKQFDTKYSGNRILQQLENKTNLPKSYLVAGLGFAYLLLIFINVGGVGEILSNFAGFVLPAYLSLVALKTPTSTDDTQLLTYWIVFSFLSVIEFWSKAILYLIPFYWFLKTVFLIYIALPQTGGARMIYQKIVAPLTDRYILRDVSKTEKDEIRASVNEASKATGASVH
NP_028242.1 1 283 0.448370671378092 Plastid division protein PDV2; Protein PLASTID DIVISION2 307 0 14 283 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XII1 1 SwissProt MEDEEGIGLILARATELRLKISDCIDNSSTTVSDNGDGNEDLSPGEGRKSEIIGNQDKDFDSISSEDVDEAEAERLLRIRDALEALESQLASLQNLRQRQQYEKQLALSEIDYSRKMLLEKLKEYKGKDFEVLRETTTFAGERVDYENDLLLPPYPVHPPLSLGLDNNNGYLSHLPSKKKSDANGFGSGHVRNEAEAKSPNGGSGGSSHGVIRFLGSVAKIVLPIIGVISLLSASGYGPEMRKRGASLNLFGLLPHRATRGKRTPNQCPPGKVLVIEDGEARC
NP_031372.2 1 482 0.534834647302904 PF04664.13:OGFr_N:88:292 opioid growth factor receptor 677 205 14 482 0 Homo sapiens NP_031372.2 1 RefSeq MDDPDCDSTWEEDEEDAEDAEDEDCEDGEAAGARDADAGDEDEESEEPRAARPSSFQSRMTGSRNWRATRDMCRYRHNYPDLVERDCNGDTPNLSFYRNEIRFLPNGCFIEDILQNWTDNYDLLEDNHSYIQWLFPLREPGVNWHAKPLTLREVEVFKSSQEIQERLVRAYELMLGFYGIRLEDRGTGTVGRAQNYQKRFQNLNWRSHNNLRITRILKSLGELGLEHFQAPLVRFFLEETLVRRELPGVRQSALDYFMFAVRCRHQRRQLVHFAWEHFRPRCKFVWGPQDKLRRFKPSSLPHPLEGSRKVEEEGSPGDPDHEASTQGRTCGPEHSKGGGRVDEGPQPRSVEPQDAGPLERSQGDEAGGHGEDRPEPLSPKESKKRKLELSRREQPPTEPGPQSASEVEKIALNLEGCALSQGSLRTGTQEVGGQDPGEAVQPCRQPLGARVADKVRKRRKVDEGAGDSAAVASGGAQTLALA
NP_031667.1 1 156 0.111645512820513 PF04103.15:CD20:44:150 B-lymphocyte antigen CD20; B-cell differentiation antigen Ly-44; Lymphocyte antigen 44; Membrane-spanning 4-domains subfamily A member 1; CD20 antigen 291 107 14 87 3 Mus musculus (Mouse) SwissProt::P19437 0 SwissProt MSGPFPAEPTKGPLAMQPAPKVNLKRTSSLVGPTQSFFMRESKALGAVQIMNGLFHITLGGLLMIPTGVFAPICLSVWYPLWGGIMYIISGSLLAAAAEKTSRKSLVKAKVIMSSLSLFAAISGIILSIMDILNMTLSHFLKMRRLELIQTSKPYV
NP_032927.1 1 181 0.715007182320442 POU domain, class 3, transcription factor 4 361 0 14 181 0 Mus musculus NP_032927.1 1 RefSeq MATAASNPYSILSSSSLVHADSAGMQQGSPFRNPQKLLQSDYLQGVPSNGHPLGHHWVTSLSDGGPWSSTLATSPLDQQDVKPGREDLQLGAIIHHRSPHVAHHSPHTNHPNAWGASPAPNSSITSSGQPLNVYSQPGFTVSGMLEHGGLTPPPAAASTQSLHPVLREPPDHGELGSHHCQ
NP_033078.2 1 128 0.723593750000001 PF04538.12:BEX:12:124 Protein BEX1; Brain-expressed X-linked protein 1 homolog; Reduced expression protein 3; REX-3 128 113 14 128 0 Mus musculus (Mouse) SwissProt::Q9R224 1 SwissProt MESKDQGVKNLNMENDHQKKEEKEEKPQDTIRREPAVALTSEAGKNCAPRGGRRRFRVRQPIAHYRWDLMQRVGEPQGRMREENVQRFGGDVRQLMEKLRERQLSHSLRAVSTDPPHHDHHDEFCLMP
NP_033370.2 1 297 0.483428282828283 Pleckstrin homology-like domain family A member 1; Proline- and glutamine-rich protein; PQ-rich protein; PQR protein; T-cell death-associated gene 51 protein 405 0 14 297 0 Mus musculus (Mouse) SwissProt::Q62392 1 SwissProt MRRTPAAERLSELGFPPRRGRQEPPFPLGVTRGWGGWPIEKRREGPRPVPFSERSPEDGREQPAHGSGILWRVRTRLSLCRDPEPPPPPPPLCLLRVSLLCALRAGGRGSRWGEDGAGLLLLPPAGASGSLKAERSSSTPYAGRMLENSGCKALKEGVLEKRSDGLLQLWKKKCCILTEEGLLLIPPKQLQQQQQQQQPGQGTAEPSQPSGPTVASLEPPVKLKELHFSNMKTVDCVERKGKYMYFTVVMTEGKEIDFRCPQDQGWNAEITLQMVQYKNRQAILAVKSTRQKQQHLV
NP_034020.1 1 298 0.0327677852348993 PF04116.13:FA_hydroxylase:128:263 Cholesterol 25-hydroxylase; Cholesterol 25-monooxygenase; m25OH; EC 1.14.99.38 298 136 14 229 3 Mus musculus (Mouse) SwissProt::Q9Z0F5 1 SwissProt MGCYNGSELQDLGCSSQLLLQPLWDTIRTREAFTRSPIFPVTFSIITYVGFCLPFVVLDVLYPWVPILRRYKIHPDFSPSVKQLLPCLGLTLYQHLVFVFPVTLLHWVRSPALLPQEAPELVQLLSHVLICLLLFDTEIFAWHLLHHKVPWLYRTFHKVHHQNSSSFALATQYMSFWELLSLTFFDVLNVAVLRCHPLTIFTFHVINIWLSVEDHSGYDFPWSTHRLVPFGWYGGVAHHDMHHSQFNCNFAPYFTHWDKMLGTLRSAPLPESLCACGERCVNSRERCAVHLIQKKKQT
NP_035280.1 255 577 0.139298761609907 PF07707.15:BACK:7:104 Galectin-3-binding protein; Cyp-C-associated protein; CyCAP; Lectin galactoside-binding soluble 3-binding protein; Protein MAMA 577 98 14 323 0 Mus musculus (Mouse) SwissProt::Q07797 1 SwissProt PTFHTPLDLYAYARATGDSMLEDLCVQFLAWNFEPLTQSESWSAVPTTLIQALLPKSELAVSSELDLLKAVDQWSTETIASHEDIERLVEQVRFPMMLPQELFELQFNLSLYQDHQALFQRKTMQALEFHTVPVEVLAKYKGLNLTEDTYKPRLYTSSTWSSLVMASTWRAQRYEYNRYNQLYTYGYGSVARYNSYQSFQTPQHPSFLFKDKQISWSATYLPTMQSCWNYGFSCTSNELPVLGLTTSSYSNPTIGYENRVLILCGGYSVVDVTSFEGSKAPIPTALDTNSSKTPSLFPCASGAFSSFRVVIRPFYLTNSTDMV 1
NP_035524.2 1 656 0.200539481707317 PF01384.20:PHO4:24:638 Sodium-dependent phosphate transporter 2; Phosphate transporter 2; PiT-2; Solute carrier family 20 member 2; Type III sodium-dependent phosphate transporter 656 615 14 452 9 Mus musculus (Mouse) SwissProt::Q80UP8 1 SwissProt MAMDGYLWMVILGFIIAFILAFSVGANDVANSFGTAVGSGVVTLRQACILASIFETTGSVLLGAKVGETIRKGIIDVNLYNETVETLMAGEVSAMVGSAVWQLIASFLRLPISGTHCIVGSTIGFSLVAIGPKGVQWMELVKIVASWFISPLLSGFMSGVLFILIRMFILTKEDPVPNGLQALPLFYAATIAINVFSIMYTGAPVLGLSLPIWAIALISFGVALLFAFFVWLFVCPWMKRKIAGRLEKESALSRASDESLRKVQEAESPGFKELPGAKPSDDSAVPLTSLAGEAVGASEGTSAGNHPRASYGRALSMTHGSAKSPISNGTFGFEGHMRNDGHVYHTVHKDSGLYKDLLHKIHVDRGSEEKPTQENNYRLLRRNNSYTCYTAAICGMPVHTTFRASDTSSAPEDSEKLVGDSVSYSKKRLRYDSYSSYCNAVAEAEIEAEEGGVEMRLASELADPDRPHEDPTEEEKEEKDSAEVHLLFHFLQVLTACFGSFAHGGNDVSNAIGPLVALWLIYQQGGVTQEAATPVWLLFYGGVGICTGLWVWGRRVIQTMGKDLTPITPSSGFTIELASAFTVVIASNIGLPVSTTHCKVGSVVAVGWIRSRKAVDWRLFRNIFVAWFVTVPVAGLFSAAIMALLMYICGLFSSSR
NP_036981.1 1 104 0.571788461538462 PF00918.17:Gastrin:85:101 gastrin precursor 104 17 14 104 0 Rattus norvegicus NP_036981.1 1 RefSeq MPRLCVCMLVLVLALATFSEASWKPRSQLQDASSGPRTNGALEQHQLEKLGPASHHRRQLGPQGPQHFIADLSKKQRPPMEEEEEAYGWMDFGRRSAEEEDQYN
NP_037285.1 1 552 0.0742771739130435 PF03142.15:Chitin_synth_2:206:358,PF13641.6:Glyco_tranf_2_3:84:355,PF00535.26:Glycos_transf_2:88:150,PF13632.6:Glyco_trans_2_3:208:413 hyaluronan synthase (EC 2.4.1.212) 552 330 14 414 6 Rattus norvegicus BRENDA::O35776 1 BRENDA MHCERFLCVLRIIGTTLFGVSLLLGITAAYIVGYQFIQTDNYYFSFGLYGAFLASHLIIQSLFAFLEHRKMKKSLETPIKLNKTVALCIAAYQEDPDYLRKCLQSVKRLTYPGIKVVMVIDGNSDDDLYMMDIFSEVMGRDKSVTYIWKNNFHERGPGETEESHKESSQHVTQLVLSNKSICIMQKWGGKREVMYTAFRALGRSVDYVQVCDSDTMLDPASSVEMVKVLEEDPMVGGVGGDVQILNKYDSWISFLSSVRYWMAFNIERACQSYFGCVQCISGPLGMYRNSLLHEFVEDWYNQEFMGNQCSFGDDRHLTNRVLSLGYATKYTARSKCLTETPIEYLRWLNQQTRWSKSYFREWLYNAMWFHKHHLWMTYEAVITGFFPFFLIATVIQLFYRGKIWNILLFLLTVQLVGLIKSSFASCLRGNIVMVFMSLYSVLYMSSLLPAKMFAIATINKAGWGTSGRKTIVVNFIGLIPVSVWFTILLGGVIFTIYKESKKPFSESKQTVLIVGTLIYACYWVVLLTLYVVLINKCGRRKKGQQYDMVLDV
NP_037464.1 1 63 0.399684126984127 PF15220.6:HILPDA:1:63 Hypoxia-inducible lipid droplet-associated protein; Hypoxia-inducible gene 2 protein 63 63 14 45 1 Homo sapiens (Human) SwissProt::Q9Y5L2 0 SwissProt MKHVLNLYLLGVVLTLLSIFVRVMESLEGLLESPSPGTSWTTRSQLANTEPTKGLPDHPSRSM
NP_038730.1 182 530 0.806179083094556 Homeobox protein unc-4 homolog; Homeobox protein Uncx4.1 530 0 14 349 0 Mus musculus (Mouse) SwissProt::O08934 1 SwissProt HPTTCSGEPMDPEEIARKELEKMEKKKRKHEKKLLKSQSRHLHSPGGLSLHSAPSSDSDSGGGGLSPEPPEPPPPTAAAKGPGAHGSGIAGSAPVPPGEPPAPGTCDPAFYPSQRSGAGSQPRLGRPADKDTVPCGPGAAATAGLPKASPFSVESLLSDSPPRRKATPANAAATAGLDFTPGLPCAPRTLIGKGHFLLYPITQPLGFLVPQAALKGGAGPELVPKDAPPAPPAPPAPPAQASFGTFPGPGGAADPAFARRSPEVVASPGPPAPASFRDLTAAAAESGAGDCADVGTVCPAASPPPPLETSPGPGPRAPSPPGEPATCGAAEPGAATGPSPPEGEEVDMD
NP_039103.1 1 327 0.166397247706422 PF03213.14:Pox_P35:1:327 Virion envelope protein, p35 327 327 14 304 1 Fowlpox virus NP_039103.1 1 RefSeq MAPGDKKQIIFVITTIGRPSSTVVPFKNLEVSEWSYKKGIKNGYDDYRDPPSPKPLPKSKQEPNADDKVGDIEYDEMVSVRDGYYSDVCRLTCTEDTKIFIADHISLWRYIMDNAEKLPNYVVIMEDDNTITGEGFITNLDNITKVLNDNNVDILQLVTHTKLLKDRNSQHLMLLPDLEAFKGSFDVSLSAYIIRQEAVRKLYSYFTNNKPSFDISLEILRIENTLGITRYVVDNDRYVYHDYKLANEFMKNKKNRLSIKSRIDGWIMDNWPSFYHRMYYPLFSVFGKYDITMMFLIAIVIIIGLAIFDINNKLLWLLSGVFLAYSM
NP_041005.1 1 331 0.166482779456193 PF02959.16:Tax:3:224 Protein Tax-2; Trans-activating transcriptional regulatory protein of HTLV-2 331 222 14 331 0 Human T-cell leukemia virus 2 (HTLV-2) SwissProt::P03410 1 SwissProt MAHFPGFGQSLLYGYPVYVFGDCVQADWCPVSGGLCSTRLHRHALLATCPEHQLTWDPIDGRVVSSPLQYLIPRLPSFPTQRTSRTLKVLTPPTTPVSPKVPPAFFQSMRKHTPYRNGCLEPTLGDQLPSLAFPEPGLRPQNIYTTWGKTVVCLYLYQLSPPMTWPLIPHVIFCHPRQLGAFLTKVPLKRLEELLYKMFLHTGTVIVLPEDDLPTTMFQPVRAPCIQTAWCTGLLPYHSILTTPGLIWTFNDGSPMISGPYPKAGQPSLVVQSSLLIFEKFETKAFHPSYLLSHQLIQYSSFHNLHLLFDEYTNIPVSILFNKEEADDNGD
NP_041188.1 1 615 0.141510406504065 PF00517.17:GP41:399:586 Envelope glycoprotein; Env polyprotein 615 188 14 569 2 Sheep pulmonary adenomatosis virus (Jaagsiekte sheep retrovirus) (JSRV) SwissProt::P31621 1 SwissProt MPKRRAGFRKGWYARQRNSLTHQMQRMTLSEPTSELPTQRQIEALMPYAWNEAHVQPPVTPTNILIMLLLLLQRVQNGAAAAFWAYIPDPPMIQSLGWDREIVPVYVNDTSLLGGKSDIHISPQQANISFYGLTTQYPMCFSYQSQHPHCIQVSADISYPRVTISGIDEKTGKKSYGNGTGPLDIPFCDKHLSIGIGIDTPWTLCRARVASVYNINNANATFLWDWAPGGTPDFPEYRGQHPPIFSVNTAPIYQTELWKLLAAFGHGNSLYLQPNISGTKYGDVGVTGFLYPRACVPYPFMLIQGHMEITLSLNIYHLNCSNCILTNCIRGVAKGEQVIIVKQPAFVMLPVEIAEAWYDETALELLQRINTALSRPKRGLSLIILGIVSLITLIATAVTACVSLAQSIQAAHTVDSLSYNVTKVMGTQEDIDKKIEDRLSALYDVVRVLGEQVQSINFRMKIQCHANYKWICVTKKPYNTSDFPWDKVKKHLQGIWFNTNLSLDLLQLHNEILDIENSPKATLNIADTVDNFLQNLFSNFPSLHSLWKTLIGLGIFVIIIAIVIFVFPCVVRGLVRDFLKMRVEMLHMKYRTMLQHRHLMELLKNKERGAAGDDP
NP_042021.1 1 201 0.569805472636816 PF06595.11:BDV_P24:1:201 Phosphoprotein; P protein; p23; p24 201 201 14 201 0 Borna disease virus (strain V) (BDV) SwissProt::P0C799 1 SwissProt MATRPSSLVDSLEDEEDPQTLRRERPGSPRPRKVPRNALTQPVDQLLKDLRKNPSMISDPDQRTGREQLSNDELIKKLVTELAENSMIEAEEVRGTLGDISARIEAGFESLSALQVETIQTAQRCDHSDSIRILGENIKILDRSMKTMMETMKLMMEKVDLLYASTAVGTSAPMLPSHPAPPRIYPQLPSAPTTDEWDIIP
NP_046989.1 1 449 0.0546247216035634 PF16684.5:Telomere_res:33:340 Telomere resolvase ResT; EC 3.1.22.- 449 308 14 449 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::O50979 1 SwissProt MPPKVKIKNDFEIFRKELEILYKKYLNNELSYLKLKEKLKILAENHKAILFRKDKFTNRSIILNLSKTRKIIKEYINLSVIERIRRDNTFLFFWKSRRIKELKNIGIKDRKKIEELIFSNQMNDEKSYFQYFIDLFVTPKWLNDYAHKYKIEKINSYRKEQIFVKINLNTYIEIIKLLLNQSRDIRLKFYGVLMAIGRRPVEVMKLSQFYIADKNHIRMEFIAKKRENNIVNEVVFPVFADPELIINSIKEIRYMEQTENLTKEIISSNLAYSYNRLFRQIFNNIFAPEESVYFCRAIYCKFSYLAFAPKNMEMNYWITKVLGHEPNDITTAFHYNRYVLDNLDDKADNSLLTLLNQRIYTYVRRKATYSTLTMDRLESLIKEHHIFDDNYIKTLIVIKNLMLKDNLETLAMVRGLNVKIRKAFKATYGYNYNYIKLTEYLSIIFNYKL
NP_055056.3 1 288 0.143219791666666 PF04387.14:PTPLA:119:279 Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 1; 3-hydroxyacyl-CoA dehydratase 1; HACD1; Cementum-attachment protein; CAP; Protein-tyrosine phosphatase-like member A; EC 4.2.1.134 288 161 14 196 4 Homo sapiens (Human) SwissProt::B0YJ81 1 SwissProt MGRLTEAAAAGSGSRAAGWAGSPPTLLPLSPTSPRCAATMASSDEDGTNGGASEAGEDREAPGERRRLGVLATAWLTFYDIAMTAGWLVLAIAMVRFYMEKGTHRGLYKSIQKTLKFFQTFALLEIVHCLIGIVPTSVIVTGVQVSSRIFMVWLITHSIKPIQNEESVVLFLVAWTVTEITRYSFYTFSLLDHLPYFIKWARYNFFIILYPVGVAGELLTIYAALPHVKKTGMFSIRLPNKYNVSFDYYYFLLITMASYIPLFPQLYFHMLRQRRKVLHGEVIVEKDD
NP_058621.2 1 1439 0.168224113968033 PF15865.5:Fanconi_A_N:167:518,PF03511.14:Fanconi_A:1246:1308 Fanconi anemia group A protein homolog; Protein FACA 1439 415 14 1439 0 Mus musculus (Mouse) SwissProt::Q9JL70 1 SwissProt MPGSPARGAAMGGGPRGLRKTWTELLAGRVKKQKYDPEREQKLKDSALKLLRYHQNMHDLLLEVEEPQCKRLRLSELIDRDSADASSDRSASFIRSAFRDQASRLGVPVGVLSAKVFARSVQQVCVEPSHPVLLSPEQSKKLSSLLMIARHLLAQNMFSRLTFCQELWKAQNSLLLEAMWRLHTHSVVSLQELLQSHPDSEAMAMWLFRNLRSLCEQIGASCPSPDTTEAMLSGLVQLLISRGFQGSSDPRRLVEPERLPQVATDVLQRMLAFSLDTLEADPQTTLDCQAVSGWIPIYSGHTCCGVVTENSLKSFFSHTLTQILTHKPVLKVSDAIQMQKEWSFAKTHHLLTDLHCRVLATLGPEESVGRLQEVLEMQEVNWQHVLSCVSTLVVCFPEAQQLVKGWVASLMARAFESYHLDSMVTAFLIVRQATLEGPYVFPSYADWFKESFGSSHGYHSCSKKTLVFLFKFLSDLVPWEAPRYMQVHIFHPPLVPSKYHSLLTDYISLAKTRLADLKVSLENVGLYEDLSSPGDIAERESQAVQDVKKAIMVFEQTGKIPMPVLEASIFRRPYYVSHFLPTLLAPRVLPEVPDPRVALIETLKRADKIPSSIYDAYRKACASAEKQQPENATSAQRTEADCAKEPLGLLTAALEELRALMTDPTQYSVISAQVAVVSEKLNAVLGHRNDGGSLQRAKIQLSVLPSTLQKQDQAVVDLLLTAFCQNLMAASSFVPPERQSPWAVLFVRTLCGHVLLPAVLTRLRQLLRHQGQSLSTSHVLGLAALAVHLGECRSMLPEVDPDVLAPSAGSLCVPDFLNSLLTCRTRDSLLFCMNFCTAAVSYCLCKFSALRNCLSPGLIKKFQFVVLRLFPEARAPCAPEHAACVPWRPLYLPSADWQRAALSLWRRDSFQELLKDKEFYLTYRDWVQLELEIQPEADVLSDMERHDFHQWAIYERYLPAPTALGGCGGDLEEACTVLVSEIMDFHQSSRSYNHSEDSDLVLGGRTGNKDILSRLQEIALDLELDQGSAVPHGCSTPQSHFLFRVFRRRLQALARPDSMATSLRRQQELLTCKRLLLCLPPSVLVGGPQAGQPISPNCGEFFSLVNSELRNFCCHGSVLTSDITIHFFRGLLRVCLRSQDPALVANQTLTECQTKCPVILTSALLWWSSLEPVLCGRWMRCYQSPLPRELRRLQEAREFASNFASASASPAPSPAWIAAAALHFAWRGVRKEDVTAHLQRLDCQREELLIALFFFSLMGLLSSYLTQRDTAEHLKAVDICAEVLTCLERRKVSWLVLFQLTEKDAKLGHLLHLAPDQHTRLLPLAFYSLLSCFSEGAAVREAAFLHVAVDMYLKLLQLFVDGETRLQGHSESQGSPVQLITKARVFLLQLIPQCPKQCFSNMTELLAGRGDCDPEVSNALRQRQQADPSFDLYQEPQLF
NP_058647.1 1 152 0.0300302631578947 Chemokine-like factor; C32 152 0 14 63 4 Homo sapiens (Human) SwissProt::Q9UBR5 0 SwissProt MDNVQPKIKHRPFCFSVKGHVKMLRLALTVTSMTFFIIAQAPEPYIVITGFEVTVILFFILLYVLRLDRLMKWLFWPLLDIINSLVTTVFMLIVSVLALIPETTTLTVGGGVFALVTAVCCLADGALIYRKLLFNPSGPYQKKPVHEKKEVL
NP_059105.2 1 256 0.588712109375 homeobox protein Hox-C10 342 0 14 256 0 Homo sapiens NP_059105.2 1 RefSeq MTCPRNVTPNSYAEPLAAPGGGERYSRSAGMYMQSGSDFNCGVMRGCGLAPSLSKRDEGSSPSLALNTYPSYLSQLDSWGDPKAAYRLEQPVGRPLSSCSYPPSVKEENVCCMYSAEKRAKSGPEAALYSHPLPESCLGEHEVPVPSYYRASPSYSALDKTPHCSGANDFEAPFEQRASLNPRAEHLESPQLGGKVSFPETPKSDSQTPSPNEIKTEQSLAGPKGSPSESEKERAKAADSSPDTSDNEAKEEIKAE
NP_059980.2 1 235 0.215053617021277 PF01105.24:EMP24_GP25L:37:230 Transmembrane emp24 domain-containing protein 9; GMP25; Glycoprotein 25L2; p24 family protein alpha-2; p24alpha2; p25 235 194 14 192 2 Homo sapiens (Human) SwissProt::Q9BVK6 1 SwissProt MAVELGVLLVRPRPGTGLGRVMRTLLLVLWLATRGSALYFHIGETEKKCFIEEIPDETMVIGNYRTQLYDKQREEYQPATPGLGMFVEVKDPEDKVILARQYGSEGRFTFTSHTPGEHQICLHSNSTKFSLFAGGMLRVHLDIQVGEHANDYAEIAAKDKLSELQLRVRQLVEQVEQIQKEQNYQRWREERFRQTSESTNQRVLWWSILQTLILVAIGVWQMRHLKSFFEAKKLV
NP_060282.1 1 227 0.45809295154185 PF05300.11:DUF737:14:50,PF05300.11:DUF737:53:175 MICOS complex subunit MIC19; Coiled-coil-helix-coiled-coil-helix domain-containing protein 3 227 160 14 227 0 Homo sapiens (Human) SwissProt::Q9NX63 1 SwissProt MGGTTSTRRVTFEADENENITVVKGIRLSENVIDRMKESSPSGSKSQRYSGAYGASVSDEELKRRVAEELALEQAKKESEDQKRLKQAKELDRERAAANEQLTRAILRERICSEEERAKAKHLARQLEEKDRVLKKQDAFYKEQLARLEERSSEFYRVTTEQYQKAAEEVEAKFKRYESHPVCADLQAKILQCYRENTHQTLKCSALATQYMHCVNHAKQSMLEKGG
NP_061249.1 1 676 0.492018343195266 cGMP-inhibited 3',5'-cyclic phosphodiesterase A; Cyclic GMP-inhibited phosphodiesterase A; CGI-PDE A; EC 3.1.4.17 1141 0 14 561 5 Mus musculus (Mouse) SwissProt::Q9Z0X4 1 SwissProt MAVRGEAAQDLAKPGLGGASPARVARGNHRHRGESSPSPRGSGCCWRALALQPLRRSPQLSSALCAGSLSVLLALLVRLVGGEVGGELEKSQEAAAEEEEEEGARGGVFPGPRGGAPGGGAQLSPWLQPAALLFSLLCAFFWMGLCLLRAGVRLPLAVALLAACCAGEALVQLSLGVGDGRLLSLPAAGVLLSCLGGATWLVLRLRLGVLMVAWTSVLRTVALVSLERFKVAWRPYLAYLAAVLGLLLARYAEQILPQCSGPAPPRERFGSQLSARTKEEIPGWKRRRRSSSVVAGEMSGCSGKSHRRTSLPCIPREQLMGHSEWDHKRGPRGSQSGTSITVDIAVMGEAHGLITDLLADPSLPPNVCTSLRAVSNLLSTQLTFQAIHKPRVNPTVTFSENYTCSDSEEGLEKDKQAISKRLRRSLPPGLLRRVSSTWTTTTSATGLPTLEPAPVRRDRSASIKPHEAPSPSAVNPDSWNAPGLTTLTKSRSFTSSYAVSAANHVKAKKQNRPGGLAKISPVPSPSSSPPQGSPASSPVSNSASQQFPESPEVTIKRGPGSHRALTYTQSAPDLSPQIPPPSVICSSCGRPYSQGNPADGPSERSGPAMLKPNRTDDTSQVTSDYETNNNSDSSDILQNEEEAECQREPQRKASACGTYTSQTMIFLDKPILAPEP
NP_064509.2 1 141 0.151003546099291 PF00143.19:Interferon:33:138 Interferon kappa; IFN-kappa 207 106 14 118 1 Homo sapiens (Human) SwissProt::Q9P0W0 1 SwissProt MSTKPDMIQKCLWLEILMGIFIAGTLSLDCNLLNVHLRRVTWQNLRHLSSMSNSFPVECLRENIAFELPQEFLQYTQPMKRDIKKAFYEMSLQAFNIFSQHTFKYWKERHLKQIQIGLDQQAEYLNQCLEEDKNENEDMKE
NP_065065.3 1 492 0.0831609756097561 PF07260.11:ANKH:1:345 progressive ankylosis protein 492 345 14 314 8 Mus musculus NP_065065.3 1 RefSeq MVKFPALTHYWPLIRFLVPLGITNIAIDFGEQALNRGIAAVKEDAVEMLASYGLAYSLMKFFTGPMSDFKNVGLVFVNSKRDRAKAVLCMVVAGAIAAVFHTLIAYSDLGYYIINKLHHVDESVGSKTRRAFLYLAAFPFMDAMAWTHAGILLKHKYSFLVGCASISDVIAQVVFVAILLHSHLECREPLLIPILSLYMGALVRCTTLCLGYYRNIHDIIPDRSGPELGGDATIRKMLSFWWPLALILATQRISRPIVNLFVSRDLGGSSAATEAVAILTATYPVGHMPYGWLTEIRAVYPAFDKNNPSNKLANTSNTVTSAHIKKFTFVCMALSLTLCFVMFWTPNVSEKILIDIIGVDFAFAELCVIPLRIFSFFPVPVTVRAHLTGWLMTLKKTFVLAPSSVLRIIVLITSLVVLPYLGVHGATLGVGSLLAGFVGESTMVALAACYVYRKQKKKMENESATEGEDSAMTDMPPTEEVTDIVEMREENE
NP_066277.1 1 366 0.277667486338798 PF00688.18:TGFb_propeptide:98:204,PF00019.20:TGF_beta:262:353 left-right determination factor 1 preproprotein 366 199 14 366 0 Homo sapiens NP_066277.1 1 RefSeq MQPLWLCWALWVLPLASPGAALTGEQLLGSLLRQLQLKEVPTLDRADMEELVIPTHVRAQYVALLQRSHGDRSRGKRFSQSFREVAGRFLALEASTHLLVFGMEQRLPPNSELVQAVLRLFQEPVPKAALHRHGRLSPRSARARVTVEWLRVRDDGSNRTSLIDSRLVSVHESGWKAFDVTEAVNFWQQLSRPRQPLLLQVSVQREHLGPLASGAHKLVRFASQGAPAGLGEPQLELHTLDLGDYGAQGDCDPEAPMTEGTRCCRQEMYIDLQGMKWAENWVLEPPGFLAYECVGTCRQPPEALAFKWPFLGPRQCIASETDSLPMIVSIKEGGRTRPQVVSLPNMRVQKCSCASDGALVPRRLQP
NP_067060.2 1 94 0.491010638297872 PF10961.8:SelK_SelG:2:91 Selenoprotein K; SelK 94 90 14 71 1 Homo sapiens (Human) SwissProt::Q9Y6D0 1 SwissProt MVYISNGQVLDSRSQSPWRLSLITDFFWGIAEFVVLFFKTLLQQDVKKRRSYGNSSDSRYDDGRGPPGNPPRRMGRINHLRGPSPPPMAGGUGR
NP_075703.1 1 378 0.138130687830688 PF01545.21:Cation_efflux:38:296 Zinc transporter 7; ZnT-7; Solute carrier family 30 member 7; Znt-like transporter 2 378 259 14 248 6 Mus musculus (Mouse) SwissProt::Q9JKN1 1 SwissProt MLPLSIKDDEYKPPKFNLFGKISGWFRSILSDKTSRNLFFFLCLNLSFAFVELLYGIWSNCLGLISDSFHMFFDSTAILAGLAASVISKWRDNDAFSYGYVRAEVLAGFVNGLFLIFTAFFIFSEGVERALAPPDVHHERLLLVSILGFVVNLVGIFVFNHGGHGHSHGSGHGHSHSLFNGALDHSHGHEDHCHSHEAKHGAAHSHDHDHAHGHGHLHSHDGPSFKATAGPSRQILQGVFLHILADTLGSIGVIASAIMMQNFGLMIADPICSILIAILIVVSVIPLLRESVGILMQRTPPSLENTLPQCYQRVQQLQGVYNLQEQHFWTLCSDVYVGTLKLVVAPDADARWILSQTHNIFTQAGVRQLYVQIDFAAM
NP_079703.2 1 137 0.446768613138686 PF15151.6:RGCC:1:137 Regulator of cell cycle RGCC; Response gene to complement 32 protein; RGC-32 137 137 14 137 0 Mus musculus (Mouse) SwissProt::Q9DBX1 1 SwissProt MKPPSAQSSPAAVAAAAPAMDSAAAADLTDVLCEFDAVLADFASPFHERHFHYEEHLERMKRRSSASVSDSSGFSDSESADSVYRDSFTFSDEKLNSPTNSSPALLPSAVTPRKAKLGDTKELEDFIADLDRTLASM
NP_085049.1 1 116 0.323798275862069 PF15171.6:Spexin:27:116 Spexin; NPQ; Neuropeptide Q; Spexin hormone 116 90 14 93 1 Homo sapiens (Human) SwissProt::Q9BT56 1 SwissProt MKGLRSLAATTLALFLVFVFLGNSSCAPQRLLERRNWTPQAMLYLKGAQGRRFISDQSRRKDLSDRPLPERRSPNPQLLTIPEAATILLASLQKSPEDEEKNFDQTRFLEDSLLNW
NP_110135.1 1 1018 0.482602750491159 PF16713.5:EAGR_box:104:136 Cytadherence high molecular weight protein 1; Cytadherence accessory protein 1 1018 33 14 1018 0 Mycoplasma pneumoniae (strain ATCC 29342 / M129) SwissProt::Q50365 1 SwissProt MKKSKEAVFEDKDYTEENPEQIFGNLYDGKLTVQDGKVKIAYDGDGNGYYIAFNSETGVYYDPYGDTEYDISVLFDANGNSFVFADAPTVEVLAGEQEQTEAEPDYLQYVGNEAYGYYDEAGEWVWSGYFEGDQWISTLPQTEAEEKQFGFEDNIETTPTASEDFGLEADVPAPEVAEPSYEVQPEVAAEPVYDVQPEVAVEPVGETTATVEPQAVEIQPEVVVEPIVESQLEQPVEVQAEMVQPEVAVEPQLEVSLDPIGETAPILEQVEPQAVQTQPEIPAEQSAVELQPEPVAEVQSEMVQPEAAAEPVTEAQQTEPTPVVETIAEITPQVVTEPVVAVVEHQPEAVAEPLPVEPAVAGVSELIPTEQVQPEVVVESTPVAEVQSEMVQPEVAVEPIVEPQPEQPVEVQPEVITTPEVASVLEVQPENPVVEVEQVVEPQPETPVEVQPEPVVETVQEAVAEPTQVVEPQPQAAPQPAVYEWNLTPEAAPVEQPEVIPVTVVESQATATAEPQPAVAPVADMDYVLHLTDTVKNQPQTAPVQPTTPIKIEVAESTPTVTTSPVEPTIAPPLFEIELNNTTSSDLPLVEVVDFKHNQHGAVGTHSFDDFTPPEVGMESKTHCHSNSEVVWRVSEPKTVPVPPAVSSINIQTVNRVVEPTISTPTTPVVESAPAIEIFVDTPPVETKEASSNVDVVQQPVKPLMPVMVEQLRTTELQPTTEINLFANSDINSIIAELKQGRSNPAINFDDIFKMSSYQMVVKKSFVQISDFITNSKTDITNRFLLIKKELQAELTRLIEENEQLKAEFLNAKDLSVYQKDELLRSLSNDFTIAHRPSDSYEQLQKSGELVRNIQKAILENESKIKNIQITLKELKAVYKLCSDTVLNGMAKLDSVLRFNKKEKDPLLLNSMETLSSFETEPQAIIEDLLDFSSSFDKMSNEQLDEFVYQNLDSGLNLDLDGFDHQLSSMNIHGLEPLDPMKLDDFDFETLTPDKTSNLSSILDDELMENGGDFNLDY
NP_110418.1 1 441 0.206872562358277 PF01569.21:PAP2:163:277 Sphingosine-1-phosphate phosphatase 1; SPPase1; Spp1; hSPP1; hSPPase1; Sphingosine-1-phosphatase 1; Sphingosine-1-phosphate phosphohydrolase 1; SPP-1; EC 3.1.3.- 441 115 14 288 7 Homo sapiens (Human) SwissProt::Q9BX95 1 SwissProt MSLRQRLAQLVGRLQDPQKVARFQRLCGVEAPPRRSADRREDEKAEAPLAGDPRLRGRQPGAPGGPQPPGSDRNQCPAKPDGGGAPNGVRNGLAAELGPASPRRAGALRRNSLTGEEGQLARVSNWPLYCLFCFGTELGNELFYILFFPFWIWNLDPLVGRRLVVIWVLVMYLGQCTKDIIRWPRPASPPVVKLEVFYNSEYSMPSTHAMSGTAIPISMVLLTYGRWQYPLIYGLILIPCWCSLVCLSRIYMGMHSILDIIAGFLYTILILAVFYPFVDLIDNFNQTHKYAPFIIIGLHLALGIFSFTLDTWSTSRGDTAEILGSGAGIACGSHVTYNMGLVLDPSLDTLPLAGPPITVTLFGKAILRILIGMVFVLIIRDVMKKITIPLACKIFNIPCDDIRKARQHMEVELPYRYITYGMVGFSITFFVPYIFFFIGIS
NP_115556.2 1 660 0.498938939393939 PF10595.9:UPF0564:235:577 Protein FAM161A 660 343 14 660 0 Homo sapiens (Human) SwissProt::Q3B820 1 SwissProt MATSHRVAKLVASSLQTPVNPITGARVAQYEREDPLKALAAAEAILEDEEEEKVAQPAGASADLNTSFSGVDEHAPISYEDFVNFPDIHHSNEEYFKKVEELKAAHIETMAKLEKMYQDKLHLKEVQPVVIREDSLSDSSRSVSEKNSYHPVSLMTSFSEPDLGQSSSLYVSSSEEELPNLEKEYPRKNRMMTYAKELINNMWTDFCVEDYIRCKDTGFHAAEKRRKKRKEWVPTITVPEPFQMMIREQKKKEESMKSKSDIEMVHKALKKQEEDPEYKKKFRANPVPASVFLPLYHDLVKQKEERRRSLKEKSKEALLASQKPFKFIAREEQKRAAREKQLRDFLKYKKKTNRFKARPIPRSTYGSTTNDKLKEEELYRNLRTQLRAQEHLQNSSPLPCRSACGCRNPRCPEQAVKLKCKHKVRCPTPDFEDLPERYQKHLSEHKSPKLLTVCKPFDLHASPHASIKREKILADIEADEENLKETRWPYLSPRRKSPVRCAGVNPVPCNCNPPVPTVSSRGREQAVRKSEKERMREYQRELEEREEKLKKRPLLFERVAQKNARMAAEKHYSNTLKALGISDEFVSKKGQSGKVLEYFNNQETKSVTEDKESFNEEEKIEERENGEENYFIDTNSQDSYKEKDEANEESEEEKSVEESH
NP_115786.1 166 718 0.513513743218806 PF05622.12:HOOK:3:543 Protein Hook homolog 3; h-hook3; hHK3 718 541 14 553 0 Homo sapiens (Human) SwissProt::Q86VS8 1 SwissProt AGNDAYVDLDRQLKKTTEELNEALSAKEEIAQRCHELDMQVAALQEEKSSLLAENQVLMERLNQSDSIEDPNSPAGRRHLQLQTQLEQLQEETFRLEAAKDDYRIRCEELEKEISELRQQNDELTTLADEAQSLKDEIDVLRHSSDKVSKLEGQVESYKKKLEDLGDLRRQVKLLEEKNTMYMQNTVSLEEELRKANAARSQLETYKRQVVELQNRLSEESKKADKLDFEYKRLKEKVDSLQKEKDRLRTERDSLKETIEELRCVQAQEGQLTTQGLMPLGSQESSDSLAAEIVTPEIREKLIRLQHENKMLKLNQEGSDNEKIALLQSLLDDANLRKNELETENRLVNQRLLEVQSQVEELQKSLQDQGSKAEDSVLLKKKLEEHLEKLHEANNELQKKRAIIEDLEPRFNNSSLKIEELQEALRKKEEEMKQMEERYKKYLEKAKSVIRTLDPKQNQGAAPEIQALKNQLQERDRLFHSLEKEYEKTKSQREMEEKYIVSAWYNMGMTLHKKAAEDRLASTGSGQSFLARQRQATSSRRSYPGHVQPATAR
NP_116130.2 1 283 0.156337102473498 PF01553.21:Acyltransferase:83:211 1-acyl-sn-glycerol-3-phosphate acyltransferase alpha; 1-acylglycerol-3-phosphate O-acyltransferase 1; 1-AGP acyltransferase 1; 1-AGPAT 1; Lysophosphatidic acid acyltransferase alpha; LPAAT-alpha; Protein G15; EC 2.3.1.51 283 129 14 221 3 Homo sapiens (Human) SwissProt::Q99943 1 SwissProt MDLWPGAWMLLLLLFLLLLFLLPTLWFCSPSAKYFFKMAFYNGWILFLAVLAIPVCAVRGRNVENMKILRLMLLHIKYLYGIRVEVRGAHHFPPSQPYVVVSNHQSSLDLLGMMEVLPGRCVPIAKRELLWAGSAGLACWLAGVIFIDRKRTGDAISVMSEVAQTLLTQDVRVWVFPEGTRNHNGSMLPFKRGAFHLAVQAQVPIVPIVMSSYQDFYCKKERRFTSGQCQVRVLPPVPTEGLTPDDVPALADRVRHSMLTVFREISTDGRGGGDYLKKPGGGG
NP_149094.3 1 505 0.223204752475247 PF03097.18:BRO1:112:505,PF02185.16:HR1:39:102 Rhophilin-2; 76 kDa RhoB effector protein; GTP-Rho-binding protein 2; p76RBE 686 458 14 505 0 Homo sapiens (Human) SwissProt::Q8IUC4 1 SwissProt MTDALLPAAPQPLEKENDGYFRKGCNPLAQTGRSKLQNQRAALNQQILKAVRMRTGAENLLKVATNSKVREQVRLELSFVNSDLQMLKEELEGLNISVGVYQNTEEAFTIPLIPLGLKETKDVDFAVVLKDFILEHYSEDGYLYEDEIADLMDLRQACRTPSRDEAGVELLMTYFIQLGFVESRFFPPTRQMGLLFTWYDSLTGVPVSQQNLLLEKASVLFNTGALYTQIGTRCDRQTQAGLESAIDAFQRAAGVLNYLKDTFTHTPSYDMSPAMLSVLVKMMLAQAQESVFEKISLPGIRNEFFMLVKVAQEAAKVGEVYQQLHAAMSQAPVKENIPYSWASLACVKAHHYAALAHYFTAILLIDHQVKPGTDLDHQEKCLSQLYDHMPEGLTPLATLKNDQQRRQLGKSHLRRAMAHHEESVREASLCKKLRSIEVLQKVLCAAQERSRLTYAQHQEEDDLLNLIDAPSVVAKTEQEVDIILPQFSKLTVTDFFQKLGPLSVF
NP_149110.1 184 441 0.671920155038759 Protein naked cuticle homolog 1; Naked-1; hNkd; hNkd1 470 0 14 258 0 Homo sapiens (Human) SwissProt::Q969G9 1 SwissProt KLTVAPDGSQSKRSVLVNQADLQSARPRAETKPTEDLRSWEKKQRAPLRFQGDSRLEQSGCYHHCVDENIERRNHYLDLAGIENYTSQFGPGSPSVAQKSELPPRTSNPTRSRSHEPEAIHIPHRKPQGVDPASFHFLDTPIAKVSELQQRLRGTQDGSKHFVRSPKAQGKSVGVGHVARGARNKPPLGPAIPAVSPSAHLAASPALLPSLAPLGHKKHKHRAKESQQGCRGLQAPLASGGPVLGREHLRELPALVVY
NP_173361.1 228 532 0.276335409836066 PF12315.8:DA1-like:94:300 Protein DA1; Protein SUPPRESSOR OF LARGE SEED AND ORGAN PHENOTYPES OF DA1-1 1 532 207 14 305 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P0C7Q8 1 SwissProt YHPKCDVCSHFIPTNHAGLIEYRAHPFWVQKYCPSHEHDATPRCCSCERMEPRNTRYVELNDGRKLCLECLDSAVMDTMQCQPLYLQIQNFYEGLNMKVEQEVPLLLVERQALNEAREGEKNGHYHMPETRGLCLSEEQTVSTVRKRSKHGTGKWAGNITEPYKLTRQCEVTAILILFGLPRLLTGSILAHEMMHAWMRLKGFRTLSQDVEEGICQVMAHKWLDAELAAGSTNSNAASSSSSSQGLKKGPRSQYERKLGEFFKHQIESDASPVYGDGFRAGRLAVHKYGLRKTLEHIQMTGRFPV
NP_173916.1 1 373 0.125497587131367 PF08392.12:FAE1_CUT1_RppA:76:364 3-ketoacyl-CoA synthase 5; KCS-5; Eceriferum 60; Very long-chain fatty acid condensing enzyme 5; VLCFA condensing enzyme 5; EC 2.3.1.199 492 289 14 327 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C6L5 1 SwissProt MSDFSSSVKLKYVKLGYQYLINNFLTLLLIPVIATVAIELLRMGPEEILSVLNSLHFELLHILCSSFLIIFVSTVYFMSKPRTVYLVDYSCYKPPVTCRVPFSSFMEHSRLILKDNPKSVEFQMRILERSGLGEETCLPPAIHYIPPTPTMESARNEAQMVIFTAMEDLFKNTGLKPKDIDILIVNCSLFSPTPSLSAMIINKYKLRSNIKSYNLSGMGCSASLISVDVARDLLQVHPNSNAIIISTEIITPNYYKGNERAMLLPNCLFRMGGAAILLSNRRSDRWRAKYKLCHLVRTHRGADDKSYNCVMEQEDKNGNVGINLSKDLMTIAGEALKANITTIGPLVLPASEQLLFLSSLIGRKIFNPKWKPY
NP_174737.2 1 303 0.372915511551156 Protein TRANSPARENT TESTA 1; TTL1; WIP-domain protein 1; Zinc finger protein TT1 303 0 14 303 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VWG3 1 SwissProt MESPPLYEISSSSSSEKPRHHFQSLDLFPNLNQNSCINNTLIEPLPLIDRINLNSNLDLNPNPLYAEEGEQEEEEEEEEDREVDVDLHIGLPGFGKPSNDAKQLKKRNGKEIATYDAGKGIENELSGKAYWIPAPEQILIGFTHFSCHVCFKTFNRYNNLQMHMWGHGSQYRKGPESLKGTQPRAMLGIPCYCCVEGCRNHIDHPRSKPLKDFRTLQTHYKRKHGHKPFSCRLCGKLLAVKGDWRTHEKNCGKRWVCVCGSDFKHKRSLKDHVKAFGSGHGPYPTGLFEEQASNSSVSETLFF
NP_175685.1 1 240 0.221605416666667 PF12146.8:Hydrolase_4:62:239,PF12697.7:Abhydrolase_6:69:203 Caffeoylshikimate esterase; Lysophospholipase 2; LysoPL2; EC 3.1.1.- 332 178 14 240 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C942 1 SwissProt MPSEAESSANSAPATPPPPPNFWGTMPEEEYYTSQGVRNSKSYFETPNGKLFTQSFLPLDGEIKGTVYMSHGYGSDTSWMFQKICMSFSSWGYAVFAADLLGHGRSDGIRCYMGDMEKVAATSLAFFKHVRCSDPYKDLPAFLFGESMGGLVTLLMYFQSEPETWTGLMFSAPLFVIPEDMKPSKAHLFAYGLLFGLADTWAAMPDNKMVGKAIKDPEKLKIIASNPQRYTGKPRVGTMR
NP_176964.1 108 374 0.48146404494382 Heat stress transcription factor A-8; AtHsfA8; AtHsf-03; Heat shock factor protein 5; HSF 5; Heat shock transcription factor 5; HSTF 5 374 0 14 267 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7U5 1 SwissProt IRRKNVQSSEQSKHESTSTTYAQEKSGLWKEVDILKGDKQVLAQELIKVRQYQEVTDTKMLHLEDRVQGMEESQQEMLSFLVMVMKNPSLLVQLLQPKEKNTWRKAGEGAKIVEEVTDEGESNSYGLPLVTYQPPSDNNGTAKSNSNDVNDFLRNADMLKFCLDENHVPLIIPDLYDDGAWEKLLLLSPSRKKTKKQENIVKKGKDDLTLEEEEEDGTMELDKSYMLKLISEEMEKPDDFEFGQLTPERSRNLEILTEQMELLASNE
NP_180139.1 1 456 0.111702192982456 PF16983.5:MFS_MOT1:36:152,PF16983.5:MFS_MOT1:275:393 Molybdate transporter 1; Sulfate transporter like protein 5.2 456 236 14 281 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SL95 1 SwissProt MESQSQRGQHETPKRSRFTGMFHKLKTNLVFRSKLAEINGAMGDLGTYIPIVLALTLAKDLDLGTTLIFTGIYNAITGAVYGVPMPVQPMKSIAAVAISSTAEDFGIPEIMAAGICTGGILFVLGISGLMQLVFNIIPLSVVRGIQLSQGLAFAMSAVKYIRKEQNFSKSKSVGDRPWLGLDGLVLALVCVLFIVLVNGDGEEEEEEEEGDGSRGRGRWGSVRKVIANVPSALLIFLLGVVLAFIRKPSIVHDIKFGPSKMKIVRISRKAWRNGFLKGTVPQLPLSVLNSVVAVCKLSYDLFPEKEFSAASVSMTVGLMNMVGCWFGAMPTCHGAGGLAGQYKFGGRSGGCVALLGVAKLVLGLVLGGSLVGILEKFPVGVLGALLLFAGVELAMAARDMNTKGDAFVMLMCTSVSLGSNAAIGFVAGDLLYVVLWMRNYGRAKPSSLPPQSGEHA
NP_180944.2 1 378 0.552797619047618 PF00046.29:Homeodomain:53:113 WUSCHEL-related homeobox 9; Protein STIMPY 378 61 14 378 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6X7J4 1 SwissProt MASSNRHWPSMFKSKPHPHQWQHDINSPLLPSASHRSSPFSSGCEVERSPEPKPRWNPKPEQIRILEAIFNSGMVNPPREEIRRIRAQLQEYGQVGDANVFYWFQNRKSRSKHKLRLLHNHSKHSLPQTQPQPQPQPSASSSSSSSSSSSKSTKPRKSKNKNNTNLSLGGSQMMGMFPPEPAFLFPVSTVGGFEGITVSSQLGFLSGDMIEQQKPAPTCTGLLLSEIMNGSVSYGTHHQQHLSEKEVEEMRMKMLQQPQTQICYATTNHQIASYNNNNNNNNIMLHIPPTTSTATTITTSHSLATVPSTSDQLQVQADARIRVFINEMELEVSSGPFNVRDAFGEEVVLINSAGQPIVTDEYGVALHPLQHGASYYLI
NP_181526.1 1 331 0.19256253776435 PF00153.27:Mito_carr:7:90,PF00153.27:Mito_carr:109:220,PF00153.27:Mito_carr:229:318 Peroxisomal nicotinamide adenine dinucleotide carrier; Peroxisomal NAD carrier; Peroxisomal membrane protein 38, (PMP36); AtPMP38; Protein ABERRANT PEROXISOME MORPHOLOGY 3; Solute carrier family 25 member 17 331 286 14 262 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04200 1 SwissProt MSDALINGLAGAGGGIIAQLLTYPLQTVNTRQQTERDLKREKRKLGTIEHMCQVVKQEGWERLYGGLAPSLAGTAASQGVYYYFYQVFRNRAEATALARKKKGLGDGSVGMFASLLVAAFAGSVNVLMTNPIWVIVTRMQTHRKMTKDQTAAPESPSSNAEALVAVEPRPYGTFNTIREVYDEAGITGFWKGVIPTLIMVSNPSMQFMLYETMLTKLKKKRALKGSNNVTALETFLLGAVAKLGATVTTYPLLVVKSRLQAKQVTTGDKRQQYKGTLDAILKMIRYEGLYGFYKGMSTKIVQSVLAAAVLFMIKEELVKGAKLLLSNATSS
NP_186795.1 140 1274 0.292922466960352 PF01429.19:MBD:119:234,PF05965.14:FYRC:530:556,PF05964.14:FYRN:272:299 Methyl-CpG-binding domain-containing protein 9; AtMBD9; MBD09; Histone acetyl transferase MBD9; Methyl-CpG-binding protein MBD9; EC 2.3.1.48 2176 171 14 1135 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGH2 1 SwissProt WPLGVKSKLILDMNASPPSDAEGYGAEETSDSRKHMLASSSCIGNSFDYAMMHSSFSSLGRGHASLEASGLMSRNTKMSMDALGSHNLGFGFPLNLNNSSLPMRFPSLDPSELFLQNLRHFISERHGVLEDGWRVEFRQPLNGYQLCAVYCAPNGKTFSSIQEVACYLGLAINGNYSCMDAEIRNENSLLQERLHTPKRRKTSRWPNNGFPEQKGSSVSAQLRRFPFNGQTMSPFAVKSGTHFQAGGSLSSGNNGCGCEEAKNGCPMQFEDFFVLSLGRIDIRQSYHNVNVIYPIGYKSCWHDKITGSLFTCEVSDGNSGPIFKVTRSPCSKSFIPAGSTVFSCPKIDEMVEQNSDKLSNRRDSTQERDDDASVEILLSEHCPPLGDDILSCLREKSFSKTVNSLRSEVDSSRVDFDKNLSYDQDHGVEIGDIVVEEDSLSDAWKKVSQKLVDACSIVLKQKGTLNFLCKHVDRETSEINWDTMNEKDNVILSLSKFCCSLAPCSVTCGEKDKSEFAAVVDALSRWLDQNRFGLDADFVQEMIEHMPGAESCTNYRTLKSRSSSSVPITVAEGALVVKPKGGENVKDEVFGEISRKAKKPKLNGGHGVRNLHPPPGRPMCLRLPPGLVGDFLQVSEVFWRFHEILGFEEAFSPENLEQELINPVFDGLFLDKPGKDDKRSEINFTDKDSTATKLFSLFDESRQPFPAKNTSASELKEKKAGDSSDFKISDSSRGSCVGALLTRAHISLLQVLICELQSKVAAFVDPNFDSGESRSRRGRKKDDSTLSAKRNKLHMLPVNEFTWPELARRYILSLLSMDGNLESAEIAARESGKVFRCLQGDGGLLCGSLTGVAGMEADSMLLAEAIKKISGSLTSENDVLSVEDDDSDGLDATETNTCSGDIPEWAQVLEPVKKLPTNVGTRIRKCVYEALERNPPEWAKKILEHSISKEIYKGNASGPTKKAVLSLLADIRGGDLVQRSIKGTKKRTYISVSDVIMKKCRAVLRGVAAADEDKVLCTLLGRKLLNSSDNDDDGLLGSPAMVSRPLDFRTIDLRLAAGAYDGSTEAFLEDVLELWSSIRVMYADQPDCVDLVATLSEKFKSLYEAEVVPLVQKLKDYRKLECLSAEMKKEIKDIV
NP_188473.1 1 598 0.182280100334448 PF11744.8:ALMT:78:562,PF04632.12:FUSC:119:274,PF13515.6:FUSC_2:117:238 Aluminum-activated malate transporter 9; AtALMT9 598 485 14 493 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LS46 1 SwissProt MAAKQGSFRHGILEKRERLLSNNGFSDFRFTDIESNDLLENENCGRRTRLCCCCSCGNLSEKISGVYDDAKDVARKAWEMGVSDPRKIVFSAKIGLALTIVALLIFYQEPNPDLSRYSVWAILTVVVVFEFTIGATLSKGFNRALGTLSAGGLALGMAELSTLFGDWEEIFCTLSIFCIGFLATFMKLYPSMKAYEYGFRVFLLTYCYILISGFRTGQFIEVAISRFLLIALGAGVSLGVNMFIYPIWAGEDLHNLVVKNFMNVATSLEGCVNGYLRCLEYERIPSKILTYQASEDPVYKGYRSAVESTSQEESLMSFAIWEPPHGPYKSFNYPWKNYVKLSGALKHCAFTVMALHGCILSEIQAPEERRQVFRQELQRVGVEGAKLLRELGEKVKKMEKLGPVDLLFEVHLAAEELQHKIDKKSYLLVNSECWEIGNRATKESEPQELLSLEDSDPPENHAPPIYAFKSLSEAVLEIPPSWGEKNHREALNHRPTFSKQVSWPARLVLPPHLETTNGASPLVETTKTYESASALSLATFASLLIEFVARLQNVVDAFKELSQKANFKEPEIVTTGTDVEFSGERVGLGQKIRRCFGM
NP_189791.1 1 441 0.10250566893424 PF03224.14:V-ATPase_H_N:5:312,PF11698.8:V-ATPase_H_C:318:431 V-type proton ATPase subunit H; V-ATPase subunit H; Vacuolar H(+)-ATPase subunit H; Vacuolar proton pump subunit H 441 422 14 441 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LX65 1 SwissProt MDQAELSIEQVLKRDIPWETYMNTKLVSAKGLQLLRRYDKKPESARAQLLDEDGPAYVHLFVSILRDIFKEETVEYVLALIYEMLSANPTRARLFHDESLANEDTYEPFLRLLWKGNWFIQEKSCKILAWIISARPKAGNAVIGNGIDDVLKGLVEWLCAQLKQPSHPTRGVPIAISCLSSLLKEPVVRSSFVQADGVKLLVPLISPASTQQSIQLLYETCLCIWLLSYYEPAIEYLATSRTMQRLTEVVKHSTKEKVVRVVILTFRNLLPKGTFGAQMVDLGLPHIIHSLKTQAWSDEDLLDALNQLEEGLKDKIKKLSSFDKYKQEVLLGHLDWNPMHKETNFWRENVTCFEENDFQILRVLLTILDTSSDPRSLAVACFDISQFIQYHAAGRVIVADLKAKERVMKLINHENAEVTKNAILCIQRLLLGAKYASFLQA
NP_191345.1 1 243 0.561595061728395 Protein GIGAS CELL1; Protein ENHANCER OF BON1-2 30; Protein OMISSION OF SECOND DIVISION1; Protein UV-B-INSENSITIVE 4-like 243 0 14 243 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2R1 1 SwissProt MPEARDRTERPVDYSTIFANRRRHGILLDEPDSRLSLIESPVNPDIGSIGGTGGLVRGNFTTWRPGNGRGGHTPFRLPQGRENMPIVTARRGRGGGLLPSWYPRTPLRDITHIVRAIERRRGAGTGGDDGRVIEIPTHRQVGVLESPVPLSGEHKCSMVTPGPSVGFKRSCPPSTAKVQKMLLDITKEIAEEEAGFITPEKKLLNSIDKVEKIVMAEIQKLKSTPQAKREEREKRVRTLMTMR
NP_192208.1 1 541 0.242462107208873 PF02536.14:mTERF:183:491 Transcription termination factor MTERF4, chloroplastic; Mitochondrial transcription termination factor 4; Protein BELAYA SMERT'; Protein RUGOSA2 541 309 14 541 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZT96 1 SwissProt MKIRFCNGFTKPGFLLVHFEPPSFFAVRSRSLSDSTYGNLCNHKKRPGTGIGLTVQCAIANRRFSSRSLDSPRRERSSRSSSSSGRDRDRDKDKGRDSKSLYSRPSLLDMNKEKAANRAKVYEFLRGIGIVPDELDGLELPVTADVMKERVEFLHKLGLTIEDINNYPLVLGCSVKKNMVPVLDYLGKLGVRKSTFTEFLRRYPQVLHSSVVIDLAPVVKYLQGLDIKPSDVPRVLERYPEVLGFKLEGTMSTSVAYLVGIGVARREIGGILTRYPEILGMRVARIIKPLVEYLEVLGIPRLAAARLIEKRPHILGFELDDTVKPNVQILQDFNVRETSLPSIIAQYPEIIGIDLKPKLDTQRKLLCSAIHLNPEDLGSLIERMPQFVSLSESPMLKHIDFLTKCGFSIDQTREMVIGCPQVLALNLGIMKLSFEYFQKEMKRPLQDLVDFPAFFTYGLESTVKPRHKKIIKKGIKCSLAWMLNCSDEKFEQRMSYDTIDIEEVETDPSSFDMNTLMQPEREEESDSEYEEEEDDDDEEFA
NP_193531.1 1 560 0.256448035714286 PF11744.8:ALMT:38:525,PF13515.6:FUSC_2:73:202,PF04632.12:FUSC:79:236 Aluminum-activated malate transporter 12; AtALMT12; Quick anion channel 1 560 488 14 436 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49696 1 SwissProt MSNKVHVGSLEMEEGLSKTKWMVLEPSEKIKKIPKRLWNVGKEDPRRVIHALKVGLSLTLVSLLYLMEPLFKGIGSNAIWAVMTVVVVLEFSAGATLCKGLNRGLGTLIAGSLAFFIEFVANDSGKVLRAIFIGTAVFIIGAAATYIRFIPYIKKNYDYGVVIFLLTFNLITVSSYRVDSVINIAHDRFYTIAVGCGICLFMSLLVFPIWSGEDLHKTTVGKLQGLSRSIEACVDEYFEEKEKEKTDSKDRIYEGYQAVLDSKSTDETLALYANWEPRHTLRCHRFPCQQYVKVGAVLRQFGYTVVALHGCLQTEIQTPRSVRALFKDPCVRLAGEVCKALTELADSISNHRHCSPEILSDHLHVALQDLNSAIKSQPKLFLGSNLHRHNNKHQNGSISNNKHHQRNSSNSGKDLNGDVSLQNTETGTRKITETGSRQGQNGAVSLSSFRTDTSALMEYRRSFKNSNSEMSAAGERRMLRPQLSKIAVMTSLEFSEALPFAAFASLLVEMVARLDNVIEEVEELGRIASFKEYDNKRDQTADDVRCENPANVTISVGAAE
NP_194405.1 44 421 0.683158465608465 PF04652.16:Vta1:5:105,PF18097.1:Vta1_C:334:370 Protein HOMOLOG OF MAMMALIAN LYST-INTERACTING PROTEIN 5; Protein EXTENSIN-LIKE; EXT-LIKE 421 138 14 378 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZ15 1 SwissProt PQSERTKTTNSILMSLINQLEKDKKSLTLSPDDNMHVEGFALSVFAKADKQDRAGRADLGTAKTFYAASIFFEILSQFGPVPPDIEQKHKYAAWKAADIRKAIKEGRKPTPGDPVDDDTDLSIPSSGPSGSYDHSASDTNTTSHHRTELDPPHDSNDDSSHHQFPEVPQHPLPPRFYDNPTNDYPADVPPPPPSSYPSNDHLPPPTGPSDSPYPHPYSHQPYHQDPPKHMPPPQNYSSHEPSPNSLPNFQSYPSFSESSLPSTSPHYPSHYQNPEPYYSSPHSAPAPSSTSFSSAPPPPPYSSNGRINIAPVLDPAPSSAQKYHYDSSYQPGPEKVAEALKAARFAVGALAFDEVSTAVEHLKKSLELLTNPSAGAGH
NP_195251.1 1 395 0.225698987341773 PF05368.13:NmrA:82:303,PF13460.6:NAD_binding_10:86:265,PF01370.21:Epimerase:82:187 Protein HIGH CHLOROPHYLL FLUORESCENCE PHENOTYPE 244, chloroplastic 395 222 14 395 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65502 1 SwissProt MASLRLPAQLVTRGNLIHHNSSSSSSGRLSWRRSLTPENTIPLFPSSSSSSLNRERSIVVPVTCSAAAVNLAPGTPVRPTSILVVGATGTLGRQIVRRALDEGYDVRCLVRPRPAPADFLRDWGATVVNADLSKPETIPATLVGIHTVIDCATGRPEEPIKTVDWEGKVALIQCAKAMGIQKYVFYSIHNCDKHPEVPLMEIKYCTEKFLQESGLNHITIRLCGFMQGLIGQYAVPILEEKSVWGTDAPTRVAYMDTQDIARLTLIALRNEKINGKLLTFAGPRAWTTQEVITLCERLAGQDANVTTVPVSVLRVTRQLTRFFQWTNDVADRLAFSEVLSSDTVFSAPMTETNSLLGVDQKDMVTLEKYLQDYFSNILKKLKDLKAQSKQSDIYF
NP_195339.1 159 377 0.399055251141553 NAC domain-containing protein 76; ANAC076; Protein VASCULAR RELATED NAC-DOMAIN 2 377 0 14 219 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65508 1 SwissProt KKPMTGQAKNTETWSSSYFYDELPSGVRSVTEPLNYVSKQKQNVFAQDLMFKQELEGSDIGLNFIHCDQFIQLPQLESPSLPLTKRPVSLTSITSLEKNKNIYKRHLIEEDVSFNALISSGNKDKKKKKTSVMTTDWRALDKFVASQLMSQEDGVSGFGGHHEEDNNKIGHYNNEESNNKGSVETASSTLLSDREEENRFISGLLCSNLDYDLYRDLHV
NP_195554.2 1 163 0.819709202453987 Dehydrin family protein 163 0 14 163 0 Arabidopsis thaliana NP_195554.2 1 RefSeq MADHPRSTEQQEADAAASKGCGMFDFLKKKPEDVHSSENARVTKEPKEEEKPSLAERFHLSDSSSSDEEAGENGEKKEKKKKKKKNEVAEDQCETEEKIPAGIGHEDGKEKGFMEKIKDKLPGGHNGKPEAEPHNDKAKEKGFMEKIKEKLPGHTNDEKKKET
NP_195977.2 1 512 0.0792093749999999 PF06963.12:FPN1:32:479 Solute carrier family 40 member 2; Ferroportin-2; Iron-regulated transporter 2; AtIREG2 512 448 14 331 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4KGN5 1 SwissProt MEEETETRVFLSNEQHQEEEEEEEEEPSLPRSMVISLYLGYFLARWGARTWEFSVALYMIYLWPNSLFLTAMYGVVESGSATLFGPIVGQMIDGMSYVKVLRLWLVTQNLSFIVAGGAVVALLVVPDLKSQNFPVFATLVVLTNLSGAIGVLSTLAGTVLIERDWVVVMSEGHSPAVLTRMNSVIRGIDLSSKLLSPVITGLIISFVSLRASAITFAAWATITVWIEYWLFISVYNGVPAIVQSDERRSLRSSQSQAEETDSASSFYVPLLHEEESYRNTQSRSRILRILERISESSFVSAWRNYLNQEIVLPGVSLALLFFTVLSFGTLMTATLEWKGIPTYIIGIGRGISAGVGLAATVLYPLMQSRISPLRTGVWSFWSQWTCLLVCVGSIWVEKEKIASYMLMAGVAASRLGLWMFDLAVIQQMQDLVPESDRCVVGGVQNSLQSALDLMANLLGIIVSNPKDFWMLTLISFATVSLAGILYTIHLYRIRKHLFHLEKIPLLNNFFAS
NP_196092.1 377 811 0.146521149425287 ADR1-like 2 811 0 14 435 0 Arabidopsis thaliana NP_196092.1 1 RefSeq GAVERLSRGEPADETHESRVFAQIEATLENLDPKTRDCFLVLGAFPEDKKIPLDVLINVLVELHDLEDATAFAVIVDLANRNLLTLVKDPRFGHMYTSYYDIFVTQHDVLRDVALRLSNHGKVNNRERLLMPKRESMLPREWERNNDEPYKARVVSIHTGEMTQMDWFDMELPKAEVLILHFSSDKYVLPPFIAKMGKLTALVIINNGMSPARLHDFSIFTNLAKLKSLWLQRVHVPELSSSTVPLQNLHKLSLIFCKINTSLDQTELDIAQIFPKLSDLTIDHCDDLLELPSTICGITSLNSISITNCPRIKELPKNLSKLKALQLLRLYACHELNSLPVEICELPRLKYVDISQCVSLSSLPEKIGKVKTLEKIDTRECSLSSIPNSVVLLTSLRHVICDREALWMWEKVQKAVAGLRVEAAEKSFSRDWLDD
NP_196367.1 1 245 0.250829795918367 PF00642.24:zf-CCCH:97:117 Zinc finger CCCH domain-containing protein 54; AtC3H54; Tandem CCCH Zinc Finger protein 6; AtTZF6 245 21 14 245 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65036 1 SwissProt MLKSASPMAFYDIGEQQYSTFGYILSKPGNAGAYEIDPSIPNIDDAIYGSDEFRMYAYKIKRCPRTRSHDWTECPYAHRGEKATRRDPRRYTYCAVACPAFRNGACHRGDSCEFAHGVFEYWLHPARYRTRACNAGNLCQRKVCFFAHAPEQLRQSEGKHRCRYAYRPVRARGGGNGDGVTMRMDDEGYDTSRSPVRSGKDDLDSNEEKVLLKCWSRMSIVDDHYEPSDLDLDLSHFDWISELVD
NP_197163.1 117 327 0.522189573459716 myb domain protein 43 327 0 14 211 0 Arabidopsis thaliana NP_197163.1 1 RefSeq RKMGIDPLTHKPLSEQEASQQAQGRKKSLVPHDDKNPKQDQQTKDEQEQHQLEQALEKNNTSVSGDGFCIDEVPLLNPHEILIDISSSHHHHSNDDNVNINTSKFTSPSSSSSSTSSCISSVVPGDEFSKFFDEMEILDLKWLSSDDSLGDDISKDGKFNNSTVDTMNLWDINDLSSLDMFMNEHDDGFIGNGNGCSRMVLDQDSWTFDLL
NP_197706.1 82 1055 0.579194661190965 PF04818.13:CTD_bind:748:815 ENHANCER OF AG-4 protein 2; Protein AERIAL ROSETTE 1 1392 68 14 974 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XER9 1 SwissProt LLARCQGKTVKYFAQAVEQICTAFEGLQNHKSNALGDEDSLDATEPGLTKAEIVDGTDHIVIESERTDNFNFRVDPCFPKLDENNGEERKAEIRKLDSSSFLESKVKTTSPVSESLEHSSFDPKIKKEDFDKGTDGSACNEHFGNGQKKLANGKRIKKEAGGSDRKGEDTVHRDKSNNSHVPGGRTASGNSDSKKSKGLLTEKTSSKVSADKHENSPGIKVGVSGKKRRLESEQGKLAPRVDESSRAAKKPRCESADNKVKCEIDDGSDSTGTVSDIKREIVLGLGARGGNFQYDKEAVAYTKRQRQTMEHATSPSFSGSRDKSGKGHLEQKDRSSPVRNVKAPAAQSLKKRRAVCIYDEDDDEDPKTPLHGKPAIVPQAASVLTDGPKRANVCHSTSTKAKISAGSTESTEVRKFPLRKHCEDASRVLPSNAENSTNSLPVVKPINELPPKDVKQILQSPKMSPQLVLTNKHVAGQHKVVKSSVKVSGVVMAKKPQSDSCKEAVAGSDKISSSQSQPANQRHKSASVGERLTVVSKAASRLNDSGSRDMSEDLSAAMLDLNREKGSATFTSAKTPDSAASMKDLIAAAQAKRKLAHTQNSIFGNLNPSFLSISDTQGRSHSPFMVQNASASAAISMPLVVQGHHQQGSSPSNHGHQSLSRNQIETDDNEERRLSSGHKSVGGSLSCSTEAAISRDAFEGMLETLSRTRESIGRATRLAIDCAKYGLASEVVELLIRKLESESHFHRKVDLFFLVDSITQHSHSQKGIAGASYVPTVQAALPRLLGAAAPPGTGASDNRRKCLKVLKLWLERKVFPESLLRRYIDDIRASGDDATGGFSLRRPSRSERAVDDPIREMEGMLVDEYGSNATFQLPGFFSSHNFEDDEEDDDLPTSQKEKSTSAGERVSALDDLEIHDTSSDKCHRVLEDVDHELEMEDVSGQRKDVAPSSFCENKTKEQSLDVMEPVAEKSTEFN
NP_199410.2 1 325 0.367681538461539 PF00046.29:Homeodomain:53:113 WUSCHEL-related homeobox 8; Protein STIMPY-LIKE 325 61 14 325 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6X7J5 1 SwissProt MSSSNKNWPSMFKSKPCNNNHHHQHEIDTPSYMHYSNCNLSSSFSSDRIPDPKPRWNPKPEQIRILESIFNSGTINPPREEIQRIRIRLQEYGQIGDANVFYWFQNRKSRAKHKLRVHHKSPKMSKKDKTVIPSTDADHCFGFVNQETGLYPVQNNELVVTEPAGFLFPVHNDPSAAQSAFGFGDFVVPVVTEEGMAFSTVNNGVNLETNENFDKIPAINLYGGDGNGGGNCFPPLTVPLTINQSQEKRDVGLSGGEDVGDNVYPVRMTVFINEMPIEVVSGLFNVKAAFGNDAVLINSFGQPILTDEFGVTYQPLQNGAIYYLI
NP_200896.1 1 262 0.648143511450382 Protein BREAKING OF ASYMMETRY IN THE STOMATAL LINEAGE 262 0 14 262 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5BPF3 1 SwissProt MASQWTIPKLVTWRVRDWASCFLACKIPLDGDEDGANNNGNTTNNNNLTFKRIKRKIKSTKKKRSERKLSLSPPGTRHHHLHLRSSSVSPTTSGSQHRRLSWPQPPVSEESGFIVFCFDREDGGFDVVKEGKQEKKETESSSEKSPRTVNRKLIYGDQGVGGTEKNNSPETKGTEQDQNDNTSCQGTKDVSSDVTERTKEEEDIDASDKSSGSSHSDEGRGSFAFPILGVEWMGSPAKMPESDDLSPKKQKPVALGFQCCRF
NP_201267.1 1 180 0.137838333333333 PF04043.15:PMEI:30:170 Cell wall / vacuolar inhibitor of fructosidase 2; AtC/VIF2 180 141 14 180 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49603 1 SwissProt MASSLIFLLLVTLTFSASTLISAKSNTTTIIESTCKTTNYYKFCVSALKSDPRSPTADTKGLASIMVGVGMTNATSTANYIAGNLSATVKDTVLKKVLQDCSEKYALAADSLRLTIQDLDDEAYDYASMHVLAAQDYPNVCRNIFRRVKGLAYPVEIRRREASLRRICGVVSGILDRLVE
NP_214862.1 1 217 0.308806912442397 transcriptional regulator 217 0 14 217 0 Mycobacterium tuberculosis H37Rv NP_214862.1 1 RefSeq MTISFSSSNLRDDATSGNGDYRLDKLPETTPSTSVFDRADVTYRQFTELHGQARDTRREAHVVELESKTGERARCAPMHALEQLADYGFAWRDIARVVGVSVPAITKWRKGAGVTGENRLKIARLLALIDMLSDRFIGEPASWLEMPIQAGVGITRMDLLERGRYDLVLALASTHTGDGTVEYVLNETDKDWRETVVDNAFESYTAEDGVISIRPKR
NP_214958.1 81 232 0.476225 PF10099.9:RskA:4:148 Anti-sigma-K factor RskA; Regulator of SigK; Sigma-K anti-sigma factor RskA 232 145 14 132 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WGX5 1 SwissProt EVRRQSRWRTAAFASAAAIAVGLGAFGLGVLTRPSPPPTVAEQVLTAPDVRTVSRPLGAGTATVVFSRDRNTGLLVMNNVAPPSRGTVYQMWLLGGAKGPRSAGTMGTAAVTPSTTATLTDLGASTALAFTVEPGTGSPQPTGTILAELPLG
NP_215452.1 1 273 0.32551978021978 PF02735.16:Ku:11:192 Non-homologous end joining protein Ku; Mt-Ku 273 182 14 273 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKD9 1 SwissProt MRAIWTGSIAFGLVNVPVKVYSATADHDIRFHQVHAKDNGRIRYKRVCEACGEVVDYRDLARAYESGDGQMVAITDDDIASLPEERSREIEVLEFVPAADVDPMMFDRSYFLEPDSKSSKSYVLLAKTLAETDRMAIVHFTLRNKTRLAALRVKDFGKREVMMVHTLLWPDEIRDPDFPVLDQKVEIKPAELKMAGQVVDSMADDFNPDRYHDTYQEQLQELIDTKLEGGQAFTAEDQPRLLDEPEDVSDLLAKLEASVKARSKANSNVPTPP
NP_215973.1 1 261 0.0495574712643678 PF01061.24:ABC2_membrane:34:231,PF12698.7:ABC2_membrane_3:73:253 antibiotic ABC transporter permease 261 220 14 123 6 Mycobacterium tuberculosis H37Rv NP_215973.1 0 RefSeq MTQTNRPAFPAGTFSPDPRPNAVPLMLAAQFSLELKLLLRNGEQLLLTMFIPITLLVGLTLLPMGSFGHNRAATFVPVIMALAVISTAFTGQAIAVAFDRRYGALKRLGATPLPVWGIIAGKSLAVVAVVFLQAIILGAIGFALGWRPALTALTLGAGIIALGTAGFAALGLLLGGTLRAEIVLAVANLMWFVFAGFGALTLESNVIPTAFKWVARVTPSGALTEALSQAMTVSVDWFGIVVLAVWGALAALAALRWFRFT
NP_217961.1 1 100 0.25201 PF06013.12:WXG100:17:92 ESAT-6-like protein EsxT 100 76 14 100 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::I6YC53 1 SwissProt MNADPVLSYNFDAIEYSVRQEIHTTAARFNAALQELRSQIAPLQQLWTREAAAAYHAEQLKWHQAASALNEILIDLGNAVRHGADDVAHADRRAAGAWAR
NP_219620.1 1 104 0.0338173076923077 PF17626.2:IncF:1:104 inclusion membrane protein F 104 104 14 58 2 Chlamydia trachomatis D/UW-3/CX NP_219620.1 0 RefSeq MGDVMIQSVKTESGLVEGHRGICDSLGRVVGALAKVAKLVVALAALVLNGALCVLSLVALCVGATPVGPLAVLVATTLASFLCAACVLFIAAKDRGWIASTNKC
NP_220391.1 1 964 0.233920954356846 PF07548.11:ChlamPMP_M:460:648,PF02415.17:Chlam_PMP:127:158,PF02415.17:Chlam_PMP:198:219,PF02415.17:Chlam_PMP:258:283,PF02415.17:Chlam_PMP:293:314,PF03797.19:Autotransporter:697:943 outer membrane protein PmpE 964 538 14 964 0 Chlamydia trachomatis D/UW-3/CX NP_220391.1 1 RefSeq MKKAFFFFLIGNSLSGLAREVPSRIFLMPNSVPDPTKESLSNKISLTGDTHNLTNCYLDNLRYILAILQKTPNEGAAVTITDYLSFFDTQKEGIYFAKNLTPESGGAIGYASPNSPTVEIRDTIGPVIFENNTCCRLFTWRNPYAADKIREGGAIHAQNLYINHNHDVVGFMKNFSYVQGGAISTANTFVVSENQSCFLFMDNICIQTNTAGKGGAIYAGTSNSFESNNCDLFFINNACCAGGAIFSPICSLTGNRGNIVFYNNRCFKNVETASSEASDGGAIKVTTRLDVTGNRGRIFFSDNITKNYGGAIYAPVVTLVDNGPTYFINNIANNKGGAIYIDGTSNSKISADRHAIIFNENIVTNVTNANGTSTSANPPRRNAITVASSSGEILLGAGSSQNLIFYDPIEVSNAGVSVSFNKEADQTGSVVFSGATVNSADFHQRNLQTKTPAPLTLSNGFLCIEDHAQLTVNRFTQTGGVVSLGNGAVLSCYKNGTGDSASNASITLKHIGLNLSSILKSGAEIPLLWVEPTNNSNNYTADTAATFSLSDVKLSLIDDYGNSPYESTDLTHALSSQPMLSISEASDNQLQSENIDFSGLNVPHYGWQGLWTWGWAKTQDPEPASSATITDPQKANRFHRTLLLTWLPAGYVPSPKHRSPLIANTLWGNMLLATESLKNSAELTPSGHPFWGITGGGLGMMVYQDPRENHPGFHMRSSGYSAGMIAGQTHTFSLKFSQTYTKLNERYAKNNVSSKNYSCQGEMLFSLQEGFLLTKLVGLYSYGDHNCHHFYTQGENLTSQGTFRSQTMGGAVFFDLPMKPFGSTHILTAPFLGALGIYSSLSHFTEVGAYPRSFSTKTPLINVLVPIGVKGSFMNATHRPQAWTVELAYQPVLYRQEPGIAAQLLASKGIWFGSGSPSSRHAMSYKISQQTQPLSWLTLHFQYHGFYSSSTFCNYLNGEIALRF 1
NP_252035.1 1 116 0.277336206896552 PF01627.23:Hpt:25:100 hypothetical protein 116 76 14 116 0 Pseudomonas aeruginosa PAO1 NP_252035.1 1 RefSeq MSAPHLDDRVLASLQEVMEDEYPVLLDTFVLDSEERLRSLHAALQAGDAQALRHTAHSFKGGSSNMGAVLLAGYCKELEESARRGELQRAPALIEQMEREFAIVRILFKQERQRYR
NP_415152.1 174 552 0.195837203166227 PF02518.26:HATPase_c:256:366,PF14501.6:HATPase_c_5:257:355,PF14689.6:SPOB_a:157:200,PF00989.25:PAS:52:112,PF13188.7:PAS_8:52:106 sensor histidine kinase DpiB (EC 2.7.13.3) 552 216 14 356 1 Escherichia coli K-12 substr. MG1655 ecocyc::G6345-MONOMER 1 ecocyc SWRAEFLLPMAGVFVVLLGILMLLSWFLAAHIRRQMMGMEPKQIARVVRQQEALFSSVYEGLIAVDPHGYITAINRNARKMLGLSSPGRQWLGKPIVEVVRPADFFTEQIDEKRQDVVANFNGLSVIANREAIRSGDDLLGAIISFRSKDEISTLNAQLTQIKQYVESLRTLRHEHLNWMSTLNGLLQMKEYDRVLAMVQGESQAQQQLIDSLREAFADRQVAGLLFGKVQRARELGLKMIIVPGSQLSQLPPGLDSTEFAAIVGNLLDNAFEASLRSDEGNKIVELFLSDEGDDVVIEVADQGCGVPESLRDKIFEQGVSTRADEPGEHGIGLYLIASYVTRCGGVITLEDNDPCGTLFSIYIPKVKPNDSSINPIDR
NP_415501.1 1 446 0.32332331838565 PF13807.6:GNVR:367:446,PF02706.15:Wzz:16:107 protein-tyrosine kinase Etk (EC 2.7.10.2; EC 2.7.12.1; EC 2.7.10.1) 726 172 14 406 2 Escherichia coli K-12 substr. MG1655 ecocyc::EG11826-MONOMER 1 ecocyc MTTKNMNTPPGSTQENEIDLLRLVGELWDHRKFIISVTALFTLIAVAYSLLSTPIYQADTLVQVEQKQGNAILSGLSDMIPNSSPESAPEIQLLQSRMILGKTIAELNLRDIVEQKYFPIVGRGWARLTKEKPGELAISWMHIPQLNGQDQQLTLTVGENGHYTLEGEEFTVNGMVGQRLEKDGVALTIADIKAKPGTQFVLSQRTELEAINALQETFTVSERSKESGMLELTMTGDDPQLITRILNSIANNYLQQNIARQAAQDSQSLEFLQRQLPEVRSELDQAEEKLNVYRQQRDSVDLNLEAKAVLEQIVNVDNQLNELTFREAEISQLYKKDHPTYRALLEKRQTLEQERKRLNKRVSAMPSTQQEVLRLSRDVEAGRAVYLQLLNRQQELSISKSSAIGNVRIIDPAVTQPQPVKPKKALNVVLGFILGLFISVGAVLAR
NP_415734.1 1 366 0.0504849726775956 PF01699.24:Na_Ca_ex:40:190,PF01699.24:Na_Ca_ex:224:364 Na+/K+:H+ antiporter ChaA 366 292 14 127 11 Escherichia coli K-12 substr. MG1655 ecocyc::CHAA-MONOMER 0 ecocyc MSNAQEAVKTRHKETSLIFPVLALVVLFLWGSSQTLPVVIAINLLALIGILSSAFSVVRHADVLAHRLGEPYGSLILSLSVVILEVSLISALMATGDAAPTLMRDTLYSIIMIVTGGLVGFSLLLGGRKFATQYMNLFGIKQYLIALFPLAIIVLVFPMALPAANFSTGQALLVALISAAMYGVFLLIQTKTHQSLFVYEHEDDSDDDDPHHGKPSAHSSLWHAIWLIIHLIAVIAVTKMNASSLETLLDSMNAPVAFTGFLVALLILSPEGLGALKAVLNNQVQRAMNLFFGSVLATISLTVPVVTLIAFMTGNELQFALGAPEMVVMVASLVLCHISFSTGRTNVLNGAAHLALFAAYLMTIFA
NP_416255.1 1 295 0.160421694915254 endonuclease of nucleotide excision repair 295 0 14 295 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6937-MONOMER 1 ecocyc MVRRLTSPRLEFEAAAIYEYPEHLRSFLNDLPTRPGVYLFHGESDTMPLYIGKSVNIRSRVLSHLRTPDEAAMLRQSRRISWICTAGEIGALLLEARLIKEQQPLFNKRLRRNRQLCALQLNEKRVDVVYAKEVDFSRAPNLFGLFANRRAALQALQTIADEQKLCYGLLGLEPLSRGRACFRSALKRCAGACCGKESHEEHALRLRQSLERLRVVCWPWQGAVALKEQHPEMTQYHIIQNWLWLGAVNSLEEATTLIRTPAGFDHDGYKILCKPLLSGNYEITELDPANDQRAS
NP_416455.1 1 243 0.274332098765432 PF02154.15:FliM:38:231 flagellar motor switch protein FliM 334 194 14 243 0 Escherichia coli K-12 substr. MG1655 ecocyc::FLIM-FLAGELLAR-C-RING-SWITCH 1 ecocyc MGDSILSQAEIDALLNGDSEVKDEPTASVSGESDIRPYDPNTQRRVVRERLQALEIINERFARHFRMGLFNLLRRSPDITVGAIRIQPYHEFARNLPVPTNLNLIHLKPLRGTGLVVFSPSLVFIAVDNLFGGDGRFPTKVEGREFTHTEQRVINRMLKLALEGYSDAWKAINPLEVEYVRSEMQVKFTNITTSPNDIVVNTPFHVEIGNLTGEFNICLPFSMIEPLRELLVNPPLENSRNED
NP_416597.1 1 150 0.146596 PF00359.22:PTS_EIIA_2:9:137 galactitol-specific PTS enzyme IIA component (EC 2.7.1.200; EC 2.7.1.198) 150 129 14 150 0 Escherichia coli K-12 substr. MG1655 ecocyc::GATA-MONOMER 1 ecocyc MTNLFVRSGISFVDRSEVLTHIGNEMLAKGVVHDTWPQALIAREAEFPTGIMLEQHAIAIPHCEAIHAKSSAIYLLRPTNKVHFQQADDDNDVAVSLVIALIVENPQQQLKLLRCLFGKLQQPDIVETLITLPETQLKEYFTKYVLDSDE
NP_416871.1 1 711 0.176155555555556 PF00497.20:SBP_bac_3:73:276,PF00497.20:SBP_bac_3:306:520 histidine kinase (EC 2.7.13.3) 1197 419 14 688 1 Escherichia coli BRENDA::P30855 1 BRENDA MKFLPYIFLLCCGLWSTISFADEDYIEYRGISSNNRVTLDPLRLSNKELRWLASKKNLVIAVHKSQTATLLHTDSQQRVRGINADYLNLLKRALNIKLTLREYADHQKAMDALAEGEVDIVLSHLVTSPPLNNDIAATKPLIITFPALVTTLHDSMRPLTSPKPVNIARVANYPPDEVIHQSFPKATIISFTNLYQALASVSAGHNDYFIGSNIITSSMISRYFTHSLNVVKYYNSPRQYNFFLTRKESVILNEVLNRFVDALTNEVRYEVSQNWLDTGNLAFLNKPLELTEHEKQWIKQHPNLKVLENPYSPPYSMTDENGSVRGVMGDILNIITLQTGLNFSPITVSHNIHAGTQLSPGGWDIIPGAIYSEDRENNVLFAEAFITTPYVFVMQKAPDSEQTLKKGMKVAIPYYYELHSQLKEMYPEVEWIQVDNASAAFHKVKEGELDALVATQLNSRYMIDHYYPNELYHFLIPGVPNASLSFAFPRGEPELKDIINKALNAIPPSEVLRLTEKWIKMPNVTIDTWDLYSEQFYIVTTLSVLLVGSSLLWGFYLLRSVRRRKVIQGDLENQISFRKALSDSLPNPTYVVNWQGNVISHNSAFEHYFTADYYKNAMLPLENSDSPFKDVFSNAHEVTAETKENRTIYTQVFEIDNGIEKRCINHWHTLCNLPASDNAVYICGWQDITETRDLINALEVEKNKAIKATVA
NP_443071.2 1 677 0.351127917282127 PF00876.18:Innexin:51:245 pannexin-2 isoform 1 677 195 14 585 4 Homo sapiens NP_443071.2 1 RefSeq MHHLLEQSADMATALLAGEKLRELILPGAQDDKAGALAALLLQLKLELPFDRVVTIGTVLVPILLVTLVFTKNFAEEPIYCYTPHNFTRDQALYARGYCWTELRDALPGVDASLWPSLFEHKFLPYALLAFAAIMYVPALGWEFLASTRLTSELNFLLQEIDNCYHRAAEGRAPKIEKQIQSKGPGITEREKREIIENAEKEKSPEQNLFEKYLERRGRSNFLAKLYLARHVLILLLSAVPISYLCTYYATQKQNEFTCALGASPDGAAGAGPAVRVSCKLPSVQLQRIIAGVDIVLLCVMNLIILVNLIHLFIFRKSNFIFDKLHKVGIKTRRQWRRSQFCDINILAMFCNENRDHIKSLNRLDFITNESDLMYDNVVRQLLAALAQSNHDATPTVRDSGVQTVDPSANPAEPDGAAEPPVVKRPRKKMKWIPTSNPLPQPFKEPLAIMRVENSKAEKPKPARRKTATDTLIAPLLDRSAHHYKGGGGDPGPGPAPAPAPPPAPDKKHARHFSLDVHPYILGTKKAKAEAVPAALPASRSQEGGFLSQAEDCGLGLAPAPIKDAPLPEKEIPYPTEPARAGLPSGGPFHVRSPPAAPAVAPLTPASLGKAEPLTILSRNATHPLLHINTLYEAREEEDGGPRLPQDVGDLIAIPAPQQILIATFDEPRTVVSTVEF
NP_443122.3 1 387 0.330590697674419 N-acetylmuramoyl-L-alanine amidase; Peptidoglycan recognition protein 2; Peptidoglycan recognition protein long; PGRP-L; EC 3.5.1.28 576 0 14 387 0 Homo sapiens (Human) SwissProt::Q96PD5 1 SwissProt MAQGVLWILLGLLLWSDPGTASLPLLMDSVIQALAELEQKVPAAKTRHTASAWLMSAPNSGPHNRLYHFLLGAWSLNATELDPCPLSPELLGLTKEVARHDVREGKEYGVVLAPDGSTVAVEPLLAGLEAGLQGRRVINLPLDSMAAPWETGDTFPDVVAIAPDVRATSSPGLRDGSPDVTTADIGANTPDATKGCPDVQASLPDAKAKSPPTMVDSLLAVTLAGNLGLTFLRGSQTQSHPDLGTEGCWDQLSAPRTFTLLDPKASLLTMAFLNGALDGVILGDYLSRTPEPRPSLSHLLSQYYGAGVARDPGFRSNFRRQNGAALTSASILAQQVWGTLVLLQRLEPVHLQLQCMSQEQLAQVAANATKEFTEAFLGCPAIHPRCR
NP_443191.1 1 392 0.0722877551020408 PF00876.18:Innexin:47:235 pannexin-3 392 189 14 346 2 Homo sapiens NP_443191.1 1 RefSeq MSLAHTAAEYMLSDALLPDRRGPRLKGLRLELPLDRIVKFVAVGSPLLLMSLAFAQEFSSGSPISCFSPSNFSIRQAAYVDSSCWDSLLHHKQDGPGQDKMKSLWPHKALPYSLLALALLMYLPVLLWQYAAVPALSSDLLFIISELDKSYNRSIRLVQHMLKIRQKSSDPYVFWNELEKARKERYFEFPLLERYLACKQRSHSLVATYLLRNSLLLIFTSATYLYLGHFHLDVFFQEEFSCSIKTGLLSDETHVPNLITCRLTSLSIFQIVSLSSVAIYTILVPVIIYNLTRLCRWDKRLLSVYEMLPAFDLLSRKMLGCPINDLNVILLFLRANISELISFSWLSVLCVLKDTTTQKHNIDTVVDFMTLLAGLEPSKPKHLTNSACDEHP
NP_473383.1 353 765 0.668578934624698 Endosialin; Tumor endothelial marker 1; CD248 antigen 765 0 14 390 1 Mus musculus (Mouse) SwissProt::Q91V98 1 SwissProt AGAMGAQASQDLRDELLDDGEEGEDEEEPWEDFDGTWTEEQGILWLAPTHPPDFGLPYRPNFPQDGEPQRLHLEPTWPPPLSAPRGPYHSSVVSATRPMVISATRPTLPSAHKTSVISATRPPLSPVHPPAMAPATPPAVFSEHQIPKIKANYPDLPFGHKPGITSATHPARSPPYQPPIISTNYPQVFPPHQAPMSPDTHTITYLPPVPPHLDPGDTTSKAHQHPLLPDAPGIRTQAPQLSVSALQPPLPTNSRSSVHETPVPAANQPPAFPSSPLPPQRPTNQTSSISPTHSYSRAPLVPREGVPSPKSVPQLPSVPSTAAPTALAESGLAGQSQRDDRWLLVALLVPTCVFLVVLLALGIVYCTRCGSHAPNKRITDCYRWVTHAGNKSSTEPMPPRGSLTGVQTCRTSV
NP_476477.1 162 575 0.853989855072463 PF02809.20:UIM:22:38,PF02809.20:UIM:47:63,PF02809.20:UIM:73:87 Epsin-1; EPS-15-interacting protein 1 575 49 14 414 0 Rattus norvegicus (Rat) SwissProt::O88339 1 SwissProt SAAVGSGPPPEAEQAWPQSSGEEELQLQLALAMSKEEADQPPSCGPEDDVQLQLALSLSREEHDKEERIRRGDDLRLQMAIEESKRETGGKEESSLMDLADVFTTPAPPQASDPWGGPASVPTAVPVAAAASDPWGAPAVPPAADPWGGAAPTPASGDPWRPAAPTGPSVDPWGGTPAPAAGEGPTSDPWGSADGGAPVSGPPSSDPWAPAPAFSDPWGGSPAKPSSNGTAVGGFDTEPDEFSDFDRLRTALPTSGSSTGELELLAGEVPARSPGAFDMSGVGGSLAESVGSPPPAATPTPTPPTRKTPESFLGPNAALVDLDSLVSRPGPTPPGAKASNPFLPSGAPATGPSVTNPFQPAPPATLTLNQLRLSPVPPVPGAPPTYISPLGGGPGLPPMMPPGPPAPNTNPFLL
NP_476840.2 1 1007 0.518227606752731 PF00373.18:FERM_M:132:297,PF09380.10:FERM_C:318:397 Protein expanded 1427 246 14 1007 0 Drosophila melanogaster (Fruit fly) SwissProt::Q07436 1 SwissProt MRAFCTVSAPLEVCASSAEQLSPGSRFLALRLLGQQQPKTLYFLVDAKSRVREVYTQTCLHFATQGMLDTELFGLAVLIDGEYMFADPESKLSKYGPKSWRSSHTHGLDANGRPLLELHFRVQFYIESPFMLKDETSRHNYYLQLRHNILQRDLPREQAEQALVFLAGLALQADLGDAPPGTSNSKDDSGEETSASPSNGGRGLSATTTLPKISKRANERMLRLSTYVASTSKRETIPLPPSLPPNGADYYRIEDYLPSGLHTPWARSAMRACHREHLGMATAEAELLYIQQACSLHETINAHTYRMRLAKSEQGSGSAWFVVYAKGIKILGGESTNSSSNPETTTFLWPNITKLSFERKKFEIRSGESRITLYAASDEKNKLLLTLCKDTHQWSMKLAARLKEVSKREEEEAAESQRLHASYACSRSLLLPYKSKNEQRISVISSTSSNTTSGIVSDRVHSEDELEIMINTPPAPLAAPSTESLALAHLLDRPSVSRQTSSVGQMSLKDLEEQLAALSVRPQDASSNGATIVTNSSVQRNSMGTTANDSSTATDSPSSQHNIGSQCSSTCSTVVVTSPVNGAGASSSGAPIPVHSTSSSLELGFSHTAQNSALSETSPDDFLSTSAREETESVSGASGVYTLAHGAPPTETSGVYTMHSSELTGQSSEIAESEKSSHYGMFQPQKLEETHVQHSDSVDGKKKEDFRPRSDSNVSTGSSFRGDGSDPTDNKHSLLSAEELTNLIVGRGTYPSRKTVSSSLHSDCDYVTLPLGDQGEEEVDQPPAPPPPYSARHEKTGLCGPPIAKPIPKPIAVVAPKPDSPPCSPPVPPAPIPAPPPAIRRRDPPPYSISSKPRPTSLISVSSSAHPAPSAAGSMSSLKSEEVTARFITTRPQISILKAHTSLIPDGAKPSYAAPHHCSSVASSNGSVCSHQLSQQSLHNSNYAGGSQASLHHHHVPSHHRHSGSAAIGIVPYGLHKSTASLHHQQSCVLLPVIKPRQFLAPPPPSL
NP_501542.1 1 407 0.461326781326781 PF00642.24:zf-CCCH:113:139,PF00642.24:zf-CCCH:155:180 CCCH-type zinc finger protein oma-1; Maturation oocyte expansion protein 1; Oocyte maturation defective protein 1 407 53 14 407 0 Caenorhabditis elegans SwissProt::G5EC86 1 SwissProt MNVNGENNEKIDEHHLESSLAGVPTLPVSPLDHAKDLSQTNPNAQIGDLVTQTANLIAIKKQLLEDIAFNQHIQSMQVRAIQSFPQNNQVAPPFQQFDPRRRGLARMQKPESYKTVICQAWLESKTCSFADNCRFAHGEEELRPTFVEPLQNNKYKTKLCDKYTTTGLCPYGKRCLFIHPDHGPNAYIRADKLLEVSQRHALADIRDQMEQHIMTNGRIAAPPLSAIQHPLEMFARPSTPDEPAAKLPLGPTPVSTRGPRYELPTKELHDAEGAMTYPPSRWPLDPSMFALDAWNMAHRPASPLDSMVLGSAPNAGSFGMLGKQNTPGGVSGYSSAGSTPSQDLSSSSLNAASAAAAAAYFANSAVAQSLLMKSVATDPMMSCNGPFSPMPGFDQLAENMTKHLNLW
NP_523876.2 83 199 0.881708547008547 extra macrochaetae 199 0 14 117 0 Drosophila melanogaster NP_523876.2 1 RefSeq PEMGNFDAAAALTAVNGLHEDEDSDMEDADAEAEAEVDPDILAQRLNAEQPAKVSSPAARLPLTDRQTPNTLVAPAHPQQHQQQQQLQLQQQQLQSQQQLSNSLATPQNAEKDSRQS
NP_524012.1 1 137 0.211559124087591 PF00049.18:Insulin:27:132 Probable insulin-like peptide 2; dILP2; Insulin-related peptide 2 137 106 14 137 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VT51 1 SwissProt MSKPLSFISMVAVILLASSTVKLAQGTLCSEKLNEVLSMVCEEYNPVIPHKRAMPGADSDLDALNPLQFVQEFEEEDNSISEPLRSALFPGSYLGGVLNSLAEVRRRTRQRQGIVERCCKKSCDMKALREYCSVVRN
NP_524350.1 1 154 0.396128571428572 PF17308.2:Corazonin:20:154 Pro-corazonin; Crz; Dm-Crz 154 135 14 154 0 Drosophila melanogaster (Fruit fly) SwissProt::Q26377 1 SwissProt MLRLLLLPLFLFTLSMCMGQTFQYSRGWTNGKRSFNAASPLLANGHLHRASELGLTDLYDLQDWSSDRRLERCLSQLQRSLIARNCVPGSDFNANRVDPDPENSAHPRLSNSNGENVLYSSANIPNRHRQSNELLEELSAAGGASAEPNVFGKH
NP_542122.1 1 312 0.0733676282051282 PF01569.21:PAP2:133:277 Phospholipid phosphatase 3; Lipid phosphate phosphohydrolase 3; PAP2-beta; Phosphatidate phosphohydrolase type 2b; Phosphatidic acid phosphatase 2b; PAP-2b; PAP2b; EC 3.1.3.4 312 145 14 187 6 Mus musculus (Mouse) SwissProt::Q99JY8 1 SwissProt MQSYKYDKAIVPESKNGGSPALNNNPRKGGSKRVLLICLDLFCLFMAALPFLIIETSTIKPYRRGFYCNDESIKYPLKVSETINDAVLCAVGIVIAILAIITGEFYRIYYLKEKSRSTTQNPYVAALYKQVGCFLFGCAISQSFTDIAKVSIGRLRPHFLSVCDPDFSQINCSEGYIQNYRCRGEDSKVQEARKSFFSGHASFSMFTMLYLVLYLQARFTWRGARLLRPLLQFTLLMMAFYTGLSRVSDYKHHPSDVLAGFAQGALVACCIVFFVSDLFKTKTSLSLPAPAIRREILSPVDIIDRNNHHNMV
NP_564309.1 1 170 0.568602352941177 PF02365.15:NAM:78:156 NAC domain-containing protein 10; ANAC010; Protein SECONDARY WALL-ASSOCIATED NAC DOMAIN PROTEIN 3 314 79 14 170 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HY61 1 SwissProt MSWCDGSDDNYDLNLERVSNTDHPSVQLKDQSQSCVTSRPDSKISAETPITTCPSCGHKLHHHQDDQVGSIKDLPSLPAGVKFDPSDKEILMHLEAKVSSDKRKLHPLIDEFIPTLEGENGICYTHPEKLPGVSKDGQVRHFFHRPSKAYTTGTRKRRKVSTDEEGHETR
NP_564332.1 1 143 0.112573426573427 PF02519.14:Auxin_inducible:7:103 SAUR-like auxin-responsive protein family 143 97 14 143 0 Arabidopsis thaliana NP_564332.1 1 RefSeq MMINAKKLMKMAKKWQQRAALHRKRISFQRSNVFTSSSSTVEKGCFVVYTADKIRFAFPISYLSNSIVQELLKISEEEFGLPTEGPITLPFDSVFLEYLIKLIQRRMDGDTEKALLMSISSAKCSLQCSLLQQEQSTQQLLVL
NP_565052.1 1 295 0.260702372881356 PF06888.12:Put_Phosphatase:14:246,PF12710.7:HAD:16:195 Inorganic pyrophosphatase 1; AtPPsPase1; PPi phosphatase 1; Pyrophosphate-specific phosphatase 1; Protein PHOSPHATE STARVATION-INDUCED GENE 2; AtPS2; EC 3.6.1.1 295 233 14 295 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q67YC0 1 SwissProt MAYNSNSNNNNNNIVVVFDFDKTIIDVDSDNWVIDELGFTDLFNQLLPTMPWNTLMDRMMKELHDQGKTIEEIKQVLRTIPIHPRVVPAIKSAHDLGCELRIVSDANMFFIETIVEHLGISELFSEINSNPGYVDERGTLKISPYHDFTKSPHSCSCGTCPPNMCKGLIIERIQQSLAKEGKKKMIYLGDGAGDYCPSLKLNTEDYVMPRKNFPVWDLISQNPMLIKAAIREWTDGQSMEMILIGTIEEIRLEEEKEKMLTSAENNCKMQTISIGINNVHHEPILPRALRVSQSS
NP_566354.1 1 266 0.383064285714286 PF05739.19:SNARE:209:258 Syntaxin-71; AtSYP71 266 50 14 246 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SF29 1 SwissProt MTVIDILTRVDSICKKYDKYDVDKQREANISGDDAFARLYGAFETQIETALEKAELVTKEKNRAAAVAMNAEIRRTKARLSEEVPKLQRLAVKRVKGLTTEELAARNDLVLALPARIEAIPDGTAGGPKSTSAWTPSSTTSRPDIKFDSDGRFDDDYFQESNESSQFRQEYEMRKIKQEQGLDMISEGLDALKNMASDMNEELDRQVPLMDEIDTKVDRATSDLKNTNVRLKDTVNQLRSSRNFCIDIVLLCIVLGIAAYLYNVLK
NP_566870.1 1 331 0.523881268882176 PF00170.21:bZIP_1:249:301,PF07716.15:bZIP_2:248:298 ABSCISIC ACID-INSENSITIVE 5-like protein 1; Dc3 promoter-binding factor 2; AtDPBF2; bZIP transcription factor 67; AtbZIP67 331 54 14 331 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RYD6 1 SwissProt MSVFESETSNFHVYNNHEIQTQPQMQTFLSEEEPVGRQNSILSLTLDEIQMKSGKSFGAMNMDEFLANLWTTVEENDNEGGGAHNDGEKPAVLPRQGSLSLPVPLCKKTVDEVWLEIQNGVQQHPPSSNSGQNSAENIRRQQTLGEITLEDFLVKAGVVQEPLKTTMRMSSSDFGYNPEFGVGLHCQNQNNYGDNRSVYSENRPFYSVLGESSSCMTGNGRSNQYLTGLDAFRIKKRIIDGPPEILMERRQRRMIKNRESAARSRARRQAYTVELELELNNLTEENTKLKEIVEENEKKRRQEIISRSKQVTKEKSGDKLRKIRRMASAGW
NP_567929.1 1 684 0.344256140350877 PF12014.8:DUF3506:522:652,PF02151.19:UVR:128:153 Protein EXECUTER 1, chloroplastic; AtEX1 684 157 14 684 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93YW0 1 SwissProt MPSLSTPPSQNLAFSPAASATSSRLTPSSKRSFYPHRLPDPTALCRCSSSSGSNSSSSSSSDDNPRWDSAIQDVLKSAIKRFDSVLSWYATLDNDDGEQGSENVEKIDDDWDWDRWKKHFDQVDDQDRLLSVLKSQLNRAIKREDYEDAARLKVAIAATATNDAVGKVMSTFYRALLEERYKDAVYLRDKAGAGLVGWWSGISEDVKDPFGLIVQITAEHGRYVARSYNPRQLSTSAAGAPLFEIFLTLDGKGNYKKQAVYLKWKEIFPDVPTMPSRTLTPGRFLTSPGRKEDTGNLAVESSEDEESDNSDDDSDLLEESSGFQSFLRDMIPGVKVKVMKVTAPGRVDKDFISKVIEQIADEEDEENDLDIEDIDVEDDTKAEIDEKNADIELESVTDEIIDNNGGREIAVKFVIGDIVDRLSGNQPLKESLRSPANLESVENSSFYLRLEKDLNVKESKGVEGTTLVDGKGSRQSRRRIENIMGDLAKSIEKEKKISVKMLKDVGELLSLTLSQAQNRQQLSGLTKFRRIDVTPSLDPLDGLYIGAHGLYTSEVIHLKRKFGQWKGGKESKKPTDIEFYEYVEAVKLTGDPYVPAGKVAFRAKIGRRYELPHKGLIPEEFGVIARYKGQGRLADPGFRNPRWVDGELVILDGKYVKGGPVVGFVYWAPEYHFVMFFNRLRLQA
NP_568414.1 146 340 0.399965641025641 NAC domain-containing protein 89; ANAC089; Protein FRUCTOSE-SENSING QUANTITATIVE TRAIT LOCUS 6 340 0 14 195 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94F58 1 SwissProt CVKGVSLDDAMVVCRVRRNKEYNSGTSQKAPKPNSSAEKHAKVQNGATSSGSPSDWDNLVDFYLAGESGEKLLAEMAESSENLQVDNDEDFFADILRDEIINLDEAVMTGNTPNEVPTLESASMEIRVLPLPNMIDKQMSSLLEERPSQKKKGKDATESLSSCFVGLYSIKSVNKARWDVIIGVVALIAMLFYLE
NP_568600.1 1 349 0.437070773638968 PF10539.9:Dev_Cell_Death:217:344 DCD (Development and Cell Death) domain protein 349 128 14 349 0 Arabidopsis thaliana NP_568600.1 1 RefSeq MEYNNNNQQSFWQFSDQLRVQTPNLANLSLNDSIWSTNSVFKERRNLDIAATTDKNNNQIDYYQKKTTSDNINSNWNWKSSGSNNDMGLGFGPVGSKSTVDLNPIDKFNSPFNDTWKFNSVNVNVNGYSPSSAVNGDFNKGVYTSMKKYGYNVNLKNNNKNKGIDEDHQIQKGGKKNRKNQQNNNNQRNEDDKNNGLDKRFKTLPPAEALPRNETIGGYIFVCNNDTMEENLKRQLFGLPPRYRDSVRAITPGLPLFLYNYSTHQLHGIYEAASFGGTNIELNAFEDKKCPGESRFPAQVRAITRKVCLPLEEDSFRPILHHYDGPKFRLELSVPEVLSLLDIFADQNP
NP_568920.1 1 257 0.445891828793774 PF02701.15:zf-Dof:55:109 Dof zinc finger protein DOF5.3; AtDOF5.3; Protein PHLOEM EARLY DOF TMO6; Protein TARGET OF MONOPTEROS 6; Protein TARGET OF MP 6 257 55 14 257 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84TE9 1 SwissProt MDHLLQHQDVFGNYNKAREAMGLSYSSNPTPLDNDQKKPSPATAVTRPQPPELALRCPRCDSTNTKFCYYNNYSLTQPRYFCKSCRRYWTKGGTLRNIPVGGGCRKNKRSTSSAARSLRTTPEPASHDGKVFSAAGFNGYSNNEHIDLSLAFALLNKQHPGSSSQLGFHSELGSSHQSDMEGMFGTSQQKENATYAFGNGSSGLGDPSRVLWGFPWQMNGESFGMMNIGGGGGHVDQIDSGREMWTNMNYINSGALM
NP_569735.1 1 376 0.219083510638298 PF03567.14:Sulfotransfer_2:139:365 Carbohydrate sulfotransferase 14; Dermatan 4-sulfotransferase 1; D4ST-1; hD4ST1; EC 2.8.2.35 376 227 14 376 0 Homo sapiens (Human) SwissProt::Q8NCH0 1 SwissProt MFPRPLTPLAAPNGAEPLGRALRRAPLGRARAGLGGPPLLLPSMLMFAVIVASSGLLLMIERGILAEMKPLPLHPPGREGTAWRGKAPKPGGLSLRAGDADLQVRQDVRNRTLRAVCGQPGMPRDPWDLPVGQRRTLLRHILVSDRYRFLYCYVPKVACSNWKRVMKVLAGVLDSVDVRLKMDHRSDLVFLADLRPEEIRYRLQHYFKFLFVREPLERLLSAYRNKFGEIREYQQRYGAEIVRRYRAGAGPSPAGDDVTFPEFLRYLVDEDPERMNEHWMPVYHLCQPCAVHYDFVGSYERLEADANQVLEWVRAPPHVRFPARQAWYRPASPESLHYHLCSAPRALLQDVLPKYILDFSLFAYPLPNVTKEACQQ
NP_571126.1 283 687 0.644969382716049 PF12598.8:TBX:12:87 T-box transcription factor TBX2b 687 76 14 405 0 Danio rerio NP_571126.1 1 RefSeq EKRKQLTLPSLRMYEDQCKVDRDGADSDASSSEPTTGRDAGHSPGPVSSPLRFNRGSRDDKTCTDSEHEMDHQNDRCGGSSSPAPKPSSPFRSRSEDWGREKPIAEKKDDYPDSRKTSDSIFSIRNLEKDKLESRSRKDTDSSKKDTENSGISGSKDSFSPLMVQTESPSHFGAGHLQSLALSGLHSQQFFNPLNTGQPLLFHPGQFAMAPGAFSAMGMGHLLASVSGAGGLENGSLSAQGTGSTPSPFPFHLSQHMLASQGIPMPTFGGLFPYPYTYMAAAAAAASALPASSSTASSLSRNPFLSSSTRPRLRFNPYQLPVSIPQSTNLLTTGLPSGLNPSSESSKCGSREASPVPDHKSGASQRNGSPKTTMKESINELQNIQRLVSGLESQRETSSPRDSPK
NP_594820.1 1 474 0.62879388185654 PF12709.7:Fungal_TACC:315:358 Microtubule protein alp7; Altered polarity protein 7; Transforming acidic coiled-coil protein mia1; TACC protein mia1 474 44 14 474 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9URY2 1 SwissProt MSDIVSSSTDYSRRSPSSSSIGTNETDHTGFHEKRQGASSESLIPPAQRSSEESMPAPKLFPKLTSKPNPQLNLKDTLNKRVSDRLQALELNKSFDFSGTPRPMHPISHPLSQHKTPEFKHRKRNVESILTPKNPSLFSSSNAASQRGSLNTAPSNFAYSHSSSLQTSASSRPPVLSNGSFPRQTNTAPLNPPVHLKDNIRNSATPSTSQADIPTQYPINSTQKQQAKYEAEIEGYKAKLAGTYHEISVLQNTIVNVSGQLIAVNDQLQQLRSGKASTSPSTKDTNMRLVEGHNEETLALQRGKYTQEEVDKLIQERMEKVAEDLHAQYSAKHTQKINAFKANYARKYEATIQELQNQIGTAPNAPKISNSNWEEERRALKADNQTLQKQLEKAIQERQDMSDFLNNFKADMAKSDKLLMQQQSQQTGDLETLRLQLQALQEELRVEREERQQLIQMSEDLVIAMDQLNLEQKS
NP_595635.1 84 425 0.667685380116959 PF10427.9:Ago_hook:34:319 RNA-induced transcriptional silencing complex protein tas3; RITS protein tas3 549 286 14 342 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O94687 1 SwissProt FPQQLKNSPAKAKATHTSSGVTKEVRASKKYTSSNVEFPLVTDGKEKPVKSKQLRKNSVTEFEKPIETKKSKHRKSRNKFLDKSSGSMEIESWDNSTSDSIIESSSRLHESISLRENDIRSSDSKSVGWDDNSTGFRESSKSLDHTDTSMFMELDSNSDPQFRPKYQAKSSWFAPDDPEASWGNLDDGWGETNGSWSSTDDTKHYKNEWAESINLDNFNRPSQQEDYDKPKNTQVSRSSNHHRRYDSYHPDSRSDSYRSKREHYDNRDTGPRSKHLEKSSYVYNQNFEDRTHLSDHGAHFHLGNANDFNMQGSSRKRKASDRQRESRENELPTKKLNASDSH
NP_595674.1 95 201 0.530955140186916 MBF complex negative regulatory component yox1 201 0 14 107 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P40923 1 SwissProt CLSRNRLEGTGENSLLRRKSTLTLCETSTGQAELFFQSWPLHSQSVVGEMIHHEQDDYNKENKQQKVVDTTKDISRGSNGNEDSAAHQELEECARSLVELQQQCNDH
NP_595703.1 419 883 0.407220430107526 Kinesin-like protein 5 883 0 14 465 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O14343 1 SwissProt ISELENRLAQIDLSSQSNGSDQDAVTQSFAHESKLAEARNLLRMTFEETLPLQNDTINKVEKVKHFDDSIRVLKYWLSCYERILPNSADERVFLVRSKLESLLTRRAEIIADIDPELVYQKFQRSVSHIINTYKQEGATMYADVLQDEVDLLKSIIENQVLDAQNKVDEFTPVLESLLRSSFKASSLLKEGGMQELFSILEKWLLGIGLGEKPNISVLSESYKLNSTSDDSRTINRDRVHSFPTQPLLNNNLPRMFFVKSPKKPVVFSKRSPKKRVRFDDSMSTSDSGASAYNSPIQTSKLKNMNFFNTMHMPSTPAHKRPENKNQIDVEINLTSPVSPMLEDKPEPGLLIKSPLEKKQEVNSESTQLDQLLAEDSSTDDVSLPHLDTIDLDGSPVPKVPDLNFSRANMDSPTFILNNEAIHNFDFSKPKTRQSLSSLTTLHLSNPANIIRKSLSMAENEEEKAT
NP_647462.1 1 389 0.723170179948586 Cation channel sperm-associated protein 1; CatSper1 686 0 14 366 1 Mus musculus (Mouse) SwissProt::Q91ZR5 1 SwissProt MDQSSRRDESYHETHPGSLDPSHQSHPHPHPHPTLHRPNQGGVYYDSPQHGMFQQPYQQHGGFHQQNELQHLREFSDSHDNAFSHHSYQQDRAGVSTLPNNISHAYGGSHPLAESQHSGGPQSGPRIDPNHHPHQDDPHRPSEPLSHPSSTGSHQGTTHQQYHERSHHLNPQQNRDHADTISYRSSTRFYRSHAPFSRQERPHLHADHHHEGHHAHSHHGEHPHHKEQRHYHGDHMHHHIHHRSPSASQLSHKSHSTLATSPSHVGSKSTASGARYTFGARSQIFGKAQSRESLRESASLSEGEDHVQKRKKAQRAHKKAHTGNIFQLLWEKISHLLLGLQQMILSLTQSLGFETFIFIVVCLNTVILVAQTFTELEIRGEWYFMVLDS
NP_647546.1 859 1822 0.515714315352696 PF11881.8:SPAR_C:664:909,PF00595.24:PDZ:135:205 Signal-induced proliferation-associated 1-like protein 1; SIPA1-like protein 1; SPA-1-like protein p1294; Spine-associated Rap GTPase-activating protein; SPAR 1822 317 14 964 0 Rattus norvegicus (Rat) SwissProt::O35412 1 SwissProt NVTNTPIDPSGKFPFISLASKKKEKSKPYPGAELSSMGAIVWAVRAKDYNKAMEFDCLLGISNEFIVLIEQETKSVVFNCSCRDVIGWTSSDSSLKIFYERGECISVESFMSSEDIKEIVKRLQFVSKGCESVEMTLRRNGLGQLGFHVNYEGIVADVEPYGYAWQAGLKQGSRLVEICKVAVATLSHEQMIDLLRTSVTVKVVIIPPHDDCTPRRSCSETYRMPVMEYKMNEGVSYEYKFPFRSNNKWQRNAGKGAHSPQVPLQLQSPMISRVNAGKGDGKMPLPERAANIPRSISSDGRPLERRLSPGSDIYVTVSSMALARSQCRNSPSNLSSSSETGSGGGTYRQKSMPEGFGVSRRSPASIDRQNTQSDIGGSGKSTPSWQRSEDSLADQMEPTCHLPAVSKVLPAFRESPSGRLMRQDPVVHLSPNKQGHSDSHYSSHSSSNTLSSNASSAHSDEKWYDGDRTESDLNSYNYLQGTSADSGIDTASYGLSHGSTASLGASTSSPRSGPGKEKVAPLWHSSSEVLSLADRTLETEGHGMDRKTESSLSLDIHSKSQGGSSPLTRENSTFSINDATSHTSTMSSRHSASPVVFSSARSSPKEELHPTTSSQLAPSFSSSSSSSSGPRTFYPRQGATSKYLIGWKKPEGTINSVGFMDTRKRHQSDGNEIAHTRLRASTRDLRASPKPTSKSTIEEDLKKLIDLESPTPESQKNFKFHGLSSPQSPFPSTPTSRRALHRTLSDESIYSSQREHFFTSRASLLDQALPNDVFFSSTYPSLPKSLPLRRPSYTLGMKSLHGEFFASDSSLTDIQETRRQPIPDPGLMPLPDTASDLDWSNLVDAAKAYEVQRASFFAASDENHRPLSAASNSDQLEEQALVQMKSYSSSKDSSPTLASKVDQLEGMLKMLREDLKKEKEDKAHLQAEVEHLREDNLRLQEESQNASDKLKKFTEWVFNTIDMS
NP_663556.1 280 569 0.353865517241379 PF07679.16:I-set:12:46 sialic acid-binding Ig-like lectin 5 isoform 1 precursor 569 35 14 267 1 Mus musculus NP_663556.1 1 RefSeq PTQKPFQLSTPAELQLPRAELEDQGKYICQAQNSQGAQTASVSLSIRSLLQLLGPSCSFEGQGLHCSCSSRAWPAPSLRWRLGEGVLEGNSSNGSFTVKSSSAGQWANSSLILSMEFSSNHRLSCEAWSDNRVQRATILLVSGPKVSQAGKSETSRGTVLGAIWGAGLMALLAVCLCLIFFTVKVLRKKSALKVAATKGNHLAKNPASTINSASITSSNIALGYPIQGHLNEPGSQTQKEQPPLATVPDTQKDEPELHYASLSFQGPMPPKPQNTEAMKSVYTEIKIHKC
NP_671520.1 1 587 0.0898350936967632 PF12796.7:Ank_2:280:368,PF09372.10:PRANC:485:577,PF00023.30:Ank:175:225,PF00023.30:Ank:228:262 EVM002 587 268 14 587 0 Ectromelia virus NP_671520.1 1 RefSeq MGEMDEIVRIVRDSMWYIPNVFIDDGENEGHISVNNVCHMYFTFFDVDTSSHLFKLVIKHCDLNKRLKCGNSPLHCYTMNTRFKPSVLKILLHHGMCNFDSKDEKGHIPLHHYLIHSLSIDNKIFDILTDHIDDFSKSSDLLLCYLRYKFNGSLNYYVLYKLLTKGSDPNCVDEDGLTSLHYYCKHISAFHESNYYKSKSYTKMRAEKRFIYAIIDHGANINAVTKIGNTPLHTYLQQYTKHSPRVVYTLLSRGADTRIRNNLDCTPIMEYIKNDCATGHILIMLLNWHEQKYGKLQKEEGHHLLYLFIKHNQGYGSHALNILRYLLDRFDIQKDEYYNTMTPLHTAFQNCNNNVASYLVYIGYDINLPTKDGKTVFDLVFENRNILYNADIHDIIHHRLKVSLPMIKSLFYRMSEFSPYDDYYVKKIIAYCLLRDESFAELHSKSCLNKDYKSVFMKNISFDKIDSIIEKCSRDIGRLKEIRISDTDLYTVLRTEDIRYHSYLEVIHSDKHISFPMYDDLIEQCHLSMERKSKLVDKALNKLESIIDGQSRLSYLPPEIMRNIITKLSDYHLNSMLYGKNHYKYYS
NP_689593.2 204 496 0.545764163822526 T-box transcription factor TBX15 isoform 2 496 0 14 293 0 Homo sapiens NP_689593.2 1 RefSeq TGLEAIMETYAFWRPPVRTLTFEDFTTMQKQQGGSTGTSPTTSSTGTPSPSASSHLLSPSCSPPTFHLAPNTFNVGCRESQLCNLNLSDYPPCARSNMAALQSYPGLSDSGYNRLQSGTTSATQPSETFMPQRTPSLISGIPTPPSLPGNSKMEAYGGQLGSFPTSQFQYVMQAGNAASSSSSPHMFGGSHMQQSSYNAFSLHNPYNLYGYNFPTSPRLAASPEKLSASQSTLLCSSPSNGAFGERQYLPSGMEHSMHMISPSPNNQQATNTCDGRQYGAVPGSSSQMSVHMV
NP_758461.1 1 832 0.0917139423076924 PF13965.6:SID-1_RNA_chan:170:832 SID1 transmembrane family member 2 832 663 14 631 9 Mus musculus (Mouse) SwissProt::Q8CIF6 1 SwissProt MIAWRLPLCVLLVASVESHLGALGPKNVSQKDAEFERTYADDVNSELVNIYTFNHTVTRNRTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGLYQRKYLYQKVERTLCQPPTKNESEIQFFYVDVSTLSPVNTTYQLRVNRVDNFVLRTGELFTFNTTAAQPQYFKYEFPDGVDSVIVKVTSKKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFVEDEPVDQGHRQKTLSVLVSQAVTSEAYVGGMLFCLGIFLSFYLLTVLLACWENWRQRKKTLLLAIDRACPESGHARVLADSFPGSAPYEGYNYGSFENGSGSTDGLVESAGSGDLSYSYQDRSFDAVGPRPRLDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLCVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVITYQTVVNVTGNQDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNRALLRNDLYALECGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGLCMLKLYQKRHPDINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSVIHIISTLLLSTQLYYMGRWKLDSGIFRRILHVLYTDCIRQCSGPLYTDRMVLLVMGNIINWSLAAYGLIMRPNDFASYLLAIGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQGLSTWQKTPAESREHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKIYVF
NP_766638.2 403 988 0.279203242320819 PF07699.13:Ephrin_rec_like:234:281,PF07699.13:Ephrin_rec_like:288:335,PF07699.13:Ephrin_rec_like:344:391,PF00431.20:CUB:396:505 Signal peptide, CUB and EGF-like domain-containing protein 1 988 254 14 586 0 Homo sapiens (Human) SwissProt::Q8IWY4 1 SwissProt ETGKCLSRAKTSPRAQLSCSKAGGVESCFLSCPAHTLFVPDSENSYVLSCGVPGPQGKALQKRNGTSSGLGPSCSDAPTTPIKQKARFKIRDAKCHLRPHSQARAKETARQPLLDHCHVTFVTLKCDSSKKRRRGRKSPSKEVSHITAEFEIETKMEEASDTCEADCLRKRAEQSLQAAIKTLRKSIGRQQFYVQVSGTEYEVAQRPAKALEGQGACGAGQVLQDSKCVACGPGTHFGGELGQCVSCMPGTYQDMEGQLSCTPCPSSDGLGLPGARNVSECGGQCSPGFFSADGFKPCQACPVGTYQPEPGRTGCFPCGGGLLTKHEGTTSFQDCEAKVHCSPGHHYNTTTHRCIRCPVGTYQPEFGQNHCITCPGNTSTDFDGSTNVTHCKNQHCGGELGDYTGYIESPNYPGDYPANAECVWHIAPPPKRRILIVVPEIFLPIEDECGDVLVMRKSASPTSITTYETCQTYERPIAFTSRSRKLWIQFKSNEGNSGKGFQVPYVTYDEDYQQLIEDIVRDGRLYASENHQEILKDKKLIKALFDVLAHPQNYFKYTAQESKEMFPRSFIKLLRSKVSRFLRPYK
NP_776248.1 1 157 0.224376433121019 Homeobox protein goosecoid 257 0 14 157 0 Homo sapiens (Human) SwissProt::P56915 1 SwissProt MPASMFSIDNILAARPRCKDSVLPVAHSAAAPVVFPALHGDSLYGASGGASSDYGAFYPRPVAPGGAGLPAAVSGSRLGYNNYFYGQLHVQAAPVGPACCGAVPPLGAQQCSCVPTPPGYEGPGSVLVSPVPHQMLPYMNVGTLSRTELQLLNQLHC
NP_777549.1 1 169 0.498455621301775 PF05071.16:NDUFA12:22:100 NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 2; B17.2-like; B17.2L; Mimitin; Myc-induced mitochondrial protein; MMTN; NDUFA12-like protein 169 79 14 169 0 Homo sapiens (Human) SwissProt::Q8N183 1 SwissProt MGWSQDLFRALWRSLSREVKEHVGTDQFGNKYYYIPQYKNWRGQTIREKRIVEAANKKEVDYEAGDIPTEWEAWIRRTRKTPPTMEEILKNEKHREEIKIKSQDFYEKEKLLSKETSEELLPPPVQTQIKGHASAPYFGKEEPSVAPSSTGKTFQPGSWMPRDGKSHNQ
NP_780492.2 1 255 0.0206682352941176 PF02544.16:Steroid_dh:108:255,PF06966.12:DUF1295:143:217 3-oxo-5-alpha-steroid 4-dehydrogenase 1 255 148 14 174 4 Mus musculus NP_780492.2 1 RefSeq MELDELRLLDALVYLEGFLAFVAFVGLQMVGSSYGRYSSQWSGRRVPARPAWFLQELPSMAWPLYECIRPAAARLGNLPNRVLLAMFLIHYVQRTLVFPVLIRGGKPTLLFTFVLAFLFCTLNGYLQSRYLSQFAVYAEDWVTHPCFLTGFALWLVGMVINIHSDHILRNLRKPGETGYKIPRGGLFEYVSSANYFGELVEWCGFALASWSLQGVVFALFTLCALFTRARQHHQWYLEKFEDYPKTRKILIPFLL
NP_848543.2 1 394 0.565756852791878 PF11414.8:Suppressor_APC:225:305 Suppressor APC domain-containing protein 2; Tumor specificity and mitosis phase-dependent expression protein; TS/MDEP; p42.3 394 81 14 394 0 Homo sapiens (Human) SwissProt::Q86UD0 1 SwissProt MAGAAMAERGRVPPPAPAPSTEGLPRAFLQSLRTLFDILDDRRRGCVHLREIESRWQGTDARELPRGVLEGLRQVAPASGYLTFERFVAGLRTSLLSADGGPRDPTRAPARPGDQPPPPPQRLVFAPADEPRTVLERKPLPLGVRAPLAGPSAAARSPEQLCAPAEAAPCPAEPERSQSAALEPSSSADAGAVACRALEADSGDARRAPRARGERRRHTIASGVDCGLLKQMKELEQEKEVLLQGLEMMARGRDWYQQQLQRVQERQRRLGQSRASADFGAAGSPRPLGRLLPKVQEVARCLGELLAAACASRALPPSSSGPPCPALTSTSPPVWQQQTILMLKEQNRLLTQEVTEKSERITQLEQEKSALIKQLFEARALSQQDGGPLDSTFI
NP_849432.1 1 245 0.471128163265306 acyl-CoA-binding domain 3 364 0 14 245 0 Arabidopsis thaliana NP_849432.1 1 RefSeq MEVFLEMLLTAVVALLFSFLLAKLVSVATVENDLSSDQPLKPEIGVGVTEDVRFGMKMDARVLESQRNFQVVDENVELVDRFLSEEADRVYEVDEAVTGNAKICGDREAESSAAASSENYVIAEEVILVRGQDEQSDSAEAESISSVSPENVVAEEIKSQGQEEVTELGRSGCVENEESGGDVLVAESEEVRVEKSSNMVEESDAEAENEEKTELTIEEDDDWEGIERSELEKAFAAAVNLLEES
NP_850248.2 1 409 0.775436430317849 PF16596.5:MFMR_assoc:142:272,PF07777.11:MFMR:1:103,PF00170.21:bZIP_1:304:365,PF07716.15:bZIP_2:305:355 bZIP transcription factor 16; AtbZIP16 409 296 14 409 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q501B2 1 SwissProt MASNEMEKSSKEKEPKTPPPSSTAPPSSQEPSSAVSAGMATPDWSGFQAYSPMPPPHGYVASSPQPHPYMWGVQHMMPPYGTPPHPYVAMYPPGGMYAHPSMPPGSYPYSPYAMPSPNGMTEVSGNTTGGTDGDAKQSEVKEKLPIKRSRGSLGSLNMITGKNNEPGKNSGASANGAYSKSGESASDGSSEGSDGNSQNDSGSGLDGKDAEAASENGGSANGPQNGSAGTPILPVSQTVPIMPMTAAGVPGPPTNLNIGMDYWGAPTSAGIPGMHGKVSTPVPGVVAPGSRDGGHSQPWLQDDRELKRQRRKQSNRESARRSRLRKQAECDELAQRAEVLNEENTNLRAEINKLKSQCEELTTENTSLKDQLSLFPPLEGISMDNDHQEPDTNQTGAAERKVDSYKDST
NP_852109.2 1 356 0.104998314606742 PF00003.22:7tm_3:46:268 retinoic acid-induced protein 3 356 223 14 198 7 Mus musculus NP_852109.2 1 RefSeq MTTPRAAPSGCRSDLDSRYHRLCDLAEGWGIALETLAAVGAVATVACMFALVFLICKVQDSNKRKMLPAQFLFLLGVLGVFGLTFAFIIKLDGATGPTRFFLFGVLFAICFSCLLAHAFNLIKLVRGRKPLSWLVILSLAVGFSLVQDVIAIEYLVLTMNRTNVNVFSELPAPRRNEDFVMLLIYVLVLMVLTFFASFLVFCGSFSGWKRHGFHICFTSFLSIAIWVAWIVLLLIPDIDRKWDDTILSTALVANGWVFLAFYILPEFRQLPRQRSPTDYPVEDAFCKPQLMKQSYGVENRAYSQEEITQGLEMGDTLYAPYSTHFQLQNHQKDFSIPRAQAPASPYNDYEGRKGDS
NP_878913.2 1 1135 0.521179735682819 PF09727.9:CortBP2:64:248 Filamin A-interacting protein 1-like; 130 kDa GPBP-interacting protein; 90 kDa GPBP-interacting protein; Protein down-regulated in ovarian cancer 1; DOC-1 1135 185 14 1135 0 Homo sapiens (Human) SwissProt::Q4L180 1 SwissProt MRSRGSDTEGSAQKKFPRHTKGHSFQGPKNMKHRQQDKDSPSESDVILPCPKAEKPHSGNGHQAEDLSRDDLLFLLSILEGELQARDEVIGILKAEKMDLALLEAQYGFVTPKKVLEALQRDAFQAKSTPWQEDIYEKPMNELDKVVEKHKESYRRILGQLLVAEKSRRQTILELEEEKRKHKEYMEKSDEFICLLEQECERLKKLIDQEIKSQEEKEQEKEKRVTTLKEELTKLKSFALMVVDEQQRLTAQLTLQRQKIQELTTNAKETHTKLALAEARVQEEEQKATRLEKELQTQTTKFHQDQDTIMAKLTNEDSQNRQLQQKLAALSRQIDELEETNRSLRKAEEELQDIKEKISKGEYGNAGIMAEVEELRKRVLDMEGKDEELIKMEEQCRDLNKRLERETLQSKDFKLEVEKLSKRIMALEKLEDAFNKSKQECYSLKCNLEKERMTTKQLSQELESLKVRIKELEAIESRLEKTEFTLKEDLTKLKTLTVMFVDERKTMSEKLKKTEDKLQAASSQLQVEQNKVTTVTEKLIEETKRALKSKTDVEEKMYSVTKERDDLKNKLKAEEEKGNDLLSRVNMLKNRLQSLEAIEKDFLKNKLNQDSGKSTTALHQENNKIKELSQEVERLKLKLKDMKAIEDDLMKTEDEYETLERRYANERDKAQFLSKELEHVKMELAKYKLAEKTETSHEQWLFKRLQEEEAKSGHLSREVDALKEKIHEYMATEDLICHLQGDHSVLQKKLNQQENRNRDLGREIENLTKELERYRHFSKSLRPSLNGRRISDPQVFSKEVQTEAVDNEPPDYKSLIPLERAVINGQLYEESENQDEDPNDEGSVLSFKCSQSTPCPVNRKLWIPWMKSKEGHLQNGKMQTKPNANFVQPGDLVLSHTPGQPLHIKVTPDHVQNTATLEITSPTTESPHSYTSTAVIPNCGTPKQRITILQNASITPVKSKTSTEDLMNLEQGMSPITMATFARAQTPESCGSLTPERTMSPIQVLAVTGSASSPEQGRSPEPTEISAKHAIFRVSPDRQSSWQFQRSNSNSSSVITTEDNKIHIHLGSPYMQAVASPVRPASPSAPLQDNRTQGLINGALNKTTNKVTSSITITPTATPLPRQSQITVEPLLLPH
NP_973769.1 1 220 0.565175454545454 Transcription factor bHLH89; Basic helix-loop-helix protein 89; AtbHLH89; bHLH 89; Transcription factor EN 24; bHLH transcription factor bHLH089 420 0 14 220 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LND0 1 SwissProt MGGGGMFEEIGCFDPNAPAEMTAESSFSPSEPPPTITVIGSNSNSNCSLEDLSAFHLSPQDSSLPASASAYAHQLHINATPNCDHQFQSSMHQTLQDPSYAQQSNHWDNGYQDFVNLGPNHTTPDLLSLLQLPRSSLPPFANPSIQDIIMTTSSSVAAYDPLFHLNFPLQPPNGSFMGVDQDQTETNQGVNLMYDEENNNLDDGLNRKGRGSKKRKIFPT
O60858 144 407 0.139324621212121 E3 ubiquitin-protein ligase TRIM13; B-cell chronic lymphocytic leukemia tumor suppressor Leu5; Leukemia-associated protein 5; Putative tumor suppressor RFP2; RING finger protein 77; RING-type E3 ubiquitin transferase TRIM13; Ret finger protein 2; Tripartite motif-containing protein 13; EC 2.3.2.27 407 0 14 241 1 Homo sapiens (Human) SwissProt::O60858 1 SwissProt SLFQSFETWRRGDALSRLDTLETSKRKSLQLLTKDSDKVKEFFEKLQHTLDQKKNEILSDFETMKLAVMQAYDPEINKLNTILQEQRMAFNIAEAFKDVSEPIVFLQQMQEFREKIKVIKETPLPPSNLPASPLMKNFDTSQWEDIKLVDVDKLSLPQDTGTFISKIPWSFYKLFLLILLLGLVIVFGPTMFLEWSLFDDLATWKGCLSNFSSYLTKTADFIEQSVFYWEQVTDGFFIFNERFKNFTLVVLNNVAEFVCKYKLL
O75718 1 401 0.14106783042394 Cartilage-associated protein 401 0 14 383 1 Homo sapiens (Human) SwissProt::O75718 1 SwissProt MEPGRRGAAALLALLCVACALRAGRAQYERYSFRSFPRDELMPLESAYRHALDKYSGEHWAESVGYLEISLRLHRLLRDSEAFCHRNCSAAPQPEPAAGLASYPELRLFGGLLRRAHCLKRCKQGLPAFRQSQPSREVLADFQRREPYKFLQFAYFKANNLPKAIAAAHTFLLKHPDDEMMKRNMAYYKSLPGAEDYIKDLETKSYESLFIRAVRAYNGENWRTSITDMELALPDFFKAFYECLAACEGSREIKDFKDFYLSIADHYVEVLECKIQCEENLTPVIGGYPVEKFVATMYHYLQFAYYKLNDLKNAAPCAVSYLLFDQNDKVMQQNLVYYQYHRDTWGLSDEHFQPRPEAVQFFNVTTLQKELYDFAKENIMDDDEGEVVEYVDDLLELEETS
O81788 1 268 0.573549253731344 PF00046.29:Homeodomain:97:157 WUSCHEL-related homeobox 13 268 61 14 268 0 Arabidopsis thaliana O81788 1 SwissProt/TReMBL MMEWDNQLQPNNHHSSNLQGIDVNGGSGAGGGMYVKVMTDEQYETLRKQIAIYGTICERLVEMHKTLTAQQDLAGGRMGGLYADPMMSSLGHKMTARQRWTPTPVQLQILERIFDQGTGTPSKQKIKDITEELSQHGQIAEQNVYNWFQNRRARSKRKQHGGGSSGNNNGESEVETEVEALNEKRVVRPESLLGLPDGNSNNNGLGTTTATTTAPRPEDLCFQSPEISSDLHLLDVLSNPRDEHLVGKMGLAESYNLYDHVEDYGMSG
O82189 1 927 0.269062998921251 PF05183.12:RdRP:227:834 Probable RNA-dependent RNA polymerase 4 927 608 14 927 0 Arabidopsis thaliana O82189 1 SwissProt/TReMBL MMTTTMDYNSSDQGFSWSEIALLGSVETMLEKVYGKHNHHPPIKVETRRRLSSISEELALETLRKVLNMPNVKTLDGIIIYFLNDAVTVDGSPRLWSGESPVQFPRTPGKKSCRASQAEVSLDREDPSPKFLRGDENGESKHISLLLALGELEFKKAFLLLTYLGGKSLGEVISGDEIRQWKDLPMVAYERAVWFKLGQNEERMQLESDSGKTHYYQCHVAPDGSYRLKGYFLENTGTHLHKVLGDDNVLTVRFDQLPKESTYCDNPYSKYKEIAKNGIMVGLRRYQFFVFKDGGKAEKKKRNSTKQVKCYFIRTGSTASSDMENPYILSGMSIHEARMHFMHVHTLPSPANYMARFSLILSKTKKLEVDMTEITVMQIDDIHCHDQSNNDVLDKNGKPRIHSDGTGYISEDLARMCPLNIFKGKSMRSNNIQGTCVQEPPLLIQIRMFNDGSAVKGIFLLNKNLPPQTVQVRPSMIKVYKDKNLSNFSTFNSLEVVTTSNPPKRAKLSKNLVALLSYGGVPNDFFLDILLNTLEKKKTIFFKVRAAGKAALHYGNMDDKNALQMIMAGIPLDEPYLKHYLSKLLKLEKDDLKAGKLPIDESYYLMGTVDPTGELKEDEVSGLAKSQDVLVYRNPGLHFGDIHILKATYVKSLEQYVGNSKYGVFFPQKGPRSLGDEIAGGDFDGDMYFISRNPKLLEHYKPSEPWVSSSPRSKIYTGRQPSELSPEQLEEELFKIFLKTGFSPSSVIGQAADSWLAIMDRFLTLGDENVKEKAEMKKKMLKLTDIYYDAIDAPKTGTEVNLPLDVKVDLFPHYMERNKTFKSTSILGLIFDTVDFHNAEDTTPSGISKLQCFEDEPVSEFDMEKCKLWHKDYRKEMCQAMNSDDDDSCNEVIQKYKQEFYSAAGFKESKKILEELYPKALALYNVT
O83335 1 762 0.225410761154855 PF02707.16:MOSP_N:96:288,PF02722.15:MOSP_C:559:762 Tpr protein E 762 397 14 762 0 Treponema pallidum (strain Nichols) O83335 1 SwissProt/TReMBL MGCMRWGSVLCVVVGVGASGGVLGQEFSPKLTGSATLEWGISYGKGVGSHGQAPGAVMGTGPYNLKHGFRTTNTVGVSFPLVMRTTHTRRGQHPALYAELKVADLQADLSQGKAGFAVKRKGKVEATLHCYGAYLTIGKNPTFLTNFARLWKPWVTAQYQEDAVQYAPGFGGLGGKVGYRAQDIGGSGVSLDVGFLSFASNGAWDSTDPTHSKYGFGADLKLMYARAGHPLCTVELASNVTLEDGYLIGAQKDANNQNKDKLLWNVGGRLTLEPGAGFRFSFALDAGNQHQSEATAAMRTERTRERAQEVALAIFTHAAQEQAKQAADTVGSTIDNSVQVARSVITQIAEGAVKQAHDQIKRTNGTQVVNIDVTVPVNVRQSPVRQPDLPSLTAIAAQLPNVTKLFFLSAGAAAARPIIGQITGVVQNVITQQVQARVAQSTAVAIQQVLVFNQQTVAAEKANTQKHTINGKSYAAHIGSLVSLATNRALPTIRQRVEQAVQENIRRINAVVQQKAQTLTSSQELEKAVYSLFVPTFENLVLGAGALLALLDMHQIAVDALFTAQWKWLSSGIYFATAPANVFGTRVLDNTIASCGDFAGFLKLETKSGDPYTHLLTGLDAGVETRVYIPLTYALYKNNGGTAVRGIQEKEYIRPPVVGKAWCSYRIPVQDYGWVKPSVTVHASTNRAHLNAPAAGGAVGATYLTKEYCAQLRAGISASLIEKTVFSLDWEQGMLSDVPYLLVSECLTQGIGRIVCGVTLSW
O88693 1 394 0.056988578680203 PF13506.6:Glyco_transf_21:106:278,PF13641.6:Glyco_tranf_2_3:64:277,PF13632.6:Glyco_trans_2_3:141:327 Ceramide glucosyltransferase; GLCT-1; Glucosylceramide synthase; GCS; UDP-glucose ceramide glucosyltransferase; UDP-glucose:N-acylsphingosine D-glucosyltransferase; EC 2.4.1.80 394 264 14 328 3 Mus musculus (Mouse) SwissProt::O88693 1 SwissProt MALLDLAQEGMALFGFVLFVVLWLMHFMSIIYTRLHLNKKATDKQPYSKLPGVSLLKPLKGVDPNLINNLETFFELDYPKYEVLLCVQDHDDPAIDVCKKLLGKYPNVDARLFIGGKKVGINPKINNLMPAYEVAKYDLIWICDSGIRVIPDTLTDMVNQMTEKVGLVHGLPYVADRQGFAATLEQVYFGTSHPRSYISANVTGFKCVTGMSCLMRKDVLDQAGGLIAFAQYIAEDYFMAKAIADRGWRFSMSTQVAMQNSGSYSISQFQSRMIRWTKLRINMLPATIICEPISECFVASLIIGWAAHHVFRWDIMVFFMCHCLAWFIFDYIQLRGVQGGTLCFSKLDYAVAWFIRESMTIYIFLSALWDPTISWRTGRYRLRCGGTAEEILDV
O94972 91 964 0.490273913043478 PF00643.24:zf-B_box:2:42,PF00917.26:MATH:194:310 E3 ubiquitin-protein ligase TRIM37; Mulibrey nanism protein; RING-type E3 ubiquitin transferase TRIM37; Tripartite motif-containing protein 37; EC 2.3.2.27 964 158 14 874 0 Homo sapiens (Human) SwissProt::O94972 1 SwissProt EKDKCENHHEKLSVFCWTCKKCICHQCALWGGMHGGHTFKPLAEIYEQHVTKVNEEVAKLRRRLMELISLVQEVERNVEAVRNAKDERVREIRNAVEMMIARLDTQLKNKLITLMGQKTSLTQETELLESLLQEVEHQLRSCSKSELISKSSEILMMFQQVHRKPMASFVTTPVPPDFTSELVPSYDSATFVLENFSTLRQRADPVYSPPLQVSGLCWRLKVYPDGNGVVRGYYLSVFLELSAGLPETSKYEYRVEMVHQSCNDPTKNIIREFASDFEVGECWGYNRFFRLDLLANEGYLNPQNDTVILRFQVRSPTFFQKSRDQHWYITQLEAAQTSYIQQINNLKERLTIELSRTQKSRDLSPPDNHLSPQNDDALETRAKKSACSDMLLEGGPTTASVREAKEDEEDEEKIQNEDYHHELSDGDLDLDLVYEDEVNQLDGSSSSASSTATSNTEENDIDEETMSGENDVEYNNMELEEGELMEDAAAAGPAGSSHGYVGSSSRISRRTHLCSAATSSLLDIDPLILIHLLDLKDRSSIENLWGLQPRPPASLLQPTASYSRKDKDQRKQQAMWRVPSDLKMLKRLKTQMAEVRCMKTDVKNTLSEIKSSSAASGDMQTSLFSADQAALAACGTENSGRLQDLGMELLAKSSVANCYIRNSTNKKSNSPKPARSSVAGSLSLRRAVDPGENSRSKGDCQTLSEGSPGSSQSGSRHSSPRALIHGSIGDILPKTEDRQCKALDSDAVVVAVFSGLPAVEKRRKMVTLGANAKGGHLEGLQMTDLENNSETGELQPVLPEGASAAPEEGMSSDSDIECDTENEEQEEHTSVGGFHDSFMVMTQPPDEDTHSSFPDGEQIGPEDLSFNTDENSGR
P15252 1 138 0.190188405797102 PF05755.12:REF:11:122 Rubber elongation factor protein; HbREF; Allergen Hev b 1 138 112 14 138 0 Hevea brasiliensis (Para rubber tree) (Siphonia brasiliensis) SwissProt::P15252 1 SwissProt MAEDEDNQQGQGEGLKYLGFVQDAATYAVTTFSNVYLFAKDKSGPLQPGVDIIEGPVKNVAVPLYNRFSYIPNGALKFVDSTVVASVTIIDRSLPPIVKDASIQVVSAIRAAPEAARSLASSLPGQTKILAKVFYGEN
P15565 181 570 0.306007692307692 PF02005.16:TRM:1:310 tRNA (guanine(26)-N(2))-dimethyltransferase, mitochondrial; tRNA 2,2-dimethylguanosine-26 methyltransferase; tRNA(guanine-26,N(2)-N(2)) methyltransferase; tRNA(m(2,2)G26)dimethyltransferase; EC 2.1.1.216 570 310 14 390 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P15565 1 SwissProt SVENIVKPNLDDANVLMYRNKATNNKFHVIDLDPYGTVTPFVDAAIQSIEEGGLMLVTCTDLSVLAGNGYPEKCFALYGGANMVSHESTHESALRLVLNLLKQTAAKYKKTVEPLLSLSIDFYVRVFVKVKTSPIEVKNVMSSTMTTYHCSRCGSYHNQPLGRISQREGRNNKTFTKYSVAQGPPVDTKCKFCEGTYHLAGPMYAGPLHNKEFIEEVLRINKEEHRDQDDTYGTRKRIEGMLSLAKNELSDSPFYFSPNHIASVIKLQVPPLKKVVAGLGSLGFECSLTHAQPSSLKTNAPWDAIWYVMQKCDDEKKDLSKMNPNTTGYKILSAMPGWLSGTVKSEYDSKLSFAPNEQSGNIEKLRKLKIVRYQENPTKNWGPKARPNTS
P16014 1 677 0.715083751846381 PF01271.17:Granin:26:677 Secretogranin-1 677 652 14 677 0 Mus musculus P16014 1 SwissProt/TReMBL MQPAMLLGLLGAAALAAVSSAPVDNRDHNEEMVTRCIIEVLSNALSKSSVPTITPECRQVLKKSGKEVKGEEKGENQNSKFEVRLLRDPADASGTRWASSREDAGAPVEDSQGQTKVGNEKWTEGGGHSREGVDDQESLRPSNQQASKEAKIYHSEERVGKEREKEEGKIYPMGEHREDAGEEKKHIEDSGEKPNTFSNKRSEASAKKKDESVARADAHSMELEEKTHSREQSSQESGEETRRQEKPQELTDQDQSQEESQEGEEGEEGEEGEEGEEDSASEVTKRRPRHHHGRSGSNKSSYEGHPLSEERRPSPKESKEADVATVRLGEKRSHHLAHYRASEEEPEYGEESRSYRGLQYRGRGSEEDRAPRPRSEESQEREYKRNHPDSELESTANRHGEETEEERSYEGANGRQHRGRGREPGAHSALDTREEKRLLDEGHYPVRESPIDTAKRYPQSKWQEQEKNYLNYGEEGDQGRWWQQEEQLGPEESREEVRFPDRQYEPYPITEKRKRLGALFNPYFDPLQWKNSDFEKRGNPDDSFLEDEGEDRNGVTLTEKNSFPEYNYDWWERRPFSEDVNWGYEKRSFARAPQLDLKRQYDGVAELDQLLHYRKKADEFPDFYDSEEQMGPHQEANDEKARADQRVLTAEEKKELENLAAMDLELQKIAEKFSQRG
P16690 1 185 0.229681081081081 PF13238.6:AAA_18:6:139 ribose 1,5-bisphosphate phosphokinase (EC 2.7.4.23) 185 134 14 185 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10723-MONOMER 1 ecocyc MMGKLIWLMGPSGSGKDSLLAELRLREQTQLLVAHRYITRDASAGSENHIALSEQEFFTRAGQNLLALSWHANGLYYGVGVEIDLWLHAGFDVLVNGSRAHLPQARARYQSALLPVCLQVSPEILRQRLENRGRENASEINARLARAARYTPQDCHTLNNDGSLRQSVDTLLTLIHQKEKHHACL
P20156 1 354 0.65212627118644 Neurosecretory protein VGF; VGF8a protein 617 0 14 354 0 Rattus norvegicus (Rat) SwissProt::P20156 1 SwissProt MKTFTLPASVLFCFLLLIRGLGAAPPGRSDVYPPPLGSEHNGQVAEDAVSRPKDDSVPEVRAARNSEPQDQGELFQGVDPRALAAVLLQALDRPASPPAVPAGSQQGTPEEAAEALLTESVRSQTHSLPASEIQASAVAPPRPQTQDNDPEADDRSEELEALASLLQELRDFSPSNAKRQQETAAAETETRTHTLTRVNLESPGPERVWRASWGEFQARVPERAPLPPSVPSQFQARMSENVPLPETHQFGEGVSSPKTHLGETLTPLSKAYQSLSAPFPKVRRLEGSFLGGSEAGERLLQQGLAQVEAGRRQAEATRQAAAQEERLADLASDLLLQYLLQGGARQRDLGGRGL
P20483 1 268 0.594148880597015 M-phase inducer phosphatase 479 0 14 268 0 Drosophila melanogaster P20483 1 SwissProt/TReMBL MLWETIVEENNCSMDCNISNNTSSSSSINKMSGSRRARRSLELMSMDQEELSFYDDDVVPQDQQRSASPELMGLLSPEGSPQRFQIVRQPKILPAMGVSSDHTPARSFRIFNSLSSTCSMESSMDDEYMELFEMESQSQQTALGFPSGLNSLISGQIKEQPAAKSPAGLSMRRPSVRRCLSMTESNTNSTTTPPPKTPETARDCFKRPEPPASANCSPIQSKRHRCAAVEKENCPAPSPLSQVTISHPPPLRKCMSLNDAEIMSALAR
P22810 139 542 0.595184158415842 Homeotic protein ocelliless; Protein orthodenticle 542 0 14 404 0 Drosophila melanogaster (Fruit fly) SwissProt::P22810 1 SwissProt KNASGGGSGNSCSSSSANSRSNSNNNGSSSNNNTQSSGGNNSNKSSQKQGNSQSSQQGGGSSGGNNSNNNSAAAAASAAAAVAAAQSIKTHHSSFLSAAAAAASGGTNQSANNNSNNNNQGNSTPNSSSSGGGGGSQAGGHLSAAAAAAALNVTAAHQNSSPLLPTPATSVSPVSIVCKKEHLSGGYGSSVGGGGGGGGASSGGLNLGVGVGVGVGVGVGVSQDLLRSPYDQLKDAGGDIGAGVHHHHSIYGSAAGSNPRLLQPGGNITPMDSSSSITTPSPPITPMSPQSAAAAAHAAQSAQSAHHSAAHSAAYMSNHDSYNFWHNQYQQYPNNYAQAPSYYSQMEYFSNQNQVNYNMGHSGYTASNFGLSPSPSFTGTVSAQAFSQNSLDYMSPQDKYANMV
P23560 1 128 0.3595421875 Brain-derived neurotrophic factor; BDNF; Abrineurin 247 0 14 128 0 Homo sapiens (Human) SwissProt::P23560 1 SwissProt MTILFLTMVISYFGCMKAAPMKEANIRGQGGLAYPGVRTHGTLESVNGPKAGSRGLTSLADTFEHVIEELLDEDQKVRPNEENNKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRR
P24077 1 416 0.0432389423076923 PF07690.16:MFS_1:22:236,PF05977.13:MFS_3:16:405 enterobactin exporter EntS 416 390 14 170 11 Escherichia coli K-12 substr. MG1655 ecocyc::YBDA-MONOMER 0 ecocyc MNKQSWLLNLSLLKTHPAFRAVFLARFISIVSLGLLGVAVPVQIQMMTHSTWQVGLSVTLTGGAMFVGLMVGGVLADRYERKKVILLARGTCGIGFIGLCLNALLPEPSLLAIYLLGLWDGFFASLGVTALLAATPALVGRENLMQAGAITMLTVRLGSVISPMIGGLLLATGGVAWNYGLAAAGTFITLLPLLSLPALPPPPQPREHPLKSLLAGFRFLLASPLVGGIALLGGLLTMASAVRVLYPALADNWQMSAAQIGFLYAAIPLGAAIGALTSGKLAHSARPGLLMLLSTLGSFLAIGLFGLMPMWILGVVCLALFGWLSAVSSLLQYTMLQTQTPEAMLGRINGLWTAQNVTGDAIGAALLGGLGAMMTPVASASASGFGLLIIGVLLLLVLVELRHFRQTPPQVTASDS
P25473 1 445 0.285054831460674 PF01093.17:Clusterin:30:443 Clusterin; Glycoprotein 80; Gp80 445 414 14 445 0 Canis lupus familiaris (Dog) (Canis familiaris) SwissProt::P25473 1 SwissProt MMKTLLLLVGLLLTWDNGRVLGDQAVSDTELQEMSTEGSKYINKEIKNALKGVKQIKTLIEQTNEERKSLLSNLEEAKKKKEDALNDTKDSETKLKASQGVCNDTMMALWEECKPCLKQTCMKFYARVCRSGSGLVGHQLEEFLNQSSPFYFWMNGDRIDSLLENDRQQTHALDVMQDSFNRASSIMDELFQDRFFTREPQDTYHYSPFSLFQRRPFFNPKFRIARNIIPFPRFQPLNFHDMFQPFFDMIHQAQQAMDVNLHRIPYHFPIEFPEEDNRTVCKEIRHNSTGCLKMKDQCEKCQEILSVDCSSNNPAQVQLRQELSNSLQIAEKFTKLYDELLQSYQEKMFNTSSLLKQLNEQFSWVSQLANLTQSEDPFYLQVTTVGSQTSDSNVPVGFTKVVVKLFDSDPITVMIPEAVSRNNPKFMETVAEKALQEYRQKHREE
P31377 1 255 0.440348627450981 Syntaxin-8; SNARE protein related to mammalian syntaxin 8; ULP1-interacting protein 2 255 0 14 235 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P31377 1 SwissProt MDVLKLGYELDQLSDLVEERTRLVSVLKLAPTSNDNVTLKRQLGSILELLQKCAPNDELISRYNTILDKIPDTAVDKELYRFQQQVARNTDEVSKESLKKVRFKNDDELTVMYKDDDEQDEESPLPSTHTPYKDEPLQSQLQSQSQPQPPQPMVSNQELFINQQQQLLEQDSHLGALSQSIGRTHDISLDLNNEIVSQNDSLLVDLENLIDNNGRNLNRASRSMHGFNNSRFKDNGNCVIILVLIVVLLLLLLVL
P32338 1 300 0.351175 Zinc finger protein RME1 300 0 14 300 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32338 1 SwissProt MSPCYGQNSAIAKGSWNREVLQEVQPIYHWHDFGQNMKEYSASPLEGDSSLPSSLPSSTEDCLLLSLENTITVIAGNQRQAYDSTSSTEEGTAPQLRPDEIADSTHCITSLVDPEFRDLINYGRQKGANPVFIESNTTEQSHSQCILGYPQKSHVAQLYHDPKVLSTISEGQTKRGSYHCSHCSEKFATLVEFAAHLDEFNLERPCKCPIEQCPWKILGFQQATGLRRHCASQHIGELDIEMEKSLNLKVEKYPGLNCPFPICQKTFRRKDAYKRHVAMVHNNADSRFNKRLKKILNNTK
P32789 1 676 0.325056065088757 PF12330.8:Haspin_kinase:289:668 Serine/threonine-protein kinase Haspin homolog ALK2; EC 2.7.11.1 676 380 14 676 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32789 1 SwissProt MNFDAVADQQMTDRRYFALEVAESDDADSSLNSSSMGSPAVDVGRKVYKITSHKGSAEDESQSFFTSSDSPTSKTRPVGKTIENDDYYGKRSSTGSSLKQLFNKININDTAHSSNKENVSQSVLSENKLLSPSKRLSKQGLTKVTNSKFRTPLRPISNQSTLSRDEPVKDFRSLKFRSGSDFKCWGDEKTSSHVHSSSVNSVNSFTSTTSSSKWKFWKNDNLLSRSLSSRSVNDQDPNFVQPKPTNSLQKKSSISSFHNSIFGGGKHTEKKRNSGFIMPDHQSTKELNHKHSSSNLSFRSLKHKTSHSSLNKLKVRRKGNTQELNHPIKKTCQISLPVPDQVSKDKIQLKLKNSTSLASLSSEVTPINTLDYNDSILQQILQLCDVKYILHDLREAQSLGLFTLNTRSVQLSHNFWQTYHSDMQTSLICKKVCLGALSDLTTSNLISLHELKSLRLIQGTSGVANLLQAYVVPSNQCENDQNLILYLFFKYQGTPLSRCSNIDYSQALSIFWQCSSILYVAESKFQLEHRNLTLDHILIDSKGNVTLIDMKCCRFLNIDNNKASYTRLDHHYFFQGRGTLQFEIYELMRSMLPQPISWATFEPRTNLLWLYHLSSSLLKMAKKAVVSGALNREENILIELTHLLDPARKHSKTIFKKELVIRTCGDLLSLKGEIMQ
P36112 1 540 0.307295925925926 PF09731.9:Mitofilin:35:86,PF09731.9:Mitofilin:139:360,PF09731.9:Mitofilin:407:536 MICOS complex subunit MIC60; Altered inheritance of mitochondria protein 28; Formation of crista junctions protein 1; Found in mitochondrial proteome protein 13; Mitofilin 540 404 14 520 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36112 1 SwissProt MMLRTTASRKIVLRRGLASINTGTTVASKKASHKFRNTLWTIALSATAFYAGGIIYSQKNDKFGDFFSNNVPFAEDLLETYEHYHDRPTLFLEDSWDGLKAKSNDLLSGLTGSSQTRRSNRENIEVKKILSLEPLNIETENSDPQLKEIIGSLNDLINSLNDSNLSIPESEFNSIKKSNQNMLTNLSQLNETLKEALSNYMIQRTSEVITELNTQYENSKREFEKNLQKNLLQEVDEFKENLTKQKDKELEEKLKANEELLQAKHANEVGLLSITQVKEFNKIIKDKIEKERNGRLAHLEEINSEVNDLSKSIDRSSKILSKNEALVQLTFQVDEIKSRINNNNLPDVNIDKELSRLKLLSNLLSTFNKKSCCDDGDCCSCKKGNKNEGKEGKISCKCKPKTNPPSLLSVALDELESTCSGKKILSNEQIYNRWNLLADDFKTASLLPPNSGILGQLTAKVFSLFLFTKTGNPSNATDFDSVYARVGDNLRVSNLNDAVEEVVSLKGWPHKVCESWIEDARRKLEVQRLVEILDCEIRTL
P40345 1 661 0.27244795763994 PF02450.15:LCAT:202:624 Phospholipid:diacylglycerol acyltransferase; PDAT; EC 2.3.1.158 661 423 14 638 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40345 1 SwissProt MGTLFRRNVQNQKSDSDENNKGGSVHNKRESRNHIHHQQGLGHKRRRGISGSAKRNERGKDFDRKRDGNGRKRWRDSRRLIFILGAFLGVLLPFSFGAYHVHNSDSDLFDNFVNFDSLKVYLDDWKDVLPQGISSFIDDIQAGNYSTSSLDDLSENFAVGKQLLRDYNIEAKHPVVMVPGVISTGIESWGVIGDDECDSSAHFRKRLWGSFYMLRTMVMDKVCWLKHVMLDPETGLDPPNFTLRAAQGFESTDYFIAGYWIWNKVFQNLGVIGYEPNKMTSAAYDWRLAYLDLERRDRYFTKLKEQIELFHQLSGEKVCLIGHSMGSQIIFYFMKWVEAEGPLYGNGGRGWVNEHIDSFINAAGTLLGAPKAVPALISGEMKDTIQLNTLAMYGLEKFFSRIERVKMLQTWGGIPSMLPKGEEVIWGDMKSSSEDALNNNTDTYGNFIRFERNTSDAFNKNLTMKDAINMTLSISPEWLQRRVHEQYSFGYSKNEEELRKNELHHKHWSNPMEVPLPEAPHMKIYCIYGVNNPTERAYVYKEEDDSSALNLTIDYESKQPVFLTEGDGTVPLVAHSMCHKWAQGASPYNPAGINVTIVEMKHQPDRFDIRGGAKSAEHVDILGSAELNDYILKIASGNGDLVEPRQLSNLSQWVSQMPFPM
P40518 1 154 0.331731818181818 PF04699.14:P16-Arc:4:154 Actin-related protein 2/3 complex subunit 5; Arp2/3 complex 16 kDa subunit; p16-ARC 154 151 14 154 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40518 1 SwissProt MEADWRRIDIDAFDPESGRLTAADLVPPYETTVTLQELQPRMNQLRSLATSGDSLGAVQLLTTDPPYSADAPTKEQYFKSVLEALTQVRQADIGNVIKNLSDSQRDVLVKYLYKGMSVPQGQKQGGVLLAWLERITQVSGVTPIVHYISDRRTV
P53336 1 341 0.237092668621701 PF02598.17:Methyltrn_RNA_3:40:335 Putative methyltransferase YGR283C; EC 2.1.1.- 341 296 14 341 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53336 1 SwissProt MAVKHKSESLKHEEGAAKKAKTGLLKLKKIMDIESNVVKYSICIPTTVIDNCNNLEQVTFTAYQIARTAVLFNVQEIIVLDQSKDKKHEKKSRSKETISDCLLLATLLQYFVTPPNLLDTTFKKKNKLYLKCASTFPPLNQLPFMNASAEQHYKEGLSIARDSSKGKSDDALTNLVYIGKNQIITLSNQNIPNTARVTVDTERKEVVSPIDAYKGKPLGYHVRMASTLNEVSEGYTKIVWVNSGDFHYDEELSKYHKVETKLPYIAKLKKSSTSEKPCNILLIFGKWGHLKRCFRRSDLESSSLHHYFSGQLQFPASVPQGNIPIQDSLPIALTMFQRWAS
P53701 1 268 0.382164925373134 PF01265.17:Cyto_heme_lyase:11:260 Cytochrome c-type heme lyase; CCHL; Holocytochrome c-type synthase; EC 4.4.1.17 268 250 14 268 0 Homo sapiens (Human) SwissProt::P53701 1 SwissProt MGLSPSAPAVAVQASNASASPPSGCPMHEGKMKGCPVNTEPSGPTCEKKTYSVPAHQERAYEYVECPIRGTAAENKENLDPSNLMPPPNQTPAPDQPFALSTVREESSIPRADSEKKWVYPSEQMFWNAMLKKGWKWKDEDISQKDMYNIIRIHNQNNEQAWKEILKWEALHAAECPCGPSLIRFGGKAKEYSPRARIRSWMGYELPFDRHDWIINRCGTEVRYVIDYYDGGEVNKDYQFTILDVRPALDSLSAVWDRMKVAWWRWTS
P76115 174 656 0.401364596273292 PF00593.24:TonB_dep_Rec:68:480,PF14905.6:OMP_b-brl_3:178:414 putative TonB-dependent outer membrane receptor 700 413 14 483 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6762-MONOMER 1 ecocyc FGSWRYGLKATGATGDGTQPGDVDYTVSTTRFTTHGYRDHSGAQKNLANAKLGVRIDEASKLSLIFNSVDIKADDPGGLTKAEWKANPQQAPRAEQYDTRKTIKQTQAGLRYERSLSSRDDMSVMMYAGERETTQYQSIPMAPQLNPSHAGGVITLQRHYQGIDSRWTHRGELGVPVTFTTGLNYENMSENRKGYNNFRLNSGMPEYGQKGELRRDERNLMWNIDPYLQTQWQLSEKLSLDAGVRYSSVWFDSNDHYVTPGNGDDSGDASYHKWLPAGSLKYAMTDAWNIYLAAGRGFETPTINELSYRADGQSGMNLGLKPSTNDTIEIGSKTRIGDGLLSLALFQTDTDDEIVVDSSSGGRTTYKNAGKTRRQGAELAWDQRFAGDFRVNASWTWLDATYRSNVCNEQDCNGNRMPGIARNMGFASIGYVPEDGWYAGTEARYMGDIMADDENTAKAPSYTLVGLFTGYKYNYHNLTVDLF 1
P81790 1 116 0.0413862068965517 PF08396.10:Toxin_34:41:111 Omega-ctenitoxin-Pn3a; Omega-CNTX-Pn3a; Neurotoxin Tx3-4; Omega-phonetoxin-2A; Omega-phonetoxin-IIA; Omega-Ptx-IIA; PF3; Phoneutriatoxin 3-4; Pn3-4A 116 71 14 96 1 Phoneutria nigriventer (Brazilian armed spider) (Ctenus nigriventer) SwissProt::P81790 1 SwissProt MKMKLLGIILLVSFPFVLGFAGIPIEEGENSVEVGEVERSCINVGDFCDGKKDDCQCCRDNAFCSCSVIFGYKTNCRCEVGTTATSYGICMAKHKCGRQTTCTKPCLSKRCKKNHG
Q03267 228 486 0.481462934362935 DNA-binding protein Ikaros; Ikaros family zinc finger protein 1; Lymphoid transcription factor LyF-1 517 0 14 259 0 Mus musculus (Mouse) SwissProt::Q03267 1 SwissProt SMGLPGVCPVIKEETNHNEMAEDLCKIGAERSLVLDRLASNVAKRKSSMPQKFLGDKCLSDMPYDSANYEKEDMMTSHVMDQAINNAINYLGAESLRPLVQTPPGSSEVVPVISSMYQLHKPPSDGPPRSNHSAQDAVDNLLLLSKAKSVSSEREASPSNSCQDSTDTESNAEEQRSGLIYLTNHINPHARNGLALKEEQRAYEVLRAASENSQDAFRVVSTSGEQLKVYKCEHCRVLFLDHVMYTIHMGCHGCHGFRD
Q04906 1 367 0.442100272479563 PF00688.18:TGFb_propeptide:57:355 Bone morphogenetic protein 6 506 299 14 367 0 Rattus norvegicus Q04906 1 SwissProt/TReMBL MPGLGRRAQWLCWWWGLLCSCGPPPLRPPLPVAAAAAGGQLLGAGGSPVRAEQPPPQSSSSGFLYRRLKTHEKREMQKEILSVLGLPHRPRPLHGLQQPQSPVLPQQQQSQQTAREEPPPGRLKSAPLFMLDLYNSLSKDDEEDGVSEGEGLEPESHGRASSSQLKQPSPGAAHSLNRKSLLAPGPGGSASPLTSAQDSAFLNDADMVMSFVNLVEYDKEFSPRQRHHKEFKFNLSQIPEGEAVTAAEFRVYKDCVVGSFKNQTFLISIYQVLQEHQHRDSDLFLLDTRVVWASEEGWLEFDITATSNLWVVTPQHNMGLQLSVVTRDGLHINPRAAGLVGRDGPYDKQPFMVAFFKVSEVHVRTTR
Q08379 61 976 0.581712663755458 PF15070.6:GOLGA2L5:321:916 Golgin subfamily A member 2; 130 kDa cis-Golgi matrix protein; GM130; GM130 autoantigen; Golgin-95 1002 596 14 916 0 Homo sapiens (Human) SwissProt::Q08379 1 SwissProt SGGCHSPEDTPKDNAATLQPSDDTVLPGGVPSPGASLTSMAASQNHDADNVPNLMDETKTFSSTESLRQLSQQLNGLVCESATCVNGEGPASSANLKDLESRYQQLAVALDSSYVTNKQLNITIEKLKQQNQEITDQLEEEKKECHQKQGALREQLQVHIQTIGILVSEKAELQTALAHTQHAARQKEGESEDLASRLQYSRRRVGELERALSAVSTQQKKADRYNKELTKERDALRLELYKNTQSNEDLKQEKSELEEKLRVLVTEKAGMQLNLEELQKKLEMTELLLQQFSSRCEAPDANQQLQQAMEERAQLEAHLGQVMESVRQLQMERDKYAENLKGESAMWRQRMQQMSEQVHTLREEKECSMSRVQELETSLAELRNQMAEPPPPEPPAGPSEVEQQLQAEAEHLRKELEGLAGQLQAQVQDNEGLSRLNREQEERLLELERAAELWGEQAEARRQILETMQNDRTTISRALSQNRELKEQLAELQSGFVKLTNENMEITSALQSEQHVKRELGKKLGELQEKLSELKETVELKSQEAQSLQQQRDQYLGHLQQYVAAYQQLTSEKEVLHNQLLLQTQLVDQLQQQEAQGKAVAEMARQELQETQERLEAATQQNQQLRAQLSLMAHPGEGDGLDREEEEDEEEEEEEAVAVPQPMPSIPEDLESREAMVAFFNSAVASAEEEQARLRGQLKEQRVRCRRLAHLLASAQKEPEAAAPAPGTGGDSVCGETHRALQGAMEKLQSRFMELMQEKADLKERVEELEHRCIQLSGETDTIGEYIALYQSQRAVLKERHREKEEYISRLAQDKEEMKVKLLELQELVLRLVGDRNEWHGRFLAAAQNPADEPTSGAPAPQELGAANQQGDLCEVSLAGSVEPAQGEAREGSPRDNPTAQQIMQLLREMQNPRER
Q12465 1 1220 0.196662049180328 PF12768.7:Rax2:976:1213 Bud site selection protein RAX2; Revert to axial protein 2 1220 238 14 1197 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12465 1 SwissProt MFVHRLWTLAFPFLVEISKASQLENIKSLLDIEDNVLPNLNISQNNSNAVQILGGVDALSFYEYTGQQNFTKEIGPETSSHGLVYYSNNTYIQLEDASDDTRIDKITPFGVDSFILSGSGTINNISVGNQILYNLSTLSMTPIFNQSLGAVQAVLADNSSIYFGGNFSYNNGSMTGYSALIWDSISNTTQLLPFGGFGENSSVNSIVKLNNDNILFAGQFYTLDDPSALISSSNNGTNSTSSLNATTLELGQRIPLRYASWDSQGSTTFASDSLVCPNTNEDAWLYPDTSGSLVCNLPYEVSPTKIRLYNSQRSDSEISVFQILTDPSSSIMNLTYLDPLSGELKNCGEFCPLYSRATLLSASQNVSSSMDMITFIDNNKTDVKWTSDFQDFAFVNELPVSSLKFVALNSYGGSVGLSGLELYQDTFSTYANDSLNEYGCSALTNDSSSSTLSSNDWYNGLTGESYIAAKYVPDQNEPIPRVKFYPNIIHPGHYTINMYTPGCLQDNTCSARGIVNVTMWNQQNNTIMKTYLIYQNNDNLKYDQIYSGYLDFSPEIVLEYVSGIYTTNTATVVVADQVNVITVSLDAFNTLSDSSNAKKETLLNGILQYQKSNFTSTRLNETKVGNTTLNLFPVKNYPKNSSLYADIYDNKLVIGGVSNRISIVDLNDDFEVTSSKNQTIQGDVHGITKTNQGLLIFGDILSSNNQSAVFLFNGSFENVFNQSRTVNSALNISLANNDFIVLDNDYVVNASSNALIRNSSSFSLSLWAAGNNGDGDVLFSGAVSHMQYGNLNGSVRFLNENEIEPLNLEGGIVPYLGAYLNESATAYAYEVDSLNKIYFSNEVYPSWNWSSGITQMLYADNQTLLAVSAGSSTTAELSIFDLRNLTMIANETLGSNARINALVNFEKNCSMLVGGDFQMTEPNCTGLCLYNYESKTWSTFLNNTIFGEITQLSFTNSSELIISGLFETKEYQSIRLGSFNLTNSTMIPLLSGSEGKLNSFTVTEDSIVAWNDTSLFIYRNQEWNITSLPGNASSISSVSAIYTDIESNTLNKRGINNVNNGSILLLNGNFNISQYGYLQSLLFDFQKWTPYFISETTNTSNYNPIIFINRDVSTEFNSQSPLANVNITVTSPQSTSSQPPSSSASSESKSKSKKKKIGRGFVVLIGLALALGTVSVLGIAGVILAYVFKDPEGDYKPIKPRIDENEMLDTVPPEKLMKFV 1
Q12982 1 166 0.620562048192772 PF12496.8:BNIP2:50:163 BCL2/adenovirus E1B 19 kDa protein-interacting protein 2 314 114 14 166 0 Homo sapiens Q12982 1 SwissProt/TReMBL MEGVELKEEWQDEDFPIPLPEDDSIEADILAITGPEDQPGSLEVNGNKVRKKLMAPDISLTLDPSDGSVLSDDLDESGEIDLDGLDTPSENSNEFEWEDDLPKPKTTEVIRKGSITEYTAAEEKEDGRRWRMFRIGEQDHRVDMKAIEPYKKVISHGGYYGDGLNA
Q13201 287 1040 0.320520424403183 Multimerin-1; EMILIN-4; Elastin microfibril interface located protein 4; Elastin microfibril interfacer 4; Endothelial cell multimerin 1228 0 14 754 0 Homo sapiens (Human) SwissProt::Q13201 1 SwissProt QQSLIHTNQAESHTAVGRGVAEQQQQQGCGDPEVMQKMTDQVNYQAMKLTLLQKKIDNISLTVNDVRNTYSSLEGKVSEDKSREFQSLLKGLKSKSINVLIRDIVREQFKIFQNDMQETVAQLFKTVSSLSEDLESTRQIIQKVNESVVSIAAQQKFVLVQENRPTLTDIVELRNHIVNVRQEMTLTCEKPIKELEVKQTHLEGALEQEHSRSILYYESLNKTLSKLKEVHEQLLSTEQVSDQKNAPAAESVSNNVTEYMSTLHENIKKQSLMMLQMFEDLHIQESKINNLTVSLEMEKESLRGECEDMLSKCRNDFKFQLKDTEENLHVLNQTLAEVLFPMDNKMDKMSEQLNDLTYDMEILQPLLEQGASLRQTMTYEQPKEAIVIRKKIENLTSAVNSLNFIIKELTKRHNLLRNEVQGRDDALERRINEYALEMEDGLNKTMTIINNAIDFIQDNYALKETLSTIKDNSEIHHKCTSDMETILTFIPQFHRLNDSIQTLVNDNQRYNFVLQVAKTLAGIPRDEKLNQSNFQKMYQMFNETTSQVRKYQQNMSHLEEKLLLTTKISKNFETRLQDIESKVTQTLIPYYISVKKGSVVTNERDQALQLQVLNSRFKALEAKSIHLSINFFSLNKTLHEVLTMCHNASTSVSELNATIPKWIKHSLPDIQLLQKGLTEFVEPIIQIKTQAALSNLTCCIDRSLPGSLANVVKSQKQVKSLPKKINALKKPTVNLTTVLIGRTQRNTDNIIYPE
Q15007 1 396 0.608985101010101 PF17098.5:Wtap:68:222 Pre-mRNA-splicing regulator WTAP; Female-lethal(2)D homolog; hFL(2)D; WT1-associated protein; Wilms tumor 1-associating protein 396 155 14 396 0 Homo sapiens (Human) SwissProt::Q15007 1 SwissProt MTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEEKLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLFFLKMKGELEQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRIAQLEAELALQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTILVLQQQLKETRQQLAQYQQQQSQASAPSTSRTTASEPVEQSEATSKDCSRLTNGPSNGSSSRQRTSGSGFHREGNTTEDDFPSSPGNGNKSSNSSEERTGRGGSGYVNQLSAGYESVDSPTGSENSLTHQSNDTDSSHDPQEEKAVSGKGNRTVGSRHVQNGLDSSVNVQGSVL
Q20745 1 877 0.197018358038768 Nuclear migration and anchoring protein unc-84; Uncoordinated protein 84 1111 0 14 724 7 Caenorhabditis elegans SwissProt::Q20745 1 SwissProt MAPATEADNNFDTHEWKSEFASTRSGRNSPNIFAKVRRKLLLTPPVRNARSPRLTEEELDALTGDLPYATNYTYAYSKIYDPSLPDHWEVPNLGGTTSGSLSEQEHWSAASLSRQLLYILRFPVYLVLHVITYILEAFYHVIKITSFTIWDYLLYLVKLAKTRYYAYQDHRRRTALIRNRQEPFSTKAARSIRRFFEILVYVVLTPYRMLTRSNNGVEQYQYRSIKDQLENERASRMTTRSQTLERSRKFDGLSKSPARRAAPAFVKTSTITRITAKVFSSSPFGEGTSENITPTVVTTRTVKQRSVTPRFRQTRATREAITRALDTPELEIDTPLSTYGLRSRGLSHLNTPEPTFDIGHAAATSTPLFPQETYNYQYEEATGNKIKTAFTWLGYLILFPFFAARHVWYTFYDYGKSAYMKLTNYQQAPMETIHVRDINEPAPSSSDVHDAVGVSWRIRIADFLSSFVATIVEAHQVVFAMFKGGIVETVSYFGGLFAGLTDKKSSKFSWCQILGLLLALLFAIFLLGFLTSDNTAIRVKEITKDKNASKKSEGSLPAVPIWISAANHVKHYTWMVKEFVVDIAFDTYNYGKSTIGRLGTTPRYAWDLIASGCGAVGNGLKSVLSSSFRFIDFCAGKLFYYGSDGFLSANKSIGTFFNGCYETLYNGCTAIVGHTKSFIYNASNAVYNFFSTIFAGLLNFSTSSQNSILSLLKSFGTGITNIFYNFIYAPIAGVFNFAGDNYMYFFNEVAAVFGKVYNSVVSVLKTVINWILFLIAYPFSLCTRAWIRISQYAPEDVVQVIPIPQAITPTPDVERIVEEPLRKVTDVEDEELVIIPAPAPKPIPVPAPTPAPVIIHQTNVVETVDKDAIIKEVTEKL
Q62523 1 372 0.851220698924731 Zyxin 564 0 14 372 0 Mus musculus Q62523 1 SwissProt/TReMBL MAAPRPPPAISVSVSAPAFYAPQKKFAPVVAPKPKVNPFRPGDSEPPVAAGAQRAQMGRVGEIPPPPPEDFPLPPPPLIGEGDDSEGALGGAFPPPPPPMIEEPFPPAPLEEDIFPSPPPPLEEEGGPEAPTQLPPQPREKVCSIDLEIDSLSSLLDDMTKNDPFKARVSSGYVPPPVATPFVPKPSTKPAPGGTAPLPPWKTPSSSQPPPQPQAKPQVQLHVQPQAKPHVQPQPVSSANTQPRGPLSQAPTPAPKFAPVAPKFTPVVSKFSPGAPSGPGPQPNQKMVPPDAPSSVSTGSPQPPSFTYAQQKEKPLVQEKQHPQPPPAQNQNQVRSPGGPGPLTLKEVEELEQLTQQLMQDMEHPQRQSVAV
Q99102 1 2169 0.464244536652834 PF00094.25:VWD:1440:1611,PF06119.14:NIDO:1225:1307 Mucin-4; MUC-4; Ascites sialoglycoprotein; ASGP; Pancreatic adenocarcinoma mucin; Testis mucin; Tracheobronchial mucin 2169 255 14 2146 1 Homo sapiens (Human) SwissProt::Q99102 1 SwissProt MKGARWRRVPWVSLSCLCLCLLPHVVPGTTEDTLITGSKTAAPVTSTGSTTATLEGQSTAASSRTSNQDISASSQNHQTKSTETTSKAQTDTLTQMMTSTLFSSPSVHNVMETVTQETAPPDEMTTSFPSSVTNTLMMTSKTITMTTSTDSTLGNTEETSTAGTESSTPVTSAVSITAGQEGQSRTTSWRTSIQDTSASSQNHWTRSTQTTRESQTSTLTHRTTSTPSFSPSVHNVTGTVSQKTSPSGETATSSLCSVTNTSMMTSEKITVTTSTGSTLGNPGETSSVPVTGSLMPVTSAALVTVDPEGQSPATFSRTSTQDTTAFSKNHQTQSVETTRVSQINTLNTLTPVTTSTVLSSPSGFNPSGTVSQETFPSGETTISSPSSVSNTFLVTSKVFRMPISRDSTLGNTEETSLSVSGTISAITSKVSTIWWSDTLSTALSPSSLPPKISTAFHTQQSEGAETTGRPHERSSFSPGVSQEIFTLHETTTWPSSFSSKGHTTWSQTELPSTSTGAATRLVTGNPSTRAAGTIPRVPSKVSAIGEPGEPTTYSSHSTTLPKTTGAGAQTQWTQETGTTGEALLSSPSYSVIQMIKTATSPSSSPMLDRHTSQQITTAPSTNHSTIHSTSTSPQESPAVSQRGHTRAPQTTQESQTTRSVSPMTDTKTVTTPGSSFTASGHSPSEIVPQDAPTISAATTFAPAPTGNGHTTQAPTTALQAAPSSHDATLGPSGGTSLSKTGALTLANSVVSTPGGPEGQWTSASASTSPDTAAAMTHTHQAESTEASGQTQTSEPASSGSRTTSAGTATPSSSGASGTTPSGSEGISTSGETTRFSSNPSRDSHTTQSTTELLSASASHGAIPVSTGMASSIVPGTFHPTLSEASTAGRPTGQSSPTSPSASPQETAAISRMAQTQRTGTSRGSDTISLASQATDTFSTVPPTPPSITSSGLTSPQTQTHTLSPSGSGKTFTTALISNATPLPVTSTSSASTGHATPLAVSSATSASTVSSDSPLKMETSGMTTPSLKTDGGRRTATSPPPTTSQTIISTIPSTAMHTRSTAAPIPILPERGVSLFPYGAGAGDLEFVRRTVDFTSPLFKPATGFPLGSSLRDSLYFTDNGQIIFPESDYQIFSYPNPLPTGFTGRDPVALVAPFWDDADFSTGRGTTFYQEYETFYGEHSLLVQQAESWIRKMTNNGGYKARWALKVTWVNAHAYPAQWTLGSNTYQAILSTDGSRSYALFLYQSGGMQWDVAQRSGNPVLMGFSSGDGYFENSPLMSQPVWERYRPDRFLNSNSGLQGLQFYRLHREERPNYRLECLQWLKSQPRWPSWGWNQVSCPCSWQQGRRDLRFQPVSIGRWGLGSRQLCSFTSWRGGVCCSYGPWGEFREGWHVQRPWQLAQELEPQSWCCRWNDKPYLCALYQQRRPHVGCATYRPPQPAWMFGDPHITTLDGVSYTFNGLGDFLLVGAQDGNSSFLLQGRTAQTGSAQATNFIAFAAQYRSSSLGPVTVQWLLEPHDAIRVLLDNQTVTFQPDHEDGGGQETFNATGVLLSRNGSEVSASFDGWATVSVIALSNILHASASLPPEYQNRTEGLLGVWNNNPEDDFRMPNGSTIPPGSPEEMLFHFGMTWQINGTGLLGKRNDQLPSNFTPVFYSQLQKNSSWAEHLISNCDGDSSCIYDTLALRNASIGLHTREVSKNYEQANATLNQYPPSINGGRVIEAYKGQTTLIQYTSNAEDANFTLRDSCTDLELFENGTLLWTPKSLEPFTLEILARSAKIGLASALQPRTVVCHCNAESQCLYNQTSRVGNSSLEVAGCKCDGGTFGRYCEGSEDACEEPCFPSVHCVPGKGCEACPPNLTGDGRHCAALGSSFLCQNQSCPVNYCYNQGHCYISQTLGCQPMCTCPPAFTDSRCFLAGNNFSPTVNLELPLRVIQLLLSEEENASMAEVNASVAYRLGTLDMRAFLRNSQVERIDSAAPASGSPIQHWMVISEFQYRPRGPVIDFLNNQLLAAVVEAFLYHVPRRSEEPRNDVVFQPISGEDVRDVTALNVSTLKAYFRCDGYKGYDLVYSPQSGFTCVSPCSRGYCDHGGQCQHLPSGPRCSCVSFSIYTAWGEHCEHLSMKLDAFFGIFFGALGGLLLLGVGTFVVLRFWGCSGARFSYFLNSAEALP
Q99502 1 307 0.692673615635179 Eyes absent homolog 1; EC 3.1.3.16; EC 3.1.3.48 592 0 14 307 0 Homo sapiens (Human) SwissProt::Q99502 1 SwissProt MEMQDLTSPHSRLSGSSESPSGPKLGNSHINSNSMTPNGTEVKTEPMSSSETASTTADGSLNNFSGSAIGSSSFSPRPTHQFSPPQIYPSNRPYPHILPTPSSQTMAAYGQTQFTTGMQQATAYATYPQPGQPYGISSYGALWAGIKTEGGLSQSQSPGQTGFLSYGTSFSTPQPGQAPYSYQMQGSSFTTSSGIYTGNNSLTNSSGFNSSQQDYPSYPSFGQGQYAQYYNSSPYPAHYMTSSNTSPTTPSTNATYQLQEPPSGITSQAVTDPTAEYSTIHSPSTPIKDSDSDRLRRGSDGKSRGRG
Q99829 1 537 0.258247113594041 PF07002.16:Copine:304:518,PF00168.30:C2:12:111,PF00168.30:C2:144:234 Copine-1; Chromobindin 17; Copine I 537 406 14 537 0 Homo sapiens (Human) SwissProt::Q99829 1 SwissProt MAHCVTLVQLSISCDHLIDKDIGSKSDPLCVLLQDVGGGSWAELGRTERVRNCSSPEFSKTLQLEYRFETVQKLRFGIYDIDNKTPELRDDDFLGGAECSLGQIVSSQVLTLPLMLKPGKPAGRGTITVSAQELKDNRVVTMEVEARNLDKKDFLGKSDPFLEFFRQGDGKWHLVYRSEVIKNNLNPTWKRFSVPVQHFCGGNPSTPIQVQCSDYDSDGSHDLIGTFHTSLAQLQAVPAEFECIHPEKQQKKKSYKNSGTIRVKICRVETEYSFLDYVMGGCQINFTVGVDFTGSNGDPSSPDSLHYLSPTGVNEYLMALWSVGSVVQDYDSDKLFPAFGFGAQVPPDWQVSHEFALNFNPSNPYCAGIQGIVDAYRQALPQVRLYGPTNFAPIINHVARFAAQAAHQGTASQYFMLLLLTDGAVTDVEATREAVVRASNLPMSVIIVGVGGADFEAMEQLDADGGPLHTRSGQAAARDIVQFVPYRRFQNAPREALAQTVLAEVPTQLVSYFRAQGWAPLKPLPPSAKDPAQAPQA
SwissProt::O60931 1 367 0.0433869209809264 PF04193.14:PQ-loop:126:183,PF04193.14:PQ-loop:267:324 Cystinosin 367 116 14 209 7 Homo sapiens (Human) SwissProt::O60931 1 SwissProt MIRNWLTIFILFPLKLVEKCESSVSLTVPPVVKLENGSSTNVSLTLRPPLNATLVITFEITFRSKNITILELPDEVVVPPGVTNSSFQVTSQNVGQLTVYLHGNHSNQTGPRIRFLVIRSSAISIINQVIGWIYFVAWSISFYPQVIMNWRRKSVIGLSFDFVALNLTGFVAYSVFNIGLLWVPYIKEQFLLKYPNGVNPVNSNDVFFSLHAVVLTLIIIVQCCLYERGGQRVSWPAIGFLVLAWLFAFVTMIVAAVGVTTWLQFLFCFSYIKLAVTLVKYFPQAYMNFYYKSTEGWSIGNVLLDFTGGSFSLLQMFLQSYNNDQWTLIFGDPTKFGLGVFSIVFDVVFFIQHFCLYRKRPGYDQLN
SwissProt::P05059 82 449 0.839561141304347 PF01271.17:Granin:6:368 Chromogranin-A; CgA; Pituitary secretory protein I; SP-I 449 363 14 368 0 Bos taurus (Bovine) SwissProt::P05059 1 SwissProt LALQGAKERTHQQKKHSSYEDELSEVLEKPNDQAEPKEVTEEVSSKDAAEKRDDFKEVEKSDEDSDGDRPQASPGLGPGPKVEEDNQAPGEEEEAPSNAHPLASLPSPKYPGPQAKEDSEGPSQGPASREKGLSAEQGRQTEREEEEEKWEEAEAREKAVPEEESPPTAAFKPPPSLGNKETQRAAPGWPEDGAGKMGAEEAKPPEGKGEWAHSRQEEEEMARAPQVLFRGGKSGEPEQEEQLSKEWEDAKRWSKMDQLAKELTAEKRLEGEEEEEEDPDRSMRLSFRARGYGFRGPGLQLRRGWRPNSREDSVEAGLPLQVRGYPEEKKEEEGSANRRPEDQELESLSAIEAELEKVAHQLEELRRG
SwissProt::Q0D1P0 1 843 0.284450415183867 PF14765.6:PS-DH:15:284 6-hydroxymellein synthase terB; Terrein biosynthesis cluster protein terB; EC 2.3.1.- 952 270 14 843 0 Aspergillus terreus (strain NIH 2624 / FGSC A1156) SwissProt::Q0D1P0 1 SwissProt MKVDMHQAEQWPSQRLLGSRAPQSNDNELSWTSVLNSEEDPWLYDQILFGRRTFPVAGYVAIAGECMRQLSDSKLEFFSTKDLSIGSSLVFDPDDKLELHTTLRPQRLDEENRQWYEIEIASRNGGQSTALCTGLVAREVLDTQILPDLTRHGDSNWPVSPDYWYDMVADRGIEYGASQRGLNDISVDFTENKASATILPALDTTDSVAHPVFIDHCLQLSMVAACKGQGRLLADLSVVTSIQHLVVSNNAWSKLRVNSVVTPGRLQNLTCNFSAVNENGLPILSGQCNITPIPDLERQSDGKLFSFINWATDSTFHNLNQSLAPFQSDLDSTVALERLALLYALRSEDIGAQSQPYSKKILKKIGTKRKGRFGLVPDVSPFVGCGPSGRAELIKLLKAQISGTELSSLGHALERCLTENTPFSGSNRERQLLLDQLHPLIRNSGTLCESLRLLAYKNPKMNVLELGSGRKDSSNLVLKALRTQFDEQLYSSYLSASASLDATTGPKESSRTNGHVQPLVFDAEKSIEEQGLKAGSYDLIIITDVAALGSNAALGAKSLKRLIRPQGHVLLLEGVPEPEWLLVLKDYLTKESPEASTGSKTKSSFEDVSAALTKNGFVFEDPDNKLTSAPKVFARLKQPVPETSSEITVVGPTYHLPLIREVEKTFGDHNIKCVKSTMDDDIPPGRDIMVFVDFDEPYVYNITEAELSKFVKLVSGMKGKMIWVTPSAQIYCKNPNSSLILGLMRTMRSEFKKDITTVELDPKRTMNVSLSKSLLQIYEGLSRRGKSKDLDPDYEYAIVDGVIKTPRLQWTTAEAEYAHLASLRAEQDSGPQSCHMAATVRFR
SwissProt::Q3KQ35 147 380 0.532235042735043 PF12067.8:Sox17_18_mid:45:93 Transcription factor Sox-17-alpha-A; Xsox17alpha; xSox17alpha1 380 49 14 234 0 Xenopus laevis (African clawed frog) SwissProt::Q3KQ35 1 SwissProt GFMHMAEAQESAVLGADGRMCLENFSLGYHEQTYPHGQVPQSSHYREPQAVAPHYDGYSLPTPESSPLDLAEADPVFFTSPAQDECQMMPYSYNGSYPHQQNSMLVRQMPQTEQMGQVSPVQGMMACQSSPHMYYGQMYLPGSARHHQLHQAGQPSPPPEAQQMGRADHIQPADMLAEVDRTEFEQYLSYVAKSDLGMHYHAQESVVPTADNGPISSVLSDASTAVYYCNYPSA
SwissProt::Q5FBB7 97 561 0.545510967741935 PF07557.11:Shugoshin_C:378:400 Shugoshin 1; Serologically defined breast cancer antigen NY-BR-85; Shugoshin-like 1 561 23 14 465 0 Homo sapiens (Human) SwissProt::Q5FBB7 1 SwissProt YALKGKLTSQQTVEPAQNQEICSSGMDPNSDDSSRNLFVKDLPQIPLEETELPGQGESFQIEDQIPTIPQDTLGVDFDSGEAKSTDNVLPRTVSVRSSLKKHCNSICQFDSLDDFETSHLAGKSFEFERVGFLDPLVNMHIPENVQHNACQWSKDQVNLSPKLIQPGTFTKTKEDILESKSEQTKSKQRDTQERKREEKRKANRRKSKRMSKYKENKSENKKTVPQKKMHKSVSSNDAYNFNLEEGVHLTPFRQKVSNDSNREENNESEVSLCESSGSGDDSDDLYLPTCKYIQNPTSNSDRPVTRPLAKRALKYTDEKETEGSKPTKTPTTTPPETQQSPHLSLKDITNVSLYPVVKIRRLSLSPKKNKASPAVALPKRRCTASVNYKEPTLASKLRRGDPFTDLCFLNSPIFKQKKDLRRSKKRALEVSPAKEAIFILYYVREFVSRFPDCRKCKLETHICLR
SwissProt::Q62931 1 250 0.361276 PF12352.8:V-SNARE_C:161:226 Golgi SNAP receptor complex member 1; 28 kDa Golgi SNARE protein; 28 kDa cis-Golgi SNARE p28; GOS-28; GOS28 250 66 14 230 1 Rattus norvegicus (Rat) SwissProt::Q62931 1 SwissProt MAAGTSNYWEDLRKQARQLENELDLKLVSFSKLCTSYSHSSARDGGRDRYSSDTTPLLNGSSQDRMFETMAIEIEQLLARLTGVNDKMAEYTHSAGVPSLNAALMHTLQRHRDILQDYTHEFHKTKANFMAIRERENLMGSVRKDIESYKSGSGVNNRRTELFLKEHDHLRNSDRLIEETISIAMATKENMTSQRGMLKSIHSKMNTLANRFPAVNSLIQRINLRKRRDSLILGGVIGICTILLLLYAFH
SwissProt::Q6DJT9 245 500 0.42529765625 Zinc finger protein PLAG1; Pleiomorphic adenoma gene 1 protein 500 0 14 256 0 Homo sapiens (Human) SwissProt::Q6DJT9 1 SwissProt TEPVDFLDPFTCNVSVPIKDELLPVMSLPSSELLSKPFTNTLQLNLYNTPFQSMQSSGSAHQMITTLPLGMTCPIDMDTVHPSHHLSFKYPFSSTSYAISIPEKEQPLKGEIESYLMELQGGVPSSSQDSQASSSSKLGLDPQIGSLDDGAGDLSLSKSSISISDPLNTPALDFSQLFNFIPLNGPPYNPLSVGSLGMSYSQEEAHSSVSQLPPQTQDLQDPANTIGLGSLHSLSAAFTSSLSTSTTLPRFHQAFQ
SwissProt::Q6QHD1 1 188 0.65138085106383 WRKY transcription factor WRKY71; OsWRKY71 348 0 14 188 0 Oryza sativa subsp. japonica (Rice) SwissProt::Q6QHD1 1 SwissProt MDPWISTQPSLSLDLRVGLPATAAVAMVKPKVLVEEDFFHQQPLKKDPEVAALEAELKRMGAENRQLSEMLAAVAAKYEALQSQFSDMVTASANNGGGGGNNPSSTSEGGSVSPSRKRKSESLDDSPPPPPPPHPHAAPHHMHVMPGAAAAGYADQTECTSGEPCKRIREECKPKISKLYVHADPSDL
SwissProt::Q8N2K0 1 398 0.146666331658291 PF12146.8:Hydrolase_4:170:286,PF00561.20:Abhydrolase_1:169:259,PF12697.7:Abhydrolase_6:171:337 Lysophosphatidylserine lipase ABHD12; 2-arachidonoylglycerol hydrolase ABHD12; Abhydrolase domain-containing protein 12; hABHD12; Monoacylglycerol lipase ABHD12; Oxidized phosphatidylserine lipase ABHD12; EC 3.1.-.-; EC 3.1.1.23 398 169 14 375 1 Homo sapiens (Human) SwissProt::Q8N2K0 1 SwissProt MRKRTEPVALEHERCAAAGSSSSGSAAAALDADCRLKQNLRLTGPAAAEPRCAADAGMKRALGRRKGVWLRLRKILFCVLGLYIAIPFLIKLCPGIQAKLIFLNFVRVPYFIDLKKPQDQGLNHTCNYYLQPEEDVTIGVWHTVPAVWWKNAQGKDQMWYEDALASSHPIILYLHGNAGTRGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFDWIKARSGDNPVYIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVIYRYFPGFDWFFLDPITSSGIKFANDENVKHISCPLLILHAEDDPVVPFQLGRKLYSIAAPARSFRDFKVQFVPFHSDLGYRHKYIYKSPELPRILREFLGKSEPEHQH
SwissProt::Q8TB36 1 358 0.274659776536313 PF13417.6:GST_N_3:28:101,PF02798.20:GST_N:25:98,PF13410.6:GST_C_2:207:282,PF13409.6:GST_N_2:37:99,PF00043.25:GST_C:203:286,PF14497.6:GST_C_3:206:289 Ganglioside-induced differentiation-associated protein 1; GDAP1 358 164 14 317 2 Homo sapiens (Human) SwissProt::Q8TB36 1 SwissProt MAERQEEQRGSPPLRAEGKADAEVKLILYHWTHSFSSQKVRLVIAEKALKCEEHDVSLPLSEHNEPWFMRLNSTGEVPVLIHGENIICEATQIIDYLEQTFLDERTPRLMPDKESMYYPRVQHYRELLDSLPMDAYTHGCILHPELTVDSMIPAYATTRIRSQIGNTESELKKLAEENPDLQEAYIAKQKRLKSKLLDHDNVKYLKKILDELEKVLDQVETELQRRNEETPEEGQQPWLCGESFTLADVSLAVTLHRLKFLGFARRNWGNGKRPNLETYYERVLKRKTFNKVLGHVNNILISAVLPTAFRVAKKRAPKVLGTTLVVGLLAGVGYFAFMLFRKRLGSMILAFRPRPNYF
SwissProt::Q96JB5 1 506 0.255597628458498 PF05600.12:DUF773:5:503 CDK5 regulatory subunit-associated protein 3; CDK5 activator-binding protein C53; LXXLL/leucine-zipper-containing ARF-binding protein; Protein HSF-27 506 499 14 506 0 Homo sapiens (Human) SwissProt::Q96JB5 1 SwissProt MEDHQHVPIDIQTSKLLDWLVDRRHCSLKWQSLVLTIREKINAAIQDMPESEEIAQLLSGSYIHYFHCLRILDLLKGTEASTKNIFGRYSSQRMKDWQEIIALYEKDNTYLVELSSLLVRNVNYEIPSLKKQIAKCQQLQQEYSRKEEECQAGAAEMREQFYHSCKQYGITGENVRGELLALVKDLPSQLAEIGAAAQQSLGEAIDVYQASVGFVCESPTEQVLPMLRFVQKRGNSTVYEWRTGTEPSVVERPHLEELPEQVAEDAIDWGDFGVEAVSEGTDSGISAEAAGIDWGIFPESDSKDPGGDGIDWGDDAVALQITVLEAGTQAPEGVARGPDALTLLEYTETRNQFLDELMELEIFLAQRAVELSEEADVLSVSQFQLAPAILQGQTKEKMVTMVSVLEDLIGKLTSLQLQHLFMILASPRYVDRVTEFLQQKLKQSQLLALKKELMVQKQQEALEEQAALEPKLDLLLEKTKELQKLIEADISKRYSGRPVNLMGTSL
SwissProt::Q99593 252 518 0.590577902621723 T-box transcription factor TBX5; T-box protein 5 518 0 14 267 0 Homo sapiens (Human) SwissProt::Q99593 1 SwissProt SKEYPVVPRSTVRQKVASNHSPFSSESRALSTSSNLGSQYQCENGVSGPSQDLLPPPNPYPLPQEHSQIYHCTKRKEEECSTTDHPYKKPYMETSPSEEDSFYRSSYPQQQGLGASYRTESAQRQACMYASSAPPSEPVPSLEDISCNTWPSMPSYSSCTVTTVQPMDRLPYQHFSAHFTSGPLVPRLAGMANHGSPQLGEGMFQHQTSVAHQPVVRQCGPQTGLQSPGTLQPPEFLYSHGVPRTLSPHQYHSVHGVGMVPEWSDNS
SwissProt::Q9BXC9 1 721 0.208892510402219 PF14782.6:BBS2_C:276:715,PF14783.6:BBS2_Mid:165:272,PF14781.6:BBS2_N:20:126 Bardet-Biedl syndrome 2 protein 721 655 14 721 0 Homo sapiens (Human) SwissProt::Q9BXC9 1 SwissProt MLLPVFTLKLRHKISPRMVAIGRYDGTHPCLAAATQTGKVFIHNPHTRNQHVSASRVFQSPLESDVSLLNINQAVSCLTAGVLNPELGYDALLVGTQTNLLAYDVYNNSDLFYREVADGANAIVLGTLGDISSPLAIIGGNCALQGFNHEGSDLFWTVTGDNVNSLALCDFDGDGKKELLVGSEDFDIRVFKEDEIVAEMTETEIVTSLCPMYGSRFGYALSNGTVGVYDKTSRYWRIKSKNHAMSIHAFDLNSDGVNELITGWSNGKVDARSDRTGEVIFKDNFSSAIAGVVEGDYRMDGHIQLICCSVDGEIRGYLPGTAEMRGNLMDTSAEQDLIRELSQKKQNLLLELRNYEENAKAELASPLNEADGHRGIIPANTRLHTTLSVSLGNETQTAHTELRISTSNDTIIRAVLIFAEGIFTGESHVVHPSIHNLSSSICIPIVPPKDVPVDLHLKAFVGYRSSTQFHVFESTRQLPRFSMYALTSLDPASEPISYVNFTIAERAQRVVVWLGQNFLLPEDTHIQNAPFQVCFTSLRNGGHLHIKIKLSGEITINTDDIDLAGDIIQSMASFFAIEDLQVEADFPVYFEELRKVLVKVDEYHSVHQKLSADMADHSNLIRSLLVGAEDARLMRDMKTMKSRYMELYDLNRDLLNGYKIRCNNHTELLGNLKAVNQAIQRAGRLRVGKPKNQVITACRDAIRSNNINTLFKIMRVGTASS
SwissProt::Q9QZS7 144 862 0.362534909596661 PF08205.12:C2-set_2:11:98,PF08205.12:C2-set_2:129:191,PF08205.12:C2-set_2:216:297,PF08205.12:C2-set_2:316:401,PF08205.12:C2-set_2:432:503,PF13927.6:Ig_3:113:189,PF13927.6:Ig_3:611:691,PF13895.6:Ig_2:124:207,PF07679.16:I-set:613:693,PF00047.25:ig:618:692 Nephrin; Renal glomerulus-specific cell adhesion receptor 1256 506 14 719 0 Mus musculus (Mouse) SwissProt::Q9QZS7 1 SwissProt SILVSPKVLQLTPEAGSTVTWVAGQEYVVTCVSGDAKPAPDIIFIQGGRTVEDVSSSVNEGSEEKLFFTEAEARVTPQSSDNGQLLVCEGSNPALATPIKASFTMNILFPPGPPVIDWPGLNEGHVRAGENLELPCIARGGNPPATLQWLKNGKPVSIAWGTEHAQAVAHSVLVMTVRPEDHGARLSCQSYNSVSAETQERSITLQVTFPPSAVTILGSTSQSENKNVTLCCLTKSSRPRVLLRWWLGGRQLLPTDETVMDGLHGGHISMSNLTLLVKREDNGLSLTCEAFSDAFSKETFKKSLTLNVKYPAQKLWIEGPPEGQSIRTGTRVRLVCLAIGGNPEPSLTWLKDSRPVNDPRQSQEPRRVQLGSVEKSGSTFSRELVLIIGPPDNLAKFSCKAGQLSASTQLVVQFPPTNLTILANSSALRPGDALNLTCVSISSNPPVNLSLDKEGERLDDVAAKPQSAPFKGSAASRSVFLRVSSRDHGHRVTCRAHSEALRETVSSFYRLNVLYPPEFLGEQVRAVTVVEQGQALLPVSVSANPAPEAFNWTFRGYRLSPAGGPRHRILSGGALQLWNVTRADDGFYQLHCQNSEGTAEALLKLDVHYAPTIRALKDPTEVNVGGSVDIVCTVDANPILPEMFSWERLGEDEEELNLDDMEKMSKGSTGRLRIRQAKLSQAGAYQCIVDNGVAPAARGLVRLVVRFAPQVDHPTPLTK
SwissProt::Q9R0X5 391 1001 0.689494108019639 X-linked retinitis pigmentosa GTPase regulator; mRpgr 1001 0 14 611 0 Mus musculus (Mouse) SwissProt::Q9R0X5 1 SwissProt SINDLSPRSSLNRSLSARLRRRERERPPCSASMVGTLPPLEGTSASTSAYFYPSSPPFHLSVNNYPEKSPSESMEPLDSDYFEDKMNKDTETENSSAVDSENFGETNDILNMTHMMTTSSNEKLLDFSPIQKQQNQDTFEKVMESTPCTENEDSYEYEEMSKIKEVTVYKQYLAKGIYMIRPAEILEAFSDEEVGNGLDQVEEPRVFTDGKGLQSKQVGKESDEEIVSEKKTEVMEVADVKKIRESEENSKSDSLFDDLPDKTMNSESEDNKDIAEERRSSEQNMTFDSETELVEEPDSYMECERHSEQDSAEELEQPKLVEYSSEEKDEKDEKDDDEVETENLWYDRNCTEQETENVFRATRFFPKFDLKHDHLSGIPEEQEGPEDSEGNVVVEQVVQAQKENLEFEGDRKEAKAEAPSDVITEKEAPQLSETVKPEEGEMDEEISILNVEDTVEEERKEGEKEIVEEGSIPETEGSETIDITDEKLDEVLKEEDSASLLQRALREYNENPKGHMYDRVKSSSSEILGGNDPTSKDIKKAKKISFFNRMSLTGQKLMQNTNDPLPEIKPIGDQIALQSDKKDANQNHMGQNLQDSTTPNMEGKSKSCTIL
SwissProt::Q9UIB8 173 345 0.256510404624278 SLAM family member 5; Cell surface antigen MAX.3; Hly9-beta; Leukocyte differentiation antigen CD84; Signaling lymphocytic activation molecule 5; CD84 antigen 345 0 14 150 1 Homo sapiens (Human) SwissProt::Q9UIB8 1 SwissProt EEGNVLQIFQTPEDQELTYTCTAQNPVSNNSDSISARQLCADIAMGFRTHHTGLLSVLAMFFLLVLILSSVFLFRLFKRRQGRIFPEGSCLNTFTKNPYAASKKTIYTYIMASRNTQPAESRIYDEILQSKVLPSKEEPVNTVYSEVQFADKMGKASTQDSKPPGTSSYEIVI
SwissProt::Q9ULX6 1 646 0.574311609907121 PF04988.12:AKAP95:389:552 A-kinase anchor protein 8-like; AKAP8-like protein; Helicase A-binding protein 95; HAP95; Homologous to AKAP95 protein; HA95; Neighbor of A-kinase-anchoring protein 95; Neighbor of AKAP95 646 164 14 646 0 Homo sapiens (Human) SwissProt::Q9ULX6 1 SwissProt MSYTGFVQGSETTLQSTYSDTSAQPTCDYGYGTWNSGTNRGYEGYGYGYGYGQDNTTNYGYGMATSHSWEMPSSDTNANTSASGSASADSVLSRINQRLDMVPHLETDMMQGGVYGSGGERYDSYESCDSRAVLSERDLYRSGYDYSELDPEMEMAYEGQYDAYRDQFRMRGNDTFGPRAQGWARDARSGRPMASGYGRMWEDPMGARGQCMSGASRLPSLFSQNIIPEYGMFQGMRGGGAFPGGSRFGFGFGNGMKQMRRTWKTWTTADFRTKKKKRKQGGSPDEPDSKATRTDCSDNSDSDNDEGTEGEATEGLEGTEAVEKGSRVDGEDEEGKEDGREEGKEDPEKGALTTQDENGQTKRKLQAGKKSQDKQKKRQRDRMVERIQFVCSLCKYRTFYEDEMASHLDSKFHKEHFKYVGTKLPKQTADFLQEYVTNKTKKTEELRKTVEDLDGLIQQIYRDQDLTQEIAMEHFVKKVEAAHCAACDLFIPMQFGIIQKHLKTMDHNRNRRLMMEQSKKSSLMVARSILNNKLISKKLERYLKGENPFTDSPEEEKEQEEAEGGALDEGAQGEAAGISEGAEGVPAQPPVPPEPAPGAVSPPPPPPPEEEEEGAVPLLGGALQRQIRGIPGLDVEDDEEGGGGAP
VIMSS10078287 1 641 0.411733853354134 K(+) efflux antiporter 1, chloroplastic; AtKEA1 1193 0 14 618 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZTZ7 1 SwissProt MEYASTFQRPILFHGGDGASYCFPNRLISPKGISITSGDSKVHSCFRLRRNVAQSGTLNLMNACFSGRFYSGHLHSTKSILGNGHQAKRIPFGFRLRCQGHESLGNADSNDHRIGESSESSDETEATDLKDARVENDTDSLEELKELLHKAIKELEVARLNSTMFEEKAQRISERAIALKDEAATAWLDVNKTLDVIRDTVYEEALAKEAVQTATMALSLAEARLQVIVESLEAGAGNDIPHVSEETEETIDVNDKEEALLAAKDDIKECQVNLDNCESQLSALLSKKDELQKEVDKLNEFAETIQISSLKAEEDVTNIMKLAEQAVAFELEATQRVNDAEIALQRAEKSLSISQTPEETQGQLSDEETSQEDAMVLSGNVEDVTHQVEKESPKDGDLPVVQITAELVPDIVGQRNKKLTQPYESSDHENGKPSVESSKVVEADSEKPKINVQTKKQETQKDLPKEGSSLNSPKASFNKSSRFFSASFFSSNPDGTATVFGSLVGSVKQQWPKLVLGLALLGAGLTLYSNGVGGNNQLLQQPDVTSTSTEDVSSSTKPLIRQVQKLPKRIKKLLEMIPHQEVNEEEASLFDFLWLLLASVIFVPLFQKIPGGSPVLGYLAAGILIGPYGLSIIRNVHGTRA
VIMSS10078618 1 303 0.254334653465347 PF13181.6:TPR_8:161:192 Protein SULFUR DEFICIENCY-INDUCED 2 303 32 14 303 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L730 1 SwissProt MMMMIQRRGGERQDSSAAAYNVVHKLPHGDSPYVRAKHVQLVEKDAEAAIELFWIAIKARDRVDSALKDMALLMKQQNRAEEAIDAIQSFRDLCSRQAQESLDNVLIDLYKKCGRIEEQVELLKQKLWMIYQGEAFNGKPTKTARSHGKKFQVTVEKETSRILGNLGWAYMQLMDYTAAEAVYRKAQLIEPDANKACNLCTCLIKQGKHDEARSILFRDVLMENKEGSGDPRLMARVQELLSELKPQEEEAAASVSVECEVGIDEIAVVEGLDEFVKEWRRPYRTRRLPIFEEILPLRDQLAC
VIMSS10079099 100 487 0.183236082474227 PF00571.28:CBS:252:298,PF00571.28:CBS:339:386 Sucrose nonfermenting 4-like protein; SNF4; CBS domain-containing protein CBSCBS3; SNF1-related protein kinase regulatory subunit betagamma; AKIN subunit betagamma; AKINbetagamma 487 95 14 388 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q944A6 1 SwissProt FITGPDMVPAGFSPETLGRSNMDVDDVFLRTADPSQEAVPRMSGVDLELSRHRISVLLSTRTAYELLPESGKVIALDVNLPVKQAFHILYEQGIPLAPLWDFGKGQFVGVLGPLDFILILRELGTHGSNLTEEELETHTIAAWKEGKAHISRQYDGSGRPYPRPLVQVGPYDNLKDVALKILQNKVAAVPVIYSSLQDGSYPQLLHLASLSGILKCICRYFRHSSSSLPILQQPICSIPLGTWVPRIGESSSKPLATLRPHASLGSALALLVQAEVSSIPVVDDNDSLIDIYSRSDITALAKDKAYAQIHLDDMTVHQALQLGQDASPPYGIFNGQRCHMCLRSDSLVKVMERLANPGVRRLVIVEAGSKRVEGIISLSDVFQFLLGL
VIMSS10079636 1 308 0.0678795454545454 PF03798.16:TRAM_LAG1_CLN8:74:280 ceramide synthase 3 308 207 14 201 5 Arabidopsis thaliana metacyc::MONOMER-20774 1 metacyc MGLLESVKSINWEHESSPVYQDFRVLPLFAVFFPSIRFLLDRFVFEKLAKYLIYGKHRQDMGDDTTERKKKIRKFKESAWKCVYYLSAEILALSVTYNEPWFMNTKYFWVGPGDQTWPDQQTKLKLKLLYMFVAGFYTYSIFALVFWETRRSDFGVSMGHHIATLILIVLSYVCSFSRVGSVVLALHDASDVFLEVGKMSKYSGAERIASFSFILFVLSWIILRLIYYPFWILWSTSYEVVLELDKDKHPIEGPIYYYMFNTLLYCLLVLHIYWWVLMYRMLVKQIQDRGKLSEDVRSDSEGEDEHED
VIMSS10080209 120 267 0.623378378378379 AtMYB47 (myb domain protein 47); DNA binding / transcription factor (RefSeq) 267 0 14 148 0 Arabidopsis thaliana VIMSS10080209 1 MicrobesOnline GIDPMTHEPIIKHLTVNTTNADCGNSSTTTSPSTTESSPSSGSSRLLNKLAAGISSRQHSLDRIKYILSNSIIESSDQAKEEEEKEEEEEERDSMMGQKIDGSEGEDIQIWGEEEVRRLMEIDAMDMYEMTSYDAVMYESSHILDHLF
VIMSS10081164 1 258 0.434238759689923 PF00010.26:HLH:183:223 Transcription factor bHLH54; Basic helix-loop-helix protein 54; AtbHLH54; bHLH 54; Transcription factor EN 114; bHLH transcription factor bHLH054 258 41 14 258 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LEG1 1 SwissProt MDVFVDGELESLLGMFNFDQCSSSKEERPRDELLGLSSLYNGHLHQHQHHNNVLSSDHHAFLLPDMFPFGAMPGGNLPAMLDSWDQSHHLQETSSLKRKLLDVENLCKTNSNCDVTRQELAKSKKKQRVSSESNTVDESNTNWVDGQSLSNSSDDEKASVTSVKGKTRATKGTATDPQSLYARKRREKINERLKTLQNLVPNGTKVDISTMLEEAVHYVKFLQLQIKLLSSDDLWMYAPLAYNGLDMGFHHNLLSRLM
VIMSS10081365 1 141 0.135678723404255 PF02519.14:Auxin_inducible:6:102 Auxin-responsive protein SAUR63; Protein SMALL AUXIN UP RNA 63 141 97 14 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4I1H5 1 SwissProt MINAKKLMKMAKKWQQRAALHRKRISFQRSSVFTSSSSTVEKGCFVVYTADKIRFAFPISYLSNSVVQELLKISEEDFGLPTEGPITLPFDSAFLEYLVKLIQRRMDEDTEKALLLSISSARCSFQPQEQQCSTTQQLLVF
VIMSS10081367 1 148 0.211956081081081 PF02519.14:Auxin_inducible:7:108 auxin-responsive protein, putative (RefSeq) 148 102 14 148 0 Arabidopsis thaliana VIMSS10081367 1 MicrobesOnline MINTKKLLKMAKKWQQRAALKRKRISFQRSTTTTTTTTTTTSSSTAVEKGCFVVYTVDKIRFAFPLSYLNNSVFEELLKISEEEFGLRAGGPITLPFDSVFLEYLIKFIERRMDGDTEKALLMSISSARCSMQPQEQQSGYTQQLLVF
VIMSS10082744 93 211 0.32401512605042 Integrase-type DNA-binding superfamily protein 211 0 14 119 0 Arabidopsis thaliana NP_175104.1 1 RefSeq EISTSLYHIINNGDNNNDMSPKSIQRVAAAAAAANTDPSSSSVSTSSPLLSSPSEDLYDVVSMSQYDQQVSLSESSSWYNCFDGDDQFMFINGVSAPYLTTSLSDDFFEEGDIRLWNFC
VIMSS10083641 1 417 0.174316306954436 PF00657.22:Lipase_GDSL:54:343 Inactive GDSL esterase/lipase-like protein 25; GDSL-like lipase 25; Myrosinase-associated protein GLL25; Protein ENDOPLASMIC RETICULUM MORPHOLOGY 3; Protein GOLGI DEFECTS 36; Protein MODIFIED VACUOLE PHENOTYPE 1; Protein NUCLEAR CAGE 417 290 14 417 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q7XA74 1 SwissProt MLLIPSFTANSNEPPPSKLSLSDLSMAILKSHFFLLFPLLLLHFHTVSFAQTLFVFGDGLYDAGNKQFLSQNRVDASFPPYGVTVGQATGRWSDGSIVPDYLAKFMGIPKISPILLTTADFSHGANFAIADATVLGSPPETMTLSQQVKKFSENKNKWTNQTRSEAIYLIYIGSDDYLSYAKSNPSPSDTQKQAFVDQVITTIKAEIKVVYGSGGRKFAFQNLAPLGCLPAVKQASGNVQECVKLPSEMAALHNKKLLQLLVELSRELNGFQYSFYDFFSSIQNRVIKSKTYTFETGNAACCGTGSINGSNCSAKNVCAKPEEYIFFDGKHLTQEANLQVGHLMWGADPEVIGPNNIRELMVLPLDITVILAGIQEAMAAMRPRQSNIESLYDIKKMESEMDNHWLYQVDKAISFMI
VIMSS10084248 1 406 0.605653448275862 PF02902.19:Peptidase_C48:357:405 Ubiquitin-like-specific protease 1D; Protein OVERLY TOLERANT TO SALT 1; EC 3.4.22.- 584 49 14 406 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q2PS26 1 SwissProt MTKRKKEVIDVDCSEKKDFVIDWSSAMDKEDEVPELEIVNTTKPTPPPPPTFFSDDQTDSPKLLTDRDLDEQLERKKAILTLGPGLPDKGEKIRLKIADLEEEKQRRVLEGSKMEVDRSSKVVSSTSSGSDVLPQGNAVSKDTSRGNADSKDTSRQGNADSKEVSRSTFSAVFSKPKTDSQSKKAFGKELEDLGCERRKHKAGRKPVTRLSNGWRLLPDVGKAEHSAKQFDSGLKESKGNKKSKEPYGKKRPMESSTYSLIDDDDDDDDDDDNDTSGHETPREWSWEKSPSQSSRRRKKSEDTVINVDEEEAQPSTVAEQAAELPEGLQEDICYPTRDDPHFVQVCLKDLECLAPREYLTSPVMNFYMRFLQQQISSSNQISADCHFFNTYFYKKLSDAVTYKGND
VIMSS10084840 1 330 0.430606363636364 PF04012.12:PspA_IM30:73:289 Membrane-associated protein VIPP1, chloroplastic; Protein VESICLE-INDUCING PROTEIN IN PLASTIDS 1 330 217 14 330 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80796 1 SwissProt MALKASPVTGLFPPLRPTASSSPSTSSNRPCSLRILPLRTSFFGNSSGALRVNVLRLACDNRLRCNGHGATMNLFERFSRVVKSYANALISSFEDPEKILEQTVIEMNSDLTKMRQATAQVLASQKQLQNKYKAAQQSSDDWYKRAQLALAKGDEDLAREALKRRKSFADNATALKTQLDQQKGVVDNLVSNTRLLESKIQEAKAKKDTLLARARTAKTATKVQEMIGTVNTSGALSAFEKMEEKVMAMESEADALTQIGTDELEGKFQMLETSSVDDDLADLKKELSGSSKKGELPPGRSTVAASTRYPFKDSEIENELNELRRKANDF
VIMSS10085131 253 521 0.452904460966543 Two-component response regulator ARR11; Receiver-like protein 3 521 0 14 269 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FXD6 1 SwissProt EKGKELKCYSGGVKNADSSPKDVEVNSGYQSPGRSSYVFSGGNSLIQKATEIDPKPLASASLSDLNTDVIMPPKTKKTRIGFDPPISSSAFDSLLPWNDVPEVLESKPVLYENSFLQQQPLPSQSSYVANSAPSLMEEEMKPPYETPAGGSSVNADEFLMPQDKIPTVTLQDLDPSAMKLQEFNTEAILRSLNWELPESHHSVSLDTDLDLTWLQGERFLANTGLQFQDYSSSPSLLSELPAHLNWYGNERLPDPDEYSFMVDQGLFIS
VIMSS10085134 1 189 0.199913227513228 PF06298.11:PsbY:81:113,PF06298.11:PsbY:153:185 Photosystem II core complex proteins psbY (EC 1.10.3.9) 189 66 14 151 2 Arabidopsis thaliana metacyc::AT1G67740-MONOMER 1 metacyc MAAAMATATKCMSLNPSPPKLQNQTKSKPFISLPTPPKPNVSLAVTSTALAGAVFSSLSYSEPALAIQQIAQLAAANASSDNRGLALLLPIVPAIAWVLYNILQPAINQVNKMRESKGIVVGLGIGGGLAASGLLTPPPEAYAAAEAAAASSDSRGQLLLIVVTPALLWVLYNILQPALNQINKMRSGD
VIMSS10085187 1 356 0.305907584269663 zinc finger (B-box type) family protein (RefSeq) 356 0 14 356 0 Arabidopsis thaliana VIMSS10085187 1 MicrobesOnline MLCIIIIENMERVCEFCKAYRAVVYCIADTANLCLTCDAKVHSANSLSGRHLRTVLCDSCKNQPCVVRCFDHKMFLCHGCNDKFHGGGSSEHRRRDLRCYTGCPPAKDFAVMWGFRVMDDDDDVSLEQSFRMVKPKVQREGGFILEQILELEKVQLREENGSSSLTERGDPSPLELPKKPEEQLIDLPQTGKELVVDFSHLSSSSTLGDSFWECKSPYNKNNQLWHQNIQDIGVCEDTICSDDDFQIPDIDLTFRNFEEQFGADPEPIADSNNVFFVSSLDKSHEMKTFSSSFNNPIFAPKPASSTISFSSSETDNPYSHSEEVISFCPSLSNNTRQKVITRLKEKKRARVEEKKA
VIMSS10085875 120 271 0.415818421052632 MYB95 (myb domain protein 95); DNA binding / transcription factor (RefSeq) 271 0 14 152 0 Arabidopsis thaliana VIMSS10085875 1 MicrobesOnline GIDPMTHEPTTTTSLTVDVTSSSTTSSPTPSPTSSSFSSCSSTGSARFLNKLAAGISSRKHGLESIKTVILAEQPREAVDEEKMMTINMKEKELISCYMEIDETMSIDELPCDDSTSGFVAFDDYSLIDPYRDGVYVSDFYDETEHLDLFLL
VIMSS10086274 155 677 0.0534445506692161 PF00916.20:Sulfate_transp:1:334,PF01740.21:STAS:386:508 Sulfate transporter 2.2; AST56; AtH14 677 457 14 304 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92946 1 SwissProt TSRELAIGPVAVVSLLLSSMVRDLQDPVTDPIAYRKIVFTVTFFAGAFQAIFGLFRLGFLVDFLSHAALVGFMAGAAIVIGLQQLKGLFGLTHFTNKTDVVSVLSSVFHSLHHPWQPLNFVIGSSFLIFILLARFIGKRNNKLFWIPAMAPLISVVLATLIVYLSNAESRGVKIVKHIKPGFNQLSVNQLQFKSPHLGQIAKIGLISAIIALTEAIAVGRSFATIKGYRLDGNKEMMAMGFMNIAGSLSSCYVATGSFSRTAVNFSAGCETVVSNIVMAITVMISLEVLTRFLYFTPTAILASIILSALPGLIDVSGALHIWKLDKLDFLVLIAAFFGVLFASVEIGLLLAVGISFARIMLSSIRPSIEALGRLSKTDIFGDINQYPMANKTAGLLTLRISSPLLCFANANFIRDRILNSVQEIEGEENEQEVLKENGLQVVILDMSCVMGVDTSGVFALEELHQELASNDIRLVIASPRWRVLHKLKRAKLDEKIKTENIYMTVGEAVDIYVRARSTSHELC
VIMSS10086303 1 684 0.225564035087719 PF03141.16:Methyltransf_29:155:676,PF08241.12:Methyltransf_11:278:372 Probable pectin methyltransferase QUA2; Protein OVERSENSITIVE TO SUGAR 1; Protein QUASIMODO 2; Protein TUMOROUS SHOOT DEVELOPMENT 2; EC 2.1.1.- 684 522 14 661 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9Q8 1 SwissProt MSMPLQRGISGVRVSDSSDDLRDSQMKDKTERARSTENNNLTLRFPFGFLFSNQSSSKHGGGGENGFSADPYSARSRHRLMLLFLKISLVLIVVIALAGSFWWTISISTSSRGHVYHNYRRLQEQLVSDLWDIGEISLGPNRWKELEYCNIESENFVPCFNVSENLALGYSNGDENDRFCGPGSKQECLELPPVKYRVPLRWPTGKDIIWHSNVKITAQEVVSSGSITKRMMMMEDDQISFRSASPMSDEVEDYSHQIAEMIGIKKDNFIEAGVRTILDIGCGYGSFGAHLLSKQILTMCIANYEASGSQVQLTLERGLPAMIGSFISKQLPYPSLSFDMLHCLRCGIDWDQKDGLLLVEIDRVLKPGGYFVWTSPLTNPRNKDHLKRWNFVHDFAESICWTLLNQQDETVVWKKTINTKCYSSRKPGVGPSVCTKGHDVESPYYRPLQMCIGGTRSRRWIPIEGRTRWPSRSNMNKTELSLYGLHPEVLGEDAENWKITVREYWSLLSPLIFSDHPKRPGDEDPSPPYNMLRNVLDMNAQFGGLNSALLEARKSVWVMNVVPTAGPNHLPMILDRGFVGVLHNWCEPFPTYPRTYDLVHADNLLSLQTSQPRKTCLLIDIFTEIDRLLRPEGWVIIRDTAQLVEKARETITQLKWEARVIEVESSSEQRLLICQKPFTKRQSI
VIMSS10086366 176 455 0.218804642857143 EP1-like glycoprotein 2; Curculin-like (Mannose-binding) lectin family protein 455 0 14 280 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVA2 1 SwissProt KVNGVNKLVSRTSDSNGSDGPYSMVLDKKGLTMYVNKTGTPLVYGGWPDHDFRGTVTFAVTREFDNLTEPSAYELLLEPAPQPATNPGNNRRLLQVRPIGSGGGTLNLNKINYNGTISYLRLGSDGSLKAYSYFPAATYLKWEESFSFFSTYFVRQCGLPSFCGDYGYCDRGMCNACPTPKGLLGWSDKCAPPKTTQFCSGVKGKTVNYYKIVGVEHFTGPYVNDGQGPTSVNDCKAKCDRDCKCLGYFYKEKDKKCLLAPLLGTLIKDANTSSVAYIKY
VIMSS10088393 1 383 0.153556135770235 PF08392.12:FAE1_CUT1_RppA:100:382 3-ketoacyl-CoA synthase 9; KCS-9; Very long-chain fatty acid condensing enzyme 9; VLCFA condensing enzyme 9; EC 2.3.1.199 512 283 14 337 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SIX1 1 SwissProt MEAANEPVNGGSVQIRTENNERRKLPNFLQSVNMKYVKLGYHYLITHLFKLCLVPLMAVLVTEISRLTTDDLYQIWLHLQYNLVAFIFLSALAIFGSTVYIMSRPRSVYLVDYSCYLPPESLQVKYQKFMDHSKLIEDFNESSLEFQRKILERSGLGEETYLPEALHCIPPRPTMMAAREESEQVMFGALDKLFENTKINPRDIGVLVVNCSLFNPTPSLSAMIVNKYKLRGNVKSFNLGGMGCSAGVISIDLAKDMLQVHRNTYAVVVSTENITQNWYFGNKKAMLIPNCLFRVGGSAILLSNKGKDRRRSKYKLVHTVRTHKGAVEKAFNCVYQEQDDNGKTGVSLSKDLMAIAGEALKANITTLGPLVLPISEQILFFMT
VIMSS10088677 1 322 0.013532298136646 hypothetical protein (RefSeq) 322 0 14 184 6 Arabidopsis thaliana VIMSS10088677 0 MicrobesOnline MARFSFLNVVKDVVAILNESRKLFLKNKKLMFSVLVFPLLLNCLVYFLNIFVIVPEITNLILEASLLPSTDPTSPEYAARLMRVFTDFRQFVGSSYIFAAVSSIINLFSVLVIVHASAITLKDENFNIKDFPVLSLKSWKGPLVTYFYIALFSLGFGFLFFIILCPILLFSIKSGSVENIGFLAVEAGVLLIIFTVSQSYFAIYWNLSMVISILEESYGFQALGKAAKIVKGMKTKLFLLNLFFGLLASGLAQILQLINMGRSLAVTLTTGFVLVCLVFAVRMFQLVTYTVAYFQCKSLQGRDVESLRDVEYMALSSTTLTE
VIMSS10088941 1 52 0.350255769230769 Protein EPIDERMAL PATTERNING FACTOR 1 104 0 14 52 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S8I4 1 SwissProt MKSLLLLAFFLSFFFGSLLARHLPTSSHPSHHHVGMTGALKRQRRRPDTVQV
VIMSS10089176 1 114 0.508888596491228 PF05678.14:VQ:36:59 VQ motif-containing protein 114 24 14 114 0 Arabidopsis thaliana NP_179873.1 1 RefSeq MEATSQPCFSHNSSLHSTRKQPAKPWKKPVTGLPQRMHPKVYRVEPVNFKELVQRLTGAEDVEQEVHQVEAKPVKISDDTTTAKDNPFAFDLSPSSSRFWEAFPLLSPANLSRW
VIMSS10089191 208 458 0.166918326693227 PF00450.22:Peptidase_S10:6:202 SNG1 (SINAPOYLGLUCOSE 1); serine-type carboxypeptidase/ sinapoylglucose-malate O-sinapoyltransferase (RefSeq) 458 197 14 251 0 Arabidopsis thaliana VIMSS10089191 1 MicrobesOnline PVTYMDFEQNFRIPYAYGMGLISDEIYEPMKRICNGNYYNVDPSNTQCLKLTEEYHKCTAKINIHHILTPDCDVTNVTSPDCYYYPYHLIECWANDESVREALHIEKGSKGKWARCNRTIPYNHDIVSSIPYHMNNSISGYRSLIYSGDHDIAVPFLATQAWIRSLNYSPIHNWRPWMINNQIAGYTRAYSNKMTFATIKASVDTRQSIDQTRPLSCSKGGSVANPCNKRLMTFTYNYLPTNIHVKRSCLC
VIMSS10089594 1 326 0.227714110429448 PF04757.14:Pex2_Pex12:41:268 Peroxisome biogenesis factor 10; PER10; Peroxin-10; Peroxisomal biogenesis factor 10; AtPEX10; AthPEX10; Peroxisome assembly protein 10; Pex10p 381 228 14 326 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYU4 1 SwissProt MRLNGDSGPGQDEPGSSGFHGGIRRFPLAAQPEIMRAAEKDDQYASFIHEACRDAFRHLFGTRIALAYQKEMKLLGQMLYYVLTTGSGQQTLGEEYCDIIQVAGPYGLSPTPARRALFILYQTAVPYIAERISTRAATQAVTFDESDEFFGDSHIHSPRMIDLPSSSQVETSTSVVSRLNDRLMRSWHRAIQRWPVVLPVAREVLQLVLRANLMLFYFEGFYYHISKRASGVRYVFIGKQLNQRPRYQILGVFLLIQLCILAAEGLRRSNLSSITSSIQQASIGSYQTSGGRGLPVLNEEGNLITSEAEKGNWSTSDSTSTEAVGK
VIMSS10089627 1 399 0.118866165413534 PF08392.12:FAE1_CUT1_RppA:92:381 3-ketoacyl-CoA synthase 11; KCS-11; Very long-chain fatty acid condensing enzyme 11; VLCFA condensing enzyme 11; EC 2.3.1.199 509 290 14 353 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48780 1 SwissProt MDVEQKKPLIESSDRNLPDFKKSVKLKYVKLGYHYLITHGMYLFLSPLVLVIAAQISTFSVTDLRSLWEHLQYNLISVVVCSMLLVFLMTIYFMTRPRPVYLVNFSCFKPDESRKCTKKIFMDRSKLTGSFTEENLEFQRKILQRSGLGESTYLPEAVLNVPPNPCMKEARKEAETVMFGAIDELLAKTNVNPKDIGILIVNCSLFNPTPSLSAMVVNHYKLRGNILSYNLGGMGCSAGLISIDLAKHLLHSIPNTYAMVISMENITLNWYFGNDRSKLVSNCLFRMGGAAILLSNKRWDRRRSKYELVDTVRTHKGADDKCFGCITQEEDSASKIGVTLSKELMAVAGDALKTNITTLGPLVLPTSEQLLFFATLVGRKLFKMKIKPYIPDFKLAFEH
VIMSS10089779 1 430 0.437729534883721 PF07526.11:POX:262:384 BEL1-like homeodomain protein 8; BEL1-like protein 8; Protein POUND-FOOLISH 584 123 14 430 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJJ3 1 SwissProt MDMIKPDFQQIRRDKFRVEQMNDFPNTWTQQQHQNIRIPNNLDLIGILQNQISVPVQTDLYQDSAATFMNMPQSIHRDPQGPSNWRISDLSQPSTVNHGYDQAGIRPNNVADLLSDHFSSRNQILDRPLYVGRDSIPQSSMIRRSEVSCLDDNQKGCVTVACSGTGNEILRSSYDQGSSSGSYRGEFSFLPSLENQSVAHNASNWNHGPVNVTATSHTNSKKGFPLSLLSDIPPSRDVGNAAVLSTMNIHGPLGPFTGYASILKSSRFLEPAQKMLEEFCISYASKIISRSESTSMEDDDDDDDNLSGFSSSSEPLEPKNRLKKAKLLFLQEEVCKWYKLYNHQLQTVMSSFNTVAGLNTATPYISLALKRTSRSFKALRTAIAEHVKQISSHSSNGNNNNRFQKRQRSLIGNNVGFESQQQHIWRPQRG
VIMSS10090073 1 273 0.634036996336996 PF00538.19:Linker_histone:62:127 Histone H1.2 273 66 14 273 0 Arabidopsis thaliana P26569 1 SwissProt/TReMBL MSIEEENVPTTVDSGAADTTVKSPEKKPAAKGGKSKKTTTAKATKKPVKAAAPTKKKTTSSHPTYEEMIKDAIVTLKERTGSSQYAIQKFIEEKHKSLPPTFRKLLLVNLKRLVASEKLVKVKASFKIPSARSAATPKPAAPVKKKATVVAKPKGKVAAAVAPAKAKAAAKGTKKPAAKVVAKAKVTAKPKAKVTAAKPKSKSVAAVSKTKAVAAKPKAKERPAKASRTSTRTSPGKKVAAPAKKVAVTKKAPAKSVKVKSPAKRASTRKAKK
VIMSS10090087 1 268 0.220129104477612 PF00067.22:p450:40:264 CYP71A12 (cytochrome P450, family 71, subfamily A, polypeptide 12); electron carrier/ heme binding / iron ion binding / monooxygenase/ oxygen binding (RefSeq) 503 225 14 245 1 Arabidopsis thaliana VIMSS10090087 1 MicrobesOnline MSNIQEMEMILMVSLCLTTLITLFLLKQFLKRTANKVNLPPSPWRLPLIGNLHQLSLHPHRSLHSLSLRYGPLMLLHFGRVPILVVSSGEAAQEVLKTHDLKFANRPRSKAVHGLMNGGRDVVFGPYGEYWRQMKSVCILNLLTNKMVASFEKIREEELNEMIKKLEKASSSSSSENLSELFVTLPSDVTSRIALGRKHSEDETARDLKKRVRQIMELLGEFPIGDYVPALAWIDRINGFNARIKEVSQGFSDLMDKVVQEHLEAGNH
VIMSS10091360 1 462 0.158584199134199 PF07479.14:NAD_Gly3P_dh_C:274:428,PF01210.23:NAD_Gly3P_dh_N:146:247 Glycerol-3-phosphate dehydrogenase [NAD(+)] GPDHC1, cytosolic; EC 1.1.1.8 462 257 14 462 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22216 1 SwissProt MVGSIEAKSLQSNGSVHHIGLNLEEKLDEFRRLLGKSEKDPLRIVSVGAGAWGSVFAALLQESYGGFRDKFQIRIWRRAGRAVDRETAEHLFEVINSREDILRRLIRRCAYLKYVEARLGDRTLYADEILKDGFCLNMVDTPLCPLKVVTNLQEAVWDADIVVNGLPSTETREVFEEISKYWKERITVPIIISLSKGIETALEPVPHIITPTKMIHQATGVPIDNVLYLGGPNIAAEIYNKEYANARICGAAKWRKPLAKFLRQPHFIVWDNSDLVTHEVMGGLKNVYAIGAGMVAALTNESATSKSVYFAHCTSEMIFITHLLAEEPEKLAGPLLADTYVTLLKGRNAWYGQMLAKGEINRDMGDSISGKGMIQGVSAVGAFYQLLSQSSLSILPSEEKKPVAPVESCPILKTLYKILITREQSTQAILQALRDETLNDPRDRIEIAQSHAFYRPSLLGQP
VIMSS10091861 1 337 0.107538575667656 PF00067.22:p450:28:335 Cytochrome P450 86A8; Protein LACERATA; EC 1.14.14.1 537 308 14 319 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80823 1 SwissProt MEISTALMILSAITAYFLWLTFISRCLKGPRVWPILGSLPGLIENCERMHDWISDNLRACSGTYQTCICAIPFLAKKQGLVTVTCDPRNLEHILKNRFDNYPKGPTWQAVFHDLLGQGIFNSDGDTWLFQRKTAALEFTTRTLRQAMARWVNRAIKLRFLPILENARLGSEPIDLQDLLLRLTFDNICGLTFGKDPRTCAPGLPVNTFAVAFDRATEASLQRFILPEILWKFKRWLRLGLEVSLTRSLVQVDNYLSEIITTRKEEMMTQHNNGKHHDDLLSRFIKKKESYSDETLQRVALNFILAGRDTSSVALSWFFWLITQHPAIEDKILREICT
VIMSS10092225 1 96 0.1011 PF00462.24:Glutaredoxin:41:90 Glutaredoxin-C7; AtGrxC7; Protein ROXY 1 136 50 14 96 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96305 1 SwissProt MQYQTESWGSYKMSSLGFGGLGMVADTGLLRIESLASESAVVIFSVSTCCMCHAVKGLFRGMGVSPAVHELDLHPYGGDIQRALIRLLGCSGSSSP
VIMSS10092867 1 456 0.521909868421053 PF00010.26:HLH:268:315 Transcription factor bHLH62; Basic helix-loop-helix protein 62; AtbHLH62; bHLH 62; Transcription factor EN 85; bHLH transcription factor bHLH062 456 48 14 456 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRT2 1 SwissProt MENELFMNAGVSHPPVMTSPSSSSAMLKWVSMETQPVDPSLSRNLFWEKSTEQSIFDSALSSLVSSPTPSNSNFSVGGVGGENVIMRELIGKLGNIGDIYGITASNGNSCYATPMSSPPPGSMMETKTTTPMAELSGDPGFAERAARFSCFGSRSFNSRTNSPFPINNEPPITTNEKMPRVSSSPVFKPLASHVPAGESSGELSRKRKTKSKQNSPSAVSSSKEIEEKEDSDPKRCKKSEENGDKTKSIDPYKDYIHVRARRGQATDSHSLAERVRREKISERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNTRLDFNMDALLSKDIFPSSNNLMHHQQVLQLDSSAETLLGDHHNKNLQLNPDISSNNVINPLETSETRSFISHLPTLAHFTDSISQYSTFSEDDLHSIIHMGFAQNRLQELNQGSSNQVPSHMKAEL
VIMSS10093172 166 549 0.426417447916666 NAC domain-containing protein 53; ANAC053; Protein ANTHER INDEHISCENCE FACTOR; Protein NTM1-like 4 549 0 14 361 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q949N0 1 SwissProt NGEQYGAPFVEEEWEEEDDMTFVPDQEDLGSEDHVYVHMDDIDQKSENFVVYDAIPIPLNFIHGESSNNVETNYSDSINYIQQTGNYMDSGGYFEQPAESYEKDQKPIIRDRDGSLQNEGIGCGVQDKHSETLQSSDNIFGTDTSCYNDFPVESNYLIGEAFLDPNSNLLENDGLYLETNDLSSTQQDGFDFEDYLTFFDETFDPSQLMGNEDVFFDQEELFQEVETKELEKEETSRSKHVVEEKEKDEASCSKQVDADATEFEPDYKYPLLKKASHMLGAIPAPLANASEFPTKDAAIRLHAAQSSGSVHVTAGMITISDSNMGWSYGKNENLDLILSLGLVQGNTAPEKSGNSSAWAMLIFMCFWVLLLSVSFKVSILVSSR
VIMSS10093175 1 128 0.57711875 Cyclin-dependent protein kinase inhibitor SMR1; Protein LOSS OF GIANT CELLS FROM ORGANS; Protein SIAMESE-RELATED 1 128 0 14 128 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LPP4 1 SwissProt MDLELLQDLSKFNFPTPIKIRSKTSKTKKDEGDDDEDDLRCSTPTSQEHKIPAVVDSPPPPPRKPRPPPSAPSATAALMIRSCKRKLLVSTCEIIMNREEIDRFFSSVYNETSTTAKRRRSYPYCSRR
VIMSS10093201 1 261 0.465068965517242 SUN domain-containing protein 2; AtSUN2 455 0 14 238 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SG79 1 SwissProt MSASTVSITASPRTIRRTPVLSGEKKSNFDFPPSESHANAAIGESSAGTNKDLIRAEAAGERSNTYDVGPVTRKSGSTATGTNTTTTQRRTRKSQGNKIDRGKWKTVVRVFAKQFGALLLLVGLIQLIRKLTLKDSSLSSSNFPIETEMVLSELESRISAVDGLVKTTTKMMQVQVEFLDKKMDSESRALRQTIDSTSSVLHSELKKVESKTERLQVSVDELNAKPLVSREELERVYEELKKGKVGDSDVNIDKLRAYARD
VIMSS10094160 1 222 0.534754954954955 PF05678.14:VQ:71:95 Protein MKS1; Protein MAP kinase 4 substrate 1; VQ motif-containing protein 21; AtVQ21 222 25 14 222 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LGD5 1 SwissProt MDPSEYFAGGNPSDQQNQKRQLQICGPRPSPLSVHKDSHKIKKPPKHPAPPPNRDQPPPYIPREPVVIYAVSPKVVHATASEFMNVVQRLTGISSGVFLESGGGGDVSPAARLASTENASPRGGKEPAARDETVEINTAMEEAAEFGGYAPGILSPSPALLPTASTGIFSPMYHQGGMFSPAIPLGLFSPAGFMSPFRSPGFTSLVASPTFADFFSHIWDQD
VIMSS10094287 184 741 0.193674193548387 P-loop containing nucleoside triphosphate hydrolases superfamily protein 741 0 14 558 0 Arabidopsis thaliana NP_850615.2 1 RefSeq EALVRAKMQHKEFIILCLEDSSDWSIATTRRIVMQVDPELSRTIVVSTKLDTKIPQFSCSSDVEVFLSPPASALDSSLLGDSPFFTSVPSGRVGYGQDSVYKSNDEFKQAVSLREMEDIASLEKKLGRLLTKQEKSRIGISKLRLFLEELLWKRYKESVPLIIPLLGKEYRSTVRKLDTVSKELSSLDEAKLKERGRTFHDLFLTKLSLLLKGTVVAPPDKFGETLQDERTQGGAFVGTDGLQFSHKLIPNAGMRLYGGAQYHRAMAEFRFLVGAIKCPPITREEIVNACGVEDIHDGTNYSRTACVIAVAKARETFEPFLHQLGARLLHILKRLLPISVYLLQKEGEYLSGHEVFLKRVASAFNSFVESTEKSCRDKCMEDLASTTRYVTWSLHNKNRAGLRQFLDSFGGTEHNTTSGSSIQTTEMRLADLLDSTLWNRKLAPSSERIVYALVQQIFQGIREYFLASAELKFNCFLLMPIVDKLPALLREELENAFEDDLDSIFDITNLRQSLDQKKRSTEIELRRIKRIKEKFRVMNEKLNSHEFAQNLKAPSVQH
VIMSS10094352 1 381 0.257647244094488 PF02470.20:MlaD:128:203 Protein TRIGALACTOSYLDIACYLGLYCEROL 2, chloroplastic; ABC transporter I family member 15; ABC transporter ABCI.15; AtABCI15 381 76 14 358 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LTR2 1 SwissProt MIGNPVIQVPSSLMPSSSMIACPRVSPNGVPYLPPKPRTRHLVVRAASNSDAAHGQPSSDGGKNPLTVVLDVPRNIWRQTLKPLSDFGFGKRSIWEGGVGLFIVSGATLLALSWAWLRGFQMRSKFRKYQTVFELSHASGICTGTPVRIRGVTVGTIIRVNPSLKNIEAVAEIEDDKIIIPRNSLVEVNQSGLLMETMIDIMPRNPIPEPSVGPLHPECGKEGLIVCDRQTIKGVQGVSLDELVGIFTRIGREVEAIGVANTYSLAERAASVIEEARPLLKKIQAMAEDAQPLLSEFRDSGLLKEVECLTRSLTQASDDLRKVNSSIMTPENTELIQKSIYTLVYTLKNVESISSDILGFTGDEATRKNLKLLIKSLSRLL
VIMSS10094610 592 1555 0.726787240663901 TIC (TIME FOR COFFEE) (RefSeq) 1555 0 14 964 0 Arabidopsis thaliana VIMSS10094610 1 MicrobesOnline QLSVPDKTAQASHLPLHMSMPGWPGGLPTMGYMAPTQGVVPTDTSSLSAAAMQPPPHLLFNQPRPKRCATHCYIARNIQSHQQFTKMNPFWPAAAGSAPMYGTKACNLSLMPPTELQGSVLGRSSNPVQDKNSQSTSKSSETAQRNQLMLQQALPPGAANSILHGPTFIFPLGQQPHAAATIAAASVRPPNSGITSSGPTATSTSMNGSASATPAGAPTMSFSYPAMPGNETQYLAILQNNGYPFPVPAHVGAQPAYRGAPGQPMPFFNGSFYSSQMIQPPHHQPQKQHQQQLTGQMLQSHAPNNQNGSASTGSSAAQKHLQNQQLRPPINHGNSQGFPTHKVQSQPLNFQQRQQPRENATQHSETVGEDSPSTADSRGSRSNVAYGQNYGMQMQPTNLGLMSSPAPGGGVVGSSSSHGEKKSQQQVSKAGVESFQSPGYAMTFATFNGANTAPTLNMSSIAQNHAMFHSMPEAARQGYQMMAAQAAQQKMNYGASLEDGKSGSIGGAATANNTPEEQRKSGGGAIGKTSGGNGGQSIAFSNKQDLADASVSAVTSGSIVDSSSRLLNLGSALPQSSGSLPTSHHQQLLQQQQQQHMQRSQSQQPYTTMYLQKQQRYATSVAASAARTKGPVVSNGSGFPDHNMTTSPAGTTKFANANSGFPQNLVQSSSNQVQSQQWKNNSPRTTNTTQAQSPSMLSPSTSVAAASSLRNIPHKQQSRPQQSQISFAANSKPMTSGSPMQQVQGGTNHQAPSPPMLVGSPSTSSVSKNASGSPRTTASASSAANKGGQASTTTHSASQPSKNLQPASAASSAGGRNNGPSVLGNPTTSSGSKSQQQQQLPKHGLQPQAQLFFSNPYMQAQHQHQQQQITISPSGGYYIQRHQQQSGSAPAVPVTGAVTATSDPAKAIAAASAANNMKGGGGMGKTQQHQLGPPGFTNVHAVSSAVQVKPVDQKQQAACAGETK
VIMSS10095021 1 193 0.536599481865285 Transcription factor HHO1; MYB-domain transcription factor HHO1; Protein HRS1 HOMOLOG 1 357 0 14 193 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LS00 1 SwissProt MIKNLSNMKNDNQKREKCCEYIEALEEERRKINVFQRELPLCVELVTQAIEAYKREISGTSTDNLYGQSECSEQTTGECGRILDLFIPIKHSSTSIEEEVDDKDDDDEEHQSHETDIDFDDKNMKSEWLKSVQLWNQSDAVVSNNRQDRSQEKTETLVELIKINDEAAKKNNNIKSPVTTSDGGSGGGGGRRG
VIMSS10095460 150 318 0.348500591715976 NAC domain-containing protein 59; ANAC059; AtNAC3; Protein ORE1 SISTER1 318 0 14 169 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJW3 1 SwissProt EGKFAIDNLSKTAKNECVISRVFHTRTDGTKEHMSVGLPPLMDSSPYLKSRGQDSLAGTTLGGLLSHVTYFSDQTTDDKSLVADFKTTMFGSGSTNFLPNIGSLLDFDPLFLQNNSSVLKMLLDNEETQFKKNLHNSGSSESELTASSWQGHNSYGSTGPVNLDCVWKF
VIMSS10097502 104 272 0.537760355029586 Heat stress transcription factor A-7a; AtHsfA7a; AtHsf-09 272 0 14 169 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SV12 1 SwissProt EFLLGQRQLLKNIKRRNPFTPSSSPSHDACNELRREKQVLMMEIVSLRQQQQTTKSYIKAMEQRIEGTERKQRQMMSFLARAMQSPSFLHQLLKQRDKKIKELEDNESAKRKRGSSSMSELEVLALEMQGHGKQRNMLEEEDHQLVVERELDDGFWEELLSDESLASTS
VIMSS10097834 1 322 0.517252795031056 PF00320.27:GATA:231:264 Plant-specific GATA-type zinc finger transcription factor family protein 322 34 14 322 0 Arabidopsis thaliana NP_850704.1 1 RefSeq MIGTSFPEDLDCGNFFDNMDDLMDFPGGDIDVGFGIGDSDSFPTIWTTHHDTWPAASDPLFSSNTNSDSSPELYVPFEDIVKVERPPSFVEETLVEKKEDSFSTNTDSSSSHSQFRSSSPVSVLESSSSSSQTTNTTSLVLPGKHGRPRTKRPRPPVQDKDRVKDNVCGGDSRLIIRIPKQFLSDHNKMINKKKKKKAKITSSSSSSGIDLEVNGNNVDSYSSEQYPLRKCMHCEVTKTPQWRLGPMGPKTLCNACGVRYKSGRLFPEYRPAASPTFTPALHSNSHKKVAEMRNKRCSDGSYITEENDLQGLIPNNAYIGVD
VIMSS10098209 107 218 0.545121428571429 LOB domain-containing protein 29; ASYMMETRIC LEAVES 2-like protein 16; AS2-like protein 16 218 0 14 112 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2J7 1 SwissProt ILKQQAAQSMIFADSPTSENPNSYYGDTTKAPYHHDHQNIYHHHDQTHLVYQTGSSGTVQHGDATESSYHNETSSGMGEFSIYSDLEQHLNTFNQDHLKELQSANFGYISFS
VIMSS10098400 1 418 0.320369856459331 PF01344.25:Kelch_1:196:241,PF13964.6:Kelch_6:195:245,PF13418.6:Kelch_4:195:241 F-box/kelch-repeat protein SKIP20; SKP1-interacting partner 20 418 51 14 418 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M1Y1 1 SwissProt MGVSKKKSGEIRGDLIPGLPEELAIECLVRVPFQFHSSIKSVCRSWKCVISSRSFIKERIGFGKAESLLCLVQPLTSPPSPAMMEGGEMSQKKKEEEEGESQMTQQLLQPRITGTPLYGLSVYNATLDTWHRVAIPERIPLFCECVAIQDAGKVLLIGGWDPETLQPVRDVFVLDFFAGEGSGRRFRRGRPMSAARSFFACASVGSTKVYVAGGHDDQKNALRSAEVYDVEKDEWSMLPPMTEGRDECHGFSMATDPGFCVLSGYGTETQGQFRSDGEIYDPITNSWSTIENVWPFPDLSPRGRTAAAAAEFPGDFRGCRLWCFIDSERQSQPHWEVEDDSMKWKVIMDTIRLPVTTMTSVFAGSLSGQAVAMIGGGGEESGTMMVKTTAEKNGGKWSHVNTPSGFSSLPFSCSSIYV
VIMSS10098473 123 256 0.515077611940299 Vesicle-associated protein 1-1; Plant VAP homolog 11; AtPVA11; VAMP-associated protein 1-1; Vesicle-associated protein 27-1 256 0 14 111 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VZ95 1 SwissProt MFSKEAGHRVEETKLRVTYVAPPRPPSPVHEGSEEGSSPRASVSDNGHGSEFSFERFIVDNKAGHQENTSEARALITKLTEEKQSAIQLNNRLQRELDQLRRESKKSQSGGIPFMYVLLVGLIGLILGYIMKRT
VIMSS10098725 126 346 0.343989592760181 PF01556.18:DnaJ_C:14:205 DnaJ protein ERDJ3B; Chaperone protein dnaJ 19; AtDjB19; AtJ19; Endoplasmic reticulum dnaJ domain-containing protein 3B; AtERdj3B; Protein SCJ1 homolog ERDJ3B 346 192 14 221 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZK5 1 SwissProt MEEEEKVVKGDDVIVELEATLEDLYMGGSMKVWREKNVIKPAPGKRKCNCRNEVYHRQIGPGMFQQMTEQVCDKCPNVKYEREGYFVTVDIEKGMKDGEEVSFYEDGEPILDGDPGDLKFRIRTAPHARFRRDGNDLHMNVNITLVEALVGFEKSFKHLDDHEVDISSKGITKPKEVKKFKGEGMPLHYSTKKGNLFVTFEVLFPSSLTDDQKKKIKEVFA
VIMSS10098978 1 360 0.726186944444444 PF07777.11:MFMR:1:95,PF00170.21:bZIP_1:247:309,PF16596.5:MFMR_assoc:129:229,PF07716.15:bZIP_2:249:301 G-box-binding factor 2; bZIP transcription factor 54; AtbZIP54 360 259 14 360 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P42775 1 SwissProt MGSNEEGNPTNNSDKPSQAAAPEQSNVHVYHHDWAAMQAYYGPRVGIPQYYNSNLAPGHAPPPYMWASPSPMMAPYGAPYPPFCPPGGVYAHPGVQMGSQPQGPVSQSASGVTTPLTIDAPANSAGNSDHGFMKKLKEFDGLAMSISNNKVGSAEHSSSEHRSSQSSENDGSSNGSDGNTTGGEQSRRKRRQQRSPSTGERPSSQNSLPLRGENEKPDVTMGTPVMPTAMSFQNSAGMNGVPQPWNEKEVKREKRKQSNRESARRSRLRKQAETEQLSVKVDALVAENMSLRSKLGQLNNESEKLRLENEAILDQLKAQATGKTENLISRVDKNNSVSGSKTVQHQLLNASPITDPVAAS
VIMSS10100664 144 587 0.275281756756757 PF00394.22:Cu-oxidase:14:167,PF07731.14:Cu-oxidase_2:279:388 Monocopper oxidase-like protein SKU5; Skewed roots 587 264 14 444 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SU40 1 SwissProt RAIIPVPFSTPDGDITVTIGDWYIRNHTALRKALDDGKDLGMPDGVLINGKGPYRYNDTLVADGIDFETITVHPGKTYRLRVSNVGISTSLNFRIQGHNLVLAESEGSYTVQQNYTSLDIHVGQSYSFLVTMDQNASSDYYIVASARVVNETIWRRVTGVGILKYTNSKGKAKGQLPPGPQDEFDKTFSMNQARSIRWNVSASGARPNPQGSFKYGSINVTDVYVLRNMPPVTISGKRRTTLNGISFKNPSTPIRLADKLKVKDVYKLDFPKRPLTGPAKVATSIINGTYRGFMEVVLQNNDTKMQSYHMSGYAFFVVGMDYGEWTENSRGTYNKWDGIARSTIQVYPGAWSAILISLDNPGAWNLRTENLDSWYLGQETYVRVVNPDENNKTEFGHPDNVLYCGALSKLQKPQKVSSSASKSIGFTSLSMVVMALVMMMMLQH
VIMSS10101205 1 313 0.27551214057508 PF14215.6:bHLH-MYC_N:50:226 Transcription factor bHLH3; Basic helix-loop-helix protein 3; AtbHLH3; bHLH 3; Transcription factor EN 34; bHLH transcription factor bHLH003 467 177 14 313 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23487 1 SwissProt MGQKFWENQEDRAMVESTIGSEACDFFISTASASNTALSKLVSPPSDSNLQQGLRHVVEGSDWDYALFWLASNVNSSDGCVLIWGDGHCRVKKGASGEDYSQQDEIKRRVLRKLHLSFVGSDEDHRLVKSGALTDLDMFYLASLYFSFRCDTNKYGPAGTYVSGKPLWAADLPSCLSYYRVRSFLARSAGFQTVLSVPVNSGVVELGSLRHIPEDKSVIEMVKSVFGGSDFVQAKEAPKIFGRQLSLGGAKPRSMSINFSPKTEDDTGFSLESYEVQAIGGSNQVYGYEQGKDETLYLTDEQKPRKRGRKPAN
VIMSS10102132 1 493 0.451704868154159 PF08879.10:WRC:245:286,PF08880.11:QLQ:149:181 Growth-regulating factor 8; AtGRF8; Transcription activator GRF8 493 75 14 493 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SU44 1 SwissProt MRMLLGIPYVDKSVLSNSVLERGKQDKSKLLLVDKCHYELDVEERKEDFVGGFGFGVVENSHKDVMVLPHHHYYPSYSSPSSSSLCYCSAGVSDPMFSVSSNQAYTSSHSGMFTPAGSGSAAVTVADPFFSLSSSGEMRRSMNEDAGAAFSEAQWHELERQRNIYKYMMASVPVPPELLTPFPKNHQSNTNPDVDTYRSGMFSIYADYKNLPLSMWMTVTVAVATGGSLQLGIASSASNNTADLEPWRCKRTDGKKWRCSRNVIPDQKYCERHTHKSRPRSRKHVESSHQSSHHNDIRTAKNDTSQLVRTYPQFYGQPISQIPVLSTLPSASSPYDHHRGLRWFTKEDDAIGTLNPETQEAVQLKVGSSRELKRGFDYDLNFRQKEPIVDQSFGALQGLLSLNQTPQHNQETRQFVVEGKQDEAMGSSLTLSMAGGGMEETEGTNQHQWVSHEGPSWLYSTTPGGPLAEALCLGVSNNPSSSTTTSSCSRSSS
VIMSS10102290 114 283 0.444654705882353 Transcription factor LAF1; Myb-related protein 18; AtMYB18; Protein LONG AFTER FAR-RED LIGHT 1 283 0 14 170 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M0K4 1 SwissProt WLKSQSLQDAKSISPPSSSSSSLVACGKRNPETLISNHVFSFQRLLENKSSSPSQESNGNNSHQCSSAPEIPRLFFSEWLSSSYPHTDYSSEFTDSKHSQAPNVEETLSAYEEMGDVDQFHYNEMMINNSNWTLNDIVFGSKCKKQEHHIYREASDCNSSAEFFSPSTTT
VIMSS10102683 1 425 0.69706094117647 PF16135.5:Jas:357:405 AFP homolog 2; Novel interactor of JAZ 425 49 14 425 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SV55 1 SwissProt MDDDNGLELSLGLSCGGSTGKAKGNNNNNAGSSSENYRAEGGDRSAKVIDDFKNFLHPTSQRPAEPSSGSQRSDSGQQPPQNFFNDLSKAPTTEAEASTKPLWVEDESRKEAGNKRKFGFPGMNDDKKKEKDSSHVDMHEKKTKASHVSTATDEGSTAENEDVAESEVGGGSSSNHAKEVVRPPTDTNIVDNLTGQRRSNHGGSGTEEFTMRNMSYTVPFTVHPQNVVTSMPYSLPTKESGQHAAATSLLQPNANAGNLPIMFGYSPVQLPMLDKDGSGGIVALSQSPFAGRVPSNSATAKGEGKQPVAEEGSSEDASERPTGDNSNLNTAFSFDFSAIKPGMAADVKFGGSGARPNLPWVSTTGSGPHGRTISGVTYRYNANQIKIVCACHGSHMSPEEFVRHASEEYVSPESSMGMTAASAHT
VIMSS10102966 1 682 0.146378592375367 PF03109.16:ABC1:224:344 Protein ACTIVITY OF BC1 COMPLEX KINASE 1, chloroplastic; ABC1-LIKE KINASE 1; Protein ABC1-LIKE KINASE RELATED TO CHLOROPHYLL DEGRADATION AND OXIDATIVE STRESS 1; AtACDO1; Protein BLEACHING AND DWARF IN RED LIGHT 1; Protein PROTON GRADIENT REGULATION 6; EC 2.7.-.-; EC 2.7.11.1 682 121 14 682 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWG1 1 SwissProt MESIHCNSLLNPNFSLNQRRRRINHAVLNRRDALLRSLNAVELRRSRTFSAVRTSNFSVTAAATDVGGRNSTDASVMTTAMSGVERGVRVGKSSSALEQLDIERGVCVPFRKYSPETVRSKVLESRGAVVSLVSRGVEIVWTLGLYWSTLTYDFLVGRDEEVVPFRARQLRNLLCNLGPSFIKAGQVLANRPDIIREDYMNELCILQDDVPPFPNEVAFNIIEEELGQPLENIFSKISSQTIAAASLGQVYRATLRATGEDVAIKVQRPQIEPIIYRDLFLFRTLASFLNGFSLQKLGCNAELIVDEFGEKLLEELDYTLEARNIEDFLENFKDDPTVKIPGVYKNLCGPRVLVMEWIDGIRCTDPQAIKDAGIDLNGFLTVGVSAALRQLLEFGLFHGDPHPGNIFAMQDGRIAYVDFGNVAVLSQQNKQILIDAVVHAVNEDYGEMANDFTRLGFLAKDTDVSPIVPALEAIWQNSAGKGLADFNFRSVTGQFNKLVYDFPIRIPERFSLVIRSLLTQEGICFTLKPDFKFLEVAYPYVAKRLLTDPNPALRERLIQVLFKDGVFQWKRLENLLSLAKENVAKMSSNPNLRVKRVESKLDLTDTIKDGARLFLLDEGIRRKLILALTEDSKLHVEELVDVYRLVEDEVDIPTLAMQVVQDLPNVFRDFVLSWSNSVLSDR
VIMSS10103444 163 534 0.582779032258064 NTL9 (NAC transcription factor-like 9); transcription factor (RefSeq) 534 0 14 372 0 Arabidopsis thaliana VIMSS10103444 1 MicrobesOnline RVNGVKSDEAAFTASNKYSPDDTSSDLVQETPSSDAAVEKPSDYSGGCGYAHSNSTADGTMIEAPEENLWLSCDLEDQKAPLPCMDSIYAGDFSYDEIGFQFQDGTSEPDVSLTELLEEVFNNPDDFSCEESISRENPAVSPNGIFSSAKMLQSAAPEDAFFNDFMAFTDTDAEMAQLQYGSEGGASGWPSDTNSYYSDLVQQEQMINHNTENNLTEGRGIKIRARQPQNRQSTGLINQGIAPRRIRLQLQSNSEVKEREEVNEGHTVIPEAKEAAAKYSEKSGSLVKPQIKLRARGTIGQVKGERFADDEKFVVVTGTGAEQKETRREAMEGGCNGNGGCDGWGRDGYMEDTGEFMTHETQRERERESNVV
VIMSS10103571 1 315 0.761740634920635 PF07777.11:MFMR:1:94,PF00170.21:bZIP_1:220:282,PF07716.15:bZIP_2:222:273,PF03131.17:bZIP_Maf:221:284 G-box-binding factor 1; AtGBF1; bZIP transcription factor 41; AtbZIP41 315 159 14 315 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P42774 1 SwissProt MGTSEDKMPFKTTKPTSSAQEVPPTPYPDWQNSMQAYYGGGGTPNPFFPSPVGSPSPHPYMWGAQHHMMPPYGTPVPYPAMYPPGAVYAHPSMPMPPNSGPTNKEPAKDQASGKKSKGNSKKKAEGGDKALSGSGNDGASHSDESVTAGSSDENDENANQQEQGSIRKPSFGQMLADASSQSTTGEIQGSVPMKPVAPGTNLNIGMDLWSSQAGVPVKDERELKRQKRKQSNRESARRSRLRKQAECEQLQQRVESLSNENQSLRDELQRLSSECDKLKSENNSIQDELQRVLGAEAVANLEQNAAGSKDGEGTN
VIMSS10103817 1 183 0.399101092896175 PF00643.24:zf-B_box:4:42,PF00643.24:zf-B_box:52:91 B-box zinc finger protein 19; Protein DOUBLE B-BOX 1B; Protein SALT TOLERANCE HOMOLOG 5 183 79 14 183 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::C0SVM5 1 SwissProt MRILCDACENAAAIIFCAADEAALCRPCDEKVHMCNKLASRHVRVGLAEPSNAPCCDICENAPAFFYCEIDGSSLCLQCDMVVHVGGKRTHGRFLLLRQRIEFPGDKPKENNTRDNLQNQRVSTNGNGEANGKIDDEMIDLNANPQRVHEPSSNNNGIDVNNENNHEPAGLVPVGPFKRESEK
VIMSS10104095 1 201 0.382792537313433 Protein FAR-RED-ELONGATED HYPOCOTYL 1-LIKE 201 0 14 201 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A8MR65 1 SwissProt MDDADKSCSPSLDHSDINDPMIVAVESLDTSKKRKLHAEESDLLPLPKHFCSEHQASLVNSSCPSSVIDYAECSYAMENTKTSDEASSSASFTGPSLYMFKDSIYSTGSSSSGYAATSSIEQCFSKVDHKTQEDTQDFTHMEFIYHDSEFAVEDLQEVLNPVESYILSSARWSVSNQDSKEATTKPTIDQEFEQYFSTLMM
VIMSS10104630 1 330 0.403026666666666 PF14144.6:DOG1:128:204,PF00170.21:bZIP_1:46:86,PF07716.15:bZIP_2:44:88 Transcription factor TGA5; Ocs element-binding factor 5; OBF5; bZIP transcription factor 26; AtbZIP26 330 122 14 330 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39163 1 SwissProt MGDTSPRTSVSTDGDTDHNNLMFDEGHLGIGASDSSDRSKSKMDQKTLRRLAQNREAARKSRLRKKAYVQQLENSRLKLTQLEQELQRARQQGVFISSSGDQAHSTAGDGAMAFDVEYRRWQEDKNRQMKELSSAIDSHATDSELRIIVDGVIAHYEELYRIKGNAAKSDVFHLLSGMWKTPAERCFLWLGGFRSSELLKLIASQLEPLTEQQSLDINNLQQSSQQAEDALSQGMDNLQQSLADTLSSGTLGSSSSGNVASYMGQMAMAMGKLGTLEGFIRQADNLRLQTYQQMVRLLTTRQSARALLAVHNYTLRLRALSSLWLARPRE
VIMSS10104861 1 1007 0.344867825223436 PF03859.16:CG-1:24:137,PF00612.27:IQ:824:841,PF00612.27:IQ:846:865,PF12796.7:Ank_2:594:672,PF01833.24:TIG:412:487 Calmodulin-binding transcription activator 1; AtCAMTA1; Ethylene-induced calmodulin-binding protein b; EICBP.b; Signal-responsive protein 2; AtSR2 1007 307 14 1007 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FY74 1 SwissProt MVDRRSFGSITPPLQLDMEQLLSEAQHRWLRPTEICEILQNYHKFHIASESPTRPASGSLFLFDRKVLRYFRKDGHNWRKKKDGKTIREAHEKLKVGSIDVLHCYYAHGEANENFQRRCYWMLEQHLMHIVFVHYLEVKGNRTSIGMKENNSNSVNGTASVNIDSTASPTSTLSSLCEDADTGDSQQASSVLRPSPEPQTGNRYGWTPAPGMRNVSQVHGNRVRESDSQRLVDVRALDTVGNSLTRFHDQPYCNNLLTQMQPSNTDSMLVEENSEKGGRLKAEHIRNPLQTQFNWQDDTDLALFEQSAQDNFETFSSLLGSENLQPFGISYQAPPSNMDSEYMPVMKILRRSEDSLKKVDSFSKWAIKELGEMEDLQMQSSRGDIAWTTVECETAAAGISLSPSLSEDQRFTIVDFWPKSAKTDAEVEVMVIGTFLLSPQEVTKYNWSCMFGEVEVPAEILVDGVLCCHAPPHTAGHVPFYVTCSNRFACSEVREFDFLSGSTQKINATDVYGTYTNEASLQLRFEKMLAHRDFVHEHHIFEDVGDKRRQISKIMLLKEEKEYLLPGTYQRDSTKQEPKGQLFRELFEEELYIWLIHKVTEEGKGPNILDEDGQGILHFVAALGYDWAIKPVLAAGVNINFRDANGWSALHWAAFSGREETVAVLVSLGADAGALTDPSPELPLGKTAADLAYANGHRGISGFLAESSLTSYLEKLTVDSKENSPANSCGEKAVQTVSERTAAPMTYGDVPEKLSLKDSLTAVRNATQAADRLHQVFRMQSFQRKQLCDIGDDEKIDISDQLAVSFAASKTKNPGQGDVSLSCAATHIQKKYRGWKKRKEFLLIRQRIVKIQAHVRGHQVRKQYRTVIWSVGLLEKIILRWRRKGNGLRGFKRNAVAKTVEPEPPVSAICPRIPQEDEYDYLKEGRKQTEERLQKALTRVKSMVQYPEARDQYRRLLTVVEGFRENEASSSASINNKEEEAVNCEEDDFIDIESLLNDDTLMMSISP
VIMSS10105227 1 1999 0.14298004002001 PF02364.15:Glucan_synthase:1076:1891,PF14288.6:FKS1_dom1:334:446,PF04652.16:Vta1:45:173 ATGSL12 (glucan synthase-like 12); 1,3-beta-glucan synthase/ transferase, transferring glycosyl groups (RefSeq) 1999 1058 14 1626 17 Arabidopsis thaliana VIMSS10105227 1 MicrobesOnline MSATRGGPDQGPSQPQQRRIIRTQTAGNLGESFDSEVVPSSLVEIAPILRVANEVESSNPRVAYLCRFYAFEKAHRLDPTSSGRGVRQFKTALLQRLEREHDPTLMGRVKKSDAREMQSFYQHYYKKYIQALHNAADKADRAQLTKAYQTANVLFEVLKAVNLTQSIEVDREILEAQDKVAEKTQLYVPYNILPLDPDSANQAIMRYPEIQAAVLALRNTRGLPWPEGHKKKKDEDMLDWLQEMFGFQKDNVANQREHLILLLANVHIRQFPKPDQQPKFILSFVLIVPSQLDDQALTEVMKKLFKNYKKWCKYLGRKSSLWLPTIQQEMQQRKLLYMALYLLIWGEAANLRFMPECLCYIYHHMAFELYGMLAGNVSPMTGENVKPAYGGEEDAFLRKVVTPIYEVIQMEAQRSKKGKSKHSQWRNYDDLNEYFWSVDCFRLGWPMRADADFFCLPVAVPNTEKDGDNSKPIVARDRWVGKVNFVEIRSFWHVFRSFDRMWSFYILCLQAMIIMAWDGGQPSSVFGADVFKKVLSVFITAAIMKLGQAVLDVILNFKAHQSMTLHVKLRYILKVFSAAAWVIILPVTYAYSWKDPPAFARTIKSWFGSAMHSPSLFIIAVVSYLSPNMLAETNENLLLCCLTDVTIINTLQPRLYVGRGMHESAFSLFKYTMFWVLLIATKLAFSYYIEIRPLVAPTQAIMKARVTNFQWHEFFPRAKNNIGVVIALWAPIILVYFMDSQIWYAIFSTLFGGIYGAFRRLGEIRTLGMLRSRFESLPGAFNDRLIPDGKNQQKKKGIRATLSHNFTEDKVPVNKEKEAARFAQLWNTIISSFREEDLISDREMDLLLVPYWADRDLDLIQWPPFLLASKIPIALDMAKDSNGKDRELKKRIESDTYMKCAVRECYASFKNIIKFVVQGNREKEVIEIIFAEVDKHIDTGDLIQEYKMSALPSLYDHFVKLIKYLVNVLPVLDNKEEDRDHVVILFQDMLEVVTRDIMMEDYNISRLATFYRTAMACHSSHGGTWHGGMIPLEQQYQLFASSGAIRFPIEPVTEAWKEKIKRIYLLLTTKESAMDVPSNLEARRRISFFSNSLFMDMPMAPKVRNMLSFSVLTPYYTEEVLFSLRDLETPNEDGVSILFYLQKIFPGDFCSYAVNVAYILESRLEPDLLSPDEWNNFLERVKCLSEEELKESDELEEELRLWASYRGQTLTRTVRGMMYYRKALELQAFLDMAMHEDLMEGYKAVELNSENNSRGERSLWAQCQAVADMKFTYVVSCQQYGIHKRSGDPRAQDILRLMTRYPSLRVAYIDEVEEPVKDKSKKGNQKVYYSVLVKVPKSTDHSTLAQNLDQVIYRIRLPGPAILGEGKPENQNHAIIFSRGEGLQTIDMNQDNYMEEALKMRNLLQEFLTKHDGVRHPSILGLREHIFTGSVSSLAWFMSNQETSFVTIGQRLLANPLRVRFHYGHPDVFDRLFHLTRGGVSKASKVINLSEDIFAGFNSTLREGNVTHHEYIQVGKGRDVGLNQISMFEAKIANGNGEQTLSRDIYRLGHRFDFFRMMSCYFTTVGFYFSTLITVLTVYIFLYGRLYLVLSGLEQGLSTQKGIRDNTPLQIALASQSFVQIGFLMALPMLMEIGLERGFRTALSEFVLMQLQLAPVFFTFSLGTKTHYYGRTLLHGGAKYRSTGRGFVVFHAKFADNYRLYSRSHFVKGLEMMLLLVVYQIFGSAYRGVLAYLLITISMWFMVGTWLFAPFLFNPSGFEWQKIVDDWTDWNKWINNIGGIGVPAEKSWESWWEEEQEHLRYSGKRGIVVEILLALRFFIYQYGLVYHLTITEKTKNFLVYGVSWLVIFLILFVMKTVSVGRRRFSASFQLMFRLIKGLIFMTFIAIIVILITLAHMTIQDIIVCILAFMPTGWGMLLIAQACKPVVHRAGFWGSVRTLARGYEIVMGLLLFTPVAFLAWFPFVSEFQTRMLFNQAFSRGLQISRILGGHRKDRSSRNKE
VIMSS10105429 1 443 0.0555498871331828 PF00520.31:Ion_trans:49:377 Cyclic nucleotide-gated ion channel 18; Cyclic nucleotide- and calmodulin-regulated ion channel 18 706 329 14 310 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LEQ3 1 SwissProt MNKIRSLRCLLPETITSASTAASNRGSDGSQFSVLWRHQILDPDSNIVTYWNHVFLITSILALFLDPFYFYVPYVGGPACLSIDISLAATVTFFRTVADIFHLLHIFMKFRTAFVARSSRVFGRGELVMDSREIAMRYLKTDFLIDVAAMLPLPQLVIWLVIPAATNGTANHANSTLALIVLVQYIPRSFIIFPLNQRIIKTTGFIAKTAWAGAAYNLLLYILASHVLGAMWYLSSIGRQFSCWSNVCKKDNALRVLDCLPSFLDCKSLEQPERQYWQNVTQVLSHCDATSSTTNFKFGMFAEAFTTQVATTDFVSKYLYCLWWGLRNLSSYGQNITTSVYLGETLFCITICIFGLILFTLLIGNMQSSLQSMSVRVEEWRVKRRDTEEWMRHRQLPPELQERVRRFVQYKWLATRGVDEESILHSLPTDLRREIQRHLCLSL
VIMSS10107840 188 1191 0.0982702191235062 PF00931.22:NB-ARC:21:229 disease resistance protein (TIR-NBS-LRR class), putative (RefSeq) 1191 209 14 981 1 Arabidopsis thaliana VIMSS10107840 1 MicrobesOnline PSYAVGLRSRLQHISSLLSIGSDGVRVIVIYGMGGIGKTTLAKVAFNEFSHLFEGSSFLENFREYSKKPEGRTHLQHQLLSDILRRNDIEFKGLDHAVKERFRSKRVLLVVDDVDDVHQLNSAAIDRDCFGHGSRIIITTRNMHLLKQLRAEGSYSPKELDGDESLELFSWHAFRTSEPPKEFLQHSEEVVTYCAGLPLAVEVLGAFLIERSIREWESTLKLLKRIPNDNIQAKLQISFNALTIEQKDVFLDIACFFIGVDSYYVACILDGCNLYPDIVLSLLMERCLITISGNNIMMHDLLRDMGRQIVREISPKKCGERSRLWSHNDVVGVLKKKSGTNAIEGLSLKADVMDFQYFEVEAFAKMQELRLLELRYVDLNGSYEHFPKDLRWLCWHGFSLECFPINLSLESLAALDLQYSNLKRFWKAQSPPQPANMVKYLDLSHSVYLRETPDFSYFPNVEKLILINCKSLVLVHKSIGILDKKLVLLNLSSCIELDVLPEEIYKLKSLESLFLSNCSKLERLDDALGELESLTTLLADFTALREIPSTINQLKKLKRLSLNGCKGLLSDDIDNLYSEKSHSVSLLRPVSLSGLTYMRILSLGYCNLSDELIPEDIGSLSFLRDLDLRGNSFCNLPTDFATLPNLGELLLSDCSKLQSILSLPRSLLFLDVGKCIMLKRTPDISKCSALFKLQLNDCISLFEIPGIHNHEYLSFIVLDGCKLASTDTTINTMLENWLKRNHECIYIPVDRPNVIPNWVYFEEEKRSFSITVPETDNSDTVVGFTLWMNFVCPMGYSSIYPRAIIVRNLTRGSAWIHSLKNSKIRIQMNANLLTNDFHIVTGDEIEVDVDCDDRFTILATGIALCYKARDSSDFSFGDIKFTYEEDDLGYASNGIYLDCHRSKYGHDSLQAVVHWKISYPMDGETSNLFACSFFPGISRYQENNQPVVDVEESNHRPRRKMRMSLWKILGAIGFLTLVVVLEVYFELLSSIFANSTTDYIMLPT
VIMSS10108736 1 247 0.365819433198381 PF02469.22:Fasciclin:46:181 FLA13 (FASCICLIN-LIKE ARABINOGALACTAN PROTEIN 13 PRECURSOR) (RefSeq) 247 136 14 247 0 Arabidopsis thaliana VIMSS10108736 1 MicrobesOnline MATTPLLLLLLTAVFLSTEITAQRAAPAPGPAGPINITAILEKGGQFVTLIRLLNTTQIGNQINIQINSSSEGMTVLAPTDNAFQNLKPGTLNKLSPDDQVKLILYHVSPKFYTLEDLLSVSNPVRTQASGRDVGGVYGLNFTGQGNQVNVSTGVVETRLSTSLRQERPLAVYVVDMVLLPEEMFGERKISPMAPPPKSKSPDVSDDSESSKKAAAPSESEKSGSGEMNTGLGLGLGLVVLCLKFLL
VIMSS10108739 208 466 0.554423552123552 Zinc finger protein NUTCRACKER; Protein indeterminate-domain 8 466 0 14 259 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FFH3 1 SwissProt GLAAAGAPGSVNLNYQYLMGTFIPPLQPFVPQPQTNPNHHHQHFQPPTSSSLSLWMGQDIAPPQPQPDYDWVFGNAKAASACIDNNNTHDEQITQNANASLTTTTTLSAPSLFSSDQPQNANANSNVNMSATALLQKAAEIGATSTTTAATNDPSTFLQSFPLKSTDQTTSYDSGEKFFALFGSNNNIGLMSRSHDHQEIENARNDVTVASALDELQNYPWKRRRVDGGGEVGGGGQTRDFLGVGVQTLCHPSSINGWI
VIMSS10108888 1 391 0.151412020460358 PF03283.13:PAE:23:369 Pectin acetylesterase 11; EC 3.1.1.- 391 347 14 368 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FH82 1 SwissProt MTWLKQMWSSILVLAVVVIGARAVPITYLESAVAKGAVCLDGSAPAYHFDKGSGSGVNNWIVHMEGGGWCTDIATCVQRKSTMKGSSKLMNKDFGFSGILGGKQSTNPDFYNWNRIKVRYCDGSSFTGDIEAVDPTHKLFFRGARVWRAVIDDLMAKGMSNAQNAILSGCSAGALAAILHCDQFKSTLPKTAKVKCVSDAGYFIHGKDITGGSYIQSYYAKVVATHGSAKSLPASCTSSMKPDLCFFPQYVAKTLQTPLFVINAAFDSWQIKNVLAPTSVDKSKAWKTCKLDLKKCTAAQLQTVQGYRDQVLAALAPVRSATTNGLFLDSCHAHCQGGSAATWSGDKGPTVANTKMAKAVGDWFFERSTFQNVDCSSLNCNPTCPAVSTED
VIMSS10109156 1 433 0.0543896073903002 PF00939.19:Na_sulph_symp:70:427,PF03600.16:CitMHS:83:419 Tonoplast dicarboxylate transporter; AttDT; Sodium-dicarboxylate cotransporter-like; AtSDAT; Vacuolar malate transporter 540 358 14 232 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LG88 0 SwissProt MNGGDVTVAGSDDLKSPLLPVVHNDEPFERQTVGQQLRTIFTPKNCYIALGPLLCAVVCLCVDLGGDETTTARNMLGVLVWMFAWWLTEAVPMPITSMTPLFLFPLFGISAADDVANSYMDDVISLVLGSFILALAVEHYNIHRRLALNITLVFCVEPLNAPLLLLGICATTAFVSMWMHNVAAAVMMMPVATGILQRLPSSSSTTEVVHPAVGKFSRAVVLGVIYSAAVGGMSTLTGTGVNLILVGMWKSYFPEADPISFSQWFFFGFPLALCIFVVLWCVLCVMYCPKGAGQALSPYLHKSHLRRELDLLGPMNFAEKMVLAVFGGLVVLWMTRNITDDIPGWGRIFAGRAGDGTVSVMMATLLFIIPSNIKKGEKLMDWNKCKKLPWNIVLLLGAGFAIADGVRTSGLAEVLSKGLVFLETAPYWAIAPT
VIMSS10109584 1 141 0.23878085106383 PF01277.17:Oleosin:25:127 Oleosin 14.9 kDa 141 103 14 95 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q43284 0 SwissProt MADQTRTHHEMISRDSTQEAHPKARQMVKAATAVTAGGSLLVLSGLTLAGTVIALTVATPLLVIFSPVLVPAVVTVALIITGFLASGGFGIAAITAFSWLYRHMTGSGSDKIENARMKVGSRVQDTKYGQHNIGVQHQQVS
VIMSS10109727 1 640 0.410570624999999 PF03514.14:GRAS:266:640 Scarecrow-like protein 8; AtSCL8; GRAS family protein 30; AtGRAS-30 640 375 14 640 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FYR7 1 SwissProt MESGFSGGGGGSDFYGGGGGRSIPGGPGTVINVGNNNPQTTYRNQIPGIFFDQIGNRVAGGNGFSGKRTLADFQAAQQHQQQQQQQPFYSQAALNAFLSRSVKPRNYQNFQSPSPMIDLTSVNDMSLFGGSGSSQRYGLPVPRSQTQQQQSDYGLFGGIRMGIGSGINNYPTLTGVPCIEPVQNRVHESENMLNSLRELEKQLLDDDDESGGDDDVSVITNSNSDWIQNLVTPNPNPNPVLSFSPSSSSSSSSPSTASTTTSVCSRQTVMEIATAIAEGKTEIATEILARVSQTPNLERNSEEKLVDFMVAALRSRIASPVTELYGKEHLISTQLLYELSPCFKLGFEAANLAILDAADNNDGGMMIPHVIDFDIGEGGQYVNLLRTLSTRRNGKSQSQNSPVVKITAVANNVYGCLVDDGGEERLKAVGDLLSQLGDRLGISVSFNVVTSLRLGDLNRESLGCDPDETLAVNLAFKLYRVPDESVCTENPRDELLRRVKGLKPRVVTLVEQEMNSNTAPFLGRVSESCACYGALLESVESTVPSTNSDRAKVEEGIGRKLVNAVACEGIDRIERCEVFGKWRMRMSMAGFELMPLSEKIAESMKSRGNRVHPGFTVKEDNGGVCFGWMGRALTVASAWR
VIMSS10110035 1 431 0.225574709976798 PF16269.5:DUF4922:232:381 GDP-L-galactose phosphorylase 2; Protein VITAMIN C DEFECTIVE 5; EC 2.7.7.69 431 150 14 431 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLP9 1 SwissProt MLLKIKRVPTVVSNYQKDETVEEGGCGRNCLSKCCINGARLPLYTCKNLDKSVGENTESPVTFLESLVIGEWEDRFQRGLFRYDVTACETKVIPGKYGFIAQLNEGRHLKKRPTEFRVDKVLQPFDGNKFNFTKVGQEELLFQFKASTNDDDSEIQFLASMPLDADNSPSVVAINVSPIEYGHVLLIPRVLDCLPQRIDHKSLLLALQMAAEADNPYFRLGYNSLGAFATINHLHFQAYYLAMQFPIEKASSLKITTTNNGVKISKLLNYPVRGLLVEGGNTIKDLADTVSDASVCLQNNNIPFNILISDSGKRIFLLPQCYAEKQALGEVSSTLLDTQVNPAVWEMSGHMVLKRKEDYEGASEEKAWRLLAEVSLSEERFREVNTMIFDAIGFSSHEEEEEEELEEQNSMNGGSFTIVHCPSVKEEAVSN
VIMSS10110370 1 297 0.599052525252526 PF00010.26:HLH:110:155 Transcription factor bHLH82; Basic helix-loop-helix protein 82; AtbHLH82; bHLH 82; Transcription factor EN 96; bHLH transcription factor bHLH082 297 46 14 297 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSQ3 1 SwissProt MENGNGEGKGEFINQNNDFFLDSMSMLSSLPPCWDPSLPPPPPPPQSLFHALAVDAPFPDQFHHPQESGGPTMGSQEGLQPQGTVSTTSAPVVRQKPRVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNTNKTDKASMLDEIIEYVRFLQLQVKVLSMSRLGGAGSVGPRLNGLSAEAGGRLNALTAPCNGLNGNGNATGSSNESLRSTEQRVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISSSTTHSRGSLFNPISSAVAAEDSNVTATAVAAPEASSTMDDVSASKA
VIMSS10110642 1 249 0.354497991967872 PF02469.22:Fasciclin:49:183 FLA12 (RefSeq) 249 135 14 249 0 Arabidopsis thaliana VIMSS10110642 1 MicrobesOnline MEHSLIILLFTVLLLLTTTPGILSQPSPAVAPAPPGPTNVTKILEKAGQFTVFIRLLKSTGVANQLYGQLNNSDNGITIFAPSDSSFTGLKAGTLNSLTDEQQVELIQFHVIPSYVSSSNFQTISNPLRTQAGDSADGHFPLNVTTSGNTVNITSGVTNTTVSGNVYSDGQLAVYQVDKVLLPQQVFDPRPPAPAPAPSVSKSKKKKDDSDSSSDDSPADASFALRNVGSVCDAVSFCVMSVMLAWFYL
VIMSS10110964 1 291 0.118610996563574 PF00067.22:p450:35:288 Cytochrome P450 94B1; Jasmonoyl-L-amino acid 12-hydroxylase; EC 1.14.14.48 510 254 14 273 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMV7 1 SwissProt MEMLNAIILILFPIIGFVLIFSFPTKTLKAKTASPSNPTSYQLIGSILSFNKNRHRLLQWYTDLLRLSPSQTITVDLLFGRRTIITANPENVEHILKTNFYNFPKGKPFTDLLGDLLGGGIFNSDGELWSSQRKLASHEFTMRSLREFTFEILREEVQNRLIPVLSSAVDCGETVDFQEVLKRFAFDVVCKVSLGWDPDCLDLTRPVPELVKAFDVAAEISARRATEPVYAVWKVKRFLNVGSEKRLREAIKTVHLSVSEIIRAKKKSLDIGGDVSDKQDLLSRFLAAGHG
VIMSS10111031 158 356 0.521516582914573 NAC domain containing protein 103 356 0 14 199 0 Arabidopsis thaliana NP_201211.1 1 RefSeq GLGPRHGSQYGAPFKEEDWSDKEEEYTQNHLVAGPSKETSLAAKASHSYAPKDGLTGVISESCVSDVPPLTATVLPPLTSDVIAYNPFSSSPLLEVPQVSLDGGELNSMLDLFSVDNDDCLLFDDFDYHNEVRHPDGFVNKEAPVFLGDGNFSGMFDLSNDQVVELQDLIQSPTPHPPSPPAQASIPDDSRSNGQTKDD
VIMSS10111276 1 147 0.346251020408163 PF00403.26:HMA:24:80 Heavy metal-associated isoprenylated plant protein 27; AtHIP27; AtHIPP27 147 57 14 147 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q67ZW1 1 SwissProt MGFRDICYRKHHKKLKQFQKVEIKVKMDCEGCERRVRKSVEGMKGVSKVTVDPKQSKLTVEGFVQPSKVVHRVMHRTGKKAELWPYVPYEVVPHPYAPGAYDKKAPPGYVRNALADPLVAPLARASSFEVKYTSAFSDDNPNACTIM
VIMSS102484 1 230 0.0376165217391304 PF07690.16:MFS_1:34:224 hexose-6-phosphate:phosphate antiporter 459 191 14 94 6 Staphylococcus aureus WP_001008722.1 0 RefSeq MNFFDIHKIPNKGIPLSVQRKLWLRNFMQAFFVVFFVYMAMYLIRNNFKAAQPFLKEEIGLSTLELGYIGLAFSITYGLGKTLLGYFVDGRNTKRIISFLLILSAITVLIMGFVLSYFGSVMGLLIVLWGLNGVFQSVGGPASYSTISRWAPRTKRGRYLGFWNTSHNIGGAIAGGVALWGANVFFHGNVIGMFIFPSVIALLIGIATLFIGKDDPEELGWNRAEEIWEE
VIMSS104635 1 444 0.457508558558558 PF18483.1:Bact_lectin:171:389,PF04650.17:YSIRK_signal:17:41 hypothetical protein (NCBI) 444 244 14 444 0 Staphylococcus aureus subsp. aureus N315 VIMSS104635 1 MicrobesOnline MRDKKGPVNKRVDFLSNKLNKYSIRKFTVGTASILIGSLMYLGTQQEAEAAENNIENPTTLKDNVQSKEVKIEEVTNKDTAPQGVEAKSEVTSNKDTIEHEASVKAEDISKKEDTPKEVANVAEVQPKSSVTHNAEAPKVRKARSVDEGSFDITRDSKNVVESTPITIQGKEHFEGYGSVDIQKNPTDLGVSEVTRFNVGNESNGLIGALQLKNKIDFSKDFNFKVRVANNHQSNTTGADGWGFLFSKGNAEEYLTNGGILGDKGLVNSGGFKIDTGYIYTSSMDKTEKQAGQGYRGYGAFVKNDSSGNSQMVGENIDKSKTNFLNYADNSTNTSDGKFHGQRLNDVILTYVASTGKMRAEYAGKTWETSITDLGLSKNQAYNFLITSSQRWGLNQGINANGWMRTDLKGSEFTFTPEAPKTITELEKKLKRFHSRKNVNLIRI
VIMSS107847 1 141 0.100541134751773 PF10756.9:bPH_6:58:135 hypothetical protein (NCBI) 141 78 14 101 2 Mycobacterium tuberculosis CDC1551 VIMSS107847 1 MicrobesOnline MQQTAWAPRTSGIAGCGAGGVVMAIASVTLVTDTPGRVLTGVAALGLILFASATWRARPRLAITPDGLAIRGWFRTQLLRHSNIKIIRIDEFRRYGRLVRLLEIETVSGGLLILSRWDLGTDPVEVLDALTAAGYAGRGQR
VIMSS109317 1 258 0.151928682170542 PF12625.7:Arabinose_bd:28:216 transcriptional regulator, AraC family (NCBI) 344 189 14 258 0 Mycobacterium tuberculosis CDC1551 VIMSS109317 1 MicrobesOnline MGHLPPPAEVRHPVYATRVLCEVANERGVPTADVLAGTAIEPADLDDPDAVVGALDEITAVRRLLARLPDDAGIGIDVGSRFALTHFGLFGFAVMSCGTLRELLTIAMRYFALTTMHVDITLFETADDCLVELDASHLPADVRGFFIERDIAGIIATTTSFALPLAAKYADQVSAELAVDAELLRPLLELVPVHDVAFGRAHNRVHFPRAMFDEPLPQADRHTLEMCIAQCDVLMQRNERRRGITALVRSKLFRDSGL
VIMSS109727 1 503 0.083796421471173 PF08817.10:YukD:23:103 ESX-5 secretion system protein EccD5; ESX conserved component D5; Type VII secretion system protein EccD5; T7SS protein EccD5 503 81 14 281 10 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNP9 1 SwissProt MTAVADAPQADIEGVASPQAVVVGVMAGEGVQIGVLLDANAPVSVMTDPLLKVVNSRLRELGEAPLEATGRGRWALCLVDGAPLRATQSLTEQDVYDGDRLWIRFIADTERRSQVIEHISTAVASDLSKRFARIDPIVAVQVGASMVATGVVLATGVLGWWRWHHNTWLTTIYTAVIGVLVLAVAMLLLMRAKTDADRRVADIMLMSAIMPVTVAAAAAPPGPVGSPQAVLGFGVLTVAAALALRFTGRRLGIYTTIVIIGALTMLAALARMVAATSAVTLLSSLLLICVVAYHAAPALSRRLAGIRLPVFPSATSRWVFEARPDLPTTVVVSGGSAPVLEGPSSVRDVLLQAERARSFLSGLLTGLGVMVVVCMTSLCDPHTGQRWLPLILAGFTSGFLLLRGRSYVDRWQSITLAGTAVIIAAAVCVRYALELSSPLAVSIVAAILVLLPAAGMAAAAHVPHTIYSPLFRKFVEWIEYLCLMPIFPLALWLMNVYAAIRYR
VIMSS11144 1 431 0.119072853828306 PF10216.9:ChpXY:20:415 unknown protein (NCBI ptt file) 431 396 14 431 0 Synechocystis sp. PCC 6803 VIMSS11144 1 MicrobesOnline MTTLTPKATLPPSTHPFADVIHRLEAGGSMLPDTPENLMQIIGIYKAYAVPMDFYWRDLLYIAERVFLNPLRFFKYFLPQEYLDLANHYAGETADLRIWRGEASAHPELLEFMEKGNTTKMPKLFHHLWHDRINMEFAEACMQAMLWHGRDMGMGKFDTYLDSDEYKANADKAIRAYFKGNPPMLALYKLFPDLFLEQVRELSYYSNLGLFWEVMAPVFFEMSDIYDEGGFKGVPDAMNFLVNGIFAIAGRPIYHHVYIDGECLEIIPKSKGFTWLYEAALPYVEAVFYRTAPFRGTKSYNAQAKQVPEEQKDFHYGILYADVFPVGTAGIPPTLLMDDMLHFLPPYLIEYYQKHCRGEDDMLVQLGITFQRSMYNVTSAVIQALRTALLYPLDDPNPRHLAKNRQFFEAQIDRFLRPEARLKDIQSDSYR
VIMSS113776 1 553 0.0136383363471971 PF01943.17:Polysacc_synt:8:325,PF14667.6:Polysacc_synt_C:387:533,PF01554.18:MatE:300:432,PF03023.14:MurJ:83:494 spore cortex protein homolog (NCBI) 553 526 14 245 14 Staphylococcus aureus subsp. aureus Mu50 VIMSS113776 0 MicrobesOnline MSESKEMVRGTFLITISILITKVLGVLFIIPFNYLIGGQENMAPFTYAYAPYNIAIAVATAGVPLAASKYVAKYNAIGAYKVSQKFYKSSFIVMSITGVLGFLVLYFLAPYISELTLARNIHDKNGWSVDDITWIIRIISMVVIFIPVLATWRGIFQGYKSMGPTAVSEVTEQIARVIFILIGSYLVLNVFDGSILLANGIATFAAAVGAIIGIFTLWYYWRKRKHNIDRMVESDYTDIDVSYGKMYKEIIAYSIPFVIVSLNYPLFNLVDQFTHNGALSLVGIPSQLQDIFFNMLNMSTNKIVMIPTSLSAGFAVSLIPYITKTFAEGRLHEMHHQIRTSIGVLMFITVPASIGIMALAQPLFTVFYGYDPIVLGHDPNHDGSRLLFYYAPVAILISLLSVTASMLQGIDKQKLTVYVILASVVIKLALNYPLIMLFHTPGAILSTSIALLFAIGCNFYILKKYAKFKFSYSWIHFAKIFLYSFIMMLGVELVFFLANLFLEPTKLGYLIIIILGVTVGILIYGTITIKTRLADEFLGEIPEKLRRRVRFLR
VIMSS11931 1 358 0.100709497206704 PF02915.17:Rubrerythrin:89:222 phytochrome-regulated gene (NCBI ptt file) 358 134 14 358 0 Synechocystis sp. PCC 6803 VIMSS11931 1 MicrobesOnline MVSTTLPTQLETIRPGIKAPVKETLLTPRFYTTDFDKVANLVLTLQDEEIEAALEELRADYNRYHFVRNDDFKRSFDHIDGATRLAFIDFLERSCTSEFSGFLLFKELSRRLKNRSPKLAEAFHLLARDEARHAGFINKAMADFGLSLDLRYLTQKRTYTFFPPEWVIYTVYLSEKIGYWRYILMFRHLEKNPDHNIYPLFNYFECWCQDENRHGDFFKALLRSQTALWKTWQSRLWSRFFLLTVFVTHTLTVFERTDFYQSVGLDAKQYNVDVVTNTNATAARAFPEVLDTDNPKFFPRLEACASANEKLTAIANSEAPKLAKFCQKAPWIAVIIWQMICIFLQKPVDAEARRGMVC
VIMSS12264 1 320 0.3343021875 PF07719.17:TPR_2:185:215 unknown protein (NCBI ptt file) 320 31 14 320 0 Synechocystis sp. PCC 6803 VIMSS12264 1 MicrobesOnline MMENQVNEQTVTSPENGSSATGYNSGIWDGLSVVALIGGAIASVVLPANPAAGVIPVAAGVGLHLFNRKQLEEHLLANQRATAAQIVQLVNQNQAHLQEYLQKFQGDIQTSLGQQQQAIAANQENLTKALAEKSLALQGKLEAFQAAAAQTHAGLDIKHQDLLAVVTELRTMEGCTQSLAAYPHAEAYYQRGLSHYRLEDWAEAVRDCTEAIRLRGDLAGAFHHRGMAYARLDNRKQATDDLRQAYKLYFDQGDLDSYEVARALHKQYYEGPVEDLELEPTPVMPPAEGVGHEAYIADPDREIKPLLAEESDTTAANLFG
VIMSS1236272 1 84 0.0745095238095238 PF00111.27:Fer2:10:77 ferredoxin-like diferric-tyrosyl radical cofactor maintenance protein YfaE 84 68 14 84 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12360-MONOMER 1 ecocyc MARVTLRITGTQLLCQDEHPSLLAALESHNVAVEYQCREGYCGSCRTRLVAGQVDWIAEPLAFIQPGEILPCCCRAKGDIEIEM
VIMSS1244612 1 373 0.346365683646113 PF13462.6:Thioredoxin_4:153:318,PF01323.20:DSBA:156:290,PF01346.18:FKBP_N:59:127 conserved hypothetical lipoprotein (NCBI) 373 235 14 373 0 Francisella tularensis subsp. holarctica VIMSS1244612 1 MicrobesOnline MTKKKLLKALAVAAIATSLVACSDSSSNDKTSTTAVSSGSSVATTTVAAPADNTNVTANASYTIGYGMGSSIATDKNIKTFNFNNDKVMAGFEDAINAKKPAIPLEDIANNMNTLRDKMQQQMNQKAVTSFLSVQDGIYNSDLTPKSDIKNPDVVVYEFFDYQCMYCSKLAPEIEKIMKDNSDVQVVFAEFPIFGQKLPASEYAAEVSTAIYKLYGADAYVKYHNGIFATGEDEGSLKNATVDNVAKQAGADMTKVNKAIQDDKIADHLKDMLKMGFGQLGIQGAPFLVIAPAKNATVANTTIIGGYTTADGIQAAINKAKSTATTTSTSNNGQTDTKHSQNDIATVTAEAQAISGSTEQLAQQSQDDDSVEA
VIMSS12666 100 267 0.531479166666667 PF04012.12:PspA_IM30:2:116 chloroplast membrane-associated 30 kD protein (NCBI ptt file) 267 115 14 168 0 Synechocystis sp. PCC 6803 VIMSS12666 1 MicrobesOnline TAAAYQTQLAQQRTMSENLRRNLAALEAKISEAKTKKNMLQARAKAAKANAELQQTLGGLGTSSATSAFERMENKVLDMEATSQAAGELAGFGIENQFAQLEASSGVEDELAALKASMAGGALPGTSAATPQLEAAPVDSSVPANNASQDDAVIDQELDDLRRRLNNL
VIMSS1291029 1 176 0.079 hypothetical protein (NCBI) 176 0 14 176 0 Staphylococcus aureus subsp. aureus NCTC 8325 VIMSS1291029 1 MicrobesOnline MLIDKFETYIINIAGLNDRTTRKKLSKLCKSVQFCDALQFSINKQFNQYVLEISLPKQQLPYFISFLSFHQYSIFQVLSPKKINELLDSDNLYQSAKRFDINIDGLQDAFIKDKVIDIMNMFQNHTDITYTLNKSHAHIICTPEIFAKLLHTIATRNIDILSANYRSSSMSKARIS
VIMSS1301184 1 619 0.610959773828755 vacuolar membrane-interacting protein 619 0 14 619 0 Anaplasma phagocytophilum str. HZ WP_011450193.1 1 RefSeq MFEHNIPDTYTGTTAEGSPGLAGGDFSLSSIDFTRDFTIESHRGSSADDPGYISFRDQDGNVMSRFLDVYVANFSLRCKHSPYNNDRMETAAFSLTPDIIEPSALLQESHSTQNNVEEAVQVTALECPPCNPVPAEEVAPQPSFLSRIIQAFLWLFTPSSTTDTAEDSKCNSSDTSKCTSASSESLEQQQESVEVQPSVLMSTAPIATEPQNAVVNQVNTTAVQVESSIIVPESQHTDVTVLEDTTETITVDGEYGHFSDIASGEHNNDLPAMLLDEADFTMLLANEESKTLESMPSDSLEDNVQELGTLPLQEGETVSEGNTRESLPTDVSQDSVGVSTDLEAHSQEVETVSEVSTQDSLSTNISQDSVGVSTDLEAHSKGVEIVSEGGTQDSLSADFPINTVESESTDLEAHSQEVETVSEFTQDSLSTNISQDSVGVSTDLEVHSQEVEIVSEGGTQDSLSTNISQDSVGVSTDLEAHSQEVETVSEFTQDSLSTNISQDSVGVSTDLEVHSQEVEIVSEGGTQDSLSTNISQDSVGVSTDLEAHSKGVEIVSEGGTQDSLSADFPINTVESESTDLEAHSPEGEIVSEVSTQDAPSTGVEIRFMDRDSDDDVLAL
VIMSS136 1 137 0.0181605839416058 hypothetical protein (NCBI ptt file) 137 0 14 96 2 Chlamydia trachomatis D/UW-3/CX VIMSS136 0 MicrobesOnline MACCACVYGYDEICCREETAEKVVAVAVDCVLFHIAAAITTAVSAVWLLIRLIACAIHNYCSPASERVNFFPITESRAEWFSLIPVLGPMVVAAVVYSKAREEGYGHLDSLVCAMQSPWMLLDSLRLNRSELKIVMV
VIMSS141141 1 202 0.264665346534653 PF04972.17:BON:57:122 hemolysin 202 66 14 179 1 Neisseria meningitidis MC58 NP_275079.1 1 RefSeq MKPKPHTVRTLIAAIFSLALSGCVSAVIGSAAVGAKSAVDRRTTGAQTDDNVMALRIETTARSYLRQNNQTKGYTPQISVVGYNRHLLLLGQVATEGEKQFVGQIARSEQAAEGVYNYITVASLPRTAGDIAGDTWNTSKVRATLLGISPATQARVKIVTYGNVTYVMGILTPEEQAQITQKVSTTVGVQKVITLYQNYVQR
VIMSS14437 1 288 0.157629166666667 PF00665.26:rve:127:240,PF13333.6:rve_2:231:287,PF13276.6:HTH_21:53:101,PF13610.6:DDE_Tnp_IS240:130:271,PF13683.6:rve_3:215:279 IS3 element protein InsF 288 210 14 288 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7126-MONOMER 1 ecocyc MKYVFIEKHQAEFSIKAMCRVLRVARSGWYTWCQRRTRISTRQQFRQHCDSVVLAAFTRSKQRYGAPRLTDELRAQGYPFNVKTVAASLRRQGLRAKASRKFSPVSYRAHGLPVSENLLEQDFYASGPNQKWAGDITYLRTDEGWLYLAVVIDLWSRAVIGWSMSPRMTAQLACDALQMALWRRKRPRNVIVHTDRGGQYCSADYQAQLKRHNLRGSMSAKGCCYDNACVESFFHSLKVECIHGEHFISREIMRATVFNYIECDYNRWRRHSWCGGLSPEQFENKNLA
VIMSS14700 1 139 0.0319870503597122 DNA-binding transcriptional activator AppY 249 0 14 139 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD00967 1 ecocyc MDYVCSVVFICQSFDLIINRRVISFKKNSLFIVSDKIRRELPVCPSKLRIVDIDKKTCLSFFIDVNNELPGKFTLDKNGYIAEEEPPLSLVFSLFEGIKIADSHSLWLKERLCISLLAMFKKRESVNSFILTNINTFTC
VIMSS148524 1 97 0.626048453608248 PF04316.13:FlgM:40:87 Negative regulator of flagellin synthesis 97 48 14 97 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) P26477 1 SwissProt/TReMBL MSIDRTSPLKPVSTVQTRETSDTPVQKTRQEKTSAATSASVTLSDAQAKLMQPGVSDINMERVEALKTAIRNGELKMDTGKIADSLIREAQSYLQSK
VIMSS14961 1 127 0.181676377952756 PF10799.8:YliH:1:126 regulator of biofilm formation 127 126 14 127 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6436-MONOMER 1 ecocyc MFVDRQRIDLLNRLIDARVDLAAYVQLRKAKGYMSVSESNHLRDNFFKLNRELHDKSLRLNLHLDQEEWSALHHAEEALATAAVCLMSGHHDCPTVITVNADKLENCLMSLTLSIQSLQKHAMLEKA
VIMSS15210 1 173 0.282962427745665 PF02620.17:YceD:61:171 DUF177 domain-containing protein YceD 173 111 14 173 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11119-MONOMER 1 ecocyc MQKVKLPLTLDPVRTAQKRLDYQGIYTPDQVERVAESVVSVDSDVECSMSFAIDNQRLAVLNGDAKVTVTLECQRCGKPFTHQVYTTYCFSPVRSDEQAEALPEAYEPIEVNEFGEIDLLAMVEDEIILALPVVPVHDSEHCEVSEADMVFGELPEEAQKPNPFAVLASLKRK
VIMSS156436 1 107 0.0557121495327103 PF17606.2:DUF5502:21:107 lmo0206 (NCBI ptt file) 107 87 14 84 1 Listeria monocytogenes EGD-e VIMSS156436 1 MicrobesOnline MYIKGRLIFFFVVLVIALCSVLILLIIKISVWKDEPFHLSDAKEIECLGSCEIKNTNQKIHFFSIKENLFEEKGDIAGILNEDEQKVADKSIFIVILDDEKGIANEE
VIMSS16021 1 107 0.0728644859813084 PF13992.6:YecR:27:98 lipoprotein YecR 107 72 14 107 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7035-MONOMER 1 ecocyc MRLLILTLSLITLAGCTVTRQAHVSEVDAATGIVRLVYDQAFLQHAHTDRYVSRGIADRACQQEGYTHAVPFGQPVGNCSLFAGSLCLNTEFTLSYQCHHSAFPVFL
VIMSS16318 1 548 0.295472262773722 PF06039.15:Mqo:31:518,PF01266.24:DAO:33:309 malate:quinone oxidoreductase (EC 1.1.5.4) 548 488 14 548 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12069-MONOMER 1 ecocyc MKKVTAMLFSMAVGLNAVSMAAKAKASEEQETDVLLIGGGIMSATLGTYLRELEPEWSMTMVERLEGVAQESSNGWNNAGTGHSALMELNYTPQNADGSISIEKAVAINEAFQISRQFWAHQVERGVLRTPRSFINTVPHMSFVWGEDNVNFLRARYAALQQSSLFRGMRYSEDHAQIKEWAPLVMEGRDPQQKVAATRTEIGTDVNYGEITRQLIASLQKKSNFSLQLSSEVRALKRNDDNTWTVTVADLKNGTAQNIRAKFVFIGAGGAALKLLQESGIPEAKDYAGFPVGGQFLVSENPDVVNHHLAKVYGKASVGAPPMSVPHIDTRVLDGKRVVLFGPFATFSTKFLKNGSLWDLMSSTTTSNVMPMMHVGLDNFDLVKYLVSQVMLSEEDRFEALKEYYPQAKKEDWRLWQAGQRVQIIKRDAEKGGVLRLGTEVVSDQQGTIAALLGASPGASTAAPIMLNLLEKVFGDRVSSPQWQATLKAIVPSYGRKLNGDVAATERELQYTSEVLGLNYDKPQAADSTPKPQLKPQPVQKEVADIAL
VIMSS16363 1 296 0.223922972972973 PF01522.21:Polysacc_deac_1:15:167 putative 4-deoxy-4-formamido-L-arabinose-phosphoundecaprenol deformylase ArnD 296 153 14 296 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7169-MONOMER 1 ecocyc MTKVGLRIDVDTFRGTREGVPRLLEILSKHNIQASIFFSVGPDNMGRHLWRLVKPQFLWKMLRSNAASLYGWDILLAGTAWPGKEIGHANADIIREAAKHHEVGLHAWDHHAWQARSGNWDRQTMIDDIARGLRTLEEIIGQPVTCSAAAGWRADQKVIEAKEAFHLRYNSDCRGAMPFRPLLESGNPGTAQIPVTLPTWDEVIGRDVKAEDFNGWLLNRILRDKGTPVYTIHAEVEGCAYQHNFVDLLKRAAQEGVTFCPLSELLSETLPLGQVVRGNIAGREGWLGCQQIAGSR
VIMSS17108 1 275 0.187299272727273 PF00149.28:Metallophos:16:206,PF12850.7:Metallophos_2:16:211 cAMP phosphodiesterase (EC 3.1.4.53) 275 196 14 275 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7579-MONOMER 1 ecocyc MESLLTLPLAGEARVRILQITDTHLFAQKHEALLGVNTWESYQAVLEAIRPHQHEFDLIVATGDLAQDQSSAAYQHFAEGIASFRAPCVWLPGNHDFQPAMYSALQDAGISPAKRVFIGEQWQILLLDSQVFGVPHGELSEFQLEWLERKLADAPERHTLLLLHHHPLPAGCSWLDQHSLRNAGELDTVLAKFPHVKYLLCGHIHQELDLDWNGRRLLATPSTCVQFKPHCSNFTLDTIAPGWRTLELHADGTLTTEVHRLADTRFQPDTASEGY
VIMSS18348 74 257 0.273126630434783 PF07729.12:FCD:24:152 DNA-binding transcriptional repressor UxuR 257 129 14 184 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG20249-MONOMER 1 ecocyc LDNSGSQNTDSPDANVCNDAGPFELLQARQLLESNIAEFAALQATREDIVKMRQALQLEERELASSAPGSSESGDMQFHLAIAEATHNSMLVELFRQSWQWRENNPMWIQLHSHLDDSLYRKEWLGDHKQILAALIKKDARAAKLAMWQHLENVKQRLLEFSNVDDIYFDGYLFDSWPLDKVDA
VIMSS18435 44 118 0.196470666666667 PF00166.21:Cpn10:10:46 co-chaperonin GroES 118 37 14 75 0 Helicobacter pylori 26695 NP_206813.1 1 RefSeq SHKISEGCKCVKEGDVIAFGKYKGAEIVLDGTEYMVLELEDILGIVGSGSCCHTGNHDHKHAKEHEACCHDHKKH
VIMSS188597 1 292 0.150003082191781 PF00175.21:NAD_binding_1:125:229,PF10418.9:DHODB_Fe-S_bind:248:284,PF00970.24:FAD_binding_6:32:111 Sulfhydrogenase 1 subunit gamma; Sulfhydrogenase I subunit gamma; Sulfur reductase subunit HydG; EC 1.12.98.4 292 222 14 292 0 Pyrococcus furiosus (strain ATCC 43587 / DSM 3638 / JCM 8422 / Vc1) SwissProt::Q8U2E4 1 SwissProt MMLPKEIMMPNDNPYALHRVKVLKVYSLTETEKLFLFRFEDPELAEKWTFKPGQFVQLTIPGVGEVPISICSSPMRKGFFELCIRKAGRVTTVVHRLKPGDTVLVRGPYGNGFPVDEWEGMDLLLIAAGLGTAPLRSVFLYAMDNRWKYGNITFINTARYGKDLLFYKELEAMKDLAEAENVKIIQSVTRDPNWPGLKGRPQQFIVEANTNPKNTAVAICGPPRMYKSVFEALINYGYRPENIFVTLERRMKCGIGKCGHCNVGTSTSWKYICKDGPVFTYFDIVSTPGLLD
VIMSS19005 1 113 0.136719469026549 PF12838.7:Fer4_7:18:67,PF00037.27:Fer4:12:33,PF12837.7:Fer4_6:12:34,PF13237.6:Fer4_10:12:67,PF13187.6:Fer4_9:18:70,PF12800.7:Fer4_4:17:32,PF12800.7:Fer4_4:53:67,PF12797.7:Fer4_2:12:31 δ subunit of 2-oxoglutarate:acceptor oxidoreductase (EC 1.2.7.3) 113 59 14 113 0 Helicobacter pylori (strain ATCC 700392 / 26695) metacyc::HP0588-MONOMER 1 metacyc MAKMSAPDGVAVWVNEDRCKGCDICVSVCPAGVLGMGIEKERVLGKVAKVAYPESCIGCVQCELHCPDFAIYVADRKDFKFAKVSKEAQERSEKVKANKYMLLEETILEGRDK
VIMSS19027 1 1943 0.20176737004632 PF03077.14:VacA2:120:170,PF03077.14:VacA2:822:880 Toxin-like outer membrane protein 1943 110 14 1943 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25331 1 SwissProt/TReMBL MQFTQSNGQKFVFEETFNPGSITYKYFTIHSSLFHTDADSKDIWSQVRKQFDFIPGKTPVCVGVCYIAPYKNQDLIGSSAFAWSLNFGATVVGTLLLGSAQEKANNNGGSIWFGKNNLLYLHGNFNATNIFLTNNFNVGNPNAGGGATINFNADETLNADGLNYTNFQTVALGLQTSASQHSWANFNSKLSMEIKNSNFRDFTWGGFNFNSGRITFENTTFSGWTNINGATESGSSYVNMVANTDLIFSNSILGGGIRYDLKANNIIFNNSQMVIDVSKNVNQSSLNGNVTFNNSRLSVKPNAAINIGDSQTQTALENASSLSFYNNSVANFNGTTAFNGVSYLNLNPNAQVSFNQVNFNNANVTFYGIPLFGKTPDFGNSARLINFKGNTNFNQATLNLRAKNIHINFQGVSTFKQNSTMNLAESSQASFNALKVEGETNFNLNNSSLLNFNGNSVFNAPVSFYANHSQISFTKLATFNSDASFDLSNNSTLNFQSVLLNGALNLLGNGSNNLAINAKGNFSFGSKGILNLSYMNLFGGDKKTSVYDVLQAQNIDGLMGNNGYEKIRFYGIQIDKADYSFDNGVHSWRFTNPLNTTETITETLHNNRLKVQISQNGVSNNKMFNLAPSLYDYQKNPYNETENSYNYTSDKVGTYYLTSNIKGFNQNNKTPGTYNAQNQPLQALHIYNQAITKQDLNMIASLGKEFLPKIANLLSSGALDNLNSPNSFETLFGIFEKYGITLNQENWKSLLKIINNFSNTTNYDFSQGNLVVGAIKEGQTNTKSVVWFGGEGYKEPCAVGDNTCQMFRQTNLGQLLHSSTPYLGYINANFRAKNIYITGTIGSGNAWGSGGSANVSFESGTNLVLNQAKIDAQGTDKIFSYLGQGGIEKLFGEKGLGNALSNIIYEESLNDNAIPKDLANMIPKDFGSKTLSSLLSPTEVNNLLGVSAFKNAIMEILNSKTVGDVFGENGLLNALDPTERKKIDQMLLEQIQAHSSGFEKFIVKTLGIENVENFINNWYGKQSLSSFANNFVPGGLNQALDKIGSSSDAKDLQNFLDKTTFGDILNQMIEQAPLINKLISWLGPQDLSVLVNIALNSITNPSKELTSTISSIGEKALNDLLGDGVVNKIMSNQVLGQMINKIIADKGFGGVYQQGLGSILPQSLQDELKKLGMGSLLGSRGLHNLWQRGNFNFVAKDYLFTNNSSFSNATGGELNFVAGKSIIFNGKNTINFTQYQGKLSFISKDFSNISLDTLNATNGLTLNAPKNDISVQKGQICVNVLNCMGEKKAHSSSATAPTNETLEANANNFAFLGAIKANGLVDFSKVLQNTTIGTLDLGPNATFKANHLIVNNAFNNNSNYRADISGNLNVVKGAALSTNENGLNVGGDFKSEGSLIFNLNNKTNQTIINVAGNSTIMSYNNQALIHFNTQLKQGAYTLINAKRMLYGYDNQIIRGGSLSDYLKLYTLIDFNGKRMQLNGDSLSYDNQPVNIKDGGLVVSFKDNQGQMVYSSILYDKVQVSVSDKPMDIHAPSLEYYIKYIQGSAGLDAIKSAGNNSILWLNELFVAKGGNPLFAPYYLQDNPTEHIVTLMKDITSALGMLSKPNLKNNSTDALQLNTYTQQMSRLAKLSNFASFDSTDFSERLSSLKNQRFADAIPNAMDVILKYSQRDKLKNNLWATGVGGVSFVENGTGTLYGVNVGYDRFIKGVIVGGYAAYGYSGFYERITNSKSDNVDVGLYARAFIKKSELTFSVNETWGANKNQISSNDTLLSMINQSYKYSTWTTNAKVNYGYDFMFKNKSIILKPQIGLRYYYIGMTGLEGVMHNALYNQFKANADPSKKSVLTIELALENRHYFNTNSYFYAIGGFGRDLLVNSMGDKLVRFIGNNTLSYRKGELYNTFASITTGGEVRLFKSFYANAGVGARFGLDYKMINITGNIGMRLAF 3
VIMSS19291 1 791 0.242382806573957 PF00593.24:TonB_dep_Rec:291:790,PF07715.15:Plug:47:142 iron-regulated outer membrane protein (frpB) (NCBI ptt file) 791 596 14 791 0 Helicobacter pylori 26695 VIMSS19291 1 MicrobesOnline MFLRVYPKLRYALCFPLLAETCYSEERTLNKVTTQAKRIFTYNNEFKVTSKELDQRQSNEVKDLFRTNPDVNVGGGSVMGQKIYVRGVEDRLLRVTVDGAAQNGNIYHHQGNTVIDPGMLKSVEVTKGAANASAGPGAIAGVIKMETKGAADFIPRGKNYAASGAVSFYTNFGDRETFRSAYQNAHFDIIAYYTHQNIFYYRSGATAMKNLFNPTQADKEPGTPSEQNNALIKMNGYLSDRDTLTFSWNMTRDNATRPLRSNAIGLAYPCEAPFSPDSSQGCPNVLDSFTRYMYHSINSANNLSLQYKREAGNSFGDPRLDFTLYTSIRNAQFDPLFDPNGVYAKFPTSLASAWEKENYPCVEGAYCTPSFSDVDKPSSQPRNLFLNNTGLNLKVAHVIDEATDSLFEYGFNYQNLSVFDARIPKSELYRPNQVYTDDKGQKQIACSLVNNNPNDPTLCQRGKANGNIYGGYVQANYSPHKIITFGAGVRWDAYTLYDKDWNHRYTQGFSPSAALVLSPIEPLSLKITYSQVTRGVMPGDGVYMRQNDLRYAKNIKPEVGSNAEFNIDYSSQYFSGRAAAFYQALDNFISQYAQNLIVTNLSQAIRIYGYEVGGTFRYKGVSLNVGVSRTWPTTRGYLMADSYELAASTGNVFIIKLDYTIPKTGINLAWLSRFVTGLDYCGFDIYLPDYGTAEKPKTPTDLAKCGSQLGLVHMHKPGYGVSNFYINWSPKTKSRWKGLLLSAVFNNVFNKFYVDQTSPYVMSPDMPGTDAVKRAIAEPGFNARFEVAYKW 5
VIMSS19325 1 515 0.22283786407767 PF01856.17:HP_OMP:353:515 outer membrane protein (omp20) (NCBI ptt file) 515 163 14 515 0 Helicobacter pylori 26695 VIMSS19325 1 MicrobesOnline MIKKNRTLFLSLALCASISYAEDDGGFFTVGYQLGQVMQDVQNPGGAKSDELARELNADVTNNILNNNTGGNVAGALSNAFSQYLYSLLGAYPTKLNGNDVSANALLSGAVGSGTCAAAGTAGGSTLNTQSACTAAGYYWLPSLTDRILSTIGSQTNYGTNTNFPNMQQQLTYLNAGNVFFNAMNKALEAKNGSSGASGATGSDGQTYSTQAIQYLQRQQNILNNAANLLKQDELLLEAFNSAVAANIGNKEFNSAAFTGLVQGIIDQSQLVYNELTKNTISGSAVNGAEINSNQANAVQGRASQLPNALYNAQVTLDKINALNNQVRSMPYLPQFRAGNSRSTNILNGFYTKIGYKQFFGKKRNIGLRYYGFFSYNGASVGFRSTQNNVGLYTYGVGTDVLYNIFSRSYQNRSVDMGFFSGIQLAGETFQSTLRDDPNVKLHGKINNTHFQFLFDFGMRMNFGKLDGKSNRHNQHTVEFGVVVPTIYNTYYKSAGTTVKYFRPYSVYWSYGYSF 5
VIMSS1935711 165 487 0.501865634674923 PF01469.18:Pentapeptide_2:54:92,PF01469.18:Pentapeptide_2:97:135 PPE FAMILY PROTEIN (NCBI) 487 78 14 323 0 Mycobacterium tuberculosis H37Rv VIMSS1935711 1 MicrobesOnline VAQLAPWQQVLRNLGIDIGKNGQINLGFGNTGSGNIGNNNIGNNNIGSGNTGTGNIGSGNTGSGNLGLGNLGDGNIGFGNTGSGNIGFGITGDHQMGFGGFNSGSGNIGFGNSGTGNVGLFNSGSGNIGIGNSGSLNSGIGTSGTINAGLGSAGSLNTSFWNAGMQNAALGSAAGSEAALVSSAGYATGGMSTAALSSGILASALGSTGGLQHGLANVLNSGLTNTPVAAPASAPVGGLDSGNPNPGSGSAAAGSGANPGLRSPGTSYPSFVNSGSNDSGLRNTAVREPSTPGSGIPKSNFYPSPDRESAYASPRIGQPVGSE
VIMSS1936101 1 301 0.29481926910299 PF13641.6:Glyco_tranf_2_3:5:233,PF00535.26:Glycos_transf_2:4:191 dTDP-Rha: α-GlcNAc-PP-C50 α-1,3-L-rhamnosyltransferase (MT3365) (EC 2.4.1.289) 301 230 14 301 0 Mycobacterium tuberculosis H37Rv CAZy::AAK47706.1 1 CAZy MVAVTYSPGPHLERFLASLSLATERPVSVLLADNGSTDGTPQAAVQRYPNVRLLPTGANLGYGTAVNRTIAQLGEMAGDAGEPWVDDWVIVANPDVQWGPGSIDALLDAASRWPRAGALGPLIRDPDGSVYPSARQMPSLIRGGMHAVLGPFWPRNPWTTAYRQERLEPSERPVGWLSGSCLLVRRSAFGQVGGFDERYFMYMEDVDLGDRLGKAGWLSVYVPSAEVLHHKAHSTGRDPASHLAAHHKSTYIFLADRHSGWWRAPLRWTLRGSLALRSHLMVRSSLRRSRRRKLKLVEGRH
VIMSS1936132 1 1021 0.2848 PF11856.8:DUF3376:316:791,PF01734.22:Patatin:49:257 POSSIBLE TRANSMEMBRANE PROTEIN (NCBI) 1021 685 14 952 3 Mycobacterium tuberculosis H37Rv VIMSS1936132 1 MicrobesOnline MAGVTREINLLAQASQWRRLGGTFPTNSQLTNESAASLRLYAQLIDLLDMVVDVDILSGTSAGGINAALLASSRVTGSDLGGIRDLWLDLGALTELLRDPRDKKTPSLLYGDERIFAALAKRLPKLATGPFPPTTFPEAARTPSTTLYITTTLLAGETSRFTDSFGTLVQDVDLRGLFTFTETDLARPDTAPALALAARSSASFPLAFEPSFLPFTKGTAKKGEVPARPAMAPFTSLTRPHWVSDGGLLDNRPIGVLFKRIFDRPARRPVRRVLLFVVPSSGPAPDPMHEPPPDNVDEPLGLIDGLLKGLAAVTTQSIAADLRAIRAHQDCMEARTDAKLRLAELAATLRNGTRLLTPSLLTDYRTREATKQAQTLTSALLRRLSTCPPESGPATESLPKSWSAELTVGGDADKVCRQQITATILLSWSQPTAQPLPQSPAELARFGQPAYDLAKGCALTVIRAAFQLARSDADIAALAEVTEAIHRAWRPTASSDLSVLVRTMCSRPAIRQGSLENAADQLAADYLQQSTVPGDAWERLGAALVNAYPTLTQLAASASADSGAPTDSLLARDHVAAGQLETYLSYLGTYPGRADDSRDAPTMAWKLFDLATTQRAMLPADAEIEQGLELVQVSADTRSLLAPDWQTAQQKLTGMRLHHFGAFYKRSWRANDWMWGRLDGAGWLVHVLLDPRRVRWIVGERADTNGPQSGAQWFLGKLKELGAPDFPSPGYPLPAVGGGPAQHLTEDMLLDELGFLDDPAKPLPASIPWTALWLSQAWQQRVLEEELDGLANTVLDPQPGKLPDWSPTSSRTWATKVLAAHPGDAKYALLNENPIAGETFASDKGSPLMAHTVAKAAATAAGAAGSVRQLPSVLKPPLITLRTLTLSGYRVVSLTKGIARSTIIAGALLLVLGVAAAIQSVTVFGVTGLIAAGTGGLLVVLGTWQVSGRLLFALLSFSVVGAVLALATPVVREWLFGTQQQPGWVGTHAYWLGAQWWHPLVVVGLIALVAIMIAAATPGRR
VIMSS19398 1 237 0.124390717299578 PF09002.11:DUF1887:95:224 hypothetical protein (NCBI ptt file) 237 130 14 237 0 Helicobacter pylori 26695 VIMSS19398 1 MicrobesOnline METFFSLHVPNRRIKQNGIIDEKSLEKIQERKNLSSLLYEHRARIIPLYKRINENHAKNKTINICENNLKLFYKDHQVCVNIDKKEIKLRYSEDEDDFRKYIIGGWFEEYIYCELLELLDKQVICDLRLNMILGVGNTNATQGDKHPIYTELDIAFSDGKNLYVAECKSGELKNKGVLAALSADAQIFGGANAKCILISIDGNLGQVLQEKVKILNIEFIFKYFKKNIENYINNSRR
VIMSS19739 1 412 0.173880339805825 PF02321.18:OEP:36:205,PF02321.18:OEP:241:408 hypothetical protein (NCBI ptt file) 412 338 14 394 1 Helicobacter pylori 26695 VIMSS19739 1 MicrobesOnline MLSFISAFDKRGVSIRLLTALLLLFSLGLAKDLEIQSFVAKYLSKNQKIQALQEQIDALSSQEKVVSKWDNPILYLGYNNANVSDFFRLDSTLMQNMSLGLSQKVDLNGKKLTQSQMIDLEKQKKILELKKTKQQLAISLMINGIENYKNQQEIELLKTAIKNLENTLYQANHSSSPNLIAIAKLEILKSQLEIKKNNLEEALSASHYSMGELAFKENELLSIAPKNFEFNREQELHNISATNYDIAIARLDEEKSQKDITLAKKSFLEDVNVTGVYYFRSKQYYNYDMFSIALSIPLPIYGKQAKLVEQKKKESLVFKSEVENTKNKTHHLALKLLKKLETLQKNLESINKIIKQNEKIAQIYALDLKSNGDYNAYYNAFNDKITIQITQLETLSALNSTYLSLQNLKGLE
VIMSS2072491 1 417 0.223153477218225 PF00691.20:OmpA:70:173 OmpA family protein 417 104 14 417 0 Francisella tularensis subsp. holarctica FSC200 WP_003014506.1 1 RefSeq MKKLLKLCLMTSLLTTLSACQTLDDKDKDSGPLTFPTLEPCTAELLQSNQSFICVKEQTGPDLIETNIKFDADSYTLNTQAKEVLDKLFAYLKLTDTTNFTIKGYAGKVESKILTDQKILTDYNIRLSKNRASSVEEYLVNKGLGSSDGITIKALGYQDPIAPNDSTSSRAINQRVEITLKSRLIEQIDNIENNLEHVRPAEYTKFFSNVYLLNDNQIDNISRIYNSREKRPILGINFKIFANKEYTAAKDNSNFIIISEPKPISSFNDDKKVYRLGSAKYDYTFKGITALTITNLSREASVGNYVIPNDIVSQQLPEQTFKMKSKITANVLEDVMNTNTFSSSYNSILLNKGAADGLKVGAQVILYEPETRVDGFPVPPKYIGYGFIYRESQHYSIALIVNSLQEITNNSMATTIL
VIMSS2098655 101 493 0.208992366412214 Isoniazid-induced protein IniC 493 0 14 393 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJ95 1 SwissProt RANVPITRRGGLSFDLRRINPAELIDLEVEWPAEELIDATIVDTPGTSSLACDASERTLRLLVPADGVPRVDAVVFLLRTLNAADVALLKQIGGLVGGSVGALGIIGVASRADEIGAGRIDAMLSANDVAKRFTRELNQMGICQAVVPVSGLLALTARTLRQTEFIALRKLAGAERTELNRALLSVDRFVRRDSPLPVDAGIRAQLLERFGMFGIRMSIAVLAAGVTDSTGLAAELLERSGLVALRNVIDQQFAQRSDMLKAHTALVSLRRFVQTHPVPATPYVIADIDPLLADTHAFEELRMLSLLPSRATTLNDDEIASLRRIIGGSGTSAAARLGLDPANSREAPRAALAAAQHWRRRAAHPLNDPFTTRACRAAVRSAEAMVAEFSARR
VIMSS2101506 1 374 0.299557219251337 hypothetical protein (NCBI) 374 0 14 374 0 Mycobacterium bovis BCG str. Pasteur 1173P2 VIMSS2101506 1 MicrobesOnline MPQMLGPLDEYPLHQLPQPIAWPGSSDRNFYDRSYFNAHDRTGNIFLITGIGYYPNLGVKDAFVLIRRADIQTAVHLSDAIDSDRLHQHVNGYRVEVVEPLRKLRIVLDETEGVAADLTWEGLFDVVQEQPHVLRSGNRVTLDAQRFAQLGTWSGRIVVDGERIAVDPATWLGSRDRSWGIRPVGEPEPAGRPADPPFEGMWWLYVPLAFDDFAVVLIIQEEPDGFRSLNDCTRIWRDGHVEQLGWPRVRIHYRSGTRIPTGATIEASTPDGAPVHFDVESKLAVPTHVGGGYGGDSDWSHGMWKGEKFVERRTYDMTDPTIIARAGFGVIDHVGRALCRDGDGNPVQGWGLFEHGALGRHDPSGFADWSTLAP
VIMSS2195397 1 99 0.0793888888888889 hypothetical protein (NCBI) 99 0 14 99 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2195397 1 MicrobesOnline MQLATLQELSFDEIDQVSGAGLFSFVGDAIVDVVKVSNDLLNTSVISSVGKVFNAVGLTPIHQLADTLGYGVFKGVAAVGGLLGGDTSRIDYHYDTEWT
VIMSS2196225 1 216 0.0189356481481481 putative two-component response regulator (NCBI) 389 0 14 89 6 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2196225 1 MicrobesOnline MCVTQKDRLDDSRSNLYADQLNRGFRGLRFLPELERDYRRYMLEDSFALKRIALSVGMLVWLAFIGIDLLILAGPPLWGVLAVRLGVLVLLLVCGCLIMLRRHIQLMVPLSIACVLALGVGAAAVVGLAHRADPGYPYEGLLLVSFAAYFLAGLRLSQALSCALVVLLAYLGFEWWAGTQEPLGNNLLFLLFGNLIGAVGCYLLEFKSREHFLISR
VIMSS231 1 133 0.0200263157894737 hypothetical protein (NCBI ptt file) 133 0 14 87 2 Chlamydia trachomatis D/UW-3/CX VIMSS231 0 MicrobesOnline MSYLFCSSCAPTLESPAELCLYKTHIYCKRRGNIEFAVSLGIFAILSCVALLCLLCGGSSLVFAGLGIGAIMIGSVALGVGLTFLYWSCSRGLQNRIRTNILASSDSSSLFSSKSDFSLEFELNEADVTISVS
VIMSS243031 1 88 0.239622727272727 PF03777.13:ChpA-C:32:87 Chaplin-F; Chaplin-G 88 56 14 65 1 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q9KYG7 1 SwissProt MYNPKEHFSMSRIAKGLALTSVAAAAVAGTAGVAAADSGAQAAAAHSPGVLSGNVVQVPVHIPVNVCGNTIDVIGLLNPAFGNECEND
VIMSS246470 1 543 0.387840331491712 PF03704.17:BTAD:103:248 regulatory protein (NCBI) 543 146 14 543 0 Streptomyces coelicolor A3(2) VIMSS246470 1 MicrobesOnline MRFRMLGPLEVLSGEQSLPLGGVKQRAALGYLLLQANQVVPTSQLLSALWSTDNAPATARKILQNAVWGLRGMLSEHGPAAGAAGELVTRAPGYMIRVDPDRVDLHLFRRRVSEGRARLSAGAQHEAVRLLGEALDLWRGPVLADLVETGTMWPELTAVQNSRLDVLEDYLEAKLRCGEHYAVLGELETTVEAEPLRERSSGLLMLALYRCGRQVDALSVYNRIRATLVEDLGLEPGHELRRLQQAILAQDQTLDLASAPLGTAAPVPVPAAPAAQPPPAAALPGYRDAAAQFSGHEDRRPLLAAVASPAHRTRSDERQVAVAKWPAQPRGAPVHSRTAVRTAPVAERPHLSVLLVQNRLTPGHNGFRPESMDEDFEHLNAVTRKQIERLGGEPVATIGAVTLGLFRPCSAAADDGTRRAQEPGAALRAVRAAAAVRDRLAVPVPQPARPAPPGLTFHASVATGRALVRFQPGDGTAPTVNGALLDLCQALLTVTAPGEIRVCAATRAATVSVVAYGLADGSPSAWRALDLPAEDSPAGGGAI
VIMSS25954 1 410 0.386569268292683 T. pallidum predicted coding region TP0133 (NCBI ptt file) 410 0 14 410 0 Treponema pallidum subsp. pallidum str. Nichols VIMSS25954 1 MicrobesOnline MMARSRCVHRVVHQAACIGVIGLSTSALTTCDFTGIFVAIQSEVPIKTPSIPGAIYGLVKAGSKLYATNGQLWKKNVAEEGKDWERESCFDSVIGDSRITSLAADNGENGVLVACILGKGAYKWSQGSADQTSGNPSALSGTEKALSVVGTGTSCVYLNHTDDKVGETSSSESGGMTASGETNEFCLHAGNGFLVTTKKVCVGSDGSPVAKSDGEEPVPPILAATDDGSGHVYILTKDKVYCKKVNQSEGKIQDCPQSAAAAPEPTGAHSVAHKVADAHSIAFFKNGSDEFLLIGGRQGYGEIKLERGSGSNGNGAQCVHLKEENVHDQTGWHEKGSTPKGSAEQYRSTIGRWAVSGIYVIKKSTSGGRGKRSTSTDCERPDLYVAVGDTNDTYTGLWRFDSAAQKWNRE
VIMSS26284 1 280 0.264835 T. pallidum predicted coding region TP0462 (NCBI ptt file) 280 0 14 280 0 Treponema pallidum subsp. pallidum str. Nichols VIMSS26284 1 MicrobesOnline MRRIVCPPVLFLSASLLTGCDFSGIFASIQSEVPLKIPSIRGVVTGLVKCNNKLYACAGQLWEKDASKSEGKWTAVNFLPGKKITSIVSKGACVYACVSGEGVYTYTSNGAGRTGGTTTPSTVLGKTNGAIRIGGSDNPFLQMPCELSSGSSGGGGGGSGSSSDGGIKNGSDENVLGSGTGYVVTTKAVYTKSNSSGTSCTYTKDGTFTATTSPILGCTSDGKGCFYVLDGTDVHCRTVQASGGGNGAHCAVASGSATSCKVAHTVTNPLCIAHVKNGQH
VIMSS26586 1 345 0.542077971014493 membrane protein (tmpA) (NCBI ptt file) 345 0 14 345 0 Treponema pallidum subsp. pallidum str. Nichols VIMSS26586 1 MicrobesOnline MNAHTLVYSGVALACAAMLGSCASGAKEEAEKKAAEQRALLVESAHADRRLMEARIGAQESGADTQHPELFSQIQDVERQSTDAKIEGDLKKAAGVASEAADKYEILRNRVEVADLQSKIQTHQLAQYDGDSANAAEESWKKALELYETDSAQCLQSTVEALESYRKVAHEGFGRLLPDMKARAGAAKTDVGGLKVAVELRPQLEEADSQYQEAREAEEVNARAKAFSGYHRALEIYTELGKVVRLKKTEAEKALQSAKTKQKASSDLARSADKSAPLPENAQGFSKEPIEVEPLPNDRLNTTQADESAPIPISDTSSPSRVQSRGVEDGGRSPKSSMNEEGASR
VIMSS289465 1 186 0.440040322580645 outer membrane lipoprotein LipL21 186 0 14 186 0 Leptospira interrogans serovar Lai str. 56601 NP_710192.1 1 RefSeq MINRLIALSLATMIFAACSSTDTGQKDATTVGDGGWTFEGWGGPPEQRNDGKTPRDTNPKDWYYIKFSSRASGKAVAKKSQAMMQSTCREASRLQGASDVVKKMVGETVESASGVSDGEATASVIVSQSQGVVKGVGVYECKATGSGSDPKDVSKDNWEECQCVIYAKFPGGKDALVAKAQEVSKQ
VIMSS29647 1 148 0.460395945945946 PF03304.13:Mlp:30:146 Lipoprotein MlpH 148 117 14 148 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::Q9S069 1 SwissProt MKIINILFCLFLLMLNGCNSNDNDTLKNNAQQTKSRRKRDLTQKEVTQEKPKSKEELLREKLNDDQKTQLDWLKTALTDAGEFDKFLENNEDKIKSALDHIKSELDKCNGKENGDVQKNTFKQVVQGALKGGIDGFGASNATTTCNGS
VIMSS29683 1 142 0.429174647887324 PF03304.13:Mlp:25:138 Lipoprotein MlpI 142 114 14 142 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::Q9S043 1 SwissProt MKIINILFCLFLLMLNSCNSNDTNTSQTKSRQKRDLTQKEATQEKPKSKEDLLREKLSEDQKTHLDWLKTALTGAGEFDKFLGYDEDKIKGALNHIKSELDKCTGDNSEQQKSTFKEVVKGALGGGIDSFATSASSTCQAQQ
VIMSS306445 1 367 0.0320542234332425 PF00953.21:Glycos_transf_4:76:232 UDP-N-acetylglucosamine—undecaprenyl-phosphate N-acetylglucosaminephosphotransferase (EC 2.7.8.33) 367 157 14 140 11 Escherichia coli K-12 substr. MG1655 ecocyc::GLCNACPTRANS-MONOMER 0 ecocyc MNLLTVSTDLISIFLFTTLFLFFARKVAKKVGLVDKPNFRKRHQGLIPLVGGISVYAGICFTFGIVDYYIPHASLYLACAGVLVFIGALDDRFDISVKIRATIQAAVGIVMMVFGKLYLSSLGYIFGSWEMVLGPFGYFLTLFAVWAAINAFNMVDGIDGLLGGLSCVSFAAIGMILWFDGQTSLAIWCFAMIAAILPYIMLNLGILGRRYKVFMGDAGSTLIGFTVIWILLETTQGKTHPISPVTALWIIAIPLMDMVAIMYRRLRKGMSPFSPDRQHIHHLIMRAGFTSRQAFVLITLAAALLASIGVLAEYSHFVPEWVMLVLFLLAFFLYGYCIKRAWKVARFIKRVKRRLRRNRGGSPNLTK
VIMSS31854 298 640 0.0801696793002915 PF00361.20:Proton_antipo_M:6:87 PROBABLE OXIDOREDUCTASE (NCBI) 640 82 14 208 6 Mycobacterium tuberculosis H37Rv VIMSS31854 1 MicrobesOnline YGPASIAAAAAMLHMIAHAAFKSLAFMAAGSVLAATGLRDLDLLGGLARRMPATTVFFGVAALGACGLPLGAGFVSEWLLVQSLIHAAPGHDPIVALTTPLAVGVVALATGLSVAAMTKAFGIGFLARPRSTQAEAAREAPASMRAGMAIAAGACLVLAVAPLLVAPMVRRAAATLPAAQAVKFTGLGAVVRLPAMSGSIAPGVIAAAVLAAALAVAVLARWRFRRRPAPARLPLWACGAADLTVRMQYTATSFAEPLQRVFGDVLRPDTDIEVTHTAESRYMAERITYRTAVADAIEQRLYTPVVGAVAAMAELLRRAHTGSVHRYLAYGALGVLIVLVVAR
VIMSS32003 76 229 0.22859025974026 PROBABLE TRANSCRIPTIONAL REGULATORY PROTEIN (PROBABLY TETR/ACRR-FAMILY) (NCBI) 229 0 14 154 0 Mycobacterium tuberculosis H37Rv VIMSS32003 1 MicrobesOnline EDLIRELDPNRPFFEFLTDLLDGWVAYFAEHPRERALHAAATLEVDTDARISVRSVLHRHYLDVLRPLVRDAHARGDLRADSDTGALMSLLLLIFPHLALAPYMRGLDPILGLDEPTPEQPALAVRRLVAVLAAAFDAQHPATNSAQTRSEEIT
VIMSS32080 1 218 0.308227981651376 POSSIBLE CONSERVED EXPORTED PROTEIN (NCBI) 218 0 14 195 1 Mycobacterium tuberculosis H37Rv VIMSS32080 1 MicrobesOnline MSRLLALLCAAVCTGCVAVVLAPVSLAVVNPWFANSVGNATQVVSVVGTGGSTAKMDVYQRTAAGWQPLKTGITTHIGSAGMAPEAKSGYPATPMGVYSLDSAFGTAPNPGGGLPYTQVGPNHWWSGDDNSPTFNSMQVCQKSQCPFSTADSENLQIPQYKHSVVMGVNKAKVPGKGSAFFFHTTDGGPTAGCVAIDDATLVQIIRWLRPGAVIAIAK
VIMSS3215140 1 1058 0.265192816635161 PF03121.15:Herpes_UL52:965:1040 DNA primase; EC 2.7.7.- 1058 76 14 1058 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P10236 1 SwissProt MGQEDGNRGERRAAGTPVEVTALYATDGCVITSSIALLTNSLLGAEPVYIFSYDAYTHDGRADGPTEQDRFEESRALYQASGGLNGDSFRVTFCLLGTEVGGTHQARGRTRPMFVCRFERADDVAALQDALAHGTPLQPDHIAATLDAEATFALHANMILALTVAINNASPRTGRDAAAAQYDQGASLRSLVGRTSLGQRGLTTLYVHHEVRVLAAYRRAYYGSAQSPFWFLSKFGPDEKSLVLTTRYYLLQAQRLGGAGATYDLQAIKDICATYAIPHAPRPDTVSAASLTSFAAITRFCCTSQYARGAAAAGFPLYVERRIAADVRETSALEKFITHDRSCLRVSDREFITYIYLAHFECFSPPRLATHLRAVTTHDPNPAASTEQPSPLGREAVEQFFCHVRAQLNIGEYVKHNVTPRETVLDGDTAKAYLRARTYAPGALTPAPAYCGAVDSATKMMGRLADAEKLLVPRGWPAFAPASPGEDTAGGTPPPQTCGIVKRLLRLAATEQQGPTPPAIAALIRNAAVQTPLPVYRISMVPTGQAFAALAWDDWARITRDARLAEAVVSAEAAAHPDHGALGRRLTDRIRAQGPVMPPGGLDAGGQMYVNRNEIFNGALAITNIILDLDIALKEPVPFRRLHEALGHFRRGALAAVQLLFPAARVDPDAYPCYFFKSACRPGPASVGSGSGLGNDDDGDWFPCYDDAGDEEWAEDPGAMDTSHDPPDDEVAYFDLCHEVGPTAEPRETDSPVCSCTDKIGLRVCMPVPAPYVVHGSLTMRGVARVIQQAVLLDRDFVEAIGSYVKNFLLIDTGVYAHGHSLRLPYFAKIAPDGPACGRLLPVFVIPPACKDVPAFVAAHADPRRFHFHAPPTYLASPREIRVLHSLGGDYVSFFERKASRNALEHFGRRETLTEVLGRYNVQPDAGGTVEGFASELLGRIVACIETHFPEHAGEYQAVSVRRAVSKDDWVLLQLVPVRGTLQQSLSCLRFKHGRASRATARTFVALSVGANNRLCVSLCQQCFAAKCDSNRLHTLFTIDAGTPCSPSVPCSTSQPSS
VIMSS32450 1 165 0.479199393939394 PF11259.8:DUF3060:101:159 hypothetical protein 165 59 14 165 0 Mycobacterium tuberculosis H37Rv NP_215193.1 1 RefSeq MVEKPLRADRATHSRLATFALALAAAALPLAGCSSTANPPAATTTPATATTTTATSGPTAAPTVTTGESTTASIQIGDMLTYGSIGTTATLDCADGKSLNVAGSDNTLTVNGTCETVTVGGANNKIAFDRIDERLVVVGLDNTVTYKNGDPTIDNLGAGNRINKE
VIMSS32554 1 540 0.101757407407407 PF07690.16:MFS_1:50:443 POSSIBLE MULTIDRUG RESISTANCE INTEGRAL MEMBRANE EFFLUX PROTEIN EMRB (NCBI) 540 394 14 254 13 Mycobacterium tuberculosis H37Rv VIMSS32554 0 MicrobesOnline MLGNAMVEACPAEGDAPVPITPAGRPRSGQRSYPDRLDVGLLRTAGVCVLASVMAHVDVTVVSVAQRTFVADFGSTQAVVAWTMTGYMLALATVIPTAGWAADRFGTRRLFMGSVLAFTLGSLLCAVAPNILLLIIFRVVQGFGGGMLTPVSFAILAREAGPKRLGRVMAVVGIPMLLGPVGGPILGGWLIGAYGWRWIFLVNLPVGLSALVLAAIVFPRDRPAASENFDYMGLLLLSPGLATFLFGVSSSPARGTMADRHVLIPAITGLALIAAFVAHSWYRTEHPLIDMRLFQNRAVAQANMTMTVLSLGLFGSFLLLPSYLQQVLHQSPMQSGVHIIPQGLGAMLAMPIAGAMMDRRGPAKIVLVGIMLIAAGLGTFAFGVARQADYLPILPTGLAIMGMGMGCSMMPLSGAAVQTLAPHQIARGSTLISVNQQVGGSIGTALMSVLLTYQFNHSEIIATAKKVALTPESGAGRGAAVDPSSLPRQTNFAAQLLHDLSHAYAVVFVIATALVVSTLIPAAFLPKQQASHRRAPLLSA
VIMSS33093 1 355 0.114858591549296 PF00672.25:HAMP:275:326 adenylate cyclase (EC 4.6.1.1) 567 52 14 220 6 Mycobacterium tuberculosis BRENDA::P9WQ29 1 BRENDA MPSEKATTRHLPGAVETLSPRTGRRPETPAYGSWLLGRVSESPRMRRVRIQGMLTVAILVTNVIGLIVGAMLLTVAFPKPSVILDAPHWVSFGIVPGYCVLAFILGTYWLTRQTARALRWAIEERTPSHDEARSAFLVPLRVALAVLFLWGAAAALWTIIYGLANRLFIPRFLFSMGVIGVVAATSCYLLTEFALRPMAAQALEVGATPRSLVRGIVGRTMLVWLLCSGVPNVGVALTAIFDDTFWELSNDQFMITVLILWAPLLIFGFILMWILAWLTATPVRVVREALNRVEQGDLSGDLVVFDGTELGELQRGFNRMVEGLRERERVRDLFGRHVGREVAAAAERERPKLGG
VIMSS33132 1 250 0.230182 adenylate cyclase (EC 4.6.1.1) 250 0 14 250 0 Mycobacterium tuberculosis BRENDA::P9WM05 1 BRENDA MFMALRAPMLERMNGLHTDDAPVNWLERRGGRLTSRRRVTLLHAGVEHPMRLWGVQSEAITAAMVLSRKVSAIIAGHCGVRLVDQGVGDGFVAAFAHASDAVACALELHQAPLSPIVLRIGIHTGEAQLVDERIYAGATMNLAAELRDLAHGGQTVMSGATEDAVLGRLPMRAWLIGLRPMEGSPEGHNFPQSQRIAQLCHPNLRNTFPPLRMRIADASGIPYVGRILVNVQVVPHWEGGCAAAGMVLAG
VIMSS33232 1 591 0.106522165820643 Alpha-(1->6)-mannopyranosyltransferase Rv1459c; EC 2.4.1.- 591 0 14 365 10 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O53150 1 SwissProt MAARHHTLSWSIASLHGDEQAVGAPLTTTELTALARTRLFGATGTVLMAIGALGAGARPVVQDPTFGVRLLNLPSRIQTVSLTMTTTGAVMMALAWLMLGRFTLGRRRMSRGKLDRTLLLWMLPLLIAPPMYSKDVYSYLAQSEIGRDGLDPYRVGPASGLGLGHVFTLSVPSLWRETPAPYGPLFLWIGRGISSLTGENIVAAVLCHRLVVLIGVTLIVWATPRLAQRCGVAEVSALWLGAANPLLIMHLVAGIHNEALMLGLMLTGVEFALRGLDMANTPRPSPETWRLGPATIRASRRPELGASPRAGASRAVKPRPEWGPLAMLLAGSILITLSSQVKLPSLLAMGFVTTVLAYRWGGNLRALLLAAAVMASLTLAIMAILGWASGLGFGWINTLGTANVVRSWMSPPTLLALGTGHVGILLGLGDHTTAVLSLTRAIGVLIITVMVCWLLLAVLRGRLHPIGGLGVALAVTVLLFPVVQPWYLLWAIIPLAAWATRPGFRVAAILATLIVGIFGPTANGDRFALFQIVDATAASAIIVILLIALTYTRLPWRPLAAEQVVTAAESASKTPATRRPTAAPDAYADST
VIMSS33281 1 599 0.121646911519199 PF09594.10:GT87:201:431 Probable membrane protein (NCBI) 599 231 14 382 10 Mycobacterium tuberculosis H37Rv VIMSS33281 1 MicrobesOnline MIPVMSARFTGFPLLPVALRHGITSGRGCGFILDVGAQRPFGNDVLLSVATRKIRSRLPGDRVGNHGALLPFRAEPRRIQMKRPPEVLRGAVTASRERLWAIGSQSERTLMLGTILLASVISAATAYALSQWYAVDVFSTLLVVPGDCWLDWGMNIGRHCFSDYAMVAAAGIQPNPADYLISLPADYQPTAVAAWAPARIPYAIFGLPSHWLGAPRLGLICYLVALTMAVISPAIWAARGARGLERVVIFVTLGAAAIPAWGVIDRGNSTGFVVPIALAYFVALSRQRWGLATITVILAVLVKPQFVVLGVVLLAARQWRWAGIGITGVVVSNIAAFLLWPRGFPGTIAQSIHGIIKFNSSFGGLRDPRNVSFGKALLLIPDSIKNYQSGKIPEGFLTGPRTQIGFAVLVIVVVAVLALGRRIPPVMVGIVLLATATFSPADVAFYYLVFVLPIAALVARDPNGPPGAGIFDQLAAHGDRRRAVGVCVSLAVALSIVNVAVPGQPFYVPLYGQLGAKGVVGTTPLVFTTVTWAPFLWLVTCVVIIVSYARKPARPHDSHNGPTRESDQDTAASTTSCLPNPVEESSPRGPGPICQNYTP
VIMSS33359 1 469 0.299419829424307 PF00239.21:Resolvase:9:154,PF07508.13:Recombinase:175:270 Probable phiRv1 integrase (NCBI) 469 242 14 469 0 Mycobacterium tuberculosis H37Rv VIMSS33359 1 MicrobesOnline MRYTTPVRAAVYLRISEDRSGEQLGVARQREDCLKLCGQRKWVPVEYLDNDVSASTGKRRPAYEQMLADITAGKIAAVVAWDLDRLHRRPIELEAFMSLADEKRLALATVAGDVDLATPQGRLVARLKGSVAAHETEHKKARQRRAARQKAERGHPNWSKAFGYLPGPNGPEPDPRTAPLVKQAYADILAGASLGDVCRQWNDAGAFTITGRPWTTTTLSKFLRKPRNAGLRAYKGARYGPVDRDAIVGKAQWSPLVDEATFWAAQAVLDAPGRAPGRKSVRRHLLTGLAGCGKCGNHLAGSYRTDGQVVYVCKACHGVAILADNIEPILYHIVAERLAMPDAVDLLRREIHDAAEAETIRLELETLYGELDRLAVERAEGLLTARQVKISTDIVNAKITKLQARQQDQERLRVFDGIPLGTPQVAGMIAELSPDRFRAVLDVLAEVVVQPVGKSGRIFNPERVQVNWR
VIMSS33556 1 222 0.269107657657658 PROBABLE CONSERVED MEMBRANE PROTEIN (NCBI) 435 0 14 176 2 Mycobacterium tuberculosis H37Rv VIMSS33556 1 MicrobesOnline MKRGFARPTPEKPPVIKPENIVLSTPLSIPPPEGKPWWLIVVGVVVVGLLGGMVAMVFASGSHVFGGIGSIFPLFMMVGIMMMMFRGMGGGQQQMSRPKLDAMRAQFMLMLDMLRETAQESADSMDANYRWFHPAPNTLAAAVGSPRMWERKPDGKDLNFGVVRVGVGMTRPEVTWGEPQNMPTDIELEPVTGKALQEFGRYQSVVYNLPKMVSLLVEPWYA
VIMSS33704 1 259 0.516455984555985 PF12833.7:HTH_18:178:256,PF01965.24:DJ-1_PfpI:11:62 HTH-type transcriptional regulator Rv1931c 259 131 14 259 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P95283 1 SwissProt MVIVGFPGDPVDTVILPGGAGVDAARSEPALIDWVKAVSGTARRVVTVCTGAFLAAEAGLLGRTPSDDALGLCRTFRPRISGRSGRCRPDLHAQFAEGVDRGWSHRRHRPRAGTGRRRPRHRDCPDGCPLARPVSAPTRWADPVRGSGVDATRQTDLDPPGAGGHRGRAGGAHRIGELAQRAAMSPRHFTRVFSDEVGEAPGRYVERIRTEAARRQLEETHDTVVAIAARCGFGTAETMRRSFIRRVGISPDQYRKAFA
VIMSS33728 1 170 0.369193529411765 PF05973.14:Gp49:72:158 hypothetical protein (NCBI) 170 87 14 170 0 Mycobacterium tuberculosis H37Rv VIMSS33728 1 MicrobesOnline MPSGWVSHRLGGSPKCISALSLPSGTVGAPSKPDNDATRGRTRPTVPPPDPAAMGTWKFFRASVDGRPVFKKEFDKLPDQARAALIVLMQRYLVGDLAAGSIKPIRGDILELRWHEANNHFRVLFFRWGQHPVALTAFYKNQQKTPKTKIETALDRQKIWKRAFGDTPPI
VIMSS33924 1 314 0.443280573248408 PF08478.10:POTRA_1:125:192,PF03799.15:FtsQ:205:298 Cell division protein FtsQ 314 162 14 291 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WNA1 1 SwissProt MTEHNEDPQIERVADDAADEEAVTEPLATESKDEPAEHPEFEGPRRRARRERAERRAAQARATAIEQARRAAKRRARGQIVSEQNPAKPAARGVVRGLKALLATVVLAVVGIGLGLALYFTPAMSAREIVIIGIGAVSREEVLDAARVRPATPLLQIDTQQVADRVATIRRVASARVQRQYPSALRITIVERVPVVVKDFSDGPHLFDRDGVDFATDPPPPALPYFDVDNPGPSDPTTKAALQVLTALHPEVASQVGRIAAPSVASITLTLADGRVVIWGTTDRCEEKAEKLAALLTQPGRTYDVSSPDLPTVK
VIMSS340195 1 325 0.468963692307692 hypothetical protein (NCBI ptt file) 325 0 14 325 0 Vibrio parahaemolyticus RIMD 2210633 VIMSS340195 1 MicrobesOnline MVNINTSHMQSLSQLTSHLATQNQADDKEIRAHNGKDIFVKEGAPKNKSVSARISHQNKAKDIVVNLLTKQGIPKDVAKQMLQNVLNGENKLTLGNLKNLERLSSGPSSHWPAAQTDTSSSKTSKASSSVALINEHKDNLQKLGDFACTDLNTFGQKGSQFYETKGAPRLKALYANQARIAQDQRATMSASAVQAAAQRGNAPQLSTLQNIAKYVQNAKAGCCTTFAFAAAAEMIQGMSGTPENQPKVEVVAFKKGHSGTHLYVLVGRQEGSDIKDPSTWNKDVKIVDPWAASAFGATMFGDAQRPPVSNMFPPTEVIFDSHKLG
VIMSS34122 1 508 0.284095472440945 PF04185.14:Phosphoesterase:43:424 PROBABLE PHOSPHOLIPASE C 3 PLCC (NCBI) 508 382 14 508 0 Mycobacterium tuberculosis H37Rv VIMSS34122 1 MicrobesOnline MSRRAFLAKAAGAGAAAVLTDWAAPVIEKAYGAGPCSGHLTDIEHIVLCLQENRSFDHYFGTLSAVDGFDTPTPLFQQKGWNPETQALDPTGITLPYRINTTGGPNGVGECVNDPDHQWIAAHLSWNGGANDGWLPAQARTRSVANTPVVMGYYARPDIPIHYLLADTFTICDQYFSSLLGGTMPNRLYWISATVNPDGDQGGPQIVEPAIQPKLTFTWRIMPQNLSDAGISWKVYNSKLLGGLNDTSLSRNGYVGSFKQAADPRSDLARYGIAPAYPWDFIRDVINNTLPQVSWVVPLTVESEHPSFPVAVGAVTIVNLIRVLLRNPAVWEKTALIIAYDEHGGFFDHVTPLTAPEGTPGEWIPNSVDIDKVDGSGGIRGPIGLGFRVPCFVISPYSRGGLMVHDRFDHTSQLQLIGKRFGVPVPNLTPWRASVTGDMTSAFNFAAPPDPSPPNLDHPVRQLPKVAKCVPNVVLGFLNEGLPYRVPYPQTTPVQESGPARPIPSGIC
VIMSS34411 1 148 0.325893918918919 PF01740.21:STAS:39:137,PF13466.6:STAS_2:44:125 Anti-sigma factor antagonist 148 99 14 148 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) P71937 1 SwissProt/TReMBL MGLITTEPRSSPHPLSPRLVHELGDPHSTLRATTDGSGAALLIHAGGEIDGRNEHLWRQLVTEAAAGVTAPGPLIVDVTGLDFMGCCAFAALADEAQRCRCRGIDLRLVSHQPIVARIAEAGGLSRVLPIYPTVDTALGKGTAGPARC
VIMSS35188 1 138 0.262056521739131 PF04542.14:Sigma70_r2:49:119 ECF RNA polymerase sigma factor SigD; ECF sigma factor SigD; Alternative RNA polymerase sigma factor SigD; RNA polymerase sigma-D factor; Sigma-D factor 212 71 14 138 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WGG9 1 SwissProt MVDPGVSPGCVRFVTLEISPSMTMQGERLDAVVAEAVAGDRNALREVLETIRPIVVRYCRARVGTVERSGLSADDVAQEVCLATITALPRYRDRGRPFLAFLYGIAAHKVADAHRAAGRDRAYPAETLPERWSADAGP
VIMSS35202 1 410 0.287737317073171 PF00665.26:rve:66:174 Putative transposase Rv3428c 410 109 14 392 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) Q50700 1 SwissProt/TReMBL MATIAQRLRDDHGVAASESSVRRWIATHFAEEVARERVTVPRGPVDAGSEAQIDYGRLGMWFDPATARRVAVWAFVMVLAFSRHLFVRPVIRMDQTAWCACHVAAFEFFDGVPARLVCDNLRTGVDKPDLYDPQINRSYAELASHYATLVDPARARKPKDKPRVERPMTYVRDSFWKGREFDSLAQMQQAAVTWSTEVAGLRYLRALEGAQPLRMFEAVEQQALIALPPRAFELTSWSIGTVGVDTHLKVGKALYSVPWRLIGQRLHARTAGDVVQIFAGNDVVATHVRRPSGRSTDFSHYPPEKIAFHMRTPTWCRHTAELVGPASQQVIAEFMRDNAIHHLRSAQGVLGLRDKHGCDRLEAACARAIEVGDPSYRTIKGILVAGTEHAANEPTTSSPASTAGGVPARP
VIMSS35661 1 509 0.0756161100196464 PF08817.10:YukD:13:93 PROBABLE CONSERVED TRANSMEMBRANE PROTEIN (NCBI) 509 81 14 267 11 Mycobacterium tuberculosis H37Rv VIMSS35661 1 MicrobesOnline MTAPHKVAFPARCAVNICYDKHLCSQVFPAGIPVEGFFEGMVELFDADLKRKGFDGVALPAGSYELHKINGVRLDINKSLDELGVQDGDTLVLVPRVAGESFEPQYESLSTGLAAMGKWLGRDGGDRMFAPVTSLTAAHTAMAIIAMAVGVVLALTLRTRTITDSPVPAAMAGGIGVLLVIGALVVWWGWRERRDLFSGFGWLAVVLLAVAAACAPPGALGAAHALIGLVVVVLGAITIGVATRKRWQTAVVTAVVTVCGILAAVAAVRMFRPVSMQVLAICVLVGLLVLIRMTPTVALWVARVRPPHFGSITGRDLFARRAGMPVDTVAPVSEADADDEDNELTDITARGTAIAASARLVNAVQVGMCVGVSLVLPAAVWGVLTPRQPWAWLALLVAGLTVGLFITQGRGFAAKYQAVALVCGASAAVCAGVLKYALDTPKGVQTGLLWPAIFVAAFAALGLAVALVVPATRFRPIIRLTVEWLEVLAMIALLPAAAALGGLFAWLRH
VIMSS357400 1 1744 0.549620814220184 PF17936.1:Big_6:372:453,PF17936.1:Big_6:462:543,PF17936.1:Big_6:552:633,PF17936.1:Big_6:642:723,PF17936.1:Big_6:742:814,PF17936.1:Big_6:829:901,PF17936.1:Big_6:916:990,PF17936.1:Big_6:1007:1079,PF17936.1:Big_6:1095:1166,PF17936.1:Big_6:1173:1255,PF17936.1:Big_6:1265:1346,PF17936.1:Big_6:1361:1433,PF17936.1:Big_6:1440:1522,PF17936.1:Big_6:1535:1618,PF00746.21:Gram_pos_anchor:1703:1744 cell wall surface anchor family protein 1744 1141 14 1701 2 Enterococcus faecalis V583 NP_816909.1 1 RefSeq MILVFIVYFKEKRDDQMKKKIVEDFNRKSQHKKWTKRKMLNLAISSGLLFTSLAIPVSIAVTSGTISASAAVLDIELLSNVTSNNDSGTSTSNRWTAANQNQPVNFTVSGGALADASAVFSGQKQAVLVVPPELRGNVAAAGSAAINTNVTIDLSKVTFLTAVLNAANDLTNVITQITSGALGNLTGVDIDLTEVNRQLELVNNIENLGAASFTAPETLAADGSYISAPISDGLGLVLAQNVSNILQDLNAAVQALEAKGTSIPSNLVAAAINAALLPVKGTVNVAVSGALPLLAVGGSGVNELVDASLLGTTTVTLPTTVSTPQNLSNNLDARFVGTVVQTDLLDVNLLATADGVSNIYFAAGTTSEVTAPTITGVTGNSTAGYEVKGTADANATVEIRNAGGTVIGTGTADGTGAFTVTVPAGEAGANETLTAVAKNASGTESTPTTFQTPADEATVTAPTITGVTGNSTAGYEVKGTADANATVEIRNAGGTVIGTGTADGTGAFTVTVPAGEAGANETLTAVAKNASGTESTPTTFQTPADEATVTAPTITGVTGNSTAGYEVKGTADANATVEIRNAGGTVIGTGTADGTGAFTVTVPAGEAGANETLTAVAKNASGTESTPTTFQTPADEATVTAPTITGVTGNSTAGYEVKGTADANATVEIRNAGGAVIGTGTADGTGAFTVTIPAGEAGANETLTAVAKNASGTESTPTTFQTPADPNTPVATPIVETVTGSTTKGYEVKGTAEVGTTIEVRDAAGTVLGTATTGTDGKYTVTLDSGTATANQTLSVVAKNASGTESQPATATTPADVTAPTVDNITGNSGSGYEITGTADPNTTIEVRDPSGAVIGTGTSDANGDFTVTLPTGTTNPGDTLTVIGKDNAGNESQPTEVLVPADATVTAPTVTGVTGNSVAGYQVTGTADPNATIEIRDADGNVIATGTADGTGSFAVNLPAGTANANETLTALAKDPAGNTSTPTTFQTPADEVVAPPSVDKVTGNTTQGYQVTGTAELGTTIEVRATDGTVLGTATTGPTGQYTVTLASGKATAKQTVNVVAKNDTGLESQPTTAMTPADVTTPTIGDITGDSTTGYEITGTADPNTTIEVRNPDGTIIGTTTTDDQGNFTVDLPAGAANPGDTLTVVGKDGDGNESQPTEVTVPEDATVAAPTVTTVTGTTATGYQVTGTAEPNVTIEIHNEAGLVIATGTTDGAGAFTITLPTGTATANEALTAIAKDAAGKESNPTAFKTPADPDAPVATPTVDKITGSTTNGYQVVGAAEVGTTVEVRDADGTVLGMATTGTDGKYTVTLEPGKASANETITVVAKNATGKESQPATATTPVDLATPTIDSITGNSSKGYEITGTAEPKTTIDVRDADGTIIAATTANETGQYTVTLPAGVVTPGETITIISKDGAGNESQPATAVIPADVVLAAPTITKVEGNKANGYTVTGTADPNVTVQFYNSSEQLLASGNTTTGGTFSVHIAAGLATEKETLTALTTDTQGNVSPKTTFMTPADITGEPEIKIAAPTVSSVLGTSKAGYLIKGTAEPNRIIQISNRLLRSVIAVGATDAEGNFAIQLTAGQATAQQSLLATATDGAGHYSTATTFMTPADPTNPGGGNGNTGGNNGNTGGNTGNNGATGGNNGNGSNTGSNPNGGSGLGTTGSGLGSLGNGLGTNGSGYHPKLSTISYGTGNHGKTGYLPSTGEKESSAVTTSLFGAFVALLASMGIIKRKRKN
VIMSS364598 1 418 0.0101818181818182 PF01943.17:Polysacc_synt:4:282,PF13440.6:Polysacc_synt_3:28:327,PF01554.18:MatE:225:368,PF14667.6:Polysacc_synt_C:333:410 polysaccharide biosynthesis protein (NCBI ptt file) 418 407 14 152 12 Shigella flexneri 2a str. 2457T VIMSS364598 0 MicrobesOnline MSIIKNSVWNLFGYAIPTLIAIPSLGFLARGLGPEGFGVYTIAIALVGYAGIFDVGLTRSVIREIAIHRDNHHERTKVISTSTSFLVLFSCFGAFLLLIFSDGIVNYLKISGVEHSDIQLAFKLLAICIPLFILNQLWSAILEGDEKFGIVNIQKSISSSCIAGIPAIFVFYSATLSAAVAGLIFARVISILVSAYYVRNDIKISGVHFCYKTFKRLFFFGGWMTVSNIISPVMVYFDRFIVSNIMGADKVAFYSAPAEVILKLGIIPAAIGRAVFPRLSNIKDFKEFKRNVNKSLLLMFLICLPVIIIGLLYSGLVLKIWFGENYQINSFNILNVLLIGFFFNALAMIPFSAIQALGKSKITALIHCAELVPYLALLYFMVEKYGLLGAAISWSIRVILDALLLQWLYTRMCSVYEN
VIMSS365232 1 167 0.137315568862275 PF03400.13:DDE_Tnp_IS1:37:167 IS1 orfB (NCBI ptt file) 167 131 14 167 0 Shigella flexneri 2a str. 2457T VIMSS365232 1 MicrobesOnline MSRQCTHYGRWPQHGFTSLKKLRPQSVTSRIQPGSDVIVCAEMDEHWGYVGAKSRQRWLFYAYDRIRRTVVAHVFGERTLATLERLLSLLSAFEVVVWMTDGWPLYESRLKGKLHVISKRYTQRIERHNLNLRQHLARLGRKSLSFSKSVELHDKVIGHYLNIKHYQ
VIMSS3705646 1 170 0.255555882352941 PF14026.6:DUF4242:95:167 DUF4242 domain-containing protein 170 73 14 170 0 Staphylococcus aureus WP_000171919.1 1 RefSeq MTLFLLEANNLDFASTKEELEAKAASLSTKTIPTLIEVQATENLTHGYFIVEANDEAEAKQFLTEADISIQLVKEVRLVGKDLDEVKNGDAHVDYLVTWNIPEGITMDQYLARKKKNSVHYEEVPEVEFKRTYVCEDMSKCICLYNAPDEEAVRRARKAVDTPIDGIEKL
VIMSS3708041 1 229 0.0146659388646288 PF04172.16:LrgB:14:225 Holin-like protein CidB 229 212 14 101 6 Staphylococcus aureus (strain NCTC 8325) SwissProt::P60639 0 SwissProt MNDYVQALLMILLTVVLYYFAKRLQQKYPNPFLNPALIASLGIIFVLLIFGISYNGYMKGGSWINHILNATVVCLAYPLYKNREKIKDNVSIIFASVLTGVMLNFMLVFLTLKAFGYSKDVIVTLLPRSITAAVGIEVSHELGGTDTMTVLFIITTGLIGSILGSMLLRFGRFESSIAKGLTYGNASHAFGTAKALEMDIESGAFSSIGMILTAVISSVLIPVLILLFY
VIMSS38077 58 164 0.279553271028037 PF05103.13:DivIVA:2:76 Septum site-determining protein DivIVA; Cell division initiation protein DivIVA; Minicell-associated protein DivIVA 164 75 14 107 0 Bacillus subtilis (strain 168) SwissProt::P71021 1 SwissProt GHFANIEETLNKSILVAQEAAEDVKRNSQKEAKLIVREAEKNADRIINESLSKSRKIAMEIEELKKQSKVFRTRFQMLIEAQLDLLKNDDWDHLLEYEVDAVFEEKE
VIMSS44563 1 370 0.187574324324324 PF02414.15:Borrelia_orfA:54:345 conserved hypothetical protein (NCBI ptt file) 370 292 14 370 0 Borrelia burgdorferi B31 VIMSS44563 1 MicrobesOnline MIIKIKNNVNTNFNNLITLEEIIKYNQKNASSNLIELKRSRLKSYLTKKRAIYQRILKVCWAIDLKNKQYYKSNKLKTYSTIEIHNIVNKCLAKDNKKISIRTLEYDISFLNQILLIKTKLKHLGKDNGSFAFYIQNKNLWKHRFIIIQEAINKEIKEYLKDKKIVSDFFKEINNTINKNNIRNIKPKSSIADESIADVIPKGIKGINKIENSIEKNNGKINKISYKEYIANKLVEVHKIEKMQITKILKISNNEKTYINALRNLKLAIEKYKEEYKIEDISNHFIKEFKNKYSKKIWMMNGKTDRTNDFYEIWEKRFKKTFLNKNLKKQYRSNYEKENKKIINNEKRVSIIFSNSKGFKRISKIKINQN
VIMSS44897 1 79 0.5665 lipoprotein (NCBI ptt file) 79 0 14 59 1 Borrelia burgdorferi B31 VIMSS44897 1 MicrobesOnline MVKKIIFISFSIFIVSCSAIGRGILIDSILNNVHKELEQEKKDEKKKNPQSKASIEENADNNPEEEDDDDQNEESEQSN
VIMSS44910 1 80 0.5814475 B. burgdorferi predicted coding region BBA72 (NCBI ptt file) 80 0 14 60 1 Borrelia burgdorferi B31 VIMSS44910 0 MicrobesOnline MHKESVLTKNKLNIIATILTLIGTSCAVNPIGPKVKSRTDIKESNQKSGNPESLNQKYQEETKVSKLEAPTKKLKDQKNE
VIMSS46054 1 315 0.404215873015873 PF13174.6:TPR_6:275:305 putative periplasmic protein (NCBI ptt file) 315 31 14 315 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46054 1 MicrobesOnline MKKIFTVALLGATLLYAESSAFGAGDITSNSSYGLTSNEKLFKEKLDNLNNENIQTNARINEINERIEGLQSTLEGINSQYAKSNSRLSQVEENNQNIENNFTSEIQKLKAYVEESRKIQEANNKQVKKVLAELSSLVDAINANYVSKNELNDANLSVKTITPSVVVSTTDSNSTIENNNTQNTQDDKAKQIDESWKKKKNNEILELAIKDVDKNAFEDSKAKLNFLITKQYKPARANFWLGEIEYKQKNYNNAIVYYKKSSSLSTKGDYFPKLLYHTAISLDKTGDTKTANGFYKALKTNYPNSPEAKASPNRK
VIMSS46833 1 239 0.128988284518829 putative membrane protein (NCBI ptt file) 239 0 14 193 2 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46833 1 MicrobesOnline MMFKTIGFKVSAAIFVVLLISFIVMQVILNLDFKNTANKMSRANLDTVSTSVFQTMRMAMNLGDPEKIKEAIEDAKSIEGISDIKIYPSKDTIDLFEMKAPQISNDKRIIEQFSNPKIQALEENVNLRLIRPLIADESCVACHANANVGSVIGVMDISHSLEGVQKDISKTSQSYIVIFTIALIFTLCVVLLMLKVVVGKPVLELLNHAKELAQGSGNLKARISVKGQDEIALACGYIN
VIMSS47029 1 100 0.130359 PF00034.21:Cytochrom_C:19:100 putative periplasmic cytochrome C (NCBI ptt file) 100 82 14 77 1 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47029 1 MicrobesOnline MKKLLVVSALACLGVSAFAADGATLFKKCAVCHGANADKVYLNKVPALKTLSSAERLQYMKEYSEGKRNAYGQGAIMKLNLKGLTEEDFKAIEAHIETLK
VIMSS47200 1 224 0.0835883928571428 PF13489.6:Methyltransf_23:28:157,PF08241.12:Methyltransf_11:46:141,PF13649.6:Methyltransf_25:46:138 putative methyltransferase (NCBI) 224 130 14 224 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47200 1 MicrobesOnline MQNSLEAYTMKYNENGYGLLFPDGHVVRFYERILKYKLNKINGNLLDFGCGNGVHSAYFQSKGFKTFGIDIVPSLKEIWEQNISGGGYCKIIEPNSSIKGLFDENMDIIFANQSLYYIPLKELKQNILEFYELLNTGGILFATMMSKKNYYFSHSQKEEKNGLSKVEINGRLNETSFIHFIDKAEDLENLFQPFETLFLGDYDPINFYNFEGSAHHYIYIGIKK
VIMSS47217 1 413 0.109631234866828 PF11144.8:DUF2920:5:410 hypothetical protein Cj1342c (617 family) (NCBI ptt file) 413 406 14 413 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47217 1 MicrobesOnline MIVSKAYEIDSCDDVELGIKRESKLEFKLWYDDEKTPEALVFVVQGMGDDISSIQYVGDYMVRQFNTAVVGVNYHCIGNRPQTGSSFFMDDIDKLILKASCEAADIKLPYDVDKIQDYKAMSEIFHFVNNQIVEGKQKGNFTPNYFLNLHVSLQPTKNEYQNFGIMQAQDLLNVALYLKKHAPFDTMGGGIPIIMIGGSHGGYLAHLAAKIAPWLVDGVLDNSAYAKFLWRVVGFGKEIDFMQYSEFATFDFFHHIKTHCSTKTFWTSNSSSPRFFSPARRKIRNLLEEDHLLKQSKCLKTCFISYHSLYDEYVSLKEKTMFYEELEKLGFDVTLHSITKESQVDGKFIKNLNHGMGIPVKLLIKKELPLMLEKIKQNSKKDYKEKCISYPCEDLLYQFSEKDDKMSLKIDKI
VIMSS47299 1 308 0.130073701298701 hypothetical protein Cj1429c (NCBI ptt file) 308 0 14 308 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47299 1 MicrobesOnline MEKLLKELNNNIKLSNQLSYQILMSNIISNLDIDKKDKEILLLLLQARDRNYIRINNNEQCYQNIINYLNLIRPLELPLCDLLRIGGNGDGGYVMYNGGGDMSDINAKALSLGVSDSSPWDLEMAQRGFKVIEYDASIEKCPYDHENIVFHKKFIGNVNNENTITLVQALKDNNLDENKPNILQCDIENCEWDMLENIDISILNKYFSQVIFEFHGCNPEEQDGVEKRISLLKKLNEYFVPMHTHFHNHGKIFYSQGLFFSTTLEVSYLRKNLINLDIMKYRDVAGGFKNLDFPWISNPEIPIRFRGY
VIMSS540688 1 660 0.357438939393939 PF04536.14:TPM_phosphatase:36:153 UPF0603 protein MT2410 660 118 14 597 3 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WFJ4 1 SwissProt MRLVRLLGMVLTILAAGLLLGPPAGAQPPFRLSNYVTDNAGVLTSSGRTAVTAAVDRLYADRRIRLWVVYVENFSGQSALNWAQRTTRTSELGNYDALLAVATTGREYAFLVPSAMPGVSEGQVDNVRRYQIEPALHDGDYSGAAVAAANGLNRSPSSSSRVVLLVTVGIIVIVVAVLLVVMRHRNRRRRADELAAARRVDPTNVMALAAVPLQALDDLSRSMVVDVDNAVRTSTNELALAIEEFGERRTAPFTQAVNNAKAALSQAFTVRQQLDDNTPETPAQRRELLTRVIVSAAHADRELASQTEAFEKLRDLVINAPARLDLLTQQYVELTTRIGPTQQRLAELHTEFDAAAMTSIAGNVTTATERLAFADRNISAARDLADQAVSGRQAGLVDAVRAAESALGQARALLDAVDSAATDIRHAVASLPAVVADIQTGIKRANQHLQQAQQPQTGRTGDLIAARDAAARALDRARGAADPLTAFDQLTKVDADLDRLLATLAEEQATADRLNRSLEQALFTAESRVRAVSEYIDTRRGSIGPEARTRLAEAKRQLEAAHDRKSSNPTEAIAYANAASTLAAHAQSLANADVQSAQRAYTRRGGNNAGAILGGIIIGDLLSGGTRGGLGGWIPTSFGGSSNAPGSSPDGGFLGGGGRF
VIMSS54350 104 411 0.303330194805195 PF00589.22:Phage_integrase:107:270 integrase, phage family (NCBI ptt file) 411 164 14 308 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS54350 1 MicrobesOnline FKFVAEQWKATKEGQIKEKTLEGNWRKLELYAFPKLGSIPVSKLTAPMAIAALRPIESQGLLETVKRTAQLMNEIMNYAVNSGVIHANPLAGIRDVFKKHKVVHMKALQPHEMHDLIRTVATANIQHVTRFLIEWQLHTMVRPNEASGARWEEIDMVNKLWIIPKERMKMNREHVVPLTAQTLAILEAIKPISGHREFIFPSSRNPKVPTDSETANKALGRMGFKDRTTAHGLRALASTTLNEQGFEPDVIEAALAHTDKNQIRKAYNRTDYLDSRRKLMSWWSEHIEKSSYGSYSVAGTGYLHLLKA
VIMSS55431 1 199 0.0542381909547739 GGDEF family protein (NCBI ptt file) 366 0 14 153 2 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55431 1 MicrobesOnline MNTQTQKTLHPLILHAKTLLIFMSAILILANLYLINSTRDLSKSYSSHTNQAIWFLFQLNKEFTEMLALSPYLLESESNQRDVMVKYELTWSRFDLILNSPEADGIIGMPSTREFFQAAFARFKQLEPLLLAAKNPESLQTFIVAAQQELEIFIQFINRTFGMQSPLYVEQKEKLNYLSRIQFALILLTFSCVGLVSFI
VIMSS55478 1 421 0.16837648456057 PF06812.12:ImpA_N:26:100 hypothetical protein (NCBI ptt file) 421 75 14 398 1 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55478 1 MicrobesOnline MMSNVIFIDNVCYRLTNDSEEIRGLEPYAKVREEINRRFNPIAGGTDWAVVKEYCEQLACNQGMDFLICGYYAVACLKTQGLAGYATGMELMSASLANQGECDVKSAKVRKEILDWVNARVVQELKALKPTYESLRDLYRAERHCERLHQLFEQQQTEYKVDFEGVGFALFEHIDRIETQYHSLLKKQEKAQPPKLKFWQRGYALFTLGVLGVALGMVGGYWGWPWFYTTPYAAPQRITTLNEASQTQALLNDSSTSERARWQHDLIPLYRDTLQQNLTISFSEPKRHAITQLNLLRTLYPENEQVNALSQEFALQQQQALEQTALFVAKFSEIRTKMANISLLAKRGKWAELEKQTKSLEEFAVSLSPIYGRVDYVQGLLEQGDVTNAQKEFAILKQRLDSLSWKIAELEQRIGDAALLQ
VIMSS563046 1 70 0.386387142857143 lipoprotein, putative (NCBI) 70 0 14 70 0 Porphyromonas gingivalis W83 VIMSS563046 1 MicrobesOnline MKKFVAFAAVIAAVSFASCNSQAKTEEAADSTAMDSATLVEDAAPVVDTAAMQIDSAAMKAEEATEQAAQ
VIMSS563289 1 540 0.231109074074074 T9SS type A sorting domain-containing protein 540 0 14 540 0 Porphyromonas gingivalis W83 WP_005873754.1 1 RefSeq MKTKVLRKFVVAAFAVATLCPLAQAQTMGGDDVKVVQYNQEKLVQTRMSVADNGWIYVMTHSGYDTGNSNVKIFRSKDQGATYQKLRDWDPSDDYQFQDFDIVVTGKNESDIKIWSVELMNKPGGYKSRVAVFSRDANAQNAKLVYKEDFSNVQLYDVDIASNYRSPSSLNNGGNPFALAFAYTGFNNTHKISFVDYVFSLNGGQNFNKNLLFSQDGEKKIDKVDLSLGSTSESMGHNAWPLMGVVFEMNKQGGKSDIGFLSNFVDNDPEFQWSGPIKVSESDMSFSPKIQMLLDEDNNTINGESCHNFMITYSDYDSEYSDWDIRYVYPKKSFKYEKGKTPTMDDLVEAFLTASYQSETNSGLGYDKNANHYLITYAKKEENGTNTLKYRWANYDKIHNKDLWSDTFTYTSSANALYTPQVDINPTKGLVCWSWVEYLPGKRIVWSDTQWTHANGVEDIVMQEGSMKLYPNPAQEYAVISLPTAANCKAVVYDMQGRVVAEASFSGNEYRLNVQHLAKGTYILKVVSDTERFVEKLIVE 1
VIMSS57298 1 545 0.227675229357798 PF05228.13:CHASE4:137:299,PF00989.25:PAS:447:542,PF08448.10:PAS_4:454:545,PF13426.7:PAS_9:457:542,PF08447.12:PAS_3:469:543,PF00672.25:HAMP:395:442,PF13188.7:PAS_8:449:505 hypothetical protein (NCBI) 735 310 14 502 2 Pseudomonas aeruginosa PAO1 VIMSS57298 1 MicrobesOnline MEPSPRTAAAVKSGACLLTSTLIARLLEGWVAPDDARLPEEYAAQVTQPRRCIEMSNFNSHDRVSLCSQPAARLMLSPITRPHRMPLRTRLLWLFLPLLAASLGGIWLLSESILLSRFDREDQQRLQEGATVLHNRLDFELKRHLDIVRTYAWWDASYDFIQRPNETFEQENLDHEMLDDLGFDFVLFLDDRGHLQLKQWSPPAPDQRVLFGAPSASDQALLEALQQRAIRLGALDFRGRTDHSLSELLLVDNLPTLLVSVPISNNQGSAPAKGAILAGYFLDRERLANLREQMQADLQPMPNIATDSTWKPLRSRSGSTHNQALLSPRRFIGEHVQQVSVQYLSSSGEPQLRFDITKKRLLYIQGEKAINFFLGASLLVALGAFLVGYLALELWVLRRVQRLNREVAEVGRNAHSIRLSDFGNDELGQLAGEMNQMLERLEHSEARDRAILQSMRDGYFEMDVDGVILTVNPALCQLLGQTRETLIGHPYYELLGEDDLARARQPFQRAMQSGAGKTFAIPLQRADGSLGYFEATVSLIHDLQG
VIMSS573 1 326 0.257736196319019 PF01514.17:YscJ_FliF:10:200 Yop proteins translocation lipoprotein J (NCBI ptt file) 326 191 14 303 1 Chlamydia trachomatis D/UW-3/CX VIMSS573 1 MicrobesOnline MFRYTLSRSLFFILALFFCSACDSRSMITHGLSGRDANEIVVLLVSKGVAAQKVPQAASSTGGSGEQLWDISVPAAQITEALAILNQAGLPRMKGTSLLDLFAKQGLVPSEMQEKIRYQEGLSEQMATTIRKMDGIVDASVQISFSPEEEDQRPLTASVYIKHRGVLDNPNSIMVSKIKRLVASAVPGLCPENVSVVSDRASYSDITINGPWGLSDEMNYVSVWGIILAKHSLTKFRLVFYFLILLLFILSCGLLWVIWKTHTLISALGGTKGFFDPAPYSQLSFTQNKPAPKETPGAAEGAEAQTASEQPSKENAEKQEENNEDA
VIMSS58012 1 267 0.188950187265918 PF08447.12:PAS_3:31:113,PF13426.7:PAS_9:23:109,PF00989.25:PAS:23:107 aerotaxis receptor Aer (NCBI) 521 91 14 224 2 Pseudomonas aeruginosa PAO1 VIMSS58012 1 MicrobesOnline MRNNQPITQHERVYPAEQRLITTTNLKGIITYCNEAFIDISGFSREELMSAPHNLIRHPDVPPAVFAHMWTTLKAGRPWMGIVKNRCKNGDHYWVSAYVTPIYDQGAVVGYESVRVKPTAEQIQRAEALYRRLGAGKPAIPRRDRWLPVLLDWLPFILISQIGFLIGIWLNSWWGFILAGLLAVPLGLAGLRWQKRGLKRLMRLAEQTTSDPLIAQMYTDSRGDQARLEMAILSQDARLKTCLTRLQDTAEYLTEQARQADTLAHHS
VIMSS58120 1 1175 0.207486212765957 PF14331.6:ImcF-related_N:198:452,PF06761.12:IcmF-related:518:792,PF06744.12:IcmF_C:923:1123 hypothetical protein (NCBI) 1175 731 14 1106 3 Pseudomonas aeruginosa PAO1 VIMSS58120 1 MicrobesOnline MKNFFKKVGAFLRKTWVWTLLLVLLAALLVWFVGPLLAVADYKFWESPTSRLLSISLMFLVWGLAMVFVSWRAGVRRKAVEDSDEGQERLRRDGLIEDEQKELRSRFKDALRTLKTSSLYRGRSERWRNELPWYLLIGPQGSGKTSLLDFSGLEFPINRIDRKLTRDTAGTRYCDWYFADHGVLIDTAGRYLTQADGEVDGGAWATLLGLLRKRRRARPLNGVMVSIPVETLLSDDEMALDTLARQVRARLQEIQQTLHLDLPVYLVLSKADQLLGFDEFFDQLSREESDQVLGTSFGKEQNGTDVTVLRQAFEDLLRRLNSQVIMRIHQERDTLRRGRILDFPHQLGQIGERLCLFVELAFTGNRYQRASRLRGFYLTSAPHLAQQLDEDTAGIGANLGIASGLLPTLRNGRSRFIHHLLSQVIFPEANLAGLDRRERTRIHWGQRALYVGSLALLLLFGLLWAGGFSANHERLERLRELAQQWTQQRQALGERDDAMAALKTLDSSYAATTVFPPKGEVSLHERAGLYQGNEVNPPLAAAYRRELETQLLPRVARMLEAQIRGNMQNRERLLNSLRAYLMLNLRERRENGWLKDWVATDWSQRYAGNGTVQNGLNTHFARLLEQPFSYPLNETLVAQARQVLRSESLANVVYRVLREQARSLPEYRFGQHLGPQAGLFVGTDYVIPGFYTQQGYQQYFVVQGASLVNDILRDNWVLGEGSGISDMDLRRLMVELEQLYFRDYANFWSEAVGRLGLLPFNDAGEGADQVSGLLAANSPILQLLLEVRENTRFPVLAESAEALAEASDKAAEKGGKLGKAAAAAAGKARDALAKNLPDTAKKSLQRRFEPLHRLLDDNNGPAADLTPLLQALNELQLQLAGLARASQPEQAAFDMAKSRMGGQRDALSNLRNASARLPRPLGGWFSVLAEDAWRLVLGDAYLYLNQRYQSELYGFYSKAINKRYPFNAHSASDVAVSDFREFFKAQGVAERFFETYMRPFVSGDPGSYRLRSVDGYSLPMSKVYLDQMGTAQLIRQSFFAENPAEPQVRFRLEPYTLDPGVSRAEFRFDGQSMEYRHGPIVPMSFKWPSDADGGRTSLVLEKMAGRPVGIERNTGPWSLFRLFDLMQTEYLSGRDVMVLKADLGGLRANYLLLAQRTPNPFDLAVLRSFRMPVQL
VIMSS5821896 1 185 0.226968108108108 PF07963.12:N_methyl:11:34 type 4 fimbrial biogenesis protein PilV (RefSeq) 185 24 14 162 1 Pseudomonas aeruginosa LESB58 VIMSS5821896 1 MicrobesOnline MLLKSRHRSLHQSGFSMIEVLVALLLISIGVLGMIAMQGKTIQYTADSVERNKAAMLGSNLLESMRASPKALYDVKDQMATQSDFFKAKGSAFPTAPSSCTPLPDAIKDRLGCWAEQVKNELPGAGDLLKSDYYICRSSKPGDCDGKGSMLEIRLAWRGKQGACVNAADSSADTSLCYYTLRVEP
VIMSS58912 320 5627 0.351172889977394 PF05594.14:Fil_haemagg:48:96,PF05594.14:Fil_haemagg:87:141,PF05594.14:Fil_haemagg:150:215,PF05594.14:Fil_haemagg:234:298,PF05594.14:Fil_haemagg:299:348,PF05594.14:Fil_haemagg:535:588,PF05594.14:Fil_haemagg:591:646,PF05594.14:Fil_haemagg:651:713,PF05594.14:Fil_haemagg:714:763,PF05594.14:Fil_haemagg:950:1002,PF05594.14:Fil_haemagg:1011:1060,PF05594.14:Fil_haemagg:1066:1137,PF05594.14:Fil_haemagg:1131:1179,PF05594.14:Fil_haemagg:1188:1252,PF05594.14:Fil_haemagg:1287:1361,PF05594.14:Fil_haemagg:1365:1419,PF05594.14:Fil_haemagg:1434:1491,PF05594.14:Fil_haemagg:1477:1544,PF05594.14:Fil_haemagg:1551:1595,PF05594.14:Fil_haemagg:1605:1668,PF05594.14:Fil_haemagg:1703:1778,PF05594.14:Fil_haemagg:1781:1838,PF05594.14:Fil_haemagg:1850:1908,PF05594.14:Fil_haemagg:1904:1971,PF05594.14:Fil_haemagg:1963:2013,PF05594.14:Fil_haemagg:2023:2086,PF05594.14:Fil_haemagg:2068:2132,PF05594.14:Fil_haemagg:2114:2193,PF05594.14:Fil_haemagg:2181:2236,PF05594.14:Fil_haemagg:2229:2296,PF05594.14:Fil_haemagg:2270:2328,PF05594.14:Fil_haemagg:2318:2382,PF05594.14:Fil_haemagg:2382:2445,PF05594.14:Fil_haemagg:2601:2658,PF05594.14:Fil_haemagg:2657:2739,PF05594.14:Fil_haemagg:2692:2760,PF05594.14:Fil_haemagg:2805:2873,PF05594.14:Fil_haemagg:2837:2897,PF05594.14:Fil_haemagg:2868:2938,PF05594.14:Fil_haemagg:2902:2991,PF05594.14:Fil_haemagg:2996:3056,PF05594.14:Fil_haemagg:3178:3237,PF05594.14:Fil_haemagg:3528:3565,PF05594.14:Fil_haemagg:4222:4296,PF05594.14:Fil_haemagg:4299:4387,PF05594.14:Fil_haemagg:4559:4595,PF13332.6:Fil_haemagg_2:4403:4471,PF13332.6:Fil_haemagg_2:4473:4612,PF13332.6:Fil_haemagg_2:4544:4613,PF14436.6:EndoU_bacteria:5198:5298 hypothetical protein (NCBI) 5627 2832 14 5308 0 Pseudomonas aeruginosa PAO1 VIMSS58912 1 MicrobesOnline EIRSAEELVNRQSLAARERIALEAAHIDNAGVIEAGVEPDERRNARGDLELRSGTLRNAGSLVASRALEAKASQALDNQGGSLKGATVRVDGGHLDNRGGKLLAEGELRVEASSLDNRQDGLLQSRDRAVVKTRGDLDNRGGQVVGLNELQVQAAALDNRSAGLLSSKGDMDIEFARLDNSAGGKLVSERRTLLKADRLDNRSGRIVAGQDLDLSSRLIDNRAGDISSTSRVVASAREQLDNRGGKIVGDSGLDITTPRMLNQDKGVLASRDGLRLSATELFNGAGGLLSSQKGIDVSLAGAFDNQAGSLDSRGFLTVKSAWLDNQGGTLSSAGALAVTSQGALNNQGGRLASDAGLSLSSASLDNSQAGAISGKGAVEIRTGNLNNSRKASIGSDAGLTLVAARVDNSQAGRIAAKGVIDADLQGLDQHDRGNLVSDTGITLDLNKGSLVNRAQGLIATPGTLLLRQLGVVDNSGGEISSDRAFTLATSALNNQGGRLLSGGALTLRIAQALDNSLEGIVSGAGGLDIQAFVLDNRSGSIGSKGAIDIGVTRLENDAGTLIAERGLKLVADEANSSKGRIAANGSLHAKVGTLSQKGGELTSQDSLTLDLGILNNNAGRIAGNQGVDITARQVDNSVGEIASQGVVALNLTEQLDNRGGKIVGDSGLGITAPHVLNQDKGVLASRDGLRLSATELFNGAGGLLSSQKGIDVSLAGAFDNQAGSLDSRGFLTVKSAWLDNQGGTLSSAGALAVTSQGALNNQGGRLASDAGLSLSSASLDNSQAGAISGKGAVEIRTGNLNNSRKASIGSDAGLTLVAARVDNSQAGRIAAKGAIDAALQGLDQHDRGSLVSDTGITLDLNKGSLVNRAQGLIATPGTLLLRQLGVVDNSGGEISSDRAFTLATSALNNQGGRLLSGGALTLRIAQALDNSLEGIVSGAGGLDIQAFVLDNRSGSIGSKGAIDIGVTRLENDAGTLIAERGLKLAADEANNSKGRIVAKDELRAKLGALVQNGGELTTQGALALDADKVDNGAGRIAGNRGVVIDARQVDNRAGEIASQGVATLNLTEQLDNRGGKVVADSGLGITAPRVLNQDKGVIASRDGLRLSGTELFNGNAGLLSSQRHIEVTLDGVLDNQGKGALLSDGTLTVSAGRIHNQDATLSSAGALRLSSQEAVDNRGGKLVTDSSLRLTSASLDNSRSGIISANAAAEIHTGVLNNSQKGNLGSNDGLGLIATEVDNSQEGRITAKGMIDANIKGLDQQGKGRLVSNAGIILDLNEGTLANGAQGLIATPGTLLLRQLGMVDNSGGEISSDRAFTLTTSALTNQGGRLRSGGVLTLRIAQALDNSLEGVLSGTGGLDIRALALDNRSGSIGSKGAVDIDVSRLENDDGDLLSEGRLKLTAERANSVRGRIAARGDLHASVTAFNQAGGELSSEGALMLEADSLDNRSGGLVSADGNLTVSARRIDNRAGEIASPGQVTLDVAEQLDNRGGKAIGDSGLRLAAPRVLNQDGGVLASRDGLRLNGAELFNGNGGLLSSQQSIDVILDGVLGNQAGSLSSQGRLSVKSGRLDNQGGAVSSAGTLSLSSQGALNNQGGRVVTDAGAVLRSASLDNSQGGIVSAKGAAEIRTGSLNNSQKGGIGSGAGLALVADLVDNSQNGRITAKGAIDANLKGLDQQGSGRLVSDTAIALDLRGGELVNRAQGLIATPGALLLRQLGVVDNSGGGEISSDRSFTLAATALSNRGGRVISGDSLTLRIAQALDNSLQGVLSASGGLDVAALVFDNHSGIVASKGDTHIGVNRLENEAGRVVSEGALDLTAKQVSSAKGRIAAKGDLQVTVGTLEQQGGELASQGTLTLDADSLDNRNGGLVSADGGVTAEARQIDNRGGEISSVAKVALAVREQLDNRGGKVIGDSELSLTVQRLLNQAKGVLASRDGLHLDGAELLNGDGGLLSSQRLVDVTLSGALDNQGSGALVSEESLTVKADQVNNQAGTFSSAGSLLVTSRGELNNQGGRLVTDAGATLNSTGFDNSRAGLVSAKGAVAIRTGALNNSQKGSIGGNTGVTLVAGLVDNGREGRISTKGTLDANLKGLLQQGGGSLVGERGVTLDLNGGTLDNHDLGLVSTPGALLLRQLGMVDNSVGGEISSDRAFTLAANTLNNQGGRLISSEALTLRIAKTLDNSLKGQVLATDGLAIESQVLDNRAGTIGSKGDARISVTSLDNAEQGSLVSEGRLELVADQVSNGNQGRIAARGVLEAAVGTLLQQGGELVSQGSLDLRADTLDNSQSGLIAANGGIAIEARQVDNRAGEISSTSKVAVNAREQLDNRGGKVIGDSGLRLTVQRLLNQAKGVLAGRDGLSLDGGELFNGDGGRLDSQNSLSVSLGGVLDNQGGALVSEGSLTARAARLDNRGGTFSSAGALALTSQAVLDNQGGRLLSDAGVTLKGASLDNSRSGVISAKGAVDIRTGVLDNSRNGGIGSNAGITLVAARLDNGQQGRVSAKGLLDANLKGLDQRGGGVLVSETGVTLDLNGGTLVNRDGGLIATPGALLLRQLGAVDNGAGGEISSDRAFTLAAASLDNRGGRLIGADSLTLRIAQALDNSLAGVISGAAGLDIAAARLDNSAKGTLASRAGIDLRVDGALDNHAEGTVSGARLTLASASLDNSGKGLLSGNAGLSVATGALDNAEGGQLISQGVLDVSSADLDNRGGALSGKQSLRLSAANLDNRGGLLTSDGELELTAGRVDSADGGEISARGDLRLTVERLVQRQGRLIGERGVSLDLRGGDLDNQGGLISARGPLSIERLNVLDNRQGGEIYSQQGFELLARRIDNGQQGRIISAGKLRLDADALGNAGAGLLSGWQGLTVTGGSLDNSAGGTLSSKDGELAISLGGALDNHGQGALVSKGAQRIDAASLDNAQGIVSGESDVTLSIAGKLDNGQGGLVSAQRALSFERDDTLLNNAGGRINGGSLLLKGASLDNSDGQLISQGRLDAILGGALVNAGAARLASGGDLLLRSASVDNRGGKLVSQGLLEISAGSLDNSASGTLASQADMSLRLGGGALRNQQDGLIFSQAGALEVQAGSLDNRQGTLQAQGDNRLRIGGALDNQAGRLDSRAGNLDLQSGSLDNGAGGVLNSAKGWLKLVTGLFDNSAGVTQAQSLEIRAGQGVRNQQGHLSALGGDNRIVTADFDNQGGGLYASGLLSLDGQRFLNQGAAAGQGGKVGAGRIDFSLAGALANRFGQLESESELHLRAAAIDNSGGSLRALGRSGSTRLVAGDLNNAYGVLESANQDLDLQLGSLANAGGRILHTGNGTFGLDSGQVIRAGGELTTNGLLDIRASEWTNSSVLQAGRLNLDIGTFRQTAEGKLLAVQSFTGRGGDWSNDGLLASNGSLRLELSGGYRGNGRATSLGDFALNAASLDLGNAASLAGGANVTLGAGNLLVNRGRITAAGDLVASAASLNNYGTLGGGGNLRLNAPALLNERGLLFSGADMTLRAGDITNLYGDVYSLGRLDIARDDAGGWANRLENISGNLESTGDMRFSVSSLLNRRETLEIEGDLQNSAIGVRCTGCQLSERWGKTRSSSELVWIREYKSTLGDSSAAASITAGRDLLVVGASLQNIASNISAVRDATLSLSNFENKGYALGEYAVRGVYSPPSKFGEELLMRILAYNAVNDPSYGEGYASTGGRLPNIHYFDKNFNEKVSPLEVIHGNGKNGGPGWHLYFGTLDVEYPDTDRWNKAIGRIPAPNYSSKKTDAIPDLLKGLAPLDELTINKGANSTVGAVVQAGGRVTVNAAESFNNSVLQGFQAVQETQLPHQDIAVSSTTSAVVTLKSQLPADLARQQINPLTLPGFSLPQGQNGLFRLASQGAQVNQASGALKSASDLTQSGHGVSVSAQTGSGASGWSTQARRVGDDRVTSLAGSAYQGRVAEAIDALRASAPISGDGGNTGRFQAGEHQATTGLGGLVEGNASGHSGNGVILADLRGGLPSFSSLPASDHVQGTVPGHDGNGTILANWQGAQATVQASPSTVRVEGVVSSPGGNGSILADLPAEQSSVQALPSAVRAQGSLPRLEERSALLAEPPVGQPALQTLPSVARVEGVPSNATPSNSHKYLIETNPALTELKQFLNSDYLLGGLGINPDDSKKRLGDGLYEQRLVREAIVQRTGQRFIAGLNSDEAMFRYLMDNAIASKDVLGLTPGVTLSAAQVAALTHDIVWLEEVEVNGEKVLAPVVYLAQAEGRLGPNGALIQGRDVNLITGGDLRNAGTLRAQNDLSATAGNIDNSGLIEAGNRLDLLASGSIRNDQGGIIAGREVSLSALTGDVINERTVTQHQSSYRGTGTTEAFADSAARIEAAQKLTVSAGRDVANIGGVIDSKGDLALQGGRDVLVSAAVAERGWTAGSQAYQTQTTQMGAEVVAGRDISVSAGRDISVVGSRIDARRDVTFEAGRDVGLVAAANEEHAYGKTKKVTFQDDKITQQATRVDAGGDLAINAGQDLRLVASQASAGDEAYLVAGDKLELLAANDSSYYLYDKKSKGSFGSKKTRRDEITDVTAVGSQISSGGDLTLLSGGDQTYQGAKLESGNDLAIVSGGAVTFEAVKDLHQESHEKSKGDLAWQSSKGKGQTDETVRQSQIVAQGNLAIKAVEGLKIDLKHIDQKTVSQTIDAMVQADPQLAWLKQMEQRGDVDWRRVQELHDSWKYSNSGLGVGAQLAIAIVVAYFTAGAASAALGSMAGVGAGSGSMMAAAGSTAMVQAGTAVGTAAAGWANAAGTAVAMGMASNGAISTINNRGNLGDVVKDVTSSDALRGYVVAGTTAGLTAGVYDKWTSTQTGTSTALPNTGAVAPAAGLGTWQGVGQFTSNQLLQNGTSVLLDRALGGKGSLGDALQNSLANAFAAYGFKLIGDTTHGVLDDGSLGKIGLHALMGGLAAEAVGGDFRTGALAAGVNEALVDSLAKQYASLPIDDKKGLLIMSSQLIGVLAASTQGDADAKSLQTGAWVAGNATQHNYLSHWQEEKKRQEVDGCKDKQLCKTGIEAKWAIISAQQDVGIVVGVGGGIGLSTAETAVGVYELVKNWRETYAALEQLATSPEFRQQFGDNYLKGLEERAAFLTQAYEDAGWQGSVTAGVEGGRFAAELVGVLTAVKGGAQITAKLPTAAKNLVNAIAESPVSGSMSSQLGAVGDLGRLGGGGKGYVDILSHEAKQHILYGDKPGSGGHLWPGQAGKTVFPQNWSADKIVHEVGDIATSPSTKWYAQTGTGGVYTSKGDPAKWVAYEVRDGVRMRVVYQPATGKVITAFPDNAPIPPYKPIK
VIMSS5926126 1 515 0.279497281553398 hybrid two-component system kinase-response regulator protein (RefSeq) 1011 0 14 472 2 Burkholderia cenocepacia J2315 VIMSS5926126 1 MicrobesOnline MGAPWPTAPLSMDHRAAPDPARSAAAHAPAAPTRVGRRQRALLYAGGAAVTVSILLASGLMLYTMAREAIQARYTTFAVRQFLVQIEFKMRTTGMDTLVTHDEAVWNSRAIDPARVAALAAGRGRLIIQGSPTFPPTLVLADLSPAHPADSYAHDLAMASDMSYRVGAYVAKHDADRAIAGYAYRPDRSFAVVIPEPVPPDPLTASPATDAATLVAKVAAGVGTPSPGQYEWHPPVYDPVQRRDVFRIAGAVYDAQSPRAVFLSTLPVDVLRTRLSAGDTTDAAIIVDAHGRTLLRAGSADDDDATRAAIERLDARTREAARRGGPRTAFGDGLFSLSQPIPETDWTLVQTFTLRSLLATIGLRAGWYVAIMLAVIALVWWLLVRFDRRVLKPDDARTRRVIDSENLNRTIVEAAPSGIALLSLADGAVLLQNDTMRDYDARRAGEPSLPARLLEHFDRSPAAAAWQPDLHVTLPTAGGETVDLLVNLVRTRFRDTDVVLCNFSDITSQKNIERQ
VIMSS59985 371 995 0.299742719999999 PF03797.19:Autotransporter:353:605,PF12951.7:PATR:86:115 probable serine protease (NCBI) 995 283 14 625 0 Pseudomonas aeruginosa PAO1 VIMSS59985 1 MicrobesOnline GQLLGRFEANLPAGLRDEWSNPISDSALLQRQAEDAAEHAAWQRTLKDKGWENGLPAGASQQERTDYAIGMARDQAAAQRQYQGSLVKAGAGSLVLSGDSTYRGPTLVDGGLLSVDGSLLSAVEVNAGGTLGGSGRIGGLLARSGGTVAAGNSIGTLEVAGDLRFESGSTYAVELSESASDRIVASGKASIAGGNVTLAMENSPDLLSQSQVESLVGRRYDILDAAGGIDGRFDAVLPNYLFLGGTLDYAANAIRLDIGRNGTTLASVAQTPNQAAVAGAVETLGAGNPVYESLLLSENAATAQRAFQQLSGEIYPALAGLLLNDSRYLRDSVGERLRQTSDGEAGGEAPEGWFKALGSWGKSADGSHGSEGYRHSVGGFLLGVDSQVASDTRLGLVAGYSNSSLNMDSSLQSSASIDSYHLGAYLGRQLQQWRLSLGAAHAWHRAEVKRDLQYGAVAGKQKAKLDAQSSQLFAEAAYALGWRSLELEPFAGLAYVHVASDDFRERGSAAALEGGDDNLDAAFTTLGLRAKRHFELDAGRRLALSGTLGWRHNLSDTTPQRHLAFASGSQPFSVESVALSRDAALLGVDASLAVNREVSVRLGYNGLLGSREKDHGVGLAVDWRF 2
VIMSS60348 1 169 0.149249112426035 PF08281.12:Sigma70_r4_2:111:163,PF04542.14:Sigma70_r2:15:80,PF07638.11:Sigma70_ECF:38:156,PF04545.16:Sigma70_r4:116:162 probable sigma-70 factor, ECF subfamily (NCBI) 169 149 14 169 0 Pseudomonas aeruginosa PAO1 VIMSS60348 1 MicrobesOnline MSSADLAHAAALHTLYSDHHHWLTGWLRRRLGCPQNAADLAQDTFVKVLVSRQAARIDEPRAFLTTIARRVLCNHYRRQDVERAYLEALASLPEREVPSEETRAIVLETLVELDRLLDGLPPLAKETFLLAQLDGLGYAEIATQLGISLSSVKRYMLKAAQRCYFAELP
VIMSS60580 1 464 0.152572413793104 PF13746.6:Fer4_18:320:426,PF13534.6:Fer4_17:324:388,PF12801.7:Fer4_5:198:227,PF12801.7:Fer4_5:301:340 probable iron-sulfur protein (NCBI) 573 156 14 363 5 Pseudomonas aeruginosa PAO1 VIMSS60580 1 MicrobesOnline MFTGTHYRPRTDSETAADSAEKNTSDGRRRRIRAACDRLPRGAYLYCRRSPGNPRQCWAAAFRRHLIRFFPLDLPLFRPSAGSYSRNRLSSLMGLRKMSERIPAIQVEPAVPAAPSKQPPAPGKLPSAVNGPIYTRSFTGLFRNFRRLGGGLLFLLFFGTLWLNWNGRQAVLWDLPERKFYIFGATFWPQDFILLSALLIIAAFGLFFITVFAGRVWCGYTCPQSVWTWVFMWCEKVTEGDRNARIKLDQGPASAAKLLRKTAKHALWLGVSLVTAITFIGYFTPVRPLVADLFSFQLDLESLFWVLFFTAATYVNAGWLREQVCIHMCPYSRFQSVMFDKDTLIVSYDAARGESRGARKKGSDPRAQGLGDCIDCQQCVQVCPTGIDIRDGLQIACIGCAACVDACDSIMDKMGYERGLVRYTSERALEGGQTRFLRPRLVGYAAVMLAMIGAFVVALDARPL
VIMSS60743 1 843 0.262335587188612 PF08447.12:PAS_3:460:541,PF08448.10:PAS_4:567:676,PF13188.7:PAS_8:561:608 Two-component sensor PprA; EC 2.7.13.3 922 198 14 843 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9HWA7 1 SwissProt MFEFSRSSSAEAERPEPFSQEGPALWSASLRSWDLCFEMDEQDRVIRVGGRQAYRLQCAHGLGEQPRPFAEYLERRAPGAPTLAGLRRGERLDLTLRSDAAAPLTCRFQPMQPLDGLGRSLLLGMDISDLNWQSDSQQHQLQSLSLGKLILSRLRHVSHGHLAEAVQEILESLSGAFQMQAIALLLGDGKGFCTVFASHVRPGSDSLLRPPLQLADDDLREGAGARLLRRGEGASTLLRQIGEDALYLVPATMRGGRLGALLVRPMSLEQLAQGPAPQDWQYLAELLANQVADRCELHEQHDSSRKLGLLQEMIGGGWWRYWAEQELFELAPALHDSLGLTGEYRRVPLEHLQGLLQPADADELGLRLRASLRSGQALAQDLCLRQPDSRGERRWLRIEGRPLGRGSALGLSGVLLDISEGRRQEERAQAAHARLRSLIDSAPVVIYVQRVEQGHLVPEFYSESASNLLGLDLQGQSWQALAERVHPDDLEAFFARGRELLREGRVKTRYRLADGQGNWHWLYDEAKLLRDAQGLPSEAVGLWLDVTEQHLAAQRIAESEERYRVLVEDSPALICRYTADLVLTYVNRTFADSLATSPERLVGRRLDEWLAAEDASALRARLLGSPREGASEVPELRFNLPGQRFLWLVWAERPLFDARGELCEVQAVGRDNTPVRRAQQQLAQGAKMASLGEMVSGLAHEVKQPLHVLRMTLFNMRQRMNSVGLDGDYLGEKLERMDAQVLRVDRLVSHLGVFSRKSALEALPFDPYAAFEGALGLLGEGLRQHAIEVECPAPTQRMVVRGQADQLEQVIINLLANARDALLGNPGLASRRVRLEQVACREP
VIMSS635 1 877 0.25735393386545 PF04518.12:Effector_1:494:871 hypothetical protein 877 378 14 877 0 Chlamydia trachomatis D/UW-3/CX NP_220136.1 1 RefSeq MSSYYLNFRPTTVSGEGLFKIKLANPGSDFKNQARPAIDMEELNSGLYVLRRLAVALEAGYLGVGSVVNPSNRIFPGGDWGVRRAAGGRTPAAGIISGSTIADIKQSTAKVLVTTITDSLNALIEDVPELPMTQVAGISSTLVLMATYQQKPSLDETDQKAIFGSAYIPADTSIKDVIKKEQEKELQEGKDRITAQLTAQGASNQVIEKSLADYEKYYVNEYFDTHVKEALWKHRASIGENIQEMLDQCLVLGLDVPDSLTKENINDANAKLVLQAWMEAFNNAMEVEPALGGSKEVIDSVLKMIPFAKPDANLSAEDISSIYTQAALPSPEVMDYYLTRQDAGVCKGEVVKAFQQATQNLQSVRSNVEEQIKELEVKKTSFLQAQASLESMLEGVKRLSDNKDFTSVRLTSVMECYAGLMALSQIAGVLEDEGLTLITKYVNQFLQLNNANTDQTLAHVISYMVAYCEVAESTMASTISDENTVIQKVRNKWTELTKQKFFSSFNSTMPSEEELKKNYITNKNSVYQANFGNFVDNVITRNLNLSDAVTKAQSMLTEFQGKATEYLSKFQQEINELNRTYDTLDPAKASFNTSTGSTPSLRAQAVDSWIDSTSLGSAFIHLILNTQIPKQENFLNPLIQEVNFNNVAANAVNDLLSITNNFSTSSVYYNLSSYLVESKEGENLFCGDFFEFIGALAKEHEYIVRDINSCYRAEAFGEALLARVEALAQGHKVTDAQANSMRTQANLYLSFIRIIVEQLAVLDSLLRSLNYEVEKKDNNYDKDKYKITGPTDWISTLASLEGYAANGFDNASPSGGLGPMHTLVQTDQHDYLTQSQTQQLNLQNQMTNIQQEWTLVSTSMQVLNGILSHLAAEIYSN
VIMSS6575835 1 490 0.316335510204082 PF00400.32:WD40:177:208,PF00400.32:WD40:227:257,PF00400.32:WD40:434:464 Cell division cycle protein cdt2 490 94 14 490 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10990 1 SwissProt MNMDIGKALSDVGGLRDITSRANNSLPPTPDSSPAAPSKKHKLYDFLESRGKIETPRKRIVFEKKPLLHKPVHIQKKPAQLCKELLIRQLGGSRSHPFSTKTSRHVGGRLNLETYYSRPSECLMMLNQLPFCLGFANNESLLAVCTETGALELFDSRFYDRQNEENQPSARRIHGWLAHNNAIFSVNFSKDDSLLATSSGDQTSKVFDLSTQQCITRLGRRGVDGYHSHSVKQVNFCNDSPYNLVSCSRDGSIIFWDMRTHGITIDGEHFQKPVLRIRKAHENSGRDCSITSATWLPQSTSQVISSCSANSALKLWDLRTVHTVRPLPAATTPELTTSKRDFGVTNVCTSPDGERIYAASRDSIIYEYSSRHLNSGFCKTYKDPRLRISSFYVKLACSPDGATLACGGGVQDKTSGVVVFDTTRNCSSSAMLTGGHTKDVTAVDWSSEGQLASISDDGSVRVWNSSLHGSAANLREKNFSEIFYWGFSEK
VIMSS6576510 428 872 0.369349662921348 PF15411.6:PH_10:2:113,PF00564.24:PB1:348:443 Rho guanine nucleotide exchange factor scd1 872 208 14 445 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P40995 1 SwissProt QYFGQLLVWDVVNVCKADIEREYHVYLFEKILLCCKEMSTLKRQARSISMNKKTKRLDSLQLKGRILTSNITTVVPNHHMGSYAIQIFWRGDPQHESFILKLRNEESHKLWMSVLNRLLWKNEHGSPKDIRSAASTPANPVYNRSSSQTSKGYNSSDYDLLRTHSLDENVNSPTSISSPSSKSSPFTKTTSKDTKSATTTDERPSDFIRLNSEESVGTSSLRTSQTTSTIVSNDSSSTASIPSQISRISQVNSLLNDYNYNRQSHITRVYSGTDDGSSVSIFEDTSSSTKQKIFDQPTTNDCDVMRPRQYSYSAGMKSDGSLLPSTKHTSLSSSSTSTSLSVRNTTNVKIRLRLHEVSLVLVVAHDITFDELLAKVEHKIKLCGILKQAVPFRVRLKYVDEDGDFITITSDEDVLMAFETCTFELMDPVHNKGMDTVSLHVVVYF 2
VIMSS6579104 1 470 0.713542765957446 PF11786.8:Aft1_HRA:153:233,PF11785.8:Aft1_OSA:77:101 Transcription factor atf1; Protein sss1; Transcription factor mts1 566 106 14 470 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P52890 1 SwissProt MSPSPVNTSTEPASVAAVSNGNATASSTQVPENNQSDSFAPPSNNSQQNQQSSTIAPNGGAGSVANANPADQSDGVTPSFVGSLKLDYEPNPFEHSFGSTASVGQGNPSLNRNPSLSNIPSGVPPAFARTLLPPVSSIASPDILSGAPGIASPLGYPAWSAFTRGTMHNPLSPAIYDATLRPDYLNNPSDASAAARFSSGTGFTPGVNEPFRSLLTPTGAGFPAPSPGTANLLGFHTFDSQFPDQYRFTPRDGKPPVVNGTNGDQSDYFGANAAVHGLCLLSQVPDQQQKLQQPISSENDQAASTTANNLLKQTQQQTFPDSIRPSFTQNTNPQAVTGTMNPQASRTQQQPMYFMGSQQFNGMPSVYGDTVNPADPSLTLRQTTDFSGQNAENGSTNLPQKTSNSDMPTANSMPVKLENGTDYSTSQEPSSNANNQSSPTSSINGKASSESANGTSYSKGSSRRNSKNET
VIMSS6579683 1 230 0.664144782608696 Cyclin-dependent kinase inhibitor rum1; p25-rum1 230 0 14 230 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P40380 1 SwissProt MEPSTPPMRGLCTPSTPESPGSFKGVIDASLEGNSSIMIDEIPESDLPAPQVSTFPPTPAKTPKKQLLPNLMLQDRSNSLERCMEEDREHNPFLSSSDNQLLSRKKRKPTPPPSDGLYYVFRGKRIKKSFRPGTDLSTFKPKLLFADSAPSSSSDNPTSSVDLNDYSQIGILPPNLNSIGNKMFSLKSRVPSSSSGSFVAPPPQMRLPAYSSPQKSRSNTKDENRHNLLR
VIMSS6579999 1 326 0.586490490797546 Zinc finger protein zfs1; Multicopy suppressor of overexpressed cyr1 protein 4 404 0 14 326 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P47979 1 SwissProt MVYSPMSRPQVPLAFRQWPPYNYKSDPLVNSKLSQSTTSVNAGPSLISPSFLDSYANSSSLLHKPSTNLGSLKTSSLLASDEVFPSSVMPQLRPLDSSLSVSPEMDGWPWHHNSSSNPQGYAWTPSLLSSNATSYLHSGSSPHGNTSNHPSPISSLESLPSRSSTGSGSLDFSGLANLHDDSKSLAMSLNMAGVPVSVDENSQTSFPFVHGQPESTMSRKPKLCVQSKSMTNIRNSVAKPSLVRQSHSAGVIPIKPTASNASIRNAPSNLSKQFSPSGNSPLTEASKPFVPQPSAAGDFRQAKGSASHPHGSGSSNGVAPNGKRAL
VIMSS6580636 1 593 0.0930490725126475 PF07690.16:MFS_1:144:503 Putative permease, member of the allantoate transporter subfamily of the major facilitator superfamily; mutation confers resistance to ethionine sulfoxide (RefSeq) 593 360 14 330 12 Saccharomyces cerevisiae VIMSS6580636 1 MicrobesOnline MYSIVKEIIVDPYKRLKWGFIPVKRQVEDLPDDLNSTEIVTISNSIQSHETAENFITTTSEKDQLHFETSSYSEHKDNVNVTRSYEYRDEADRPWWRFFDEQEYRINEKERSHNKWYSWFKQGTSFKEKKLLIKLDVLLAFYSCIAYWVKYLDTVNINNAYVSGMKEDLGFQGNDLVHTQVMYTVGNIIFQLPFLIYLNKLPLNYVLPSLDLCWSLLTVGAAYVNSVPHLKAIRFFIGAFEAPSYLAYQYLFGSFYKHDEMVRRSAFYYLGQYIGILSAGGIQSAVYSSLNGVNGLEGWRWNFIIDAIVSVVVGLIGFYSLPGDPYNCYSIFLTDDEIRLARKRLKENQTGKSDFETKVFDIKLWKTIFSDWKIYILTLWNIFCWNDSNVSSGAYLLWLKSLKRYSIPKLNQLSMITPGLGMVYLMLTGIIADKLHSRWFAIIFTQVFNIIGNSILAAWDVAEGAKWFAFMLQCFGWAMAPVLYSWQNDICRRDAQTRAITLVTMNIMAQSSTAWISVLVWKTEEAPRYLKGFTFTACSAFCLSIWTFVVLYFYKRDERNNAKKNGIVLYNSKHGVEKPTSKDVETLSVSDEK
VIMSS6580720 1 235 0.133706808510638 PF00674.18:DUP:76:168 ULP1-interacting protein 3; DUP240 protein UIP3 235 93 14 194 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39547 1 SwissProt MQTPSENTDVKLDTLDEPSAHLIEENVALPEDTFNSYWSYILNEIARCKPLMIMFLIPVCLVLLITFFHDIKGILVFLVISLILSIIILLIGITAFVSETLNKGFIIKLLVEVITRKPAVGGKEWRIIAYNMNQYLFDHGIWHTPYYFFCEHRCHKFFKSLIKQTRSNAHLSSPTNGAENTQSNTPAKEVSNEMVKPYIFSSDPVLEAYLIKAAEIHKEAEFEYWRKQYPEVDLP
VIMSS6580723 1 298 0.142176174496644 PF00674.18:DUP:137:232 Pheromone-regulated membrane protein 9; DUP240 protein PRM9 298 96 14 255 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39551 1 SwissProt MSPQYHFYFVSFRNLVLNEKCLRSKKQVMKSFNWYKTDRYFDPHNILQHHSRAIEKTRYKLGMQTSSESTDAKSDFLDEPSAYLIEKNVALPKDIFGSYLSYWIYEVTRHKAAVILLVLIVTSILLLVFFYNTEFCVAFEILLFSFCFPGTCMVVIAFSEPIGDREFKVKLLMEIITRKPAVKGKEWRTITYKMNQYLFDHGLWDTPYYFYRDEDCHRYFLSLIKGRTFKKQKESSASNVKDAQSNDETAGTPNEAAESSSFSAGPNFIKLLTKAAEIEQQFQKEYWRQEYPGVDEFF
VIMSS6580733 1 161 0.205883229813665 Fungal-specific protein of unknown function; induced in respiratory-deficient cells (RefSeq) 161 0 14 161 0 Saccharomyces cerevisiae VIMSS6580733 1 MicrobesOnline MPQVQSWFPVQKQPTLAVTFTPLPQLSHAHLPLPPSHLVTKTDAMFQHQLLPTQLQPFPPSHTPLLLLLTVTTMAVTPRLSLLNVLKKLQQPPFLQNHTLLLPLLTVTTTAVTPRLSLPRLPNKHHWPLAQSPSLLLQLLILLLPAPSLVLSFNPKVWLLV
VIMSS6580766 1 458 0.0414139737991266 PF05208.13:ALG3:49:417 Dol-P-Man:Man(5)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase; Asparagine-linked glycosylation protein 3; Dol-P-Man-dependent alpha(1-3)-mannosyltransferase; Dolichyl-P-Man:Man(5)GlcNAc(2)-PP-dolichyl mannosyltransferase; Dolichyl-phosphate-mannose--glycolipid alpha-mannosyltransferase; HM-1 killer toxin resistance protein; EC 2.4.1.258 458 369 14 259 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38179 1 SwissProt MEGEQSPQGEKSLQRKQFVRPPLDLWQDLKDGVRYVIFDCRANLIVMPLLILFESMLCKIIIKKVAYTEIDYKAYMEQIEMIQLDGMLDYSQVSGGTGPLVYPAGHVLIYKMMYWLTEGMDHVERGQVFFRYLYLLTLALQMACYYLLHLPPWCVVLACLSKRLHSIYVLRLFNDCFTTLFMVVTVLGAIVASRCHQRPKLKKSLALVISATYSMAVSIKMNALLYFPAMMISLFILNDANVILTLLDLVAMIAWQVAVAVPFLRSFPQQYLHCAFNFGRKFMYQWSINWQMMDEEAFNDKRFHLALLISHLIALTTLFVTRYPRILPDLWSSLCHPLRKNAVLNANPAKTIPFVLIASNFIGVLFSRSLHYQFLSWYHWTLPILIFWSGMPFFVGPIWYVLHEWCWNSYPPNSQASTLLLALNTVLLLLLALTQLSGSVALAKSHLRTTSSMEKKLN
VIMSS6580925 1 211 0.57083981042654 Uncharacterized protein YBR071W 211 0 14 211 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38243 1 SwissProt MLRRSKNSSTNTNADTKKRQSMHLGSKSSLISLTSEFGHGHSKTKQKKEEGTAPSQFLSPTNKRSTSSQSKLKRSSLLLDETLLKDYHSAMRHMQTNAAKEEKLRMAPSPTQSTRSESDASLSSTKSSISSIFSQDNDYSIHDLLYEDIEEMDKTDAFKINNTIAIDDSKALFVFCSNDSSSRTASIETLHESNLDNLDMGSSRRTSLDFF
VIMSS6580953 1 430 0.42687488372093 PF08595.11:RXT2_N:62:221 Transcriptional regulatory protein RXT2 430 160 14 430 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38255 1 SwissProt MTIRSSMKNNAELESKSVLANESNIISTFTRRIIKEKSGNYQVLKRSLDGKLIYPEATGISSNRGNKLLQRSEVVTRRDLNNSKPMIEQTVFYNGSEHRLLQTNIVTDSRRKRIKFTPDINVEPVLVGDENDIDGSEKEDENITDEYYGEEDDDDLSKLVNVKEILTPILSLGDIINHKTISRTFSSPILKNLALQIILMIEKEQMSVVRYSQFLEVFLGDHPEPIYESNLNLPSYNHNLTLPEDRGASDEDDINNKNNINEVNSNSLSTEAGHINNGMEEFGEEDPFFALPRLEQSNALLSLLPSSSGSASISTLTAAEQQQLNEEIESARQLSQIALQRNKEFIRNLQKIRKSVIKANRIRGRILNWSREYLGISDDDITIPVALRVVKRGLISATTNKTTNFEEEIENTMEDGVVDDNEPDEEANRA
VIMSS6580986 1 596 0.0862926174496646 PF00324.21:AA_permease:92:563,PF13520.6:AA_permease_2:94:542 General amino acid permease AGP2 596 472 14 329 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38090 1 SwissProt MTKERMTIDYENDGDFEYDKNKYKTITTRIKSIEPSEGWLEPSGSVGHINTIPEAGDVHVDEHEDRGSSIDDDSRTYLLYFTETRRKLENRHVQLIAISGVIGTALFVAIGKALYRGGPASLLLAFALWCVPILCITVSTAEMVCFFPVSSPFLRLATKCVDDSLAVMASWNFWFLECVQIPFEIVSVNTIIHYWRDDYSAGIPLAVQVVLYLLISICAVKYYGEMEFWLASFKIILALGLFTFTFITMLGGNPEHDRYGFRNYGESPFKKYFPDGNDVGKSSGYFQGFLACLIQASFTIAGGEYISMLAGEVKRPRKVLPKAFKQVFVRLTFLFLGSCLCVGIVCSPNDPDLTAAINEARPGAGSSPYVIAMNNLKIRILPDIVNIALITAAFSAGNAYTYCSSRTFYGMALDGYAPKIFTRCNRHGVPIYSVAISLVWALVSLLQLNSNSAVVLNWLINLITASQLINFVVLCIVYLFFRRAYHVQQDSLPKLPFRSWGQPYTAIIGLVSCSAMILIQGYTVFFPKLWNTQDFLFSYLMVFINIGIYVGYKFIWKRGKDHFKNPHEIDFSKELTEIENHEIESSFEKFQYYSKA
VIMSS6581133 1 201 0.505197014925373 DNA polymerase epsilon subunit C; DNA polymerase II subunit C 201 0 14 201 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P27344 1 SwissProt MSNLVKEKAPVFPISKVKKIAKCDPEYVITSNVAISATAFAAELFVQNLVEESLVLAQLNSKGKTSLRLSLNSIEECVEKRDNFRFLEDAIKQLKKNSALDKKRELNMQPGRSDQEVVIEEPELHEDDGVEEEEEEDEVSEEEEPVHNEELLDDSKDQQNDKSTRSVASLLSRFQYKSALDVGEHSDSSDIEVDHTKSTDP
VIMSS6581199 1 512 0.3901052734375 PF00018.28:SH3_1:443:484 Nuclear fusion protein FUS1 512 42 14 489 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P11710 1 SwissProt MVATIMQTTTTVLTTVAAMSTTLASNYISSQASSSTSVTTVTTIATSIRSTPSNLLFSNVAAQPKSSSASTIGLSIGLPIGIFCFGLLILLCYFYLKRNSVSISNPPMSATIPREEEYCRRTNWFSRLFWQSKCEDQNSYSNRDIEKYNDTQWTSGDNMSSKIQYKISKPIIPQHILTPKKTVKNPYAWSGKNISLDPKVNEMEEEKVVDAFLYTKPPNIVHIESSMPSYNDLPSQKTVSSKKTALKTSEKWSYESPLSRWFLRGSTYFKDYGLSKTSLKTPTGAPQLKQMKMLSRISKGYFNESDIMPDERSPILEYNNTPLDANDSVNNLGNTTPDSQITSYRNNNIDLITARPHSVIYGTTAQQTLETNFNDHHDCNKSTEKHELIIPTPSKPLKKRKKRRQSKMYQHLQHLSRSKPLPLTPNSKYNGEASVQLGKTYTVIQDYEPRLTDEIRISLGEKVKILATHTDGWCLVEKCNTQKGSIHVSVDDKRYLNEDRGIVPGDCLQEYD
VIMSS6581586 1 396 0.0825941919191919 PF01553.21:Acyltransferase:94:276,PF16076.5:Acyltransf_C:294:352 Probable membrane protein with three predicted transmembrane domains; homologous to Ybr042cp, similar to C. elegans F55A11.5 and maize 1-acyl-glycerol-3-phosphate acyltransferase; null exhibits no apparent phenotype (RefSeq) 396 242 14 313 4 Saccharomyces cerevisiae VIMSS6581586 1 MicrobesOnline MKHSQKYRRYGIYEKTGNPFIKGLQRLLIACLFISGSLSIVVFQICLQVLLPWSKIRFQNGINQSKKAFIVLLCMILNMVAPSSLNVTFETSRPLKNSSNAKPCFRFKDRAIIIANHQMYADWIYLWWLSFVSNLGGNVYIILKKALQYIPLLGFGMRNFKFIFLSRNWQKDEKALTNSLVSMDLNARCKGPLTNYKSCYSKTNESIAAYNLIMFPEGTNLSLKTREKSEAFCQRAHLDHVQLRHLLLPHSKGLKFAVEKLAPSLDAIYDVTIGYSPALRTEYVGTKFTLKKIFLMGVYPEKVDFYIREFRVNEIPLQDDEVFFNWLLGVWKEKDQLLEDYYNTGQFKSNAKNDNQSIVVTTQTTGFQHETLTPRILSYYGFFAFLILVFVMKKNH
VIMSS6581775 296 604 0.475749514563107 Vacuolar protein sorting-associated protein 64; Factor arrest protein 9 604 0 14 309 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03944 1 SwissProt PLMNTVSDPTNLVMKKQDHTNKNNGNSTNINGIKIDRGHHNQHIPIRSHLKENYTEAGVTSATTAQRAAFEAAMFGDINNSELDDDILGPETEVLSGIFINNSAGTSINLINMIKTLTTELSLEKQELEKLHSMQNFMQNYTINLDFINKHMIDMNEKHLLKLSTALQKTLSENNDALLKESEDQLKEIKQQNNKVKSACSLKEKQNHEKLQELESELRELNLQIEEERGKNLVLTQSNFNGGINNDNNAKVKQNDSREEKKDTEDTLISTEELGVVEGKRTRVSKGMLFGVVAISFGLVATAVKQLPQ
VIMSS6581782 1 437 0.67482471395881 1-phosphatidylinositol-4-phosphate 5-kinase 779 0 14 437 0 Saccharomyces cerevisiae S288C NP_010494.1 1 RefSeq MSVLRSQPPSVVPLHLTTSTSRKTEQEPSLLHSAIIERHQDRSVPNSNSNPDSNHRIKKDRNNHTSYHSSSNSESNMESPRLSDGESSTPTSIEELNPTINNSRLVKRNYSISIDPLHDNSNNNTDDDHPNTITSPRPNSTSNKEMQKYSFPEGKESKKITTPSLNSNNCLDLDNSSLVHTDSYIQDLNDDHILLNKRVSRRSSRISAVTATSTTIKQRRNTQDSNLPNIPFHASKHSQILPMDDSDVIKLANGDTSMKPNSATKISHSMTSLPLHPLPQPSQKSKQYHMISKSTTSLPPENDHYYQHSRGTNHNHAANAAAVNNNTTTTTAATGLKRSESATAEIKKMRQSLLHKREMKRKRKTFLVDDDRVLIGNKVSEGHVNFIIAYNMLTGIRVAVSRCSGIMKPLTPADFRFTKKLAFDYHGNELTPSSQYA
VIMSS6581830 1 421 0.207950118764846 PF10607.9:CLTH:177:321 E3 ubiquitin-protein ligase RMD5; Glucose-induced degradation protein 2; Required for meiotic nuclear division protein 5; Sporulation protein RMD5; EC 2.3.2.27 421 145 14 398 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12508 1 SwissProt MSELLDSFETEFAKFYTDSNLEETNLQKCLDHTHEFKSQLKKLKAHLNKHIQESKPEVYNKLSDKEKQKFKRKRELIIEKLSKSQRQWDHSVKKQIKYVSQQSNRFNKSTLNKLKEFDIDSVYVNKLPKETMENVNEAIGYHILRYSIDNMPLGNKNEAFQYLKDVYGITNKESTEFIEMGQIVHDLKKGDTESCLKWCSNEMESLSSNHTALSSLKFDLYTLSAMQIVKHGNPVELYYQITQNAPLDCFRHREKELMQNVVPLLTKSLIGQPIEDIDSKVNKELKECTSLFIKEYCAAKHIFFDSPLFLIVLSGLISFQFFIKYKTIRELAHVDWTTKDELPFDVKLPDFLTHFHPIFICPVLKEETTTENPPYSLACHHIISKKALDRLSKNGTITFKCPYCPVNTSMSSTKKVRFVML
VIMSS6581883 1 478 0.192188702928871 F-box protein YDR306C 478 0 14 478 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06640 1 SwissProt MANKSRPKKIKAPYRKYVAGEGFSSTRNDNKAKEFTITIPEDAELIETPQGSYYYDETNDTIVKLTRLSNEKKDKKGRKQSPSSSSTSSSKGEKNGKVIESEEARMHSVSVKMVLPWEIQHRIIHYLDIPEKEEKLNKTANGKKTTTGINMNYLLVCRNWYAMCLPKLYYAPALTSKNFNGFVDTIIINKKKNLGHYVFELNLSTILQSGRNSFVSKLLRRCCSNLTKFIAPQTSFGYAPLISLKSCHDLKFLDLGLVSETVKLKELFSAIKNFTKLTHLSFPRSSIDCQGFQDIQWPQNLRYLKLSGGITNEFVIDTKWPTTITTLEFSYCPQITELSIYSLLSQIGDNLKHLFFHYPMPSLAENSLDHVFTYCANLISLQLMVDYCSKWCFSEFMLSKLVEYDRPLKTLYLECSGSLGLASKIHPDDLTIAILESRLPCLKNICVSPKLGWNMKSDEVADLVVSLEDQDGSLYLNY
VIMSS6581976 1 654 0.558331651376146 PF00620.27:RhoGAP:151:368 GTPase-activating protein SAC7 654 218 14 654 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17121 1 SwissProt MPNNTLKQGSKIENVSPSKGHVPSFWKQFINNPKSMSSENITVPRSPTSLSRNAQPTTLKRPPLSSRPYSYNTPTKDRKSFSKSAKQNNNNNNANSGTSPHAEFKNYRDMFLSNRNGFTGRVFGVTLAESLSVASAEVIVQSELVSFGRIPIVVAKCGAYLKANGLETSGIFRIAGNGKRVKALQYIFSSPPDYGTKFNDWETYTVHDVASLLRRYLNNLAEPLIPLSLYEQFRNPLRSRPRILRHMLTHEVSHPNANKTNNVTVKSSRQNYNDDGANDGDIEKEDAKDDEEKRRRKIRHKRRLTRDIRAAIKEYEELFVTLSNDTKQLTIYLLDLLSLFARQSQFNLMSGRNLAAIFQPSILSHPQHDMDPKEYELSRLVVEFLIEYSYKLLPHLLKLAKREQQERLSTENKKNNGDKQKTDPIEIPKITSSDSPPIVSSNKNPPAIDNNNKLDHTTLSPISTSIPENSSDLQTSKMLKPPKQRRPHSKSFGSTPVPPDVIASNKRRTSLFPWLHKPGILSDTGDNGDLTATEAEGDDYEEENVDPYGQSPSSVHSGSLPKQHYLPIPRMNRSLSGNSTNSSFNTRPISMILTSGNDNSADQLELLSNTHSNNERSNALPLTEDDGDERNSRSRKRESWFQRLTSRSGSANRA
VIMSS6582065 169 795 0.601453269537479 PF05764.13:YL1:8:124,PF08265.11:YL1_C:542:569 Vacuolar protein sorting-associated protein 72; SWR complex protein 2 795 145 14 627 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03388 1 SwissProt EAIPRSHHTHEQLNAETLLLNTRRTSKRSSVMENTMKVYEKLSKAEKKRKIIQERIRKHKEQESQHMLTQEERLRIAKETEKLNILSLDKFKEQEVWKKENRLALQKRQKQKFQPNETILQFLSTAWLMTPAMELEDRKYWQEQLNKRDKKKKKYPRKPKKNLNLGKQDASDDKKRESEESIKNDGDVNSLGENSSSVHNQKRIEETSTNDTVEGESSPDAAVSRVNSDELKPTALPDVTLDAIANKQSTVDEAPNSQPQKNIITNEQKITNVGEPIQNLHNEEIKDEMVSALESRENTFENSSPAAQVVSQRDNSATPTPSNSTGTEDTILISPDTDIKGEPEPCLKTEGIENLSHNVPQETKSNTDVSFLKQVTFTDHPQVAIIDTEESPSKKDTANVDESSAENSLSTQTYEGPEQLTSRNFVTLYDFPNAPPNLKDFNTNLFGDRWSYTNGLSATQRPQDMKTVFHSILPSPPQSSVPSPTVDISLDLSALANFPSFGEYDKKIVHQINTEINKDLEIKIKTQPPTGVFLANGIRKKCLITNKECQYFDPRTGVPYSDVEAYKIIQRIQDPISKEEGRSDIKRDETTNEDSDDQVRFKWFGFKNGGIYLDLSQRPAKGVPEGF
VIMSS6582127 1 231 0.131893073593074 Sporulation protein RMD6; Required for meiotic nuclear division protein 6 231 0 14 231 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39975 1 SwissProt MSACPCNIVILPVEILKNSSKDTKYSLYTTINRGYDVPRLKYGIIVSPRVHSLETLFSDLGFDKNIEKSSLYLLLNDPTLAYPNFHEHFEQLKGETNKDLSLPTYYIPKVQFLTEAFDSEHTLATIGYKPNNKESYEITGFTSMGNGYGIKLFNYSVIHMMRSHKCKRVVADIIMEHDLLGYYEKKLGFVEVQRFKVLKEQHQVKVFDDKVDFTKDFHVIKMIKELGNHRL
VIMSS6582178 1 682 0.248787829912023 PF09994.9:DUF2235:32:415 Putative protein of unknown function; expression is increased greatly during sporulation; YEL023C is not an essential gene (RefSeq) 682 384 14 682 0 Saccharomyces cerevisiae VIMSS6582178 1 MicrobesOnline MDSFNYIHGKYKKNGTGGDRSINPSSHSSSGKNIILCFDGTRENFGPQPFTNILKLYNLLENGDSSEQICYYQPGIGSVGFDAVVDVRRRLTISHLQNLLDSMFAFSLDNHICSAYLFLMKYFEPGDRIYMFGFSRGAFIARVLAGMIERVGLLSKGLEEMVKMAWQIYEKWEYDSQPNELQYTSTLAEEFKKTFSRDYEVKIHFQGLFDSVNSVGILRDRLFPCTQRSNIVEHVRHCVSLDERRGKFKQLCFTPMPYIPKLFSLTYCNHITDQCSPVPTSNALMRDLTPENPLIKYTLKSGAHSISNPSPLIPDNPGRLLSSKSEETTELLLDLNSFLEGNSYARDTECSTRGIEAIFQLQSIQGSGTSSRMTMTPDLIEKWFPGDHSDVGGGWAPDCETEENLSNLTLRWILAEAIKFGVKFKPGAIHDFATKHTSIGSLFADTHDYLSFNSPKKCSLLGVSDNEDGAREDKSGRNERMEDCLKNIKETRLSLKDEKEKVKDAFTLKCGHANKFMRLVWWVLELLPIGIRMENKEGKWQNFHTPNLGRSRYVPEYVSLHWSVYWRIKFDRRYRPDNMPEYVRQLFQDLEGIDLKSNKVSNKYDKQDNSNGSEINGGFFDNEEGQELHMGQKASYFATTYNSRLFDSKYSQLKKKFMDWDSNSWTDIPDDLKIYLQQDESL
VIMSS6582241 1 876 0.64677203196347 Factor interacting with REF2; PAP1-interacting protein; Polymerase-interacting protein 1 876 0 14 876 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40020 1 SwissProt MSLPVTPVKSKVCSTLSIQHEMDHDQCRDVLCPRENLLAINRTNNIESVAIPRQRSSKNKKPHEHTQSQVRFSIPDPNEISQDSPLKIVFPKSGNETERRMSTSSLLMNSHGHLVDMHSKILVDVPKEVWKFHHNRRKKCESRHRKTRSDVRSNTSSSGKEPNHSRSKSLQSIIVDTMNTYRATEVETSINENTSNISQVSPLNLSFDRPPPLTPEKNLYLTPESPLNRYHLPVPLEISLPPYLSPQNKDKKRSSLVYDGDGYSQFQEGNTSSSTESSLEQPSSSYSDEDDSIPYAHHDVSFELNNADADKLLGIDENANVNLKIQRRNLKNPQHIKSKTDRECEEKNTEKNVSLKILSTPNKLIDIPDLEHMKSPPSTGLNGTLKFFQQFEPSEEPTSPTRQVNPESLDKLDMSFKFPSSTTNNNVDKVHENRNSGDTNNEDFLKVDTSPVNQSFESRRQMLIDLQKSPTNNNPRIHKHRRSRSVHNIDDISLNFEATSTPPAPTSAPSIPVEHSNPCTSIEIPKRSPLRFTSSPKTSDIPPEAQSPKNGSFLQEISVPSIQIIPDESISHTREPSPSLIECPEDENEAFSTEVADHSIAIISETKSVPSIEPFKPLSSFNSFGQEIQNKEPTPLNQTPTDLIGKQRNCVNPHSIPFSVLSSNSQSSQSGSSKSSYNSEFSSNTAITDTTSQPSVTINRSTLEHNFIEEKRSIKNLGHGPPSQKNNYSFPRNKNTPSNRHDLDFNTIYEKRDGKMVEVILLDEDEDVGLKNNDISRTRVCHAQKAKNEQQKKRLSHCNEILGMCDKTADDAKRIIYQLVNEKHKFSEKGQQTKPKKSRVLPPLPFPLYDEKGNSLIPNKYQSSIHNDIPSHRKLK
VIMSS6582326 1 208 0.644143269230769 Regulator of Ty1 transposition protein 105 208 0 14 208 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40063 1 SwissProt MYSNHNLNSDDCCFDWNEEKAAELQRTGVSFDRSLTPQSLRTSTRRLSEENKQQSGTMHIDTSPSVVSDIISSRRDRSQDFFGPHSSSPIAPSERQRADQRSRLESMRLTRRRDKMTKVRGGLEKMEEMIMQGEHLREMQRLKQEAQKNALPSDMAEYMEWQNNEDLEDDELLAFIEKQETYKNELEHFLNNANKNVYENNSYPNSHT
VIMSS6582370 1 486 0.117468724279835 PF02628.15:COX15-CtaA:87:441 Cytochrome c oxidase assembly protein COX15 486 355 14 306 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40086 1 SwissProt MLFRNIEVGRQAAKLLTRTSSRLAWQSIGASRNISTIRQQIRKTQLYNFKKTVSIRPFSLSSPVFKPHVASESNPIESRLKTSKNVAYWLIGTSGLVFGIVVLGGLTRLTESGLSITEWKPVTGTLPPMNQKEWEEEFIKYKESPEFKLLNSHIDLDEFKFIFFMEWIHRLWGRAIGAVFILPAVYFAVSKKTSGHVNKRLFGLAGLLGLQGFVGWWMVKSGLDQEQLDARKSKPTVSQYRLTTHLGTAFFLYMGMLWTGLEILRECKWIKNPVQAISLFKKLDNPAIGPMRKISLALLAVSFLTAMSGGMVAGLDAGWVYNTWPKMGERWFPSSRELMDENFCRREDKKDLWWRNLLENPVTVQLVHRTCAYVAFTSVLAAHMYAIKKKAVIPRNAMTSLHVMMGVVTLQATLGILTILYLVPISLASIHQAGALALLTSSLVFASQLRKPRAPMRNVIITLPHSSKVTSGKILSEASKLASKPL
VIMSS6582499 1 155 0.764860645161291 PF07491.11:PPI_Ypi1:29:95 Type 1 phosphatases regulator YPI1 155 67 14 155 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43587 1 SwissProt MSGNQMAMGSEQQQTVGSRTVSVEEVPAVLQLRATQDPPRSQEAMPTRHNVRWEENVIDNENMNKKKTKICCIFHPQNEDEEECNHHSDDDGSSSSGSSSSESENEKDLDFNERRQRRLERRHRKLEKKRSYSPNAYEIQPDYSEYRRKQQEKKD
VIMSS6582509 1 170 0.395402941176471 PF07956.11:DUF1690:18:168 MICOS complex subunit MIC19; Altered inheritance of mitochondria protein 13, mitochondrial; Mitochondrial contact site complex 19 kDa subunit 170 151 14 170 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43594 1 SwissProt MGSNTSKVGAGAEKQQVYTPLTQIDFSQSLVSQLDSSKESDYVTKQNAEKFIEKKVSQRLSNLEVETLKKFEDTLNNSLLSDDDKDAVDGISSSSLNNQIESLNKKLTLFDQLELQKLEKYGGAKGKSDKKTDNGSISIKAKLTECLLANKGKPLNCYEEMEEFKKLVMG
VIMSS6582702 1 126 0.218792063492064 Heterotrimeric G protein gamma subunit GPG1 126 0 14 126 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53130 1 SwissProt MFYLSDIEEEASAGAEPTYNFWEVLLFSNTQENLVTVVGELHTLTDRVVHYKIEPESREVTATTLPSLLALLLEKRNQARRLYRDVLSMKMSELDWDIDDLFTQLQEELTRTDDTLSMYPRRRFYH
VIMSS6582886 1 309 0.0781440129449838 PF04116.13:FA_hydroxylase:147:282 Methylsterol monooxygenase; C-4 methylsterol oxidase; EC 1.14.18.9 309 136 14 286 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53045 1 SwissProt MSAVFNNATLSGLVQASTYSQTLQNVAHYQPQLNFMEKYWAAWYSYMNNDVLATGLMFFLLHEFMYFFRCLPWFIIDQIPYFRRWKLQPTKIPSAKEQLYCLKSVLLSHFLVEAIPIWTFHPMCEKLGITVEVPFPSLKTMALEIGLFFVLEDTWHYWAHRLFHYGVFYKYIHKQHHRYAAPFGLSAEYAHPAETLSLGFGTVGMPILYVMYTGKLHLFTLCVWITLRLFQAVDSHSGYDFPWSLNKIMPFWAGAEHHDLHHHYFIGNYASSFRWWDYCLDTESGPEAKASREERMKKRAENNAQKKTN
VIMSS6582972 1 771 0.383631647211413 PF03935.15:SKN1:250:763 Beta-glucan synthesis-associated protein SKN1 771 514 14 748 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33336 1 SwissProt MSVRNLTNNRHSNSENSVSGSENSFYSSNEQSRQSSSLEPADGQNVRVSGNPFLGSEEFDEDYNSPSGDDERRGANEYSSSSSINYNNDPNSDTSLLANEKNSPERNGQRMSDYKGYYAKTNLTSANNLNNHNNNNYKNIISSSNDNSFASHLQPPDRNLPSHPSSNNMSSFSNNSLIKSPPPFDRYPLVGTRHISAAQSQSQNLINEKKRANMTGSSSSAHDSSLSSTNLYMGEQDFSPFGGYPASFFPLTLDEKEDDDYIHNPNVEEEAKLDRRRFVDDFKHMDRRSFLGLLGILFLFMAGIFIFIVLPAITFSGVVYHHEHVHAANSAGSSSSNTTSKSLTEYQYPQLAAIRTTLVDPDTPDSAKTRVAKDGSKWQLVFSDEFNAEGRTFYDGDDQFWTAPDIHYDATKDLEWYSPDAVTTTNGTLTLRMDAFRNHDLYYRSGMVQSWNKLCFTEGALEVSANLPNYGRVTGLWPGMWTMGNLGRPGYLASTQGVWPYSYEACDAGITPNQSSPDGISYLPGQKLSVCTCDNEDHPNQGVGRGAPEIDILEGEADTILGVGVASQSLQIAPFDIWYMPDYDFIEVYNFTTTTMNTYAGGPFQQAVSAISTLNVTWYEFGEEAGYFQKYAIEYLNDDDNGYIRWFVGENPTFTLYATSLHPSGNIDWRRISKEPMSAILNLGISNNWAYIDWQYIFFPVTMSIDYVRLYQPKGSTSITCDPEDYPTYDYIQSHLNAYYNANLTDWEQAGYTFPKNILTGGCSSSKFSLS
VIMSS6583105 1 701 0.185491583452211 Uncharacterized protein YGR266W 701 0 14 701 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53326 1 SwissProt MHATNWFDDWNPEALYRDDVTGCDDCSETSPIPKSGIICGPILRLINMDFKEKTYEGSIMVVVRGEENFPKITYQLGPSLPSEDEDIEVNEAFFEGKLFHKDILKDDNIWFYRYEIKLPMSNYEQMVKYAVDGTMEPHYRFFVPSFTQNSNVISYSCNGFSLSVDTSKFKGSLWYDVLKKHRYVHYHAILGGGDQIYSDNIKLHAPNLKAWLETKDPIKKYNTQTTEETKEQIRQFYLEHYLNWYGYGHWYGSTPKSKTTQKCFVKSLACIPAINVWDDHDIIDGYGSYNDSFMKTENFLTVGRMAYRYYMLFQQHVSASKQDGDEYAYLKSKQWILGNEKGSSYIGERSHSIFSWLGPKMAMLGLDCRTERKLHEIFSERSYSLIWERVEREIKNLKGGHLLLMLGIPIAYPRLVWLEWLFTSKLLAPIKYLSKKGIFASGFVNEFNGDVELLDDLNDHWCARHHKKERNYLIMKLQDIGAKYGVRITILSGDVHLASVGRFRAKIHRHHLIMSEEKEKENTRIIEEPTKDVRLIFNIIASAIVNTPPPDAMATLLQKRCRLHHFDLETDEDAVPIFAKEVDGVHKRKESCFMNKRNWSDIIPIENLLNNPQLSKELGVKVGDIVIPGIITEQQKLQKLENDDQINSYPVTSGGLFTTIHVERDANQTNSQTVSYCLPIPELTVTCERLSHKGIKHLNIT
VIMSS6583137 1 120 0.1450425 PF00660.17:SRP1_TIP1:22:114 Pau13p (RefSeq) 120 93 14 120 0 Saccharomyces cerevisiae VIMSS6583137 1 MicrobesOnline MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIRAHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGIAPDQVTRMITGVPWYSSRLKPAISSALSKDGIYTITN
VIMSS6583241 1 514 0.0749241245136187 PF07690.16:MFS_1:85:464,PF00083.24:Sugar_tr:97:282 Probable drug/proton antiporter YHK8 514 380 14 243 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38776 1 SwissProt MVAEFQIASAQSSALTSTEEEHCSINSDKAAKLDLELTSERKNDGKQSHEVTFNEDIADPEDIARHMSTARRYYISSLITFTSMVITMISSSWTLPSTHIIEHFHISHEVSTLGITLYVFGLGIGPLFLSPLSELYGRRITFLYALTLSIIWQCLTIWSKTITGVMFGRFLSGFFGSAFLSVAGGAIADIFDKDQIGIPMAIYTTSAFLGPSLGPIIGGALYHQSYKWTFITLLITSGCCLVMIIFTIPETYKPMLLIRKAKRLRKEKNDQRYYAVLEVTREQTSLLSAIFLSTKRPFGLLLRDRMMGVLCFYTGLELAIIYLYFVAFPYVFKKLYNFGPMEIACSYIGIMVGMILSAPTCLLFQKTFEWRVKRNNGVKTPEMRFEPLFYGAFLTPVGLFIFAFTCYKHVHWIAPIIGSAIFGSGVYFVFTGVFAYTVDAYRRYAASGMACNTFVRCIMAGVFPLFGLQMYKSMGVNWAGFLLAMVTVAMIPVPFLFTKYGARLRAKSPYAWDD
VIMSS6583300 309 1080 0.680626424870465 Serine/threonine-protein kinase KIC1; Kinase that interacts with CDC31; N-rich kinase 1; EC 2.7.11.1 1080 0 14 772 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38692 1 SwissProt GSIPENEPSKPSEAPKPSQNGGGDEAQKSIASNDNEIKRVNEGDVEMKWDFDSLSSSDYIIENNINLDALAEDNNEWATAQHDLFNYAYPDEDSYYFDPTSHNTRPFVYQGTTIGKGYPGTIAQNSTLNAPVTNNYTNSKYPSKMVAGTTNTSGTHTAGPMTSSKRLESKAPKQLLELFEDNEIITAENDVNTEAPKISKSISSLNAGNSSRDDFIPSISNEVNGNINNNKMRPHLPPLSSGNNYYSQSTPALPLLQTKFNKTSKGPPTSGLTTAPTSIEIEIPEELPNSALPTPASADPVLIPSTKARSSTVTAGTPSSSSSIQYKSPSNVPRRLTVSNNRPEHCPSTITNQKLGSAVASNSGISSTPNNSNNYNNNTDSENSRGSSGSNTANSTQMGITNPGNVTKLSTHKASSPSRPLFGVGTSPNRKPAGSPTQNIGHNSTHTNLAPPPTMKPMANSKDNKDILLQPLNSIPSSSTLNTISGNSSNNLTSSNYFSNEKEGSRVNGDFKRNNPNLKLQMPLPTPVVRNKLLDPNTATSQNNNGMPGSAGISTNENINQFGFNTSSASNIPVSMTPISEKHIDFGGKIKRSQSISNRKNSSASEHPLNILGSSVSGNVSGIGNNNVGSNNNSGPNNSVPLSANTGNTTIKANSTTIATSSSAAASTTAPISQQTIPSGTQFNHILSSAATAANSVNSLGFGMCPPPQSLQMEMFLDLESFLPGKQRRVDRKPQVLKELENLLQMFEEGLPCIEHALKEQLISTPIKDNEH
VIMSS6583368 1 261 0.315874329501916 PF09432.10:THP2:115:243 THO complex subunit THP2 261 129 14 261 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::O13539 1 SwissProt MTKEEGRTYFESLCEEEQSLQESQTHLLNILDILSVLADPRSSDDLLTESLKKLPDLHRELINSSIRLRYDKYQTREAQLLEDTKTGRDVAAGVQNPKSISEYYSTFEHLNRDTLRYINLLKRLSVDLAKQVEVSDPSVTVYEMDKWVPSEKLQGILEQYCAPDTDIRGVDAQIKNYLDQIKMARAKFGLENKYSLKERLSTLTKELNHWRKEWDDIEMLMFGDDAHSMKKMIQKIDSLKSEINAPSESYPVDKEGDIVLE
VIMSS6583451 135 346 0.161637264150943 Sugar utilization regulatory protein IMP2 346 0 14 212 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32351 1 SwissProt EIDEEFHYDLGMKVLPNFCTSINEVLDSSKPWIAKYEISIRGHENEDVSLEQLDGGYVRAMQLLTKGAGAEAGNQRSFILYTDLSSESTYALTYLMGAAVNQGDTVYIVHWEPSKPTDDSQMFANVARIRKHVMHLFDCVAGVLDDLDVVVLSLTHPYPKHLLNEMIHGLKPVALCCSLSVILSTLQNFVCSVPILAVRKKLKRAKRKGISE
VIMSS6583531 1 320 0.440971875 PF07954.11:DUF1689:33:202 PUP1 protein homolog 320 170 14 280 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40508 1 SwissProt MLGKEEEQQYGQNGKGMENELPFMKRPWFKKAYENAIEFHEKDELLDARDRLELSKAYRSIAKAEMWGGWLGFSAVFLTPFAYRYYKTKAIKGVKVPRNFVLGVMALFFATNFAGRSMYTRQLNERDPTGVLKDNYSNKYGDNDFGAFQHDQTKEIPRNQRQYNMMRLLDSGSPSRWSMYFYITYQNPERRLPDPKVKLQQMKKGGVFNGSPFMNQRDPIGLYRNKGRKSPDPIEGEQNDSPVLSSWEKIRNGDNSSSSSWENIRNTSRDQSQESDASVDHESDIFISGFSDDGNATDNSSSDDKYQRLLQSGRYGGNRS
VIMSS6583617 1 764 0.219437958115184 PF00150.18:Cellulase:69:268,PF18564.1:Glyco_hydro_5_C:654:735 Uncharacterized glycosyl hydrolase YIR007W; EC 3.2.1.- 764 282 14 764 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40566 1 SwissProt MPAKIHISADGQFCDKDGNEIQLRGVNLDPSVKIPAKPFLSTHAPIENDTFFEDADKVSFINHPLVLDDIEQHIIRLKSLGYNTIRLPFTWESLEHAGPGQYDFDYMDYIVEVLTRINSVQQGMYIYLDPHQDVWSRFSGGSGAPLWTLYCAGFQPANFLATDAAILHNYYIDPKTGREVGKDEESYPKMVWPTNYFKLACQTMFTLFFGGKQYAPKCTINGENIQDYLQGRFNDAIMTLCARIKEKAPELFESNCIIGLESMNEPNCGYIGETNLDVIPKERNLKLGKTPTAFQSFMLGEGIECTIDQYKRTFFGFSKGKPCTINPKGKKAWLSAEERDAIDAKYNWERNPEWKPDTCIWKLHGVWEIQNGKRPVLLKPNYFSQPDATVFINNHFVDYYTGIYNKFREFDQELFIIIQPPVMKPPPNLQNSKILDNRTICACHFYDGMTLMYKTWNKRIGIDTYGLVNKKYSNPAFAVVLGENNIRKCIRKQLSEMQKDAKSMLGKKVPVFFTEIGIPFDMDDKKAYITNDYSSQTAALDALGFALEGSNLSYTLWCYCSINSHIWGDNWNNEDFSIWSPDDKPLYHDTRAKTPTPEPSPASTVASVSTSTSKSGSSQPPSFIKPDNHLDLDSPSCTLKSDLSGFRALDAIMRPFPIQIHGRFEFAEFNLCNKSYLLKLVGKTTPEQITVPTYIFIPRHHFTPSRLSIRSSSGHYTYNTDYQVLEWFHEPGHQFIEICAKSKSRPNTPGSDTSNDLPAECVIS
VIMSS6583631 1 363 0.121577685950413 PF09159.10:Ydc2-catalyt:11:356 Mitochondrial RNA-splicing protein MRS1 363 346 14 363 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P07266 1 SwissProt MSPKNITRSVIPAIDLYCRKANFKTLKSLSMILGSKKEWYDTKKAPLRTFLVSRCGIFEQLRGRLVEDGKVNLFSVFLTNDSFSFCKMTVDDKFNTSLVDWQKIPFDSTFATDRRQNISLLPVDTLFATEKIISILGVSPNMTNLVSIERERSDLVDFNCKLQSNILEHLLYAKCQGVYVTSTNEKARLLAAVCNPEFIDTFWCELTPIRVSLKENPSISVPREYQMYDPVVRATIKEVVTKRLLRSAFDNDIDPLMCLHLDKGWKLKFPILSSTTGLNFSLKDCLSLDTGKDASDMTEVFLATMESSKVLRTYSNLVDIVMKDNGRLDSGVLKQFNDYVKQEKLNLQHFQAGSSKFLKGAKI
VIMSS6583841 1 219 0.182256164383562 Outer spore wall protein 4; Loss of heterozygosity protein 1 219 0 14 178 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47055 1 SwissProt MRFQLFIYFYFTIVVIAGTNTIQQFSDAGDRLITSLRNLDNNGTYETLTAEKVPIIEGQIQNISAKYEQHTFILKGLEAVLNYKVKSLDNNERESLEIEYEKVEKALDAALNVSPFEYIKKFKEVSRGKVVNALENLSREQNRITINGGREDEKEKEAREKKKRLDRIKRILTVSLLELGLAQGVADLCAVAPFACLLGVTVGSIGFIFWLALIYNAIQ
VIMSS6583966 1 954 0.480933857442348 PF00653.21:BIR:20:83,PF00653.21:BIR:153:241 Protein BIR1 954 153 14 954 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47134 1 SwissProt MDGQIDKMEKRYSMTKLENRLRTFQDGVALEKKKLKWSFKVIPYQAMAKLGFYFDPVIDPKTSKLKKDSVRCCYCHRQTYNVRDCRSKRKDVLETLSNIMRQHLTVTDNKQVCLLIYLRNKLLTDYSFHMGVSDWKNDKYFSNPDDENVINLRKFTFQDNWPHSGSQNEHPLGIEKMVNAGLMRYDSSIEGLGDPSMDKTLMNDTCYCIYCKQLLQGWSINDDPMSRHYKVSQNGNCYFFQTRNRFERIKNDNDSITKNCEVSPTLGENGKREVINTKTASQRQCPLFESPPSSTGPQLDDYNEKTDISVIQHNISVLDGAQGENVKRNSVEEKEQINMENGSTTLEEGNINRDVLADKKEVISTPTAKEIKRPNVQLTQSSSPIKKKRKFKRISPRKIFDEEDSEHSLNNNSANGDNKDKDLVIDFTSHIIKNRDVGRKNAILDDSTDEFSFSNQGHNTFDIPIPTSSHLLKGIDSDNDNVIREDDTGINTDTKGASSKHEKFSVNSEEDLNFSEVKLTGRDSSTNILIRTQIVDQNLGDIDRDKVPNGGSPEVPKTHELIRDNSEKREAQNGEFRHQKDSTVRQSPDILHSNKSGDNSSNITAIPKEEQRRGNSKTSSIPADIHPKPRKNLQEPRSLSISGKVVPTERKLDNINIDLNFSASDFSPSSQSEQSSKSSSVISTPVASPKINLTRSLHAVKELSGLKKETDDGKYFTNKQETIKILEDVSVKNETPNNEMLLFETGTPIASQENKSRKLFDEEFSGKELDIPIDSSTVEIKKVIKPEFEPVPSVARNLVSGTSSYPRNSRLEEQRKETSTSLADNSKKGSSFNEGNNEKEPNAAEWFKIDENRHLVKNYFHDLLKYINNNDATLANDKDGDLAFLIKQMPAEELDMTFNNWVNLKVQSIKREFIDDCDKKLDILRRDYYTATNFIETLEDDNQLIDIAKKMGIL
VIMSS6584184 1 450 0.307489555555555 Uncharacterized protein YKL075C 450 0 14 450 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36083 1 SwissProt MAKDLLPKQAANEPSLKDCTCKRCLKLGASKEKKIRRKKKGEEKRERHYGNRRKLTFNFLKHTNMENTNYDVITSVGYLNEKYGLKKSHYIEKFIKCIHRKINIDVSKITDAYVNSLNPWVKVKLFLLLVTLSEKGGPEYWLDKTDGEKNSEASSTDNSLENSTKGADSAGSTALRDEMVKSHKNLFPTLTEQIIQHNINQDFTESTYDEDYVFSSIWANFMEGLINHYLEKVIVPYSEMKVCQQLYKPMMKIISLYNEYNELMVKSEKNGFLPSLQDSENVQGDKGEKESKDDAVSQERLERAQKLLWQAREDIPKTISKELTLLSEMYSTLSADEQDYELDEFVCCAEEYIELEYLPALVDVLFANCGTNNFWKIMLVLEPFFYYIEDVGGDDDEDEDNVDNSEGDEESLLSRNVEGDDNVVERHFKPDPRVITLEKICEVAARQKWI
VIMSS6584382 1 212 0.391885377358491 PF02668.16:TauD:115:196 Alpha-ketoglutarate-dependent sulfonate dioxygenase; EC 1.14.11.- 412 82 14 212 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12358 1 SwissProt MSPAAAQTAIPLPSTDLPVKIITNGLKNLNYTSKQGYGNFDTHFYDGQDEVSPSGLLKIRKSYREKSKYPDYLPTWDPTEKYGPLEFHEYHDPALRADGNFSNLFAKENVGQLKVKKITPKLGLEINGIQLTDLSDAAKDELALLVAQKGVVVFRNQNFADEGPDYVTEYGRHFGKLHIHQTSGHPQNNPELHITFRRPDAEEFARVFDDST
VIMSS6584485 1 270 0.0348651851851852 PF04479.13:RTA1:40:242 Putative membrane protein, transcription is activated by paralogous transcription factors Yrm1p and Yrr1p along with genes involved in multidrug resistance (RefSeq) 270 203 14 135 6 Saccharomyces cerevisiae VIMSS6584485 0 MicrobesOnline MATHTSKRRIHRWENNELSEENSTIIYFPARGLMWTHFPFVLGICLEFVGYVLKIVFINSPSISTFIAQSVLLLIAPSLYALSIFMLFSKMARLILMEAYMLIPAKFSTVSFVVADMIGRVLQAVGGGLLSSWNSRNTGRILIIVGLFIQIFCYTFLTFSQLFLHYKMKATPSKIVRDSNEWFQYNFILLAGILLVNGRTIVRVVQFLMGLQSYIGQHEWCLYVFDTVLMFLLPLIFLATFRARNLFKLQDKSVNIQLNKLLDKESVSED
VIMSS6584588 1 576 0.168516145833333 PF03547.18:Mem_trans:13:534 Putative protein of unknown function; YLR152C is not an essential gene (RefSeq) 576 522 14 372 9 Saccharomyces cerevisiae VIMSS6584588 1 MicrobesOnline MSLSLGAAIYIALKPIFKIYTIMLVGYLVAKFDIVSMENAKGISNMVVNAILPCLTFNKIVSNISWRDIKEIGVIILSAFILFVLGATGALFTTFATTVPKKFFWGLIFAGFFPNISDLPIAYIQSMGNGSIFTAEEADKGVAYSCIFLFIQSFLMMNFGMWRVVGLDFRDTKEPDSENITPSVSPAIDDRKLTEITKLPNITRPTNAYQSEDARSNSDLSCNSITTNEMTPQAFYEGFTGYIKPYKESNGASHKFESDLPHAEIYRVSSTYSSPGALEFSRIDGSSLSYSRISKNSDGRSYRRKRKADMNELISKYSAAEKIRQGELDLSRPLSLTEEVGSRNASIGNVHTGYTDESSIEEENCTNMATDGRGSLSFFIERHNLKWLQYFIINCLRPASLGAILGIICALIPWVKACFVTTYVHVHKAPDGEPVLNFLMDFTEYIGNACVPLGLLLLGGTLARLEIKSLPPGFIKSALLMTCFRLIVIPIIGVLWVNKLYSIDWLDTGIGKFDMILTWSMPSATAQVYFTAFYTPACGDHIQMNCLSVLFVMQYAILFITVAFVVTYTLKVDLKV
VIMSS6584778 1 799 0.482104881101376 PF00018.28:SH3_1:77:119,PF07653.17:SH3_2:78:122 Cell division control protein 25 1589 46 14 799 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P04821 1 SwissProt MSDTNTSIPNTSSAREAGNASQTPSISSSSNTSTTTNTESSSASLSSSPSTSELTSIRPIGIVVAAYDFNYPIKKDSSSQLLSVQQGETIYILNKNSSGWWDGLVIDDSNGKVNRGWFPQNFGRPLRDSHLRKHSHPMKKYSSSKSSRRSSLNSLGNSAYLHVPRNPSKSRRGSSTLSASLSNAHNAETSSGHNNTVSMNNSPFSAPNDASHITPQSSNFNSNASLSQDMTKSADGSSEMNTNAIMNNNETNLQTSGEKAGPPLVAEETIKILPLEEIEMIINGIRSNIASTWSPIPLITKTSDYKLVYYNKDLDIYCSELPLISNSIMESDDICDSEPKFPPNDHLVNLYTRDLRKNANIEDSSTRSKQSESEQNRSSLLMEKQDSKETDGNNNSINDDDNNNENNKNEFNEAGPSSLNSLSAPDLTQNIQSRVVAPSRSSILAKSDIFYHYSRDIKLWTELQDLTVYYTKTAHKMFLKENRLNFTKYFDLISDSIVFTQLGCRLMQHEIKAKSCSKEIKKIFKGLISSLSRISINSHLYFDSAFHRKKMDTMNDKDNDNQENNCSRTEGDDGKIEVDSVHDLVSVPLSGKRNVSTSTTDTLTPMRSSFSTVNENDMENFSVLGPRNSVNSVVTPRTSIQNSTLEDFSPSNKNFKSAKSIYEMVDVEFSKFLRHVQLLYFVLQSSVFSDDNTLPQLLPRFFKGSFSGGSWTNPFSTFITDEFGNATKNKAVTSNEVTASSSKNSSISRIPPKMADAIASASGYSANSETNSQIDLKASSAASGSVFTPFNRPSHNRTF
VIMSS6584792 1 240 0.312447916666667 PF13430.6:DUF4112:62:165 Uncharacterized membrane protein YLR326W 240 104 14 194 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06170 1 SwissProt MSGFIKSTLLGLGQDYLEDQYQEFAEQHFQPTRDPFYETNKDGKKHRRRLPYYCTKDESKAWKKVQNKAWLHDKSLCGCCCWTNTIGWAPLLALLPVIGPLLMYWVHDKLIELADDRYKLPAEIKVKMHGNIVIDLLISLVPILGSVFAWLHACSTRNAAIVYNFVGKRALERKQAELMHQKEENEKHSNANTAPPVVGGNKNVNGNRNNSKMYNRPPVTAPPAPAYTRSTNGRPQRGYR
VIMSS6584825 1 197 0.205362944162437 Autophagy-related protein 33 197 0 14 110 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06485 1 SwissProt MSVCLAITKGIAVSSIGLYSGLLASASLITSTTPLEVLTGSLTPTLTTLKNAATALGAFASTFFCVSFFGAPPSLRHPYLLYGMLVAPLSSFVLGCASNYQSRKYSKVSKESSLFPEDSKLAASELSDSIIDLGEDNHASENTPRDGKPAATTVSKPAEALHTGPPIHTKNLIAATAIAIVGFVQAVIGVYGEGQFI
VIMSS6584980 50 668 0.337681906300485 Ubiquitin ligase complex F-box protein UFO1 668 0 14 619 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04511 1 SwissProt NLFKSRVHTTHFPTFSQSSKFSVEYIERTRGLHHWQHNKAIRTKYTIIPTRNWDQPSIERIVFDYPRVAAYNDGTITILQLQNHKRQKKFKKLIYIPCTTPQGCSTMDFNINAAVFGRFDGRVFGKLLSNKSYLTPVMEFTGRHSAGVTAICNSESWDTSREDWSVSGSENGEIIWWCENKLVKMWKVSNRVIWKLAFFKDWTLIMDDEKLYIIHQMQELHSIDIPKDLDEQPMRVRFFKMDFGSMTLVLADLNNVYTISVNPNGNFGNLRKLEMPEQICAVEIDEKTSQREQNWQFAGDDGCYISLLTTQNTLYIINIRDLSSSGLKVQCKISFDEQVYVSQVTNLIVVVALPNVLQILNAMTGELIKTVLKTEKFPEFLKVSQDKIIMGSGNVLNYLKFVSSDSKKHHHSTKGKNTVSNKWNETLNTELQYYDEDEDLRRKRQSEISRLIDAYGGDLELSGDTDEENDIQLRIALLESQEAQARNQAEAGEPVGDDEDEQLRRALEESQLIYETQTNSSANHGNNTNDEIDEDDEEFLRAIRQSRVEDERRRHLRNHTTGRRNGPLSDDNFATYGAAESSERTSTENTIGSSVGVDASNNVDEDLQLAIALSLSEIN
VIMSS6585061 1 211 0.198330331753555 PF01105.24:EMP24_GP25L:21:206 Endoplasmic reticulum vesicle protein 25 211 186 14 188 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54837 1 SwissProt MQVLQLWLTTLISLVVAVQGLHFDIAASTDPEQVCIRDFVTEGQLVVADIHSDGSVGDGQKLNLFVRDSVGNEYRRKRDFAGDVRVAFTAPSSTAFDVCFENQAQYRGRSLSRAIELDIESGAEARDWNKISANEKLKPIEVELRRVEEITDEIVDELTYLKNREERLRDTNESTNRRVRNFSILVIIVLSSLGVWQVNYLKNYFKTKHII
VIMSS6585266 1 79 0.778212658227848 Protein SIP18 79 0 14 79 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50263 1 SwissProt MSNMMNKFAEKLQGNDDSHQKGKNAKSSNKERDDMNMDMGMGHDQSEGGMKMGHDQSGTKMNAGRGIANDWKTYENMKK
VIMSS6585382 1 540 0.0680031481481481 PF07690.16:MFS_1:77:477 Atr2p 540 401 14 256 13 Saccharomyces cerevisiae S288C NP_014006.1 1 RefSeq MFSIFKKKTSVQGTDSEIDEKITVKAKDKVVVSTEDEEVTTIVSSTKSTQVTNDSPWQDPTYFSSFGKELMFIATCMLAQLLNQAGQTHALCIMNVLSKSFNSEANNQAWLMASFPLAAGSFILISGRLGDIYGLKKMLIVGYVIVIVWSIISGLSKYSNSDAFFITSRAFQGVGIAFILPNIMGLVGHVYKVGSFRKNIVISFIGACAPTGGMFGGLFGGLIVTEDPNQWPWVFYAFGIATFLSLLMAWYSIPNNVPTNIHGLSMDWTGSALAIIGLILFNFVWNQAPIVGWDKPYIIVLLIISVIFLVAFFVYESKYAEVPLLPRAMTKNRHMIMILLAVFLGWGSFGIWTFYYVSFQLNLRHYSPVWTGGTYFVFVIFGSMAAFFVAFSIKRLGPALLLCFSLMAFDAGSIMFSVLPVEQSYWKLNFAMQAILCFGMDLSFPASSIILSDGLPMQYQGMAGSLVNTVINYSASLCLGMGGTVEHQINKSGNDLLKGYRAAVYLGVGLASLGVVISVTYMLENLWNRHRKSEDRSLEA
VIMSS6585408 600 1230 0.202165134706815 PF14533.6:USP7_C2:367:618,PF12436.8:USP7_ICP0_bdg:50:337 Ubiquitin carboxyl-terminal hydrolase 15; Deubiquitinating enzyme 15; Ubiquitin thioesterase 15; Ubiquitin-specific-processing protease 15; EC 3.4.19.12 1230 540 14 631 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50101 1 SwissProt AHDGFRLFAEELNDSGLQQINLKVLRTTKLSDIFASIKETMNIPQERDVKYWKMDYRRNSTLRLTQPINFESVNITLQEALKKEKKRTMQTQYGEEGVASTEEDDKALLETVSFLDLFIEEPYLELQFLNKLKEASLISKAQLDDELISTIRTNLPELTKGGIEPVFATDNKSNLLFVKSYDPHTQKLLGFGHFAVNQLQQLSDISAIIEDSISSNEKLTFYEEVQPGTINEIYMKETIYDADIDTGDIVSFEVPGAVLPDTFPVYATIKDFYSYLRYRVKLKFSKFDGSSEEYGVSNEIPESFEFWISAYAPYDDLARMVSKYAHVKPEYLKIIALYSNGRFVLKSTSLLNDYLLKDFNCDQIPPFAFEVLSVPLKELERLRPIKLYWLKNSYIHYQCFEFEVANDYTESQFLEKVQHKIGFTDEEKENILLWTNTNFQFQGLLSDQNTFKDVSKHSLLFGRILPEESKLFKELNRLENVQTSSLEDFMDDENATDRPMDDEQDLGMAIEHSEDMKGRIVVVQQYFKDLENRHGISFLFNLIPDETFPKTKDRLHAKFGLGQKEFSKIKLSIGYSTEEGTVFRSLQGFSDEELDKVILYDIMSNLDYIYMDHPDRLRSHSSYDRPMIIKN
VIMSS6585620 1 247 0.575166396761134 PF07904.13:Eaf7:9:132 Chromatin modification-related protein EAF7; ESA1-associated factor 7 425 124 14 247 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53911 1 SwissProt MVVHWTIVDEIRLLRWASEFKPAGIHKHFHMFCIVERMNSPDKYPVTLLQKETMKLGKVFTAKDIWDKLSQSYNLEKIDEMENTYSLEATTESSRNGNGNGDDAEIHEETLLELNNRIRVRKQDFTLPWEEYGELILENARKSPNSNEEYPRVEDMNEKDSTIPKESPSTDLKNDNNKQEKNATIKVKELPEYHTEENDSPIDVQKEPIKEVQSDEKELQREHMSEEEQKMKSTNKTAAPVRKSQRL
VIMSS6585690 1 420 0.360670714285714 PF03856.13:SUN:165:407 Probable secreted beta-glucosidase SUN4; Septation protein SUN4; Soluble cell wall protein 3; EC 3.2.1.- 420 243 14 420 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53616 1 SwissProt MKLSATTLTAASLIGYSTIVSALPYAADIDTGCTTTAHGSHQHKRAVAVTYVYETVTVDKNGQTVTPTSTEASSTVASTTTLISESSVTKSSSKVASSSESTEQIATTSSSAQTTLTSSETSTSESSVPISTSGSASTSSAASSATGSIYGDLADFSGPYEKFEDGTIPCGQFPSGQGVIPISWLDEGGWSGVENTDTSTGGSCKEGSYCSYACQPGMSKTQWPSDQPSDGRSIGGLLCKDGYLYRSNTDTDYLCEWGVDAAYVVSELSNDVAICRTDYPGTENMVIPTYVQAGDSLPLTVVDQDTYYTWQGLKTSAQYYVNNAGISVEDACVWGSSSSGVGNWAPLNFGAGSSDGVAYLSLIPNPNNGNALNFNVKIVAADDSSTVNGECIYENGSFSGGSDGCTVSVTAGKAKFVLYN
VIMSS6585750 1 631 0.142670998415214 PF01735.18:PLA2_B:84:179,PF01735.18:PLA2_B:242:630,PF01734.22:Patatin:87:267 Putative meiotic phospholipase SPO1; Sporulation-specific protein 1; EC 3.1.1.- 631 547 14 631 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53541 1 SwissProt MQKLLFVFSVLLTVVLATAPFQVQCPSSPLIREAKHELCPEETLYLKKKKIKTKNKLIQFLKSLTEAKFSSKFYKRVLKDPPKIGIAISGGGYRSMLVGTGFISQMNDYGLFEYSDYIAGLSGGSWILMDLVVQNFEVKSLLQEWDLEEDLLLGIPEFDISEEEIVTNAKKEYNDNDLKMKKRQGGSLITSSSNFYEQIEEIMNSIEEIPEDYMITKRNLNPLARLKKIFFPNNTFTGTDAKIETFKKVLDFYKSLHLKIKPKKMEGFQISFTDYWGKAIVQRLKKNFDDDPNHSFSFSKLVNSSKKFKECSVPIPIFVANCKNGLLSNVIFEFTPFEFGSWENILRLFVKLPYLGSKIVSGKAEKCINNFDDLGFITATSSSIFNNVLIFIWNLASQSSREAMKALNMVMGIFGLGKEEIFSISKDSSRLETDYAVYQPNPFYLYPEKDNVLTNKNHLYLVDGGEDGENIPLRTLVIPERELDVIFVLDSSSDIDNYPNGSKLKRIFEKLDEENVHYQFPNNVKTFTHPIVIGCNATKRTGHDSFLPIIIYHANANHGNASNTSTFKITYNQSEVSSMLPTGRGVFSNDYDLYYKNCLGCILTKRTMDRLPRKKKFSPFCLQCFKDYCYS
VIMSS6585846 1 169 0.0536094674556213 Putative protein of unknown function; member of the Dal5p subfamily of the major facilitator family (RefSeq) 169 0 14 100 3 Saccharomyces cerevisiae VIMSS6585846 1 MicrobesOnline MIAWSLVATLQCKMTGKSSFYTCRALMGLFEGGFVADLVLWMSYFYSSSELSIRLSFFWVTLSLTQIITSIVAFGVFHMRGIGGMAGWQWLFLIERIFTLVIGISAYFLMVPSVVQTKKPWSKKGWFTEREEKIIVNKILRDDPTKGDMNNRQGMSLKMLWQGITDYYI
VIMSS6585997 1 551 0.459250272232305 PF06687.12:SUR7:8:175 Protein of unknown function; green fluorescent protein (GFP)-fusion protein localizes to the cell periphery and vacuole (RefSeq) 551 168 14 459 4 Saccharomyces cerevisiae VIMSS6585997 1 MicrobesOnline MKKNSSVVFFLVGLSQFVTMAFLIIGSITAPIFKQIGYSKYDEITYGTFGYCKEGSCSKASYNYHPDELSDSDSNWKLNSNARSILGKIIFITPIAAGLNFLGFLCTIMSVLLINVLSSDRVGSASAIMFFVNLTFSTLGFLSASLICIVVFLLFYPHVTWCSWVLIPGAALSLLVIPLIFSAYSRSSGSRDDDETEELEEKGMLLNDPYLSSKSGRFDIDADSEANLRGDSRTNLLGDNFKNGTNITVVPDIISHNQDPKLSNITTSTTSDISTFDKEAKDMENSNGSGLNEEEDDGMAYDKRRSTSTYSVIESESGLKNGSVSNNYVRNNGSNTSNNINYKVPLGKTEISSSASLASSDYSQREVIPHRNPSRLLNDIMETSFNEPNDSHINSMSSYNDKDSTLTSISQRGVNPEVYNQMPRETAAGPANIRPYAGQPHPAPLVYPQQRLQPQQQQPQQQYHQYNLYQRTTPAGPDPSNVILQSNPYFNVAPNQVPQHRNPVPGVGFAPNPLPNQSPITQGYKPAYKRRMQNKNLPRATTSLNNPYGFR
VIMSS6586101 1 749 0.246931241655541 PF11815.8:DUF3336:47:175,PF01734.22:Patatin:183:384 Lipase 5; Triacylglycerol lipase 5; EC 3.1.1.3 749 331 14 726 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12043 1 SwissProt MSNTLPVTEFLLSKYYELSNTPATDSSSLFKWLYHKTLSRKQLLISDLSSQKKHAISYDQWNDIASRLDDLTGLSEWKTIDESSLYNYKLLQDLTIRMRHLRTTHDYHRLLYLIRTKWVRNLGNMNNVNLYRHSHTGTKQIIHDYLEESQAVLTALIHQSNMNDHYLLGILQQTRRNIGRTALVLSGGSTFGLFHIGVLAALFESDLMPKVISGSSAGAIVASIFCVHTTQEIPSLLTNVLNMEFNIFNDDNSKSPNENLLIKISRFCQNGTWFNNQPLINTMLSFLGNLTFREAYNKTGKILNITVSPASIYEQPKLLNNLTAPNVLIWSAVCASCSLPGVFPSTPLFEKDPHTGKIKEWGATNLHLSNMKFMDGSVDNDMPISRLSEMFNVDHIIACQVNIHVFPLLKFSNTCVGGEIEKEITARFRNQVTKIFKFFSDETIHFLDILKELEFHPYLMTKLKHLFLQQYSGNVTILPDLSMVGQFHEVLKNPSQLFLLHQTTLGARATWPKISMIQNNCGQEFALDKAITFLKEKIIISSSIKNPLQFYQPRFSEQIKSLSIMDADLPGVDLEESSSNSLSIIKSPNKTAAPGRFPLQPLPSPSSTFNKRKMDMLSPSPSPSTSPQRSKSSFTQQGTRQKANSLSFAIGASSLRLKKSPLKVPSRPQFKKRSSYYNQNMSAEMRKNRKKSGTISSYDVQTNSEDFPIPAIENGSFDNTLFNPSRFPMDAMSAATNDNFMNNSDIFQN
VIMSS6586141 1 233 0.286690557939914 PF03232.13:COQ7:52:233 5-demethoxyubiquinone hydroxylase, mitochondrial; DMQ hydroxylase; Catabolite repression protein 5; Ubiquinone biosynthesis monooxygenase COQ7; EC 1.14.99.60 233 182 14 233 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41735 1 SwissProt MLSRVSVFKPASRGFSVLSSLKITEHTSAKHTEKPEHAPKCQNLSDAQAAFLDRVIRVDQAGELGADYIYAGQYFVLAHRYPHLKPVLKHIWDQEIHHHNTFNNLQLKRRVRPSLLTPLWKAGAFAMGAGTALISPEAAMACTEAVETVIGGHYNGQLRNLANQFNLERTDGTKGPSEEIKSLTSTIQQFRDDELEHLDTAIKHDSYMAVPYTVITEGIKTICRVAIWSAERI
VIMSS6586210 1 599 0.0744250417362271 PF02133.15:Transp_cyt_pur:35:467 Thiamine transporter THI72 599 433 14 377 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08579 1 SwissProt MSFGTRISRALRFLEIPVKNRASVNFLRNPDLQPIKSVNQTWGFWSNFAYWGVLSFNVGMWIGGSSALTVGLSYSETIGAFIIADLLTILFALANSCPGYDWKVGFTLAQRFVFGIYGSALGIIIRILMSIVYYGSNAWLGGLCVNMILDSWSHHYLHLPNTLSSKVAMTTKELIGFIIFHILTAFCYFMKPYHMNYILIWSCVGTFFAMLGMVIYLTKSAHGVGDLFTSTHSTVTGSKKAWAWVYTISYWYGSVSPGCTNQSDFSRFGSSNCAIWTGTIVALLIPATLIPVFGIIGASACEKLYGQTFWMPMDIFDNWLTTNYSAGARAATFFCGFCFVMSQISYTISNCGFASGMDLAGLLPKYVDIKRGAIFAACVSWACLPWNFYNSSSTFLTVMSSFGVVMTPIITVMICDNFLIRKRQYSVTNAFVLKGEYYFTKGVNWRAIVAWVCGMAPGLPGIAWEVNNDYFHNTGIINFFYGDSFFSFLISFFVYWGLCLLFPFKITVKHDDKDYYGAFTDEEARKKGMVPYSEISEEEIRAYTLGECFTSGHEYKPESSDDELPELTKTSSENTKVFEIVHQKDNEKESSTSSEKQIA
VIMSS6586221 1 240 0.3601475 PF08032.12:SpoU_sub_bind:125:197 rRNA methyltransferase 1, mitochondrial; 21S rRNA (guanosine(2270)-2'-O)-methyltransferase; 21S rRNA [Gm2270] 2'-O-methyltransferase; Mitochondrial large ribosomal RNA ribose methylase; Petite colonies protein 56; EC 2.1.1.- 412 73 14 240 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25270 1 SwissProt MTSLTNAVFKRYLAVTPSAHQALKTRIKKKSSSFDKFFPQQSNSRKKQWETLNEDKASWFKRKYAHVHAREQDRAADPYGKKKAHVEKLKEIKNQAKLNQKSHKSKFQNKDIALKLMNDNPIFEYVYGTNSVYAALLNPSRNCHSRLLYHGTIPSKFLQIVDELKVTTELVDKHRLNLLTNYGVHNNIALETKPLQPVEIAYLGDMDTSSAALSIHELGFNNENIPHELPYGTKTDAKKF
VIMSS6586239 1 360 0.230826388888889 Malonyl CoA-acyl carrier protein transacylase, mitochondrial; MCT; Malonyl-CoA:ACP transferase; EC 2.3.1.39 360 0 14 360 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12283 1 SwissProt MKLLTFPGQGTSISISILKAIIRNKSREFQTILSQNGKESNDLLQYIFQNPSSPGSIAVCSNLFYQLYQILSNPSDPQDQAPKNMTKIDSPDKKDNEQCYLLGHSLGELTCLSVNSLFSLKDLFDIANFRNKLMVTSTEKYLVAHNINRSNKFEMWALSSPRATDLPQEVQKLLNSPNLLSSSQNTISVANANSVKQCVVTGLVDDLESLRTELNLRFPRLRITELTNPYNIPFHNSTVLRPVQEPLYDYIWDILKKNGTHTLMELNHPIIANLDGNISYYIHHALDRFVKCSSRTVQFTMCYDTINSGTPVEIDKSICFGPGNVIYNLIRRNCPQVDTIEYTSLATIDAYHKAAEENKD
VIMSS6586428 1 608 0.113155921052632 PF00324.21:AA_permease:90:556,PF13520.6:AA_permease_2:92:530 Dicarboxylic amino acid permease 608 467 14 364 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53388 1 SwissProt MKMPLKKMFTSTSPRNSSSLDSDHDAYYSKQNPDNFPVKEQEIYNIDLEENNVSSRSSTSTSPSARDDSFAVPDGKDENTRLRKDLKARHISMIAIGGSLGTGLLIGTGTALLTGGPVAMLIAYAFVGLLVFYTMACLGEMASYIPLDGFTSYASRYVDPALGFAIGYTYLFKYFILPPNQLTAAALVIQYWISRDRVNPGVWITIFLVVIVAINVVGVKFFGEFEFWLSSFKVMVMLGLILLLFIIMLGGGPNHDRLGFRYWRDPGAFKEYSTAITGGKGKFVSFVAVFVYSLFSYTGIELTGIVCSEAENPRKSVPKAIKLTVYRIIVFYLCTVFLLGMCVAYNDPRLLSTKGKSMSAAASPFVVAIQNSGIEVLPHIFNACVLVFVFSACNSDLYVSSRNLYALAIDGKAPKIFAKTSRWGVPYNALILSVLFCGLAYMNVSSGSAKIFNYFVNVVSMFGILSWITILIVYIYFDKACRAQGIDKSKFAYVAPGQRYGAYFALFFCILIALIKNFTVFLGHKFDYKTFITGYIGLPVYIISWAGYKLIYKTKVIKSTDVDLYTFKEIYDREEEEGRMKDQEKEERLKSNGKNMEWFYEKFLGNIF
VIMSS6586471 1 168 0.873454166666667 Protein GRE1; Genes de respuesta a estres protein 1; Hydrophilin 168 0 14 168 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08969 1 SwissProt MSNLLNKFADKLHGNDHDERYEDDNDDQTRQQRHEKHQQREFRNQGSKADPYGEENQGNFPQRQQPQSNLGGNTQFGGNDFQQQTTDYTAGTGGGTYTQTYRETNTQGQLDDDEDDDFLTSGQQQKQGRTRGAQSNRYQSSNIGSGRRDLSGSGNDEYDDDSGNQGVW
VIMSS6586648 1 798 0.100304260651629 PF04840.12:Vps16_C:478:798,PF04841.13:Vps16_N:4:378 Vacuolar protein sorting-associated protein 16; Vacuolar morphogenesis protein 9; Vacuolar protein-targeting protein 16 798 696 14 798 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03308 1 SwissProt MKNPSFDWERLKDVFYRSRAIGELKWPTQYEEFKCALSLTVIAVEIQDFIQVYNYFGQLLGKINLQRIHEDIIKFEFDKDEKLILVTKSSIKIVKGWSPLTIESVPLQDPTIDTIWDYHNGIMLLAKSRDIYKLNGNEWELLYENKDKKYNLLTKNHWSCNDDSIILLDVDHVYQVSTSNGALLKLITDSSWHKVTISSRGFICLYNMKDNKLQIFRDPARILMEHNLDSTPDDICWCGNDTVACSFEDEIKLYGPDGLYVTFWYPFTVTNLRAEVDGLKVITTEKIYFLSRVQPQTSNIFRIGSTEPGAMLVDSFSLLEDHAPKAIEILKNFVLEKGVLDCIAAAIDEFEPKLQKMLLNAASYGKASLQYKSFDASIFVNACNTIKLLNCFRSFGIFLTVEEYRCISLKGVIDRLLKYHRYYECIQICKLANERFLLGYVFTEWAKDKIKGSPDMEDDELLDKIKSRLSVIDMTDTLQMVAVAKVAYLEGRFQLSRNLALLEKNEEARIEQLYNLDDDSIALKECIKVQNYSLTISLLIALSKKLTNSQLTKLLIIDMFNNPLYLYYMRMDKAYLYDFYRQTDRFIDLAHVLLQQGKEQQSLHSFLPQIKDLYSQVQNSEVVNNTIEQLQRQEKLWIYQESLGKRFAISFTNMTLDQTLSKLIETGQDKQVKEIVKKFKISEKKLYHLKCKTLVEAKKFDELLQFAQSRKSPIGYMPFYTYLKSRGHMDKASPYVNMIPGLSYQEKKKLYVECRGFRDAIQLAGKEKDIPGLKEIYNIIPPNEPELKALANETMSRI
VIMSS6586705 57 245 0.144096296296296 PF00153.27:Mito_carr:4:53,PF00153.27:Mito_carr:64:164 Uncharacterized mitochondrial carrier YPR011C 326 151 14 189 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12251 1 SwissProt TSYNRGIFSSIRQVYHEEGTKGLFRGNGLNCIRIFPYSAVQFVVYEACKKKLFHVNGNNGQEQLTNTQRLFSGALCGGCSVVATYPLDLIKTRLSIQTANLSSLNRSKAKSISKPPGIWQLLSETYRLEGGLRGLYRGVWPTSLGVVPYVALNFAVYEQLREFGVNSSDAQPSWKSNLYKLTIGAISGG
VIMSS6586828 1 300 0.168976 Lysophosphatidic acid:oleoyl-CoA acyltransferase 1; LPAAT; Lysophosphatidic acid acyltransferase; Vacuolar protein sorting-associated protein 66; EC 2.3.1.51 300 0 14 277 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06508 1 SwissProt MEKYTNWRDNGTGIAPFLPNTIRKPSKVMTACLLGILGVKTIIMLPLIMLYLLTGQNNLLGLILKFTFSWKEEITVQGIKKRDVRKSKHYPQKGKLYICNCTSPLDAFSVVLLAQGPVTLLVPSNDIVYKVSIREFINFILAGGLDIKLYGHEVAELSQLGNTVNFMFAEGTSCNGKSVLPFSITGKKLKEFIDPSITTMNPAMAKTKKFELQTIQIKTNKTAITTLPISNMEYLSRFLNKGINVKCKINEPQVLSDNLEELRVALNGGDKYKLVSRKLDVESKRNFVKEYISDQRKKRK
VIMSS666289 57 169 0.147941592920354 PF13563.6:2_5_RNA_ligase2:5:100 conserved hypothetical protein (NCBI) 169 96 14 113 0 Staphylococcus aureus subsp. aureus MRSA252 VIMSS666289 1 MicrobesOnline ARINGIPAVEVHATKASSFKPTNNVIYFKVAKTDDLEELFNRFNGEDFYGEAEHVFVPHFTIAQGLSSQEFEDIFGQVALAGVDHKEIIDELTLLRFDDDEDKWKVIETFKLA
VIMSS714 1 392 0.175485969387755 hypothetical protein (NCBI ptt file) 392 0 14 392 0 Chlamydia trachomatis D/UW-3/CX VIMSS714 1 MicrobesOnline MLLDSRFPTDYYLRILELVIRDASCKLVYNRRLHMLEAIPLDQKLSTDQEGESSILREVISELLAHSGESYAISAQLLAVIDIYLKQEQPSNSWFARIFRKRERARKRQTINKLLLLKSILFFERQRPVKKVESVADSILQRSKGNFSSWDDFTHDVQTQKSGRETDMPDSLRGRVEEDAASQMIVEVLLAFLDNQDMYLSVSFEILRNFLEEKVLSKRSLSPRSHEAIKKIKDLYLVSPEDFQTFIGGVITESLFQEEDQLVVGCMIFSQEGRELFDSWKGISQTYPHDMLYTQAFLAEVVLHVVQHHIHLNAKVKPTSPEQVGSLYSIRDHSPQAWARMMRVLLMRWLLDYHFDVYAHLKEEILRCPPRPPFWQMIPSESGDGTFRKEAR
VIMSS730 1 390 0.26373282051282 PF04518.12:Effector_1:41:389 type III secretion system effector protein 390 349 14 390 0 Chlamydia trachomatis D/UW-3/CX NP_220231.1 1 RefSeq MRNHPIPEGQKYFVPTIETAAPRERRVSPAEVAADYTQLHEAATYLQVFQDLLNDAHQLGLNKEFVESLRQDFLKTGSEMSLMQALWTEESQREARKRERKELQQQLESKVLGPQALTTAEELHPVDDSIVNKMPFQSAFAYILLDKYIPAQEEALYALARELSFSGYAQTLFSPVLELVKSFNNAPIVYNLGSYIGQTAGTANFKYGYQMVLDRYETETGQLRKDIKNAENAKQQLAQIIKNIEANNSLTTEHKTQLKDMANGYIQTLDVCISQMQELSTGLRGLSFIPGRDEYSPAYEIMGSSFSVVTLQNLEGKVVDGEINISSGETKGGLLNFFTYFLADVQNFGDLAQTNQLMLELQMRAMHQQWSLVTASLKLLHNVYRTLASS
VIMSS744972 1 474 0.521342616033754 PF13205.6:Big_5:177:275 hypothetical protein 474 99 14 474 0 Burkholderia pseudomallei K96243 YP_111519.1 1 RefSeq MNARRPAFGLIASHASRRRAVESVRAHFPLMFTLCLAMKHTSNHSDPSGAPSSARRAASDSSRLVRGMRSPQRGGAALALAVASLAGCGGGDSGEPAPREFAPPTVQLAYPTQPNSPVAPAPTAHVSSGHTPPATAPAAMPTASPTATPTASPTATAAASPSAPSTATPNAPPAAPPAVVATRVPPTHAALRRPTIELEFDRAIEPGSVPHIALRADDGTSVAVGPLSWLSDRRIAFAPRKPLKSNSRYEIMVPAGIRSTTGERSAHPLTSSFDTAPVTPPRGLPNLDGASCFINTALQLAVHSSALDDILSNEAVPPAVRTLLEDYDAASADALDAQLAAAVAALRATPEVPDSGPGQTLEVMQALRMPLYDTSSANNATNNADAIRHAPPNTKAFFLNSYPPLSYADLPNHDRLVAFDYSTGGHYVAYVKRDGIWYRIDDAQVSAVNEQDLLALPAFNPANGSVSIEIAIYR
VIMSS744991 1 419 0.636265155131265 PF09599.10:IpaC_SipC:34:407 cell invasion protein 419 374 14 419 0 Burkholderia pseudomallei K96243 YP_111537.1 1 RefSeq MSIGVQSSGINISHAELSRLVDAGKSEQGDKAVRDDGRALARADAALAAVVGERVAARRDAVAGSGAQRVELARPKPDAQTRATDRRTVSGLEREHKRLAASQTPRVTGMHDALVQRHVSLDGAKAAHGEGVKRAAGDAPRAAADAPQRFAFADDKAFDAMLALGAAMQKNVQSDLAMQGKLTMLAHDAMMSAAAQDRSIGAAQMTAAIAGGALQATTSLGGAMQQMKSLSTKSMSIEKELKPQAELKQFHAEQALELRGINKPVLSNDEVSHVKIKRDTGETVRHEIDHGGERMSDEHASVLAQEAPARQHRIDMHGMRHEENLVKAGRQQMKGDLLQSGGQIGKNQIDGASAQQQGADRAEQKEDENAQQTAMAAASTRDEAAHRSREAAQKAIDAAKSQVANDNAVAAQVAGNLRT
VIMSS75480 1 443 0.0356237020316027 PF03222.13:Trp_Tyr_perm:29:438 threonine/serine:H+ symporter 443 410 14 202 11 Escherichia coli K-12 substr. MG1655 ecocyc::TDCC-MONOMER 0 ecocyc MSTSDSIVSSQTKQSSWRKSDTTWTLGLFGTAIGAGVLFFPIRAGFGGLIPILLMLVLAYPIAFYCHRALARLCLSGSNPSGNITETVEEHFGKTGGVVITFLYFFAICPLLWIYGVTITNTFMTFWENQLGFAPLNRGFVALFLLLLMAFVIWFGKDLMVKVMSYLVWPFIASLVLISLSLIPYWNSAVIDQVDLGSLSLTGHDGILITVWLGISIMVFSFNFSPIVSSFVVSKREEYEKDFGRDFTERKCSQIISRASMLMVAVVMFFAFSCLFTLSPANMAEAKAQNIPVLSYLANHFASMTGTKTTFAITLEYAASIIALVAIFKSFFGHYLGTLEGLNGLVLKFGYKGDKTKVSLGKLNTISMIFIMGSTWVVAYANPNILDLIEAMGAPIIASLLCLLPMYAIRKAPSLAKYRGRLDNVFVTVIGLLTILNIVYKLF
VIMSS759062 1 434 0.277485944700461 PF14860.6:DrrA_P4M:329:428 Hypothetical protein (NCBI) 434 100 14 434 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS759062 1 MicrobesOnline MYSDFFFTIMLKYSTNMLELAMPKINKIVNGTDLTPHYLSEPNKEFKIYRYNNEVYAVRFENDEPMDYVLMWKSHKSEHTQNSEMIKNTEEDYKELGKGEQGTVYEKTEDKAMKVSRGRHPREFYEEINLHIIEQQFFLKYHGIQEHFVLGLWNIKNEENVYFYMPKINAIPINKKIDQPKIEEFVLALKELNDAGYWHPDLANNPYHISPQNLIATEEMVKTIDLDGGFRYDKGRVDELSRKSLVYGKDQWLYVYNFIYPPTDEEDHRIDWRVPIEKWYENNRDESLSDNPHTLLRFYHEGLISLPKKLAHDLHETILEELSQDKKFKKHSHEVGVNRFFSPKKQEIYEGLKGDGLKKVILKELRDSLAEIRTMEQLEEKKLEFLASPEMQILAEGQDKTTKALNLKTSSRKKVMVIFKEAEERILNSPNVSI
VIMSS76055 1 127 0.0786503937007874 127 0 14 127 0 Escherichia coli O157:H7 EDL933 VIMSS76055 1 MicrobesOnline MNEKFRTDLAHTFGIALEEQTDVLSFHDNDGHEWILECASQSEILFFYCYLLNSESIQINSILEMNSNRELLGMFFLSLKDDNILLNIAFPADKIDITEFANLMENGYLLKNEIIRSLSSRPTDFLP
VIMSS765121 1 1108 0.33252274368231 protein p97; cilium adhesin (NCBI) 1108 0 14 1085 1 Mycoplasma hyopneumoniae 232 VIMSS765121 1 MicrobesOnline MSKKSKTFKIGLTAGIVGLGVFGLTVGLSSLAKYRSESPRKIANDFAAKVSTLAFSPYAFETDSDYKIVKRWLVDSNNNIRNKEKVIDSFSFFTKNGDQLEKINFQDPEYTKAKITFEILEIIPDDVNQNFKVKFQALQKLHNGDIAKSDIYEQTVAFAKQSNLLVAEFNFSLKKITEKLNQQIENLSTKITNFADEKTSSQKDPSTLRAIDFQYDLNTARNPEDLDIKLANYFPVLKNLINRLNNAPENKLPNNLGNIFEFSFAKDSSTNQYVSIQNQIPSLFLKADLSQSAREILASPDEVQPVINILRLMKKDNSSYFLNFEDFVNNLTLKNMQKEDLNAKGQNLSAYEFLADIKSGFFPGDKRSSHTKAEISNLLNKKENIYDFGKYNGKFNDRLNSPNLEYSLDAASASLDKKDKSIVLIPYRLEIKDKFFADDLYPDTKDNILVKEGILKLTGFKKGSKIDLPNINQQIFKTEYLPFFEKGKEEQAKLDYGNILNPYNTQLAKVEVEALFKGNKNQEIYQALDGNYAYEFGAFKSVLNSWTGKIQHPEKADIQRFTRHLEQVKIGSNSVLNQPQTTKEQVISSLKSNNFFKNGHQVASYFQDLLTKDKLTILETLYDLAKKWGLETNRAQFPKGVFQYTKDIFAEADKLKFLELKKKDPYNQIKEIHQLSFNILARNDVIKSDGFYGVLLLPQSVKTELEGKNEAQIFEALKKYSLIENSAFKTTILDKNLLEGTDFKTFGDFLKAFFLKAAQFNNFAPWAKLDDNLQYSFEAIKKGETTKEGKREEVDKKVKELDNKIKGILPQPPAAKPEAAKPVAAKPETTKPVAAKPEAAKPEAAKPVAAKPEAAKPVAAKPEAAKPVAAKPEAAKPVAAKPEAAKPVATNTGFSLTNKPKEDYFPMAFSYKLEYTDENKLSLKTPEINVFLELVHQSEYEEQEIIKELDKTVLNLQYQFQEVKVTSDQYQKLSHPMMTEGSSNQGKKSEGTPNQGKKAEGAPNQGKKAEGTPNQGKKAEGAPSQQSPTTELTNYLPDLGKKIDEIIKKQGKNWKTEVELIEDNIAGDAKLLYFILRDDSKSGDPKKSSLKVKITVKQSNNNQEPESK
VIMSS833489 1 347 0.29871037463977 PF00034.21:Cytochrom_C:203:287,PF13442.6:Cytochrome_CBB3:200:285 cytochrome c family protein (NCBI) 347 88 14 347 0 Campylobacter jejuni RM1221 VIMSS833489 1 MicrobesOnline MKKHILLLGLCLSLSLSAKSVSDYKVGEELSDKEGVEYFKELSKRPVQEWPNKNLSINDVPKGKQGDLIRYGIELLSKTESTLGPYSKLKKTSNEVNCISCHMDNDGNGLPGTKKYVIPFLNILNNYPRLDIETMKIISVEDRIRGMGGTDSHRFPNDSKEMKAILAYFKWLKEAYGIKDGVKLEGDFFAKMNFPNRPADPVRGKKLFEENCVACHGERGLGVKNDNYEQGSGHLYPSLLIYPDGGHMAMIPFLARFLKSAMPFGASADNPILSDEDALDIAAYVNTGFVRMPITTTENRAGLDTAYSKSPSLKPEYFASPQQNLDPKEYIKVKYGPWKNPNHFPGE
VIMSS869 1 159 0.411813836477987 PF05302.11:DUF720:31:154 hypothetical protein 159 124 14 159 0 Chlamydia trachomatis D/UW-3/CX NP_220370.1 1 RefSeq MSAATSQIGDTQYVSSLPPLEPLGTPPIAELLFSIYSLLLEAVEIRQETILTQSKQLNDNTNIQQQLNQETNQIKYAVVGSGAKEDEITRVQNQNQNYSAQRSNIQDQLVTARQNGQIILSHASTNINIMQQIAQQNSSFIKTLNSVGSTVNQLNKPLS
VIMSS899261 1 221 0.30010407239819 PF06805.12:Lambda_tail_I:2:84 Gifsy-2 prophage probable tail assembly protein (NCBI) 221 83 14 198 1 Salmonella enterica subsp. enterica serovar Choleraesuis str. SC-B67 VIMSS899261 1 MicrobesOnline MVRICLYGDLQRFGKRISLSIKTAAEGIHALAIQLPGFRQRMNEGWYQVRIAGSDMAPDTLTARLNESLPPGAVVHIVPRMAGAKNGIWQVVAGAALIGASFIPGLNAVAAAVLFSAGTSMALGGVAQMLTPVPKTPTVGQTDNGKQNTYFSSLENMVAQGNPVPVLYGEMKIGSRVISQMMSTRDESTSGKVVVIGSPLQANTTSRQDGGITRPSVVIRQ
VIMSS914026 1 131 0.0158770992366412 PF03788.14:LrgA:22:113 Holin-like protein CidA 131 92 14 48 4 Staphylococcus aureus (strain NCTC 8325) SwissProt::P60647 0 SwissProt MHKVQLIIKLLLQLGIIIVITYIGTEIQKIFHLPLAGSIVGLFLFYLLLQFKIVPLTWVEDGANFLLKTMVFFFIPSVVGIMDVASEITLNYILFFAVIIIGTCIVALSSGYIAEKMSVKHKHRKGVDAYE
VIMSS92739 1 510 0.294001764705883 partially deacetylated poly-β-1,6-N-acetyl-D-glucosamine outer membrane porin 807 0 14 510 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6531-MONOMER 1 ecocyc MYSSSRKRCPKTKWALKLLTAAFLAASPAAKSAVNNAYDALIIEARKGNTQPALSWFALKSALSNNQIADWLQIALWAGQDKQVITVYNRYRHQQLPARGYAAVAVAYRNLQQWQNSLTLWQKALSLEPQNKDYQRGQILTLADAGHYDTALVKLKQLNSGAPDKANLLAEAYIYKLAGRHQDELRAMTESLPENASTQQYPTEYVQALRNNQLAAAIDDANLTPDIRADIHAELVRLSFMPTRSESERYAIADRALAQYAALEILWHDNPDRTAQYQRIQVDHLGALLTRDRYKDVISHYQRLKKTGQIIPPWGQYWVASAYLKDHQPKKAQSIMTELFYHKETIAPDLSDEELADLFYSHLESENYPGALTVTQHTINTSPPFLRLMGTPTSIPNDTWLQGHSFLSTVAKYSNDLPQAEMTARELAYNAPGNQGLRIDYASVLQARGWPRAAENELKKAEVIEPRNINLEVEQAWTALTLQEWQQAAVLTHDVVEREPQDPGVVRLKR
VIMSS93850 1 208 0.0785394230769231 PF00037.27:Fer4:149:168,PF00037.27:Fer4:181:199,PF12837.7:Fer4_6:180:198,PF12838.7:Fer4_7:122:168,PF12838.7:Fer4_7:155:197,PF13237.6:Fer4_10:149:194,PF13247.6:Fer4_11:116:196,PF12800.7:Fer4_4:67:78,PF12800.7:Fer4_4:121:136,PF12800.7:Fer4_4:154:167,PF12800.7:Fer4_4:181:196,PF12798.7:Fer4_3:156:168,PF12798.7:Fer4_3:183:197 putative 4Fe-4S ferredoxin-like protein YdhY 208 96 14 208 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6902-MONOMER 1 ecocyc MNPVDRPLLDIGLTRLEFLRISGKGLAGLTIAPALLSLLGCKQEDIDSGTVGLINTPKGVLVTQRARCTGCHRCEISCTNFNDGSVGTFFSRIKIHRNYFFGDNGVGSGGGLYGDLNYTADTCRQCKEPQCMNVCPIGAITWQQKEGCITVDHKRCIGCSACTTACPWMMATVNTESKKSSKCVLCGECANACPTGALKIIEWKDITV
VIMSS96033 1 168 0.256806547619048 hypothetical protein (NCBI ptt file) 168 0 14 168 0 Escherichia coli O157:H7 str. Sakai VIMSS96033 1 MicrobesOnline MSLSGAVFKTFLTSEHASWNRFNRRLHIPNEDIVDEIQLKARMQQRHHRVYPEIGDSTIVSFRGKDYAVHFIKDGPKDDYVYKVQRITPENGCFSTLFSVFSGGVTKALERKLNERHITPLSSTWFPRTPLEGILAERGLSSLLRRVQSTERLDNRAIATRASSYSVL
VIMSS96034 1 305 0.0767645901639343 PF06622.11:SepQ:1:305 type III secretion system SepQ protein (NCBI ptt file) 305 305 14 305 0 Escherichia coli O157:H7 str. Sakai VIMSS96034 1 MicrobesOnline MKPLSSQLNMKINDFYLPLLPVIGTGRLYITSKGHACHAYFREVSGHGIRFTLTYSGYEGRFWISEEQFIQWCQELFPYSESRLIPEDTIKLMILWVMQTALPEGDVSVDDVQFTMLNKDIYPVIENNNGENRLNVIILETTVQSLQYLINDNWQLVPHSNALFFDGYIVPGWTDYPVTELRVGDSLRLYHVDDSQERRCWIVINTPLATVNLSDNNLSVTDVLAADLLSALSNETVMNRIYCAIGTVHIDIHMLRNVKKDDIINSDGYHLFGGCQLIRNNTTIAYGSIVKINEDFYFTVSIVCD
VIMSS96039 1 117 0.0171888888888889 type III secretion system LEE chaperone CesL 117 0 14 117 0 Escherichia coli O127:H6 str. E2348/69 WP_001050992.1 1 RefSeq MNLLVKRNVEEFLRLLGNDFYLFDNRVEIDFNGFSFFIEIIDNNVFVTFALEYNENAFFSFFSALAPERTQGVIEHIFVYDNKLCLSCLLTNIDVFFLMNTFQQHVQIIERVRRMTS
VIMSS96057 1 75 0.296630666666667 PF00816.21:Histone_HNS:21:63 Ler protein (NCBI ptt file) 129 43 14 75 0 Escherichia coli O157:H7 str. Sakai VIMSS96057 1 MicrobesOnline MRRLFIMNMENNSHTTSPYIQLIEQIAVLQQEAKRLREQEVQSVIESIQKQITYYNITLQELGYTNVPDDGLARR
WP_000083640.1 1 274 0.0993912408759123 PF12792.7:CSS-motif:39:243 EAL domain-containing protein 533 205 14 228 2 Salmonella enterica subsp. enterica serovar Typhimurium str. SL1344 WP_000083640.1 1 RefSeq MSQSTRRKVLRFLGTIIVVLLPILLAIWFAHIRAVSETRNQLHSFAQLVLDKTERVILQADLARDAAEQYQGQACTPAHQQRMLNIIRGRLYINELIYAKGQRFLCSTVMTPTSPYFIPRADYKRKPDIAIYYYRDTPFFNGYKMTYMQRGNYVAVINPLSYSEVMSDDPALAWGMYDTVTNTFFSLSEQAQADQLLPLVRRSEPVFQQGERFYTLVKSAKRPVAAIVSTSKQRFYQNLFHQLTLTLPLGIICSIIILFMWSRSRQAYYSPRRL
WP_000289085.1 1 64 0.1928734375 PF04324.15:Fer2_BFD:2:51 bacterioferritin-associated ferredoxin 64 50 14 64 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11181-MONOMER 1 ecocyc MYVCLCNGISDKKIRQAVRQFSPHSFQQLKKFIPVGNQCGKCVRAAREVMEDELMQLPEFKESA
WP_001295445.1 1 847 0.154722195985832 PF00535.26:Glycos_transf_2:246:428,PF13632.6:Glyco_trans_2_3:341:532,PF13506.6:Glyco_transf_21:312:468 osmoregulated periplasmic glucans (OPGs) biosynthesis protein H 847 287 14 714 6 Escherichia coli K-12 substr. MG1655 ecocyc::EG11886-MONOMER 1 ecocyc MNKTTEYIDAMPIAASEKAALPKTDIRAVHQALDAEHRTWAREDDSPQGSVKARLEQAWPDSLADGQLIKDDEGRDQLKAMPEAKRSSMFPDPWRTNPVGRFWDRLRGRDVTPRYLARLTKEEQESEQKWRTVGTIRRYILLILTLAQTVVATWYMKTILPYQGWALINPMDMVGQDLWVSFMQLLPYMLQTGILILFAVLFCWVSAGFWTALMGFLQLLIGRDKYSISASTVGDEPLNPEHRTALIMPICNEDVNRVFAGLRATWESVKATGNAKHFDVYILSDSYNPDICVAEQKAWMELIAEVGGEGQIFYRRRRRRVKRKSGNIDDFCRRWGSQYSYMVVLDADSVMTGDCLCGLVRLMEANPNAGIIQSSPKASGMDTLYARCQQFATRVYGPLFTAGLHFWQLGESHYWGHNAIIRVKPFIEHCALAPLPGEGSFAGSILSHDFVEAALMRRAGWGVWIAYDLPGSYEELPPNLLDELKRDRRWCHGNLMNFRLFLVKGMHPVHRAVFLTGVMSYLSAPLWFMFLALSTALQVVHALTEPQYFLQPRQLFPVWPQWRPELAIALFASTMVLLFLPKLLSILLIWCKGTKEYGGFWRVTLSLLLEVLFSVLLAPVRMLFHTVFVVSAFLGWEVVWNSPQRDDDSTSWGEAFKRHGSQLLLGLVWAVGMAWLDLRFLFWLAPIVFSLILSPFVSVISSRATVGLRTKRWKLFLIPEEYSPPQVLVDTDRFLEMNRQRSLDDGFMHAVFNPSFNALATAMATARHRASKVLEIARDRHVEQALNETPEKLNRDRRLVLLSDPVTMARLHFRVWNSPERYSSWVSYYEGIKLNPLALRKPDAASQ
WP_002225338.1 1 398 0.404459798994974 PF06804.11:Lipoprotein_18:60:388 outer membrane protein assembly factor BamC 398 329 14 398 0 Neisseria meningitidis H44/76 WP_002225338.1 1 RefSeq MPSEPFGRHNATNTLISITQDDTMTHIKPVIAALALIGLAACSGSKTEQPKLDYQSRSHRLIKLEVPPDLNNPDQGNLYRLPAGSGAVRASDLEKRRTPAVQQPADAEVLKSVKGVRLERDGSQRWLVVDGKSPAEIWPLLKAFWQENGFDIKSEEPAIGQMETEWAENRAKIPQDSLRRLFDKVGLGGIYSTGERDKFIVRIEQGKNGVSDIFFAHKAMKEVYGGKDKDTTVWQPSPSDPNLEAAFLTRFMQYLGVDGQQAENASAKKPTLPAANEMARIEGKSLIVFGDYGRNWRRTVLALDRIGLTVVGQNTERHAFLVQKAPNESNAVTEQKPGLFKRLLGKGKAEKPAEQPELIVYAEPVANGSRIVLLNKDGSAYAGKDASALLGKLHSELR
WP_002858443.1 1 612 0.106187254901961 PF11186.8:DUF2972:406:608 DUF2972 domain-containing protein 612 203 14 612 0 Campylobacter jejuni WP_002858443.1 1 RefSeq MLNPNSAIERVKNHLAYKLGQTVIEHRHNGGGYIALFKKLYKIKKQHKKEQKIYQQIIQVFPQLKYPSLETCSDYNEALRCKFHLSYMIGEVLIKAYQNWYKGGGFKLKNNIKKANKEFQIFREILKEFKELNGETLKAIQDNKQLFLKEFPRIKNILKTHQDYQPILDNIFHNFNYFIKNFDLIEEWLLSDDFKEKYKKENHPYPSLLDPKKLNDENEKINYHNIPAELAWKMNLPLPPNYEFMWFFSHGAGAFTLGQFFYHLFKINILDYFCGGDGDIRYYKFYNKLLELKDKRNIITINDIDPSWYGNQHKRDKLFSSFQKITPILFQIRDPIELIKHAYGRKWGNNLAKTKEFDLSYQFNDIITEVEVYNYNLPNTLEGQRPQSFLWKSLIECFDKFNDCFYLDISKIRGEETIHTLNYLSNKFNLKQIKINDKEFVTKSYFKGNLYFLLPLTLYLNKEDLNTNIPNKKINKNNSLIININFFQNDNNLFNLYSELSILDMDSSVGFYIDKQDYNKLKNDSIFYKQVIDYLRNFAYELKNRIQIEEDLMLKVEDVLRHLYNNKNARVSAKNILDEELVYIKQHRPDIVASWKYYQEFEKMCKELDGDI
WP_003407710.1 1 78 0.263838461538461 hypothetical protein 78 0 14 78 0 Mycobacterium tuberculosis variant bovis BCG str. Tokyo 172 WP_003407710.1 1 RefSeq MTAALHNDVVTVASAPKLRVVRDVPPAPASKKVARRLDAQPFGTGGDPLVDGAARLLSIPLRHLYAALWRVGLLEVQA
WP_003721853.1 1 169 0.0575798816568047 PF01381.22:HTH_3:6:58,PF12844.7:HTH_19:3:61,PF13560.6:HTH_31:7:58 helix-turn-helix transcriptional regulator 169 59 14 126 2 Listeria monocytogenes EGD WP_003721853.1 1 RefSeq MLNENIKAIRKSKGLSQEEIAIKLNVVRQTISKWEQGLSVPDSDMLISISEVLETPVSTLLGETVMVSKVDDVKAISEKLEIINLQFAQRKTARRKMLYWLFVSLCAVIAIISAVFIILNSPYLGWDYSDPETSVIGVAFHTFEWLFVRLAPIILIGGVVGIFLTRKNV
WP_003976082.1 1 131 0.365186259541985 Rodlin protein RdlA 131 0 14 108 1 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q7AY50 1 SwissProt MLKKAMVAAAAAASVIGMSAAAAPQALAIGDDNGPAVANGNGAESAFGNSATKGDMSPQLSLVEGTLNKPCLGVEDVNVAVINLVPIQDINVLADDLNQQCADNSTQAKRDGALSHVLEDLSVLSANGEGR
WP_010891921.1 1 405 0.097858024691358 PF11144.8:DUF2920:5:403 DUF2920 family protein 405 399 14 405 0 Campylobacter jejuni subsp. jejuni PT14 WP_010891921.1 1 RefSeq MLINQTFEIDSCDDVELNIKRTSKLEYRISYDDEKEIKAIVFIIGGYGANANIYFLDSYRNYIAKNFDVVAVHVFYHCFCQRRSDVEKYSAYKYFQEEDIENIKNLLNQFHFSYGEINNDNALFLANSLVKHVENLKMQNKLDHNFKLNFTSTFIPPNGDYQNYGIMAAIDHINALKDLVKRFPKFADLPKIYGGGSYGGYLSLLIAKIAPWYVDGVIDNSGSALPPLNYILGREMEHSYGDYYEDFPHNRIIFFLKTHWTRKENSPYFFNNENYFIRTLLNKDHLILQSQKNKNIIYVSYHSDKDPLTPANFKQQTMQILKILGYDVSLNLIDENKIDGKFIKNLDHGCGIPDKALFRKELPLMLEKLQKRKSFMQENSISYPCGNKVFIFKDVGDKFELVIKD
WP_010923347.1 1 332 0.120905421686747 PF01670.16:Glyco_hydro_12:93:330 cellulase (EC 3.2.1.4); endo-1,4-beta-xylanase (EC 3.2.1.8) 332 238 14 309 1 Sulfolobus solfataricus BRENDA::Q97YG7 1 BRENDA MNKLYIVLPVIVIIAIGVMGGIIYLHQQSLSVKPVTTTEFSTTTSTSTTTNAITTTVTQTVTSITSYNQLIYVTSSASSPTPVYLNNSTIPSFYLEVNMWNAKNYNGNYTMVFNPLARTLSVSFNLTQVKPLEWTNGYPEIYVGRKPWDTAYAGNIFPMRIGNMTPFMVSFYINLTKLDPSINFDIASDAWIVRPQIAFSPGTAPGNGDIEIMVWLFSQNLQPAGEQVGKVVVPIYINHTLVNATFQVWEMKSVPWGGWEYIAFRPDGWKVTNGYVSYEPNLFIKALSNFTSYNITNYYLTDWEFGTEWGTMTSNGTAYFSWTVSNFSETLL 1
WP_010935983.1 1 279 0.299517921146954 PF13486.6:Dehalogenase:165:274 reductive dehalogenase 455 110 14 279 0 Dehalococcoides mccartyi WP_010935983.1 1 RefSeq MDGKINRRDFVKGAGIASAATVATIMATASTVSAEELVNPSIKAMDEMGSASLTRDLPESFYKRIENKDGYIGTTRVIAPTQRLDAREHGFSQIVRRGSTGDWSGEPGDWGPVLFAAVQEKKKHAAEITPLEAADYTWSNAFQIAMDRWHITLEPGRYQQAPIAATKVELSPEEMTARIKKICRWFGCEQVGICEVTEDMKPFFYSVGRTKGTYTTGHANYVDEGREIPWPYPYKYCIVMADKCDTDTLSAMTGPLVEASAKIACSQSDFAPHYLESII
XP_001210225.1 1 638 0.326903605015674 PF00172.18:Zn_clus:49:84 Terrein cluster-specific transcription factor terR 638 36 14 638 0 Aspergillus terreus (strain NIH 2624 / FGSC A1156) SwissProt::Q0D1P5 1 SwissProt MFAELNAKDQFNELLKHLSSTSCTTMQADRVETSSSVRKKKWMSRRRGACDMCKSKKVRCDGGTPCSYCNLHDLRCEYQLSKKQQTNKPPVASAAEDVSIVPSYAENNGSGVTTQVPSPSDVQVLPELTANRGSGNAGNILQDMMLATNDRHSMPVMFDGYAHDNFSASPIEWVGSDSLAAPFSVDMGNLNALGTLPWSPPKTAYSEPNLDIPYPIEHTTDVSASLPSISQFELNLPLSPAGPTRDMYDEKMWEEVSPKRQRLGNLSPTQQDEVEAIFRRLSDAQSQMSFGLGGEQYDSHSRWYWSDTALMEKCKSACFEEPLGISTFLTRSHFDDYVQQARESPSIQGLAVRPLIDSVMAFGFHILAARSQPSAGSDVSRKAITRLRMALSSRDAVQRSPDTLLKLQMTISEQIDHKIHTELLSYAVSCARSRRFLHRDSVYMTMTKEKEYLARRSMWYLYSMEVVHSIRDGMPPILTPDWTDYALPEVGKDTDTDWLLIQCQHANALSSAVNALYSPRALCQTVAERERNMMQAHKLLENWRTSLPVHLQNIHRHETGYVALDDQKTRHLTLTMVGKYHEAIFIIFFPWTGSQSKGLISEHYRKRSMELCVKSAQAVLAIAARITSCDILGGSLAS
XP_001347679.1 1 305 0.281014754098361 PF17410.2:Stevor:31:305 stevor 305 275 14 259 2 Plasmodium falciparum 3D7 XP_001347679.1 1 RefSeq MKMYYLKMILFNFLINTLLLPHYENSQNKHYNISLIQNNTQGTTIKSRLLAQTQNHNPHYHNDPELKEIIDKLNDEAIKKYQKTHDPYKQLKEVVEKNGTKIRGGNSAEPMSTIEKDLLEKYEDVFGDKNHAMLKSGRYPNDDDESDDSSSCGCTDINNAELEKTKGRDKYLKHLKGRCTRGIYSCSVISAFLTMLGLTAAKTAAKGALAEYAAYETCLSSIPIFSLPGNSTVFSALQAGTEICATHASDLAGIISTPAMAAFEPYGIAALVLLILVVVIIILYIWLYRRRKNSLKHQCKKHLCK
XP_002906277.1 1 144 0.196186111111111 RxLR effector protein PITG_03192 144 0 14 106 2 Phytophthora infestans (strain T30-4) (Potato late blight fungus) SwissProt::D0MZL5 1 SwissProt MRVGFVFALLVVSVIVCFNGLTSAESTVVMNNRNPDSINVPISDDITSRNLRASGEERAYAFVDKIKSLFSRPGISQKVESLQKNPAMVKNLEKAALSQKGSSKVRDWFMHMYNNSSKRDKFFILATLVMFPIGVWAVVTNYRR
XP_005247763.1 1 288 0.516047222222222 PF12874.7:zf-met:70:94,PF12874.7:zf-met:148:171,PF12874.7:zf-met:245:269,PF12171.8:zf-C2H2_jaz:70:94,PF12171.8:zf-C2H2_jaz:148:171,PF12171.8:zf-C2H2_jaz:244:270 zinc finger matrin-type protein 3 isoform X2 288 76 14 288 0 Homo sapiens XP_005247763.1 1 RefSeq MILLQHAVLPPPKQPSPSPPMSVATRSTGTLQLPPQKPFGQEASLPLAGEEELSKGGEQDCALEELCKPLYCKLCNVTLNSAQQAQAHYQGKNHGKKLRNYYAANSCPPPARMSNVVEPAATPVVPVPPQMGSFKPGGRVILATENDYCKLCDASFSSPAVAQAHYQGKNHAKRLRLAEAQSNSFSESSELGQRRARKEGNEFKMMPNRRNMYTVQNNSGPYFNPRSRQRIPRDLAMCVTPSGQFYCSMCNVGAGEEMEFRQHLESKQHKSKVSEQRYRNEMENLGYV
XP_005256095.1 1 790 0.176626582278481 PF11916.8:Vac14_Fig4_bd:542:720,PF12755.7:Vac14_Fab1_bd:68:163 protein VAC14 homolog isoform X1 790 275 14 790 0 Homo sapiens XP_005256095.1 1 RefSeq MNPEKDFAPLTPNIVRALNDKLYEKRKVAALEIEKLVREFVAQNNTVQIKHVIQTLSQEFALSQHPHSRKGGLIGLAACSIALGKDSGLYLKELIEPVLTCFNDADSRLRYYACEALYNIVKVARGAVLPHFNVLFDGLSKLAADPDPNVKSGSELLDRLLKDIVTESNKFDLVSFIPLLRERIYSNNQYARQFIISWILVLESVPDINLLDYLPEILDGLFQILGDNGKEIRKMCEVVLGEFLKEIKKNPSSVKFAEMANILVIHCQTTDDLIQLTAMCWMREFIQLAGRVMLPYSSGILTAVLPCLAYDDRKKSIKEVANVCNQSLMKLVTPEDDELDELRPGQRQAEPTPDDALPKQEGTASGGPDGSCDSSFSSGISVFTAASTERAPVTLHLDGIVQVLNCHLSDTAIGMMTRIAVLKWLYHLYIKTPRKMFRHTDSLFPILLQTLSDESDEVILKDLEVLAEIASSPAGQTDDPGPLDGPDLQASHSELQVPTPGRAGLLNTSGTKGLECSPSTPTMNSYFYKFMINLLKRFSSERKLLEVRGPFIIRQLCLLLNAENIFHSMADILLREEDLKFASTMVHALNTILLTSTELFQLRNQLKDLKTLESQNLFCCLYRSWCHNPVTTVSLCFLTQNYRHAYDLIQKFGDLEVTVDFLAEVDKLVQLIECPIFTYLRLQLLDVKNNPYLIKALYGLLMLLPQSSAFQLLSHRLQCVPNPELLQTESPWKPAEPLRRFTLNGARPACIRCPVCLEFPPRLLEPLSRPGALLQQRASGARLCDGHRQP
XP_005256155.1 1 293 0.297490443686007 PF00179.26:UQ_con:79:215 AKT-interacting protein isoform X1 293 137 14 293 0 Homo sapiens XP_005256155.1 1 RefSeq MNPFWSMSTSSVRKRSEGEEKTLTGDVKTSPPRTAPKKQLPSIPKNALPITKPTSPAPAAQSTNGTHASYGPFYLEYSLLAEFTLVVKQKLPGVYVQPSYRSALMWFGVIFIRHGLYQDGVFKFTVYIPDNYPDGDCPRLVFDIPVFHPLVDPTSGELDVKRAFAKWRRNHNHIWQVLMYARRVFYKIDTASPLNPEAAVLYEKDIQLFKSKVVDSVKVCTARLFDQPKIEDPYAISFSPWNPSVHDEAREKMLTQKKKPEEQHNKSVHVAGLSWVKPGSVQPFSKEEKTVAT
XP_006230283.1 1 202 0.372655445544554 PF01291.17:LIF_OSM:27:182,PF06875.11:PRF:32:193 cardiotrophin-1 isoform X1 202 167 14 202 0 Rattus norvegicus XP_006230283.1 1 RefSeq MSQREGSLDHQTDSSFSFLPHLEAKIRQTHNLARLLTKYADQLLEEYVQQQGEPFGLPGFSPPRLPLAGLSGPAPSHAGLPVSERLRQDAAALSALPALLDAVRRRQAELNPRAPRLLRSLEDAARQVRALGAAVETVLAALGAAARGPVPEPVATSALFTSNSAAGVFSAKVLGLHVCGLYGEWVSRTEGDLGQLVPGGVA
XP_006231127.1 1 406 0.641779556650246 synaptotagmin-7 isoform X2 687 0 14 383 1 Rattus norvegicus XP_006231127.1 1 RefSeq MYRDPEAASPGAPTRDVLLVSAIITVSLSVTIVLCGLCHWCQRKLGKRYKNSLETVGTPDSGRGRGEKKAINDLDRDFWNNNESTVQQKWSSYPPKEFILNISPYAPYGDPRLSLNGTLLSGAKVATAAAGLAVEREGRLGEKPAPVPPPGEDALRSGGAAPSEPGSSGKAGRGRWRMVQSHLAAGKLNLSNFEDSTLSTATTLESIPSSAGEPKCQRPRTLMRQQSLQQPLSQNQRGRQPSQPTTSQSLGQLQAHAASAPGSNPRAYGRGQARQGTSAGSKYRAAGGRSRSNPGSWDHVVGQIRNRGLDMKSFLEGRMVVLSLVLGLSEQDDFANIPDLQNPGTQQNQNAQGDKRLPAGGKAVNTAPVPGQTPHDESDRRTEPRSSVSDLVNSLTSEMLMLSPGS
XP_006242343.1 1 485 0.0797925773195877 PF01490.18:Aa_trans:70:472 Sodium-coupled neutral amino acid transporter 1; Amino acid transporter A1; rATA1; Glutamine transporter; N-system amino acid transporter 2; Solute carrier family 38 member 1; System A amino acid transporter 1; System A transporter 2; System N amino acid transporter 1 485 403 14 236 11 Rattus norvegicus (Rat) SwissProt::Q9JM15 1 SwissProt MMHFKSGLELTELQNMTVPEDDNVSNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEKRKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLILLTSVTLLSIYSINLLLICSKETGCMVYEKLGEQVFGTTGKLVIFGATSLQNTGAMLSYLFIVKNELPSAIKSLMGEEETFSAWYVDGRVLVVMVTFGIILPLCLLKNLGYLGYTSGFSLSCMVFFLIVVIYKKFQIPCMNGEQNSTVSANVTDACTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDRSQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYEKVQSDLLHKYQSTGDILILTVRLAVIVAVILTVPVLFFTVRSSLFELAKKTKFHLCRHVLVTIILLVIINLLVIFIPSMKDIFGVVGVTSANMLIFILPSSLYLKITNQDGDKNTQRIWAALFLALGVLFSLISIPLVIYDWACSSSNGEGH
XP_006501175.1 1 83 0.870385542168675 helix-loop-helix protein 2 isoform X1 135 0 14 83 0 Mus musculus XP_006501175.1 1 RefSeq MMLSPDQAADSDHPSSTHSDPESLGGADTKVLGSVSDLEPVEEADGDGKGGSRAALYPHPQQLSREEKRRRRRATAKYRSAHA
XP_006508583.1 789 1842 0.716591366223908 SH3 and multiple ankyrin repeat domains protein 2 isoform X1 1912 0 14 1054 0 Mus musculus XP_006508583.1 1 RefSeq KAPPPPKRAPTTALTLRSKSMTAELEELGLSLVDKASVRKKKDKPEEIVPASKPSRTAENVAIESRVATIKQRPTSRCFPAASDVNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTMRRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTTYNCPRSPTPRVYGTIKPAFNQNPVVAKVPPATRSDTVATMMREKGMFYRRELDRFSLDSEDVYSRSPAPQAAFRTKRGQMPENPYSEVGKIASKAVYVPAKPARRKGVLVKQSNVEDSPEKTCSIPIPTIIVKEPSTSSSGKSSQGSSMEIDPQATEPGQLRPDDSLTVSSPFAAAIAGAVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRMQASKFPEEGGFGDEDETEQPLLPTPGAAPRELENHFLGGGEAGAQGEAGGPLSSTSKAKGPESGPAAPLKSSSPAGPENYVHPLTGRLLDPSSPLALALSARDRAMQESQQGHKGEAPKADLNKPLYIDTKMRPSVESGFPPVTRQNTRGPLRRQETENKYETDLGKDRRADDKKNMLINIVDTAQQKSAGLLMVHTVDVPMAGPPLEEEEDREDGDTKPDHSPSTVPEGVPKTEGALQISAAPEPAVAPGRTIVAAGSVEEAVILPFRIPPPPLASVDLDEDFLFTEPLPPPLEFANSFDIPDDRAASVPALADLVKQKKNDTSQPPTLNSSQPANSTDSKKPAGISNCLPSSFLPPPESFDAVTDSGIEEVDSRSSSDHHLETTSTISTVSSISTLSSEGGESMDTCTVYADGQAFVVDKPPVPPKPKMKPIVHKSNALYQDTLPEEDTDGFVIPPPAPPPPPGSAQAGVAKVIQPRTSKLWGDVPEVKSPILSGPKANVISELNSILQQMNRGKSVKPGEGLELPVGAKSANLAPRSPEVMSTVSGTRSTTVTFTVRPGTSQPITLQSRPPDYESRTSGPRRAPSPVVSPTELSKEILPTPPPPSATAASPSPTLSDVFSLPSQSPAGDLFGLNPAGRSRSPSPSILQQPISNKPFT
XP_006512619.1 245 1781 0.602182173064411 Transcription factor HIVEP2; Human immunodeficiency virus type I enhancer-binding protein 2 homolog; Myc intron-binding protein 1; MIBP-1 2430 0 14 1537 0 Mus musculus (Mouse) SwissProt::Q3UHF7 1 SwissProt AGLVPFTESSVSKLDLEAGFIDVEAEIHSDGEQSTDTDEESSLFAEASDKVSPGPPVPLDIASRGGYHGSLEESLGGPMKVPILIIPKSGIPLASEGSQYLSSEMLPNPSLNAKADDSHTVKQKLALRLSEKKGQDSEPSLNLLSPHSKGSTDSGYFSRSESAEQQISPPNTNAKSYEEIIFGKYCRLSPRNTLSVTPTGQERTAMGRRGIMEPLPHLNTRLEVKMFEDPISQLNPSKGEMDPGQINMLKTTKFNSECRQPQAIPSSVRNEGKPYPGNFLGSNPMLLEAPVDSSPLIRSNSMPTSSATNLSVPPSLRGSHSFDERMTGSDDVFYPGTVGIPPQRMLRRQAAFELPSVQEGHMESEHPARVSKGLASPSLKEKKLLPGDRPGYDYDVCRKPYKKWEDSETLKQSYLGSFKQGGEYFMDPSVPVQGVPTMFGTTCENRKRRKEKSVGDEEDVPMICGGMGNAPVGMMSSEYDPKLQDGGRSGFAMTAHESLAHGHSDRLDPARPQLPSRSPSLGSEDLPLAADPDKMTDLGKKPPGNVISVIQHTNSLSRPNSFERSESTEMVACPQDKTPSPAETCDSEVLEAPVSPEWAPPGDGGESGSKPTPSQQVPQHSYHAQPRLVRQHNIQVPEIRVTEEPDKPEKEKEAPTKEPEKPVEEFQWPQRSETLSQLPAEKLPPKKKRLRLADLEHSSGESSFESTGTGLSRSPSQESNLSHSSSFSMSFDREETVKLTAPPKQDESGKHSEFLTVPAGSYSLSVPGHHHQKEMRRCSSEQMPCPHPTEVPEIRSKSFDYGNLSHAPVAGTSPSTLSPSRERKKCFLVRQASFSGSPEIAQGEAGVDPSVKQEHMEHLHAGLRAAWSSVLPPLPGDDPGKQVGTCGPLSSGPPLHLTQQQIMHMDSQESLRNPLIQPTSYMTSKHLPEQPHLFPHQDAVPFSPIQNALFQFQYPTVCMVHLPAQQPPWWQTHFPHPFAPHPQNSYSKPPFQADLHSSYPLEHVAEHTGKKSADYPHAKEQTYPCYSGTSGLHSKNLPLKFPSDPGSKSTETPTEQLLREDFASENAGPLQSLPGTVVPVRIQTHVPSYGSVMYTSISQILGQNSPAIVICKVDENMTQRTLVTNAAMQGIGLNIAQVLGQHTGLEKYPLWKVPQTLPLGLESSIPLCLPSTSDNAASLGGSKRMLSPASSLELFMETKQQKRVKEEKMYGQIVEELSAVELTNSDIKKGLSRPQKPQLVRQGCASEPKDGCFQSRSSSFSSLSPSSSQDHPSASGPFPPNREILPGSRAPPRRKFSGPSESRESSDELDMDETSSDMSMSPQSSALPTGGGQQEEEGKARKLPVSMLVHMASGPGGNVANSTLLFTDVADFQQILQFPSLRTTTTVSWCFLNYTKPSFVQQATFKSSVYASWCISSCNPNPSGLNTKTTLALLRSKQKITAEIYTLAAMHRPGAGKLTSSSVWKQFAQMKPDAPFLFGNKLERKLAGNVLKERGKGEIHGDKDLGSKQTEPIRIKIFEGGYKSNEDYVYVRGRGRG
XP_006518730.1 1 731 0.277234062927497 PF16742.5:IL17R_D_N:49:169,PF08357.11:SEFIR:349:504 interleukin-17 receptor D isoform X1 731 277 14 731 0 Mus musculus XP_006518730.1 1 RefSeq MAPWLQLCSFFFTVNACLNGSQLAVAAGGSGRARGADTCGWRGVGPASRNSGLHNITFRYDNCTTYLNPGGKHAIADAQNITISQYACHDQVAVTILWSPGALGIEFLKGFRVILEELKSEGRQCQQLILKDPKQLNSSFRRTGMESQPFLNMKFETDYFVKIVPFPSIKNESNYHPFFFRTRACDLLLQPDNLACKPFWKPRNLNISQHGSDMHVSFDHAPQNFGFRGFHVLYKLKHEGPFRRRTCRQDQNTETTSCLLQNVSPGDYIIELVDDSNTTRKAAQYVVKSVQSPWAGPIRAVAITVPLVVISAFATLFTVMCRKKQQDEESPESSTYAAALPRDRLRPQPKVFLCYSNKDGQNHMNVVQCFAYFLQDFCGCEVALDLWEDFSLCREGQREWAIQKIHESQFIIVVCSKGMKYFVDKKNFRHKGGSRGEAQGEFFLVAVAAIAEKLRQAKQSSSAALRKFIAVYFDYSCEGDVPCSLDLSTKYKLMDHLPELCAHLHSGEQEVLGQHPGHSSRRNYFRSKSGRSLYVAICNMHQFIDEEPDWFEKQFIPFQHPPVRYQEPVLEKFDSGLVLNDVISKPGPESDFCRKVEACVLGAAGPADSYSYLESQHVGLDQDTEAQPSCDSAPALQPLLHAVKAGSPSEMPRDSGIYDSSVPSSELSLPLMEGLSPDQIETSSLTESVSSSSGLGEEDPPTLPSKLLASGVSREHGCHSHTDELQALAPL
XP_006520417.1 1 183 0.27745737704918 PF12201.8:bcl-2I13:34:182 bcl-2-interacting killer isoform X2 183 149 14 163 1 Mus musculus XP_006520417.1 1 RefSeq MASAPVSARTGEFASVPPSGILGFAPSLPRPEHMSEARLMARDVIKTVPHDQVPQPPVASETPSMKEPVRDVDLMECVEGRNQVALRLACIGDEMDLCLRSPRLVQLPGIAIHRLAVTYSRTGVRGIFRSLIRSLTNLRENIWSWRVLTPGAWVSPDQDPGQLFPMVLLVFLLLGGAWYLQLQ
XP_006522752.1 1 314 0.554053184713376 sentrin-specific protease 2 isoform X3 546 0 14 314 0 Mus musculus XP_006522752.1 1 RefSeq MEQNSKDCFIHQVKNSLYNAASLFGFPFQLTTKPMVSSACNGTRNVAPSGEVFSNSSSCELMSSGSCSSMLKLGNKSPNGISDYPKIRVTVTRDQPRRVLPSFGFTLKSEGYNRRPSGRRHSKSNPESSLTWKPQEQGVTEMISEEGGKGVRRPHCTVEEGVQKDEREKYRKLLERLKEGAHGSTFPPTVSHHSSQRIQMDTLKTKGWVEEQNHGVRTTHFVPKQYRVVETRGPLCSMRSEKRYSKGKADTEKVVGLRFEKEGTRGHQMEPDLSEEVSARLRLGSGSNGLLRRKISVLEIKEKNFPSKEKDRRT
XP_006523681.1 307 1308 0.611724251497006 PF05902.13:4_1_CTD:888:994,PF04382.13:SAB:331:379 band 4.1-like protein 3 isoform X4 1308 156 14 979 1 Mus musculus XP_006523681.1 1 RefSeq TRRASALIDRPAPYFERSSSKRYTMSRSLDGASVSENHEIYMKDSVSAAEVGTGQYATTKGISQTNLITTVTPEKKAEEERVEEEDRRKKAEEATPVTALRHEGKSPPGHGAADSCPPSPPSAHPDPPPPTELRRRCKEKERAEPSSLESEAQGKAYLGDQDVAFSYRQPAGKGTTLFSFSLQLPESFPSLLDEDGYLSFPNLSETNLLPQSWQHFLPIRSPSLLPCFLFIFFFLLSASFSVPYALTLSFPLALCLCYLEPKAASLSASLDNDPSDSSEEETDSERTDTAADGETSATESDQEEDAEIKAQNSLIKRIKGENVYVKHSNLMLEDLDKTQDELMKHQTNISELKRTFLETSTETALTNEWEKRLSTSPVRLAARQEDAPMIEPLVPEETKEEREISEKVVFLQQGSSPFLESQPSVTEKTQEGVSADSVATTHQIIFQKTVPSTLEGTEDWVIVDKIPTEVVDGDSKKIVTYKVVTVSSKTGEIPADLLKSGMMDMHGFDDLTREMQLKEENKQKIYTLGKSYDTVSGRIVTMTGKAKDGEKGPQLSTLEGLQKMERGASEAVRVGPLLVEYEVLEALTDEKSRRAPEVQTPKRRLSESLAPIKEAESRRQSPEEDDTQKAPKLGDYAPAHPQFSKTQQEPECKVLKVGPFGPRRKSLSEWRYSHEPAFTVATAHYVTESSASQVVTKQSSGEKLMDGSEILSLLESARKPTEFIGGVSSTTQSWVQKLETKTEPVEAEVESTPHPQPLSTEKVLQETILVEERHVMSVHASGDASHTARDEVDAAESTPTDRRHTGKGKEGSSVTEAAKEQRGEEVDQSAPEQEQPATVSHEEEQASTIRTSEGLEQKSHFESSTVRVESTSVGSISPGGAKLEISTKEVPVVHTETKTITYESSQVDPGADLEPGVLMSAQTITSETTSTTTTTHITKTVKGGISETRIEKRIVITGDADIDHDQALAQAIKEAKEQHPDMSVTKVVVHKETEITPEDGED
XP_006531797.1 261 611 0.721397435897435 PF00098.23:zf-CCHC:18:33 splicing factor 1 isoform X3 611 16 14 351 0 Mus musculus XP_006531797.1 1 RefSeq ILRPWQSSETRSITNTTVCTKCGGAGHIASDCKFQRPGDPQSAQDKARMDKEYLSLMAELGEAPVPASVGSTSGPATTPLASAPRPAAPASNPPPPSLMSTTQSRPPWMNSGPSENRPYHGMHGGGPGGPGGGPHSFPHPLPSLTGGHGGHPMQHNPNGPPPPWMQPPPPPMNQGPHPPGHHGPPPMGKSVPGKYACGLWGLSPASRKRYDAAAAYGHDAAASATSQWAAPASSLWSSSSMAAAAAAASATPSAQQQYGFQHPLAMAAKYDDYHHERWHRVHPAMATAAGGCRSFSRNPSDARQPHYGAPAPRGPAASAARGPSPSAASATWFRRHDVCPTPSSSASHGPF
XP_006533801.1 179 365 0.947350267379679 Y-box-binding protein 2 isoform X1 365 0 14 187 0 Mus musculus XP_006533801.1 1 RefSeq RRFRRFIPRPRPAAPPPMVAEAPSGGTEPGSEGERAEDSGQRPRRRRPPPFFYRRRFVRGPRPPNQQQPIEGSDGVEPKETAPLEGDQQQGDERVPPPRFRPRYRRPFRPRPPQQPTTEGGDGETKPSQGPTDGSRPEPQRPRNRPYFQRRRQQPPGPRQPIAAEFTTALQTSAPINSGDPPTTILE
XP_006538076.1 1 2172 0.275915515653775 PF16184.5:Cadherin_3:311:382,PF16184.5:Cadherin_3:403:508,PF16184.5:Cadherin_3:510:622,PF16184.5:Cadherin_3:630:758,PF16184.5:Cadherin_3:771:875,PF16184.5:Cadherin_3:878:990,PF16184.5:Cadherin_3:1017:1134,PF16184.5:Cadherin_3:1138:1256,PF16184.5:Cadherin_3:1262:1373,PF16184.5:Cadherin_3:1379:1487,PF16184.5:Cadherin_3:1489:1596,PF16184.5:Cadherin_3:1609:1724,PF00059.21:Lectin_C:2065:2170,PF03160.14:Calx-beta:1742:1828 FRAS1-related extracellular matrix protein 1 isoform X1 2172 1513 14 2172 0 Mus musculus XP_006538076.1 1 RefSeq MHSPGCTGPKAQWFLLLQLLLLHLDRVSATFISINRGLRVMKGSSAFLSGDHLRVAVPKEKDACRLEVVMNEPVTQRVGKLSPQVFDCHFLPNEVKYVHNGCPILDEDSVKLRLYRFTETDTFMETFLLRVYLVEPDCNIIRMSSNVLEVTEFYGLSQAIDKNLLQFDYDRTASLDCTIRLDPLRTQLPAHGKLVVVNRKSEGPRGDQPHSFFSETELGAGLKCPDGSCALELKQVASLKVSCEEFLLTGFHYQHMQPPSPNIDYIPIQLDLTDRRSKTVYKSESAWLPVYIRVGIPNQVPRAAFMAMFVLEVDQFILTPLTTSVLDCEEDETPKPLLVFNITKAPLQGYVTHLLDHTRPISSFTWKDLSDMQVAYQPPNSSHPERRHYTMELEVYDFFFERSAPITVHISIRTADTNAPRVSWNTGLNLLEGQSRAITWEQFQIVDNDDIGAVQLVTIGGLQHGRLTVREGKGFLFTVTDLQAGVVRYHHDDSDTTKDFVAFRIFDGHHSSHHKFPINILPKDDSPPFLITNVVIELEEGKTILIQGSMLRASDMDSSDDYIFFNITKFPQAGEIMKKPGPRLIGYPVPGFLQRDLFSGIIYYRHFGGEIFEDSFEFVLWDSHEPPNLSVPQVVTIHITPVDDQLPKEAPGISRHLVVKETEVAYITKKHLHFLDMESRDGELIYTVTRPPCFSFSHRHLDAGKLFMVDSIPKLTKNPTAPGLSSFTQHAVNHMKVAYMPPMQDIGPSPRHVQFTVSVSNQHGGALHGICFNITVLPVDNQVPEVFTNTLRVVEGGQCTISTEHILVSDVDTPLDSISLSLKERPLHGGVELDGFPLNPRGTFSWRDLNTLKVWYQHDGSEVLQDEIFLEVTDGTNSAAFVLHIEVFPVNDEPPILKADLIPMMHCSEGGEVTITPEYISATDADSDDLELLFLIAREPQHGVVRKAGLHVDRFSQGDVISGAVTYKHTGGEIGLEPCSDTVVLVVSDGEADPLMNGCCYDGPDSSVPLHKSFPTYQLNITVHPVDNQPPSIIIGRMLTVDEGFSAALTTHHLTVVDWDTAPDDLKFMLASQPQFGYLENALPSAGFEKSNIGIRIASFQWTDMKASHINYVQSRHLRVEPTADQFTVYATDGKHRSLETTFHVIINPTNDEAPDLAVQNITVYEGHMVELDSSIISATDRDIPKDPLLFSIALKPQHGLLVDAAISKDSHQIKQLQHEIHSFSVDLLKNGMKLVYAHDDSESSADNFVIQLSDGKHKILKTISVNITPVNDETPTLSKKAEISMAVGDTRVLSSAVLSATDKDSPREKIHYVFERLPQNGQLQLKIGRDWVPLSTGMQCTQEDVDLNLLRYTHAGKTDSQDGDSFTFYLWDEDNRSPAFDCHIIIEDMGKGDIVIHAKPLVVVKGDRGLLTTATLLAVDGADKPEELLYLITSPPRHGQVEYVHSPGVPIASFSQMDIAGQTVCYIHKSRTAVPTDSFRFTISNGLQTQRGVFEITLQTVDSALPVLTKNKRLRLAEGAMGLLSADHLQLTDPDTPPENLTFFLAQLPRHGYLFLRGKALQHNFTQRDVDSGGVAYQHSGGGAREDYFTFLATDRKNQGFVVDGKVQKEPVRFTIQVDQLDKAAPRITHLHSPTQVGLLKNGCYGIYITSRVLKASDPDTEDDQIIFKILRGPLYGRLENTTTGEFIHERFSQKDLSHKTILYIINPSLQVTSDILEFQAMDPTGNTATPQSLDLRWSYIEWAQTAYEVCENVGLLPLEVTRRGYPMDSAFVGVEVNQVSATVGKDFTVTPSKLLQFDPGMSTKMWNIAITYDGLEEDDEVFEVILNSPVNAVLGTQTKAAVKILDSKGGRCHPSNSFNQSKHSTWGKGPWHPLPSGSSSLTTSGSPLLERPPPSFTSGDALQGFGLTDLTQRKTMTQGNGKSVLPSSVCRNGTDTIYNYHGIVSLKLEGDRFSAHKRKAKISIVSQPQRTIKVAELPLADKVESTTDLHFLRQGLRPLFPKNCSVDLKGLFHFEESTHRLYQCDGISWKAWSPQTKGLEDRSCPGGWLLHSGYCHILVTRQKGTWTTATRACREQHQGDLVTVLSRRHMQWLWAMSGRKPFWIGLKNQPRTGHWEWIGGEPVAFTNWRRGAPLHPKPGKNCALVQKRGQWQTKNCSKGKAHNFVCSRKL
XP_006538179.1 234 499 0.459398120300752 Zinc finger protein PLAG1; Pleiomorphic adenoma gene 1 protein 499 0 14 266 0 Mus musculus (Mouse) SwissProt::Q9QYE0 1 SwissProt KSHNQELLKVKTEPVDFLDPFTCNMSVPIKDELLPVMSLPSSELLSKPFTNTLQLNLYNTPFQSMQSSGSAHQMITTLPLGMTCPIDMDAVHPSHHLAFKCPFSSTSYAISIPEKEQPLKGEIESYLMELQGGAPSSSQDSPASSSKLGLEPQSGSPDDGAGDLSLSKSSISISDPLSTPALDFSQLFNFIPLNGPPYNPLSVGSLGMSYSQEEAHSSVSQLPTQTQDLQDPANTVGLSSLHSLSAAFTSSLSSSTTLPRFHQAFQ
XP_006540763.1 1 823 0.099221263669502 PF03600.16:CitMHS:337:770,PF00939.19:Na_sulph_symp:628:806 P protein isoform X2 823 470 14 562 12 Mus musculus XP_006540763.1 1 RefSeq MRLENKDIRLASAVLEVELHQTSALSVPTCPDPGRLLTVKPATSNYKLGQADPCIPYAGEAAGKSVCVPEHTEFGSFLVKGSSSLKDLSFKEDTPLLWNSSQKKRSQLMPVHHPEFIATEGSWENGLTAWEQKCMLGKEVADLSALASSEKRDLAGSVHLRAQVSKLGCCVRWIKITGLFVFVVLCSILFSLYPDQGKFWQLLAVSPLENYSVNLSGHADSMILQLDLAGALMAGGPSGSGKEEHVVVVVTQTDAAGNRRRRPQQLTYNWTVLLNPRSEHVVVSRTFEIVSREAVSISIQASLQQTRLVPLLLAHQFLGASVEAQVASAVAILAGVYTLIIFEIVHRTLAAMLGALAALAALAVVGDRPSLTHVVEWIDFETLALLFGMMILVAVFSETGFFDYCAVKAYQLSRGRVWAMIFMLCLMAAILSAFLDNVTTMLLFTPVTIRLCEVLNLDPRQVLIAEVIFTNIGGAATAIGDPPNVIIVSNQELRKMGLDFAGFTAHMFLGICLVLLVSFPLLRLLYWNKKLYNKEPSEIVELKHEIHVWRLTAQRISPASREETAVRGLLLEKVLALEHLLAQRLHTFHRQISQEDKNWETNIQELQRKHRISDRSLLVKCLTVLGFVISMFFLNSFVPGIHLDLGWIAILGAIWLLILADIHDFEIILHRVEWATLLFFAALFVLMEALTHLHLVEYVGEQTALLIKMVPEDQRFAAAIVLIVWVSALASSLIDNIPFTATMIPVLLNLSQDPEISLPALPLMYALALGACLGGNGTLIGASTNVVCAGIAEKHGLGFPVMLMSCTIGMCYLLIAHIVVGWN
XP_006724645.1 26 760 0.745378639455781 IQ motif and SEC7 domain-containing protein 2 isoform X8 1212 0 14 735 0 Homo sapiens XP_006724645.1 1 RefSeq LNNIIESQQQLLETQRRRIEELEGQLDQLTQENRDLREESQLHRGELHRDPHGARDSPGRESQYQNLRETQFHHRELRESQFHQAARDVGYPNREGAYQNREAVYRDKERDASYPLQDTTGYTARERDVAQCHLHHENPALGRERGGREAGPAHPGREKEAGYSAAVGVGPRPPRERGQLSRGASRSSSPGAGGGHSTSTSTSPATTLQRKSDGENSRTVRSTASHTLHQYCCPTQVLDSMKLTPSGRLAESSVEGDAPGSDLSTAVDSPGSQPPYRLSQLPPSSSHMGGPPAGVGLPWAQRARLQPASVALRKQEEEEIKRSKALSDSYELSTDLQDKKVEMLERKYGGSFLSRRAARTIQTAFRQYRMNKNFERLRSSASESRMSRRIILSNMRMQFSFEEYEKAQNPAYFEGKPASLDEGAMAGARSHRLERGLPYGGSCGGGIDGGGSSVTTSGEFSNDITELEDSFSKQVKSLAESIDEALNCHPSGPMSEEPGSAQLEKRESKEQQEDSSATSFSDLPLYLDDTVPQQSPERLPSTEPPPQGRPEFWAPAPLPPVPPPVPSGTREDGSREEGTRRGPGCLECRDFRLRAAHLPLLTIEPPSDSSVDLSDRSDRGSVHRQLVYEADGCSPHGTLKHKGPPGRAPIPHRHYPAPEGPAPAPPGPLPPAPNSGTGPSGVAGGRRLGKCEAAGENSDGGDNESLESSSNSNETINCSSGSSSRDSLREPPATG
XP_008767899.1 470 1608 0.0810147497805095 Adenylate cyclase type 10; Germ cell soluble adenylyl cyclase; sAC; Testicular soluble adenylyl cyclase; EC 4.6.1.1 1608 0 14 1139 0 Rattus norvegicus (Rat) SwissProt::Q9Z286 1 SwissProt MFGMAYLICNRYEGYPLLGRVREIDYFMSTMKDFLMTNCSRVLMYEGLPGYGKSQVLMEIEYLASQHENHRAVAIALTKISFHQNFYTIQILMANVLGLDTCKHYKERQTNLQNRVKTLLDDKYHCLLNDIFHVQFPVSREMSRMSKIRKQKQLEALFMKILEQTVREERIIFIIDEAQFVDVASWAFIEKLIRSMPIFIVMSLCPFPETPCAAANAIMKNRNTTYITLGTMQPQEIRDKVCVDLSVSSIPRELDSYLVEGSCGIPYYCEELLKNLDHHRILIFQQAEAEEKTNVTWNNLFKYSVKPTEDMYLYTSIAAGQKEACYLTSGVRLKNLSPPASLKEISLVQLDSMSLSHQMLVRCAAIIGLTFTTELLFEILPCWNMKMMIKALATLVESNVFDCFRSSKDLQLALKQNVTTFEVHYRSLSLKSKEGLAYSEEEQLREMEGEVIECRILRFCRPIMQKTAYELWLKDQKKVLHLKCARFLEESAHRCNHCRNRDFIPYHHFIADIRLNTLDMDTVKKMVKSHGFKTEDEVIFSKSEIPRKFKFPENISITETREKILHFFDNVIIKMRTSQDDVIPLESCHCEELLQIVILPLAQHFVALEENNKALYYFLELASAYLILGDNYNAYMYLGEGERLLKSLTNEDSWSQTFEYATFYSLKGEICFNMGQMVLAKKMLRKALKLLNRMFPCNLLSLTFQMHIEKNRLSHFMNQHTQEGSLPGKKLAQLFLQSSCFSLLWKIYSLNFFFHYKYYGRLAAIMQMNTSLETQNNFQIIKAFLDFSLYRHLAGYEGVWFKYEILVMEQLLNLPLKGEAFEIMAYAADALGHIKFLTGHLDLAIELGSRAHKMWSLLRNPNKYHMVLCRLSKPLFLKSRYKHLVQVLGWLWDLSVTEEHIFSKAFFYFVCLDIMLYSGFIYRTFEECLEFIHHNEDNRILKFQSGLLLGLYSCIAVWYARLQEWDNFYKFSNRAKTLVTRRTPTVLYYEGISRYMEGQVLHLQKQIEEQAENAQDSGVELLKALETLVAQNTTGPVFYPRLYHLMAYVCILMGDGHSCDFFLNTALELSETQGNLLEKCWLSMSKEWWYSAPELTGDQWLQTVLSLPSWDKIVSGNVTLQDVQKNKFLMRVNILDNPF
XP_011243698.1 1 1945 0.226068483290489 PF03568.17:Peptidase_C50:1718:1928 separase (EC 3.4.22.49) 2118 211 14 1945 0 Mus musculus BRENDA::P60330 1 BRENDA MRNFKGVNFATLLCSKEETQQLLPDLKEFLSRSRTDFPSSRTDAERRQICDTILRACTQQLTAKLDCPGHLRSILDLAELACDGYLLSTPQRPPLYLERILFILLRNGSTQGSPDTVLRLAQPLHACLVQNSGEAAPQDYEAVTRGSFSLFWKGAEALLERRAAFSTRLNALSFLVLLEDGSVPCEVPHFASPTACRLVAAYQLYDATGQGLDEADADFLYEVLSRHLIRVLVGEGGSSPGPLSPQRALCLLEITLEHCRRLCWNHHHRQAARAVERARNHLEKTSVAPSLQLCQMGVELLEAVEERPGAVAQLLRKAAAVLINSIEAPSPPLRALYDSCQFFLSGLERGIRRHCGLDAILSLFAFLGGYSSLVRHLREVSEASSKQQQCLLQMHFQGFHLFTGIVYDFAQGCQATELAQLVDGCRSAAVWMLEALEGLSGGELADYLSMTASYTSNLAYSFFSQKLYEEACVISEPVCQHLGSATSGACPEVPPEKLHRCFRLHVESLKKLGKQAQGCKMVTLWLAALKPYSLEHMVEPVTFWVRVKMDASRAGDKELQLQTLRDSLSCWDPETQSLLLREELRAYKSVRADTGQERFNIICDLLELSPEETAAGAWARATYLVELAQVLCYHNFTQQTNCSALDAVQEALQLLESVSPEAQEQDRLLDDKAQALLWLYICTLEAKMQEGIERDRRAQAPSNLEEFEVNDLNYEDKLQEDRFLYSSIAFNLAADAAQSKCLDQALTLWKEVLTKGRAPAVRCLQQTAASLQILAAVYQLVAKPLQALETLLLLQIVSKRLQDHAKAASSSCQLTQLLLNLGCPSYAQLYLEEAESSLRSLDQTSDACQLLSLTCALLGSQLCWACQKVTAGVSLLLSVLRDPALQKSSKAWYLLRVQALQVLAFYLSLSSNLLSSALREQLWDQGWQTPETALIDAHKLLRSIIILLMGSDVLSIQKAATESPFLDYGENLVQKWQVLTEVLTCSERLVGRLGRLGNVSEAKAFCLEALKLTTKLQIPRQCALFLVLKGELELARGDIDLCQSDLQQVLFLLESSTEFGVVTQHPDSVKKVHTQKGKHKAQGPCFPPLSEEEPFLKGPALELVDTVLNEPGPIQSSVNSSPVLKTKPPPNPGFLSHLPSCDCLLCASPALSAVCLRWVLVTAGVRLATGHKAQGLDLLQAVLTRCPAATKRFTQSLQASLNHRTTPSCVPSLFDEIMAQVYTHLALEFLNQTSEKSLGKVLASGLKFVATRIQSLEIWRAHLLLVQALAKLAHFSCCTSELFASSWGWHPPLVKSLPVLEPAKIRRQKCSGRGRRRIASVPPPLHNSSQKGLEEEGPPCTPKPPGRARQAGPRVPFTIFEEVHPTKSKLQVPLAPRVHRRAQTRLKVIFSDDSDLEDLVSADTQLVEEPKRRGTASRTRGQTRKGRSLKTDAVVAIESTPGHSSVSGRTRRARKVASRNCEEESPKAPLCVWASQGPEIMRSIPEEEPVDNHLEKSFEILRGSDGEDSASGEKAAAADTGLPVGECEVLRRDSSKAERPVLYSDTEANSDPSPWLPPFSVPAPIDLSTLDSISDSLSIAFRGVSHCPPSGLYAHLCRFLALCLGHRDPYATAFLVAESISITCRHQLLTHLHRQLSKAQKQQESPELAEHLQRLDLKERPGGVPLARIQRLFSFKALGSGCFPQAEKESFQERLALIPSGVTVCVLALATLQPGTLSNTLLLTRLEKDNPPITVKIPTAQNKLPLSAVLKEFDAIQKDQKENSSCTEKRVWWTGRLALDQRMEALITALEEQVLGCWRGLLLPCSADPSLAQEASKLQELLRECGWEYPDSTLLKVILSGARILTSQDVQALACGLCPAQPDRAQVLLSEAVGQVQSQEAPRSQHLVLVLDKDLQKLPWESTPILQAQPVTRLPSFRFLLSYTVTKEAGASSVLSQGVDPQNTF
XP_011243847.1 251 737 0.74836386036961 protein MTSS 1 isoform X12 769 0 14 487 0 Mus musculus XP_011243847.1 1 RefSeq LKGSDYSWSYQTPPSSPSTTMSRKSSVCSSLNSVNSSDSRSSGSHSHSPSSHYRYRSSNLAQQAPVRLSSVSSHDSGFISQDAFQSKSPSPMPPEAANQNSSSSASSEASETCQSVSECSSPTSVSSGSTMGAWVSTEKDWAKPGPYDQPLVNTLQRRKEKREPDSNGGGPTTTGGPPAGAEEAQRPRSMTVSAATRPGEEMAACEELTLALSRGLQLDVQRSSRDSLQCSSGYSTQTTTPCCSEDTIPSQGTRRPACRPAFRAGPAWEKKSCGPGPGPSNRILSSVSDYDYFSVSGDQEAEQQEFDKSSTIPRNSDISQSYRRMFQAKRPASTAGLPTTLGPAMVTPGVATIRRTPSTKPSVRRGTIGAGPIPIKTPVIPVKTPTVPDLPGVLPSPPDGPEERGEHSPESPSAGEGPQGVSNIPSSLWSGQAPVNPPLPGPKPSIPEEHRQAIPESEAEDQERDPPSATVSPGPIPESDPADLSPR
XP_011513567.1 408 908 0.2686500998004 PF14728.6:PHTB1_C:34:412 protein PTHB1 isoform X1 908 379 14 501 0 Homo sapiens XP_011513567.1 1 RefSeq EDDLNVSVVVSPNFDSVSQATDVEVGTDLVPSVTVKVTLQNRVILQKAKLSVYVQPPLELTCDQFTFEFMTPDLTRTVSFSVYLKRSYTPSELEGNAVVSYSRPTDRNPDGIPRVIQCKFRLPLKLICLPGQPSKTASHKITIDTNKSPVSLLSLFPGFASQSDDDQVNVMGFHFLGGARITVLASKTSQRYRIQSEQFEDLWLITNELILRLQEYFEKQGVKDFACSFSGSIPLQEYFELIDHHFELRINGEKLEELLSERAVQFRAIQRRLLARFKDKTPAPLQHLDTLLDGTYKQVIALADAVEENQGNLFQSFTRLKSATHLVILLIALWQKLSADQVAILEAAFLPLQEDTQELGWEETVDAAISHLLKTCLSKSSKEQALNLNSQLNIPKDTSQLKKHITLLCDRLSKGGRLCLSTDAAAPQTMVMPGGCTTIPESDLEERSVEQDSTELFTNHRHLTAETPRPDPRTGQRIEAWTLRIPHSLSQHLQLMEGPKS
XP_011515968.1 1 271 0.432042435424355 PF11945.8:WASH_WAHD:62:266 WASH complex subunit 1 isoform X9 440 205 14 271 0 Homo sapiens XP_011515968.1 1 RefSeq MSGDPSLLYLWHHDSCEDAALPGRSDLCRALHPARPAARGGRPADGGCPAVPAEGLWRHLQQVFSSAKYPAPGRLQEYGSIFTGAQDPGLQRRPRHRIQSKHRPLDERALQEKLKDFPVCVSTKPEPEDDAEEGLGGLPSNISSVSSLLLFNTTENLYKKYVFLDPLAGAVTKTHVMLGAETEEKLFDAPLSISKREQLEQQVPENYFYVPDLGQVPEIHVPSYLPDLPGIANDLMYSADLGPGIAPSAPGTIPELPTFHTEVAEPLKVDL
XP_011519676.1 61 1258 0.437092070116862 centrosomal protein of 152 kDa isoform X4 1401 0 14 1198 0 Homo sapiens XP_011519676.1 1 RefSeq TDGQPHHPEQLEMSWNEQMLPKSQSVNGYNEIQSLYAGEKCGNVWEENRSKTEDRHPVYHPEEGGDEGGSGYSPPSKCEQTDLYHLPENFRPYTNGQKQEFNNQATNVIKFSDPQWNHFQGPSCQGLEPYNKVTYKPYQSSAQNNGSPAQEITGSDTFEGLQQQFLGANENSAENMQIIQLQVLNKAKERQLENLIEKLNESERQIRYLNHQLVIIKDEKDGLTLSLRESQKLFQNGKEREIQLEAQIKALETQIQALKVNEEQMIKKSRTTEMALESLKQQLVDLHHSESLQRAREQHESIVMGLTKKYEEQVLSLQKNLDATVTALKEQEDICSRLKDHVKQLERNQEAIKLEKTEIINKLTRSLEESQKQCAHLLQSGSVQEVAQLQFQLQQAQKAHAMSANMNKALQEELTELKDEISLYESAAKLGIHPSDSEGELNIELTESYVDLGIKKVNWKKSKVTSIVQEEDPNEELSKDEFILKLKAEVQRLLGSNSMKRHLVSQLQNDLKDCHKKIEDLHQVKKDEKSIEVETKTDTSEKPKNQLWPESSTSDVVRDDILLLKNEIQVLQQQNQELKETEGKLRNTNQDLCNQMRQMVQDFDHDKQEAVDRCERTYQQHHEAMKTQIRESLLAKHALEKQQLFEAYERTHLQLRSELDKLNKEVTAVQECYLEVCREKDNLELTLRKTTEKEQQTQEKIKEKLIQQLEKEWQSKLDQTIKAMKKKTLDCGSQTDQVTTSDVISKKEMAIMIEEQKCTIQQNLEQEKDIAIKGAMKKLEIELELKHCENITKQVEIAVQNAHQRWLGELPELAEYQALVKAEQKKWEEQHEVSVNKRISFAVSEAKEKWKSELENMRKNILPGKELEEKIHSLQKELELKNEEVPVVIRAELAKARSEWNKEKQEEIHRIQEQNEQDYRQFLDDHRNKINEVLAAAKEDFMKQKTELLLQKETELQTCLDQSRREWTMQEAKRIQLEIYQYEEDILTVLGVLLSDTQKEHISDSEDKQLLEIMSTCSSKWMSVQYFEKLKGCIQKAFQDTLPLLVENADPEWKKRNMAELSKDSASQGTGQGDPGPAAGHHAQPLALQATEAEADKKKVLEIKDLCCGHCFQELEKAKQECQDLKGKLEKCCRHLQHLERKHKAVVEKIGEENNKVVEELIEENNDMKNKLEELQTLCKTPPRSLSAGAIENACLPC
XP_011520342.1 1 1263 0.63739493269992 inactive tyrosine-protein kinase PEAK1 isoform X2 1366 0 14 1263 0 Homo sapiens XP_011520342.1 1 RefSeq MSACNTFTEHVWKPGECKNCFKPKSLHQLPPDPEKAPITHGNVKTNANHSNNHRIRNTGNFRPPVAKKPTIAVKPTMIVADGQSICGELSIQEHCENKPVIIGWNRNRAALSQKPLNNNNEDDEGISHVPKPYGNNDSAKKMSDNNNGLTEVLKEIAGLDTAPQIRGNETNSRETFLGRINDCYKRSLERKLPPSCMIGGIKETQGKHVILSGSTEVISNEGGRFCYPEFSSGEESEEDVLFSNMEEEHESWDESDEELLAMEIRMRGQPRFANFRANTLSPVRFFVDKKWNTIPLRNKSLQRICAVDYDDSYDEILNGYEENSVVSYGQGSIQSMVSSDSTSPDSSLTEESRSETASSLSQKICNGGLSPGNPGDSKDMKEIEPNYESPSSNNQDKDSSQASKSSIKVPETHKAVLALRLEEKDGKIAVQTEKEESKASTDVAGQAVTINLVPTEEQAKPYRVVNLEQPLCKPYTVVDVSAAMASEHLEGPVNSPKTKSSSSTPNSPVTSSSLTPGQISAHFQKSSAIRYQEVWTSSTSPRQKIPKVELITSGTGPNVPPRKNCHKSAPTSPTATNISSKTIPVKSPNLSEIKFNSYNNAGMPPFPIIIHDEPTYARSSKNAIKVPIVINPNAYDNLAIYKSFLGTSGELSVKEKTTSVISHTYEEIETESKVPDNTTSKTTDCLQTKGFSNSTEHKRGSVAQKVQEFNNCLNRGQSSPQRSYSSSHSSPAKIQRATQEPVAKIEGTQESQMVGSSSTREKASTVLSQIVASIQPPQSPPETPQSGPKACSVEELYAIPPDADVAKSTPKSTPVRPKSLFTSQPSGEAEAPQTTDSPTTKVQKDPSIKPVTPSPSKLVTSPQSEPPAPFPPPRSTSSPYHAGNLLQRHFTNWTKPTSPTRSTEAESVLHSEGSRRAADAKPKRWISFKSFFRRRKTDEEDDKEKEREKGKLVGLDGTVIHMLPPPPVQRHHWFTEAKGESSEKPAIVFMYRCDPAQGQLSVDQSKARTDQAAVMEKGRAENALLQDSEKKRSHSSPSQIPKKILSHMTHEVTEDFSPRDPRTVVGKQDGRGCTSVTTALSLPELEREDGKEDISDPMDPNPCSATYSNLGQSRAAMIPPKQPRQPKGAVDDAIAFGGKTDQEAPNASQPTPPPLPKKMIIRANTEPISKDLQKSMESSLCVMANPTYDIDPNWDASSAGSSISYELKGLDIESYDSLERPLRKERPVPSAANSISSLTTLSIKDRFSNSMESLSSRRGPSCR
XP_011524074.1 1 564 0.303302304964539 PF11957.8:efThoc1:72:544 THO complex subunit 1 isoform X1 664 473 14 564 0 Homo sapiens XP_011524074.1 1 RefSeq MSPTPPLFSLPEARTRFTKSTREALNNKNIKPLLSTFSQVPGSENEKKCTLDQAFRGILEEEIINHSSCENVLAIISLAIGGVTEGICTASTPFVLLGDVLDCLPLDQCDTIFTFVEKNVATWKSNTFYSAGKNYLLRMCNDLLRRLSKSQNTVFCGRIQLFLARLFPLSEKSGLNLQSQFNLENVTVFNTNEQESTLGQKHTEDREEGMDVEEGEMGDEEAPTTCSIPIDYNLYRKFWSLQDYFRNPVQCYEKISWKTFLKYSEEVLAVFKSYKLDDTQASRKKMEELKTGGEHVYFAKFLTSEKLMDLQLSDSNFRRHILLQYLILFQYLKGQVKFKSSNYVLTDEQSLWIEDTTKSVYQLLSENPPDGERFSKMVEHILNTEENWNSWKNEGCPSFVKESVCFVHDRTSDTKPTRIIRKRTAPEDFLGKGPTKKILMGNEELTRLWNLCPDNMEACKSETREHMPTLEEFFEEAIEQADPENMVENEYKAVNNSNYGWRALRLLARRSPHFFQPTNQQFKSLPEYLENMVIKLAKELPPPSEEIKTGEDEDEEDNDALLKE
XP_011525845.1 1 297 0.262688215488215 PF00335.20:Tetraspanin:2:199 leukocyte antigen CD37 isoform X2 297 198 14 251 2 Homo sapiens XP_011525845.1 1 RefSeq MGIALLGCVGALKELRCLLGLYFGMLLLLFATQITLGILISTQRAQLERSLRDVVEKTIQKYGTNPEETAAEESWDYVQFQLRCCGWHYPQDWFQVLILRGNGSEAHRVPCSCYNLSATNDSTILDKVILPQLSRLGHLARSRHSADICAVPAESHIYREGCAQGLQKWLHNNLISIVGICLGVGLLEMTVMVLSVQLQRRALRRKCEPHVPGAGDSSPGPSLIADGGGGHSGSLWGGWGMAGACPNWGDKAPQGKLPMALGLWPLWVQDEDQPDTGSAGAELEEAQLEAEAEGEDR
XP_011526596.1 128 394 0.134377153558052 PF03798.16:TRAM_LAG1_CLN8:5:198 Ceramide synthase 4; CerS4; LAG1 longevity assurance homolog 4; Sphingosine N-acyltransferase CERS4; EC 2.3.1.-; EC 2.3.1.24 394 194 14 175 4 Homo sapiens (Human) SwissProt::Q9HA82 1 SwissProt QLTKKFCEASWRFLFYLSSFVGGLSVLYHESWLWAPVMCWDRYPNQTLKPSLYWWYLLELGFYLSLLIRLPFDVKRKDFKEQVIHHFVAVILMTFSYSANLLRIGSLVLLLHDSSDYLLEACKMVNYMQYQQVCDALFLIFSFVFFYTRLVLFPTQILYTTYYESISNRGPFFGYYFFNGLLMLLQLLHVFWSCLILRMLYSFMKKGQMEKDIRSDVEESDSSEEAAAAQEPLQLKNGAAGGPRPAPTDGPRSRVAGRLTNRHTTAT 1
XP_011533201.1 1 327 0.304560550458716 PF04089.14:BRICHOS:143:189 leukocyte cell-derived chemotaxin 1 isoform X3 327 47 14 304 1 Homo sapiens XP_011533201.1 1 RefSeq MPCTSGQRDRSQGAQGVSKCLTPPAANMTENSDKVPIALVGPDDVEFCSPPAYATLTVKPSSPARLLKVGAVVLISGAVLLLFGAIGAFYFWKGSDSHIYNVHYTMSINGKLQDGSMEIDAGNNLETFKMGSGAEEAIAVNDFQNEGKIMPVKYEENSLIWVAVDQPVKDNSFLSSKVLELCGDLPIFWLKPTYPKEIFAEIQRERREVVRKIVPTTTKRPHSGPRSNPGAGRLNNETRPSVQEDSQAFNPDNPYHQQEGESMTFDPRLDHEGICCIECRRSYTHCQKICEPLGGYYPWPYNYQGCRSACRVIMPCSWWVARILGMV
XP_011538487.1 155 893 0.491550067658998 PF00013.29:KH_1:4:71,PF00013.29:KH_1:157:219 protein bicaudal C homolog 1 isoform X1 998 131 14 739 0 Homo sapiens XP_011538487.1 1 RefSeq NRVTLKMDVSHTEHSHVIGKGGNNIKKVMEETGCHIHFPDSNRNNQAEKSNQVSIAGQPAGVESARVRIRELLPLVLMFELPIAGILQPVPDPNSPSIQHISQTYNISVSFKQRSRMYGATVIVRGSQNNTSAVKEGTAMLLEHLAGSLASAIPVSTQLDIAAQHHLFMMGRNGSNIKHIMQRTGAQIHFPDPSNPQKKSTVYLQGTIESVCLARQYLMGCLPLVLMFDMKEEIEVDPQFIAQLMEQLDVFISIKPKPKQPSKSVIVKSVERNALNMYEARKCLLGLESSGVTIATSPSPASCPAGLACPSLDILASAGLGLTGLGLLGPTTLSLNTSTTPNSLLNALNSSVSPLQSPSSGTPSPTLWAPPLANTSSATGFSAIPHLMIPSTAQATLTNILLSGVPTYGHTAPSPPPGLTPVDVHINSMQTEGKKISAALNGHAQSPDIKYGAISTSSLGEKVLSANHGDPSIQTSGSEQTSPKSSPTEGCNDAFVEVGMPRSPSHSGNAGDLKQMMCPSKVSCAKRQTVELLQGTKNSHLHSTDRLLSDPELSATESPLADKKAPGSERAAERAAAAQQNSERAHLAPRSSYVNMQAFDYEQKKLLATKAMLKKPVVTEVRTPTNTWSGLGFSKSMPAETIKELRRANHVSYKPTMTTTYEGSSMSLSRSNSREHLGGGSESDNWRDRNGIGPGSHSEFAASIGSPKRKQNKSTEHYLSSSNYMDCISSLTGSNGCNL
XP_011541011.1 1 1540 0.570131233766233 PF00169.29:PH:1421:1528 pleckstrin homology-like domain family B member 1 isoform X8 1540 108 14 1540 0 Homo sapiens XP_011541011.1 1 RefSeq MDALNRNQIGPGCQTQTMVQKGPLDLIETGKGLKVQTDKPHLVSLGSGRLSTAITLLPLEEGRTVIGSAARDISLQGPGLAPEHCYIENLRGTLTLYPCGNACTIDGLPVRQPTRLTQGCMLCLGQSTFLRFNHPAEAKWMKSMIPAGGRAPGPPYSPVPAESESLVNGNHTPQTATRGPSACASHSSLVSSIEKDLQEIMDSLVLEEPGAAGKKPAATSPLSPMANGGRYLLSPPTSPGAMSVGSSYENTSPAFSPLSSPASSGSCASHSPSGQEPGPSVPPLVPARSSSYHLALQPPQSRPSGARSESPRLSRKGGHERPPSPGLRGLLTDSPAATVLAEARRATESPRLGGQLPVVAISLSEYPASGALSQPTSIPGSPKFQPPVPAPRNKIGTLQDRPPSPFREPPGSERVLTTSPSRQLVGRTFSDGLATRTLQPPESPRLGRRGLDSMRELPPLSPSLSRRALSPLPTRTTPDPKLNREVAESPRPRRWAAHGASPEDFSLTLGARGRRTRSPSPTLGESLAPHKGSFSGRLSPAYSLGSLTGASPCQSPCVQRKLSSGDLRVPVTRERKNSITEISDNEDDLLEYHRRQRQERLREQEMERLERQRLETILNLCAEYSRADGGPEAGELPSIGEATAALALAGRRPSRGLAGASGRSSEEPGVATQRLWESMERSDEENLKEECSSTESTQQEHEDAPSTKLQGEVLALEEERAQVLGHVEQLKVRVKELEQQLQESAREAEMERALLQGEREAERALLQKEQKAVDQLQEKLVALETGIQKERDKERAELAAGRRHLEARQALYAELQTQLDNCPESVREQLQEQLRREAEALETETKLFEDLEFQQLERESRVEEERELAGQGLLRSKAELLRSIAKRKERLAILDSQAGQIRAQAVQESERLARDKNASLQLLQKEKEKLTVLERRYHSLTGGRPFPKTTSTLKEAELLISESSEMGLGTKALGLFPGSSQAGASSVSLTPPASTLLCPKAQEYVMLEQLKVMRGTSPMPPAPVPGLSPWASASRDLVPTTCLPPMLPSSSFASITPSPKMEKLLLPAVDLEQWYQELMAGLGTGPAAASPHSSPPPLPAKASRQLQVYRSKMDGEATSPLPRTRSGPLPSSSGSSSSSSQLSVATLGRSPSPKSALLTQNGTGSLPRNLAATLQDIETKRQLALQQKVESLPAEPLPTDDPAGQQVIEEQRRRLAELKQKAAAEAQCQWDALHGAAPFPAGPSGFPPLMHHSILHHLPAGRERGEEGEHAYDTLSLESSDSMETSISTGGNSACSPDNMSSASGLDMGKIEEMEKMLKEAHAEKNRLMESREREMELRRQALEEERRRREQVERRLQSESARRQQLVEKEVKMREKQFSQARPLTRYLPIRKEDFDLKTHIESSGHGVDTCLHVVLSSKVCRGYLVKMGGKIKSWKKRWFVFDRLKRTLSYYVDKHETKLKGVIYFQAIEEVYYDHLRSAAKKRFFRFTMVTESPNPALTFCVKTHDRLYYMVAPSAEAMRIWMDVIVTGAEGYTQFMN
XP_016855975.1 238 630 0.461735368956743 spindle assembly abnormal protein 6 homolog isoform X1 630 0 14 393 0 Homo sapiens XP_016855975.1 1 RefSeq TERKYKGDSTIRELKAKLSGVEEELQRTKQEVLSLRRENSTLDVECHEKEKHVNQLQTKVAVLEQEIKDKDQLVLRTKEAFDTIQEQKVVLEENGEKNQVQLGKLEATIKSLSAELLKANEIIKKLQGDLKTLMGKLKLKNTVTIQQEKLLAEKEEKLQKEQKELQDVGQSLRIKEQEVCKLQEQLEATVKKLEESKQLLKNNEKLITWLNKELNENQLVRKQDVLGPSTTPPAHSSSNTIRSGISPNLNVVDGRLTYPTCGIGYPVSSAFAFQNTFPHSISAKNTSHPGSGTKVQFNLQFTKPNASLGDVQSGATISMPCSTDKENGENVGLESKYLKKREDSIPLRGLSQNLFSNSDHQRDGTLGALHTSSKPTALPSASSAYFPGQLPNS
XP_016859806.1 1 2293 0.208918054949848 PF15492.6:Nbas_N:90:371,PF08314.11:Sec39:726:1365 neuroblastoma-amplified sequence isoform X3 2293 922 14 2293 0 Homo sapiens XP_016859806.1 1 RefSeq MAAPESGPALSPGTAEGEEETILYDLLVNTEWPPETEVQPRGNQKHGASFIITKAIRDRLLFLRQYIWYSPAPFLLPDGLVRLVNKQINWHLVLASNGKLLAAVQDQCVEIRSAKDDFTSIIGKCQVPKDPKPQWRRVAWSYDCTLLAYAESTGTVRVFDLMGSELFVISPASSFIGDLSYAIAGLIFLEYKASAQWSAELLVINYRGELRSYLVSVGTNQSYQESHCFSFSSHYPHGINTAIYHPGHRLLLVGGCETAEVGMSKASSCGLSAWRVLSGSPYYKQVTNGGDGVTAVPKTLGLLRMLSVKFYSRQGQEQDGIFKMSLSPDGMLLAAIHFSGKLSIWAIPSLKQQGEWGQNEQPGYDDLNPDWRLSTEKRKKIKDKESFYPLIDVNWWADSAVTLARCSGALTVSSVKTLKNLLGKSCEWFEPSPQVTATHDGGFLSLECEIKLAPKRSRLETRAGEEDEGEEDSDSDYEISAKARYFGYIKQGLYLVTEMERFAPPRKRPRTITKNYRLVSLRSTTPEELYQRKIESEEYEEALSLAHTYGLDTDLVYQRQWRKSAVNVASIQNYLSKIKKRSWVLHECLERVPENVDAAKELLQYGLKGTDLEALLAIGKGADDGRFTLPGEIDIDSISYEELSPPDEEPAKNKKEKELKKRQELLKLVNFSKLTLEQKELCRCRRKLLTYLDRLATYEEILGVPHASEQRYDAEFFKKFRNQNIVLSARTYAQESNVQALEILFTYHGSDLLPHRLAILSNFPETTSPHEYSVLLPEACFNGDSLMIIPWHEHKHRAKDWCEELACRMVVEPNLQDESEFLYAAQPELLRFRMTQLTVEKVMDWYQTRAEEIEHYARQVDCALSLIRLGMERNIPGLLVLCDNLVTLETLVYEARCDVTLTLKELQQMKDIEKLRLLMNSCSEDKYVTSAYQWMVPFLHRCEKQSPGVANELLKEYLVTLAKGDLKFPLKIFQHSKPDLQQKIIPDQDQLMAIALECIYTCERNDQLCLCYDLLECLPERGYGDKTEATTKLHDMVDQLEQILSVSELLEKHGLEKPISFVKNTQSSSEEARKLMVRLTRHTGRKQPPVSESHWRTLLQDMLTMQQNVYTCLDSDACYEIFTESLLCSSRLENIHLAGQMMHCSACSENPPAGIAHKGKPHYRVSYEKSIDLVLAASREYFNSSTNLTDSCMDLARCCLQLITDRPPAIQEELDLIQAVGCLEEFGVKILPLQVRLCPDRISLIKECISQSPTCYKQSTKLLGLAELLRVAGENPEERRGQVLILLVEQALRFHDYKAASMHCQELMATGYPKSWDVCSQLGQSEGYQDLATRQELMAFALTHCPPSSIELLLAASSSLQTEILYQRVNFQIHHEGGENISASPLTSKAVQEDEVGVPGSNSADLLRWTTATTMKVLSNTTTTTKAVLQAVSDGQWWKKSLTYLRPLQGQKCGGAYQIGTTANEDLEKQGCHPFYESVISNPFVAESEGTYDTYQHVPVESFAEVLLRTGKLAEAKNKGEVFPTTEVLLQLASEALPNDMTLALAYLLALPQVLDANRCFEKQSPSALSLQLAAYYYSLQIYARLAPCFRDKCHPLYRADPKELIKMVTRHVTRHEHEAWPEDLISLTKQLHCYNERLLDFTQAQILQGLRKGVDVQRFTADDQYKRETILGLAETLEESVYSIAISLAQRYSVSRWEVFMTHLEFLFTDSGLSTLEIENRAQDLHLFETLKTDPEAFHQHMVKYIYPTIGGFDHERLQYYFTLLENCGCADLGNCAIKPETHIRLLKKFKVVASGLNYKKLTDENMSPLEALEPVLSSQNILSISKLVPKIPEKDGQMLSPSSLYTIWLQKLFWTGDPHLIKQVPGSSPEWLHAYDVCMKYFDRLHPGDLITVVDAVTFSPKAVTKLSVEARKEMTRKAIKTVKHFIEKPRKRNSEDEAQEAKDSKVTYADTLNHLEKSLAHLETLSHSFILSLKNSEQETLQKYSHLYDLSRSEKEKLHDEAVAICLDGQPLAMIQQLLEVAVGPLDISPKDIVQSAIMKIISALSGGSADLGGPRDPLKVLEGVVAAVHASVDKGEELVSPEDLLEWLRPFCADDAWPVRPRIHVLQILGQSFHLTEEDSKLLVFFRTEAILKASWPQRQVDIADIENEENRYCLFMELLESSHHEAEFQHLVLLLQAWPPMKSEYVITNNPWVRLATVMLTRCTMENKEGLGNEVLKMCRSLYNTKQMLPAEGVKELCLLLLNQSLLLPSLKLLLESRDEHLHEMALEQITAVTTNGLRCNRCLTWGR
XP_016860536.1 1 698 0.490992406876791 Testis-specific gene 10 protein; Testis development protein NYD-SP7 698 0 14 698 0 Homo sapiens (Human) SwissProt::Q9BZW7 1 SwissProt MMRSRSKSPRRPSPTARGANCDVELLKTTTRDREELKCMLEKYERHLAEIQGNVKVLKSERDKIFLLYEQAQEEITRLRREMMKSCKSPKSTTAHAILRRVETERDVAFTDLRRMTTERDSLRERLKIAQETAFNEKAHLEQRIEELECTVHNLDDERMEQMSNMTLMKETISTVEKEMKSLARKAMDTESELGRQKAENNSLRLLYENTEKDLSDTQRHLAKKKYELQLTQEKIMCLDEKIDNFTRQNIAQREEISILGGTLNDLAKEKECLQACLDKKSENIASLGESLAMKEKTISGMKNIIAEMEQASRQCTEALIVCEQDVSRMRRQLDETNDELAQIARERDILAHDNDNLQEQFAKAKQENQALSKKLNDTHNELNDIKQKVQDTNLEVNKLKNILKSEESENRQMMEQLRKANEDAENWENKARQSEADNNTLKLELITAEAEGNRLKEKVDSLNREVEQHLNAERSYKSQISTLHKSVVKMEEELQKVQFEKVSALADLSSTRELCIKLDSSKELLNRQLVAKDQEIEMRENELDSAHSEIELLRSQMANERISMQNLEALLVANRDKEYQSQIALQEKESEIQLLKEHLCLAENKMAIQSRDVAQFRNVVTQLEADLDITKRQLGTERFERERAVQELRRQNYSSNAYHMSSTMKPNTKCHSPERAHHRSPDRGLDRSLEENLCYRDF
XP_016864897.1 1 137 0.296009489051095 Kv channel-interacting protein 1 isoform X2 137 0 14 137 0 Homo sapiens XP_016864897.1 1 RefSeq MSGCSKRCKLGFVKFAQTIFKLITGTLSKGYILGRPPRGPSFKDRLSIIKTGGTEHLNHQTLGQTSPHAFSHFIVLRSPEGQGFQCHLCHVFQLIYLQASTPHFPSSSRRHHPTGRNFKQGVLPCTPVQGLGTWTHM
XP_016865334.1 1 449 0.576743429844098 PF05920.11:Homeobox_KN:145:184,PF00046.29:Homeodomain:136:187 iroquois-class homeodomain protein IRX-1 isoform X1 449 52 14 449 0 Homo sapiens XP_016865334.1 1 RefSeq MSFPQLGYPQYLSAAGPGAYGGERPGVLAAAAAAAAAASSGRPGAAELGGGAGAAAVTSVLGMYAAAGPYAGAPNYSAFLPYAADLSLFSQMGSQYELKDNPGVHPATFAAHTAPAYYPYGQFQYGDPGRPKNATRESTSTLKAWLNEHRKNPYPTKGEKIMLAIITKMTLTQVSTWFANARRRLKKENKVTWGARSKDQEDGALFGSDTEGDPEKAEDDEEIDLESIDIDKIDEHDGDQSNEDDEDKAEAPHAPAAPSALARDQGSPLAAADVLKPQDSPLGLAKEAPEPGSTRLLSPGAAAGGLQGAPHGKPKIWSLAETATSPDGAPKASPPPPAGHPGAHGPSAGAPLQHPAFLPSHGLYTCHIGKFSNWTNSAFLAQGSLLNMRSFLGVGAPHAAPHGPHLPAPPPPQPPVAIAPGALNGDKASVRSSPTLPALWPRRRERRGS
XP_016867003.1 1 413 0.595016707021792 PF17098.5:Wtap:85:239 pre-mRNA-splicing regulator WTAP isoform X1 413 155 14 413 0 Homo sapiens XP_016867003.1 1 RefSeq MRGKASVSKFSKLTGFKMTNEEPLPKKVRLSETDFKVMARDELILRWKQYEAYVQALEGKYTDLNSNDVTGLRESEEKLKQQQQESARRENILVMRLATKEQEMQECTTQIQYLKQVQQPSVAQLRSTMVDPAINLFFLKMKGELEQTKDKLEQAQNELSAWKFTPDSQTGKKLMAKCRMLIQENQELGRQLSQGRIAQLEAELALQKKYSEELKSSQDELNDFIIQLDEEVEGMQSTILVLQQQLKETRQQLAQYQQQQSQASAPSTSRTTASEPVEQSEATSKDCSRLTNGPSNGSSSRQRTSGSGFHREGNTTEDDFPSSPGNGNKSSNSSEERTGRGGSGYVNQLSAGYESVDSPTGSENSLTHQSNDTDSSHDPQEEKAVSGKGNRTVGSRHVQNGLDSSVNVQGSVL
XP_016868112.1 1 1186 0.775340893760538 PF15441.6:ARHGEF5_35:1:478 rho guanine nucleotide exchange factor 5 isoform X1 1186 478 14 1186 0 Homo sapiens XP_016868112.1 1 RefSeq MEAEEAQRGASPPISAIEEFSIIPEAPMRSSQVSALGLEAQEDEDPSYKWREEHRLSATQQSELRDVCDYAIETMPSFPKEGSADVEPNQESLVAEACDTPEHWEAVPQSLAGRQARTLAPPELWACPIQSEHLDMAPFSSDLGSEEEEVEFWPGLTSLTLGSGQAEEEEETSSDNSGQTRYYSPCEEHPAETNQNEGSESGTIRQGEELPPEELQESQGLLHPQEVQVLEEQGQQEAGFRGEGTLREDVCADGLLGEEQMIEQVNDEKGEQKQKQEQVQDVMLGRQGERMGLTGEPEGLNDGEWEQEDMERKAQGQGGPEQGEERKRELQVPEENRADSQDEKSQTFLGKSEEVTGKQEDHGIKEKGVPVSGQEAKEPESWDGGRLGAVGRARSREEENEHHGPSMPALIAPEDSPHCDLFPGASYLMTQIPGTQTESRAEELSPAALSPSLEPIRCSHQPISLLGSFLTEESPDKEIDQNSQQEESRLRKGTVSSQGTEVVFASASVTPPRTPDSAPPSPAEAYPITPASVSARPPVAFPRRETSCAARAPETASAPLSMDDPSPCGTSEMCPAALYGFPSTGTSPPRPPANSTGTVQHLRSDSFPGSHRTEQTPDLVGMLLSYSHSELPQRPPKPAIYSSVTPRRDRRSGRDYSTVSASPTALSTLKQDSQESISNLERPSSPPSIQPWVSPHNPAFATESPAYGSSPSFVSMEDVRIHEPLPPPPPQRRDTHPSVVETDGHARVVVPTLKQHSHPPPLALGSGLHAPHKGPLPQASDPAVARQHRPLPSTPDSSHHAQATPRWRYNKPLPPTPDLPQPHLPPISAPGSSRIYRPLPPLPIIDPPTEPPPLPPKSRGRSRSTRGGHMNSGGHAKTRPACQDWTVPLPASAGRTSWPPATARSTESFTSTSRSKSEVSPGMAFSNMTNFLCPSSPTTPWTPELQGPTSKDEAGVSEHPEAPAREPLRRTTPQQGASGPGRSPVGQARQPEKPSHLHLEKASSWPHRRDSGRPPGDSSGQAVAPSEGANKHKGWSRQGLRRPSILPEGSSDSRGPAVEKHPGPSDTVVFREKKPKEVMGGFSRRCSKLINSSQLLYQEYSDVVLNKEIQSQQRLESLSETPGPSSPRQPRKALVSSESYLQRLSMASSGSLWQEIPVVRNSTVLLSMTHEDQKLQEVPFRPGREL
XP_016869024.1 42 456 0.207913734939759 Scavenger receptor class A member 3; Cellular stress response gene protein 606 0 14 392 1 Homo sapiens (Human) SwissProt::Q6AZY7 1 SwissProt CSRCQKNLSLHTSVRILYLFLALLLVAVAVLASLVFRKVDSLSEDISLTQSIYDKKLVLMQKNLQGLDPKALNNCSFCHEAGQLGPEIRKLQEELEGIQKLLLAQEVQLDQTLQAQEVLSTTSRQISQEMGSCSFSIHQVNQSLGLFLAQVRGWQATTAGLDLSLKDLTQECYDVKAAVHQINFTVGQTSEWIHGIQRKTDEETLTLQKIVTDWQNYTRLFSGLRTTSTKTGEAVKNIQATLGASSQRISQNSESMHDLVLQVMGLQLQLDNISSFLDDHEENMHDLQYHTHYAQNRTVERFESLEGRMASHEIEIGTIFTNINATDNHVHSMLKYLDDVRLSCTLGFHTHAEELYYLNKSVSIMLGTTDLLRERFSLLSARLDLNVRNLSMIVEEMKAVDTQHGEILRNVTILR
XP_016870081.1 1 509 0.262668958742633 PF01699.24:Na_Ca_ex:139:280 sodium/potassium/calcium exchanger 2 isoform X1 661 142 14 356 7 Homo sapiens XP_016870081.1 1 RefSeq MDLQQSTTITSLEKWCLDESLSGCRRHYSVKKKLKLIRVLGLFMGLVAISTVSFSISAFSETDTQSTGEASVVSGPRVAQGYHQRTLLDLNDKILDYTPQPPLSKEGESENSTDHAQGDYPKDIFSLEERRKGAIILHVIGMIYMFIALAIVCDEFFVPSLTVITEKLGISDDVAGATFMAAGGSAPELFTSLIGVFIAHSNVGIGTIVGSAVFNILFVIGMCALFSREILNLTWWPLFRDVSFYIVDLIMLIIFFLDNVIMWWESLLLLTAYFCYVVFMKFNVQVEKWVKQMINRNKVVKVTAPEAQAKPSAARDKDEPTLPAKPRLQRGGSSASLHNSLMRNSIFQLMIHTLDPLAEELGSYGKLKYYDTMTEEGRFREKASILHKIAKKKCHVDENERQNGAANHVEKIELPNSTSTDVEMTPSSDASEPVQNGNLSHNIEGAEAQTADEEEDQPLSLAWPSETRKQVTFLIVFPIVFPLWITLPDVRKPSSRKFFPITFFGSITW
XP_016874579.1 1 2209 0.410653961068356 PF06333.12:Med13_C:1795:2198,PF18296.1:MID_MedPIWI:1415:1759,PF11597.8:Med13_N:13:241 mediator of RNA polymerase II transcription subunit 13-like isoform X4 2209 978 14 2209 0 Homo sapiens XP_016874579.1 1 RefSeq MTAAANWVANGASLEDCHSNLFSLAELTGIKWRRYNFGGHGDCGPIISAPAQDDPILLSFIRCLQANLLCVWRRDVKPDCKELWIFWWGDEPNLVGVIHHELQVVEEGLWENGLSYECRTLLFKAIHNLLERCLMDKNFVRIGKWFVRPYEKDEKPVNKSEHLSCAFTFFLHGESNVCTSVEIAQHQPIYLINEEHIHMAQSSPAPFQVLVSPYGLNGTLTGQAYKMSDPATRKLIEEWQYFYPMVLKKKEESKEEDELGYDDDFPVAVEVIVGGVRMVYPSAFVLISQNDIPVPQSVASAGGHIAVGQQGLGSVKDPSNCGMPLTPPTSPEQAILGESGGMQSAASHLVSQDGGMITMHSPKRSGKIPPKLHNHMVHRVWKECILNRTQSKRSQMSTPTLEEEPASNPATWDFVDPTQRVSCSCSRHKLLKRCAVGPNRPPTVSQPGFSAGPSSSSSLPPPASSKHKTAERQEKGDKLQKRPLIPFHHRPSVAEELCMEQDTPGQKLGLAGIDSSLEVSSSRKYDKQMAVPSRNTSKQMNLNPMDSPHSPISPLPPTLSPQPRGQETESLDPPSVPVNPALYGNGLELQQLSTLDDRTVLVGQRLPLMAEVSETALYCGIRPSNPESSEKWWHSYRLPPSDDAEFRPPELQGERCDAKMEVNSESTALQRLLAQPNKRFKIWQDKQPQLQPLHFLDPLPLSQQPGDSLGEVNDPYTFEDGDIKYIFTANKKCKQGTEKDSLKKNKSEDGFGTKDVTTPGHSTPVPDGKNAMSIFSSATKTDVRQDNAAGRAGSSSLTQVTDLAPSLHDLDNIFDNSDDDELGAVSPALRSSKMPAVGTEDRPLGKDGRAAVPYPPIADLQRMFPTPPSLEQHPAFSPVMNYKDGISSETVTALGMMESPMVSMVSTQLTEFKMEVEDGLGSPKPEEIKDFSYVHKVPSFQPFVGSSMFAPLKMLPSHCLLPLKIPDACLFRPSWAIPPKIEQLPMPPAATFIRDGYNNVPSVGSLADPDYLNTPQMNTPVTLNSAAPASNSGAGVLPSPATPRFSVPTPRTPRTPRTPRGGGTASGQGSVKYDSTDQGSPASTPSTTRPLNSVEPATMQPIPEAHSLYVTLILSDSVMNIFKDRNFDSCCICACNMNIKGADVGLYIPDSSNEDQYRCTCGFSAIMNRKLGYNSGLFLEDELDIFGKNSDIGQAAERRLMMCQSTFLPQVEGTKKPQEPPISLLLLLQNQHTQPFASLNFLDYISSNNRQTLPCVSWSYDRVQADNNDYWTECFNALEQGRQYVDNPTGGKVDEALVRSATVHSWPHSNVLDISMLSSQDVVRMLLSLQPFLQDAIQKKRTGRTWENIQHVQGPLTWQQFHKMAGRGTYGSEESPEPLPIPTLLVGYDKDFLTISPFSLPFWERLLLDPYGGHRDVAYIVVCPENEALLEGAKTFFRDLSAVYEMCRLGQHKPICKVLRDGIMRVGKTVAQKLTDELVSEWFNQPWSGEENDNHSRLKLYAQVCRHHLAPYLATLQLDSSLLIPPKYQTPPAAAQGQATPGNAGPLAPNGSAAPPAGSAFNPTSNSSSTNPAASSSASGSSVPPVSSSASAPGISQISTTSSSGFSGSVGGQNPSTGGISADRTQGNIGCGGDTDPGQSSSQPSQDGQESVTERERIGIPTEPDSADSHAHPPAVVIYMVDPFTYAAEEDSTSGNFWLLSLMRCYTEMLDNLPEHMRNSFILQIVPCQYMLQTMKDEQVFYIQYLKSMAFSVYCQCRRPLPTQIHIKSLTGFGPAASIEMTLKNPERPSPIQLYSPPFILAPIKDKQTELGETFGEASQKYNVLFVGYCLSHDQRWLLASCTDLHGELLETCVVNIALPNRSRRSKVSARKIGLQKLWEWCIGIVQMTSLPWRVVIGRLGRLGHGELKDWSILLGECSLQTISKKLKDVCRMCGISAADSPSILSACLVAMEPQGSFVVMPDAVTMGSVFGRSTALNMQSSQLNTPQDASCTHILVFPTSSTIQVAPANYPNEDGFSPNNDDMFVDLPFPDDMDNDIGILMTGNLHSSPNSSPVPSPGSPSGIGVGSHFQHSRSQGERLLSREAPEELKQQPLALGYFVSTAKAENLPQWFWSSCPQAQNQCPLFLKASLHHHISVAQTDELLPARNSQRVPHPLDSKTTSDVLRFVLEQYNALSWLTCNPATQDRTSCLPVHFVVLTQLYNAIMNIL
XP_016876262.1 1 733 0.270793997271487 PF00515.28:TPR_1:218:243,PF07719.17:TPR_2:466:495,PF13176.6:TPR_7:219:243,PF13176.6:TPR_7:433:461,PF13181.6:TPR_8:218:241,PF13181.6:TPR_8:433:462,PF13181.6:TPR_8:466:490,PF13181.6:TPR_8:499:531,PF13174.6:TPR_6:466:491,PF13174.6:TPR_6:604:633,PF14559.6:TPR_19:442:501,PF13432.6:TPR_16:406:462,PF13432.6:TPR_16:437:486,PF13414.6:TPR_11:438:478,PF12895.7:ANAPC3:412:490 intraflagellar transport protein 88 homolog isoform X11 733 182 14 733 0 Homo sapiens XP_016876262.1 1 RefSeq MMQNVHLAPETDEDDLYSGYNDYNPIYDIEELENDAAFQQAVRTSHGRRPPSKTSLASSIGRPMTGAIQDGVTRPMTAVRAAGFTKAALRGSAFDPLSQSRGPASPLEAKKKDSPEEKIKQLEKEVNELVEESCIANSCGDLKLALEKAKDAGRKERVLVRQREQVTTPENINLDLTYSVLFNLASQYSVNEMYAEALNTYQVIVKNKMFSNAGILKMNMGNIYLKQRNYSKAIKFYRMALDQVPSVNKQMRIKIMQNIGVTFIQADREKMKKAFQKLITVPLEIDEDKYISPSDDPHTNLVTEAIKNDHLRQMERERKAMAEKYIMTSAKLIAPVIETSFAAGYDWCVEVVKASQYVELANDLEINKAVTYLRQKDYNQAVEILKVLEKKDSRVKSAAATNLSALYYMGKDFAQASSYADIAVNSDRYNPAALTNKGNTVFANGDYEKAAEFYKEALRNDSSCTEALYNIGLTYEKLNRLDEALDCFLKLHAILRNSAEVLYQIANIYELMENPSQAIEWLMQVVSVIPTDPQVLSKLGELYDREGDKSQAFQYYYESYRYFPCNIEVIEWLGAYYIDTQFWEKAIQYFERASLIQPTQVKWQLMVASCFRRSGNYQKALDTYKDTHRKFPENVECSGSVRTGHMERDPLNLLPRKSVLPGPEIAKCNYFSGEKYYLSAFLSSSLHRSWIKRCSRICQKTEEVGKNERNKGTAHKVRQRWQWGLPWQKRRKC
XP_016879618.1 1 925 0.62184054054054 centrobin isoform X1 925 0 14 925 0 Homo sapiens XP_016879618.1 1 RefSeq MATSADSPSSPLGAEDLLSDSSEPPGLNQVSSEVTSQLYASLRLSRQAEATARAQLYLPSTSPPHEGLDGFAQELSRSLSVGLEKNLKKKDGSKHIFEMESVRGQLQTMLQTSRDTAYRDPLIPGAGSERREEDSFDSDSTATLLNTRPLQDLSPSSSAQALEELFPRYTSLRPGPPLNPPDFQGLRDALDSEHTRRKHCERHIQSLQTRVLELQQQLAVAVAADRKKDTMIEQLDKTLARVVEGWNRHEAERTEVLRGLQEEHQAAELTRSKQQETVTRLEQSLSEAMEALNREQESARLQQRERETLEEERQALTLRLEAEQQRCCVLQEERDAARAGQLSEHRELETLRAALEEERQTWAQQEHQLKEHYQALQEESQAQLEREKEKSQREAQAAWETQHQLALVQSEVRRLEGELDTARRERDALQLEMSLVQARYESQRIQLESELAVQLEQRVTERLAQAQESSLRQAASLREHHRKQLQDLSGQHQQELASQLAQFKVEMAEREERQQQVAEDYELRLAREQARVCELQSGNQQLEEQRVELVERLQAMLQAHWDEANQLLSTTLPPPNPPAPPAGPSSPGPQEPEKEERRVWTMPPMAVALKPVLQQSREARDELPGAPPVLCSSSSDLSLLLGPSFQSQHSFQPLEPKPDLTSSTAGAFSALGAFHPDHRAERPFPEEDPGPDGEGLLKQGLPPAQLEGLKNFLHQLLETVPQNNENPSVDLLPPKSGPLTVPSWEEAPQVPRIPPPVHKTKVPLAMASSLFRVPEPPSSHSQGSGPSSGSPERGGDGLTFPRQLMEVSQLLRLYQARGWGALPAEDLLLYLKRLEHSGYKPGRKEEGFSGWKLDYGEWSGTDGRGDNVPRRNTDSRLGEIPRKEIPSQAVPRRLATAPKTEKPPARKKSGHPAPSSMRSRGGVWR
XP_016881379.1 1 2544 0.182347720125786 ectopic P granules protein 5 homolog isoform X3 2544 0 14 2544 0 Homo sapiens XP_016881379.1 1 RefSeq MAEAVKPQRRAKAKASRTKTKEKKKYETPQREESSEVSLPKTSREQEIPSLACEFKGDHLKVVTDSQLQDDASGQNESEMFDVPLTSLTISNEESLTCNTEPPKEGGEARPCVGDSAVTPKVHPGDNVGTKVETPKNFTEVEENMSVQGGLSESAPQSNFSYTQPAMENIQVRETQNSKEDKQGLVCSSEVPQNVGLQSSCPAKHGFQTPRVKKLYPQLPAEIAGEAPALVAVKPLLRSERLYPELPSQLELVPFTKEQLKILEPGSWLENVESYLEEFDSMAHQDRHEFYELLLNYSRCRKQLLLAEAELLTLTSDCQNAKSRLWQFKEEQMSVQGICADQVKVFSYHRYQRVEMNENALVELKKLFDAKSEHLHQTLALHSYTSVLSRLQVESYIYALLSSSAVLRSSAIHQQGRASKQTESIPSDLCQLKECISVLFMFTRRVNEDTQFHDDILLWLQKLVSVLQRVGCPGDHLFLLNHILRCPAGVSKWAVPFIQIKVLHNPSGVFHFMQSLALLMSPVKNRAEFMCHMKPSERKPSSSGPGSGTWTLVDEGGEEDEDPETSWILLNEDDLVTILAQFPFHELFQHLLGFKAKGDYLPETTRPQEMMKIFAFANSLVELLAVGLETFNRARYRQFVKRIGYMIRMTLGYVSDHWAQYVSHNQGSGLAQQPYSMEKLQVEFDELFLRAVLHVLKAKRLGIWLFMSEMPFGTLSVQMLWKLFYLMHQVESENLQQLSSSLQPAQCKQQLQDPEHFTNFEKCLSSMNSSEEICLLTTFAQMAQARRTNVDEDFIKIIVLEIYEVSYVTLSTRETFSKVGRELLGTITAVHPEIISVLLDRVQETIDQVGMVSLYLFKELPLYLWQPSASEIAVIRDWLLNYNLTVVKNKLACVILEGLNWGFAKQATLHLDQAVHAEVALMVLEAYQKYLAQKPYAGILSESMKQVSYLASIVRYGETPETSFNQWAWNLILRLKLHKNDYGIQPNCPAVPFSVTVPDMTESPTFHPLLKAVKAGMPIGCYLALSMTAVGHSIEKFCAEGIPLLGILVQSRHLRTVVHVLDKILPLFYPCQYYLLKNEQFLSHLLLFLHLDSGVPQGVTQQVTHKVAQHLTGASHGDNVKLLNSMIQAHISVSTQPNEVGPVAVLEFWVQALISQHLWYREQPILFLMDHLCKAAFQLMQEDCIQKLLYQQHKNALGYHCDRSLLSSLVSWIVAGNITPSFVEGLATPTQVWFAWTVLNMESIFEEDSQLRRVIEGELVINSAFTPDQALKKAQTQLKLPIVPSLQRLLIYRWAHQALVTPSDHPLLPLIWQKFFLLYLHRPGPQYGLPIDGCIGRRFFQSPAHINLLKEMKRRLTEVADFHHAASKALRVPAEGSEGLPESHSGTPGYLTSPELHKELVRLFNVYILWLEDENFQKGDTYIPSLPKHYDIHRLAKVMQNQQDLWMEYLNMERIYHEFQETVGLWTQAKLESHSTPCSLSVQLDFTDPLLAKERVLSNLRKHEAPQPPLALHPTKPPVPVISSAVLLSQKDATQLVCTDLNLLQQQARTAALRESQQVALDGELLDTMPKQYVNREEQTTLHLECRGSSGKKCQGAAVVTVQFEGMHKNEAISQQLHVLRKEVKQLQAEAAKPPSLNIVEAAVHAENLITALVNAYKLQPTPGIQKVGISLFFTIVDYVSDETQRHPPTRQFFTSCIEILGQVFISGIKSECRKVLETILKNSRLCSLLSPFFTPNAAPAEFIQLYEQVVKFLSEDNSDMIFMLLTKFDLKQWLSATKPPLSDRTRLLESIHLALTAWGLEPDEDILMPFNLFCKHWTYLLLYQFPDQYSDILRLLMQSSAEQLLSPECWKATLRALGCCAPSCQQGAASTEGAVLPSSSDALLSDKQVMETIQWLSDFFYKLRLSKMDFKSFGLFSKWSPYMADVKTFLGYLVKRLIDLEMTCLAQDPTASRKTVLKSLHSVIIQLFKPWILVLEDNESSQQRHYPWLESDTVVASSIVQLFTDCIDSLHESFKDKLLPGDAGALWLHLMHYCEACTAPKMPEFILYAFHSTYRKLPWKDLHPDQMLMEAFFKVERGSPKSCFLFLGSVLCEVNWVSVLSDAWNSSPHPETRSMIVCLLFMMILLAKEVQLVDQTDSPLLSLLGQTSSLSWHLVDIVSYQSVLSYFSSHYPPSIILAKESYAELIMKLLKVSAGLSIPTDSQKHLDAVPKCQAFTHQMVQFLSTLEQNGKITLAVLEQEMSKLLDDIIVFNPPDMDSQTRHMALSSLFMEVLMMMNNATIPTAEFLRGSIRTWIGQKMHGLVVLPLLTAACQSLASVRHMAETTEACITAYFKESPLNQNSGWGPILVSLQVPELTMEEFLQECLTLGSYLTLYVYLLQCLNSEQTLRNEMKVLLILSKWLEQVYPSSVEEEAKLFLWWHQVLQLSLIQTEQNDSVLTESVIRILLLVQSRQNLVAEERLSSGILGAIGFGRKSPLSNRFRVVARSMAAFLSVQVPMEDQIRLRPGSELHLTPKAQQVSRRSPFKRLALTGVLESELSLNIV
XP_017172027.1 258 565 0.379566233766234 PF18016.1:SAM_3:67:125 alpha-globin transcription factor CP2 isoform X2 565 59 14 308 0 Mus musculus XP_017172027.1 1 RefSeq TPHEKEKYQPSYETTILTECSPWPEITYVNNSPSPGFNSSHSSFSLGEGNGSPNHQPEPPPPVTDNLLPTTTPQEAQQWLHRNRFSTFTRLFTNFSGADLLKLTRDDVIQICGPADGIRLFNALKGRMVRPRLTIYVCQESLQLREQQPQPQPQPQKQEDGDSNGTFFVYHAIYLEELTAVELTEKIAQLFSISPHQISQIYKQGPTGIHVVISDEMVQNFQEEACFILDTMEAQLPTLLILQKATLQSEPFSSRTATSPSLWITQQQDSHQSISLDYSAAGQPPVHLFGLPFAHGEGYVISFTATCF
XP_017446654.1 96 463 0.710764945652174 PF12347.8:HJURP_C:2:57 myocyte-specific enhancer factor 2C isoform X3 463 56 14 368 0 Rattus norvegicus XP_017446654.1 1 RefSeq SESPDPDSSYALTPRTEEKYKKINEEFDNMIKSHKIPAVPPPNFEMPVTIPVSSHNSLVYSNPVSSLGNPNLLPLAHPSLQRNSMSPGVTHRPPSAGNTGGLMGGDLTSGAGTSAGNGYGNPRNSPGLLVSPGNLNKNIQAKSPPPMNLGMNNRKPDLRVLIPPGSKNTMPSVNQRINNSQSAQSLATPVVSVATPTLPGQGMGGYPSAISTTYGTEYSLSSADLSSLSGFNTASALHLGSVTGWQQQHLHNMPPSALSQLGACTSTHLSQSSNLSLPSTQSLNIKSEPVSPPRDRTTTPSRYPQHTRHEAGRSPVDSLSSCSSSYDGSDREDHRNEFHSPIGLTRPSPDERESPSVKRMRLSEGWAT
XP_017448101.1 1 461 0.445573969631236 PF10491.9:Nrf1_DNA-bind:87:295 nuclear respiratory factor 1 isoform X2 546 209 14 461 0 Rattus norvegicus XP_017448101.1 1 RefSeq MEEHGVTQTEHMATIEAHAVAQQVQQVHVATYTEHSMLSADEDSPSSPEDTSYDDSDILNSTAADEVTAHLAAAVLGIKPRASHILGPVGMAAAAAVATGKKRKRPHVFESNPSIRKRQQTRLLRKLRATLDEYTTRVGQQAIVLCISPSKPNPVFKVFGAAPLENVVRKYKSMILEDLESALAEHAPAPQEVNSELPPLTIDGIPVSVDKMTQAQLRAFIPEMLKYSTGRGKPGWGKESCKPIWWPEDIPWANVRSDVRTEEQKQRVSWTQALRTIVKNCYKQHGREDLLYAFEDQQTQTQATTTHSIAHLVPSQTVVQTFSNPDGTVSLIQVGTGATVATLADASELPTTVTVAQVNYSAVADGEVEQNWATLQGGEMTIQTTQASEATQAVASLAEAAVAASQEMQQGATVTMALNSEAAAHAVATLAEATLQGGGQIVLSGETAAAVGALTGVQDAN
XP_017448423.1 1 304 0.548083552631579 Krueppel-like factor 15 isoform X1 415 0 14 304 0 Rattus norvegicus XP_017448423.1 1 RefSeq MVDHLLPVDETFSSPKCPVGYLGDRLASRQPYHMLPSPISEDDSDVSSPCSCASPDSQAFCSCYSAGPGPEAQGSILDFLLSRATLGSGGASGGVGDGSGPVTWGSWRRASVPVKEEHLCFPEFLSGDPDDVSRPFQPTLEEIEEFLEENMEAEVKEAPESSSRDLEACSQLSAGSHRSHLHPESGGRECRTPPPGGTSGGGTQGAGEGSAHDGPMPVLLQIQPVAVKQEAGAGPASPGQAPESVKVAQLLVNIQGQTFALLPQVVPSSNLNLSSKFVRIAPVPIAAKPIGSGSLGPGPAGLLV
XP_018635197.1 1 1296 0.304080169753086 Rhoptry neck protein 2; 145 kDa AMA1-associated protein; AAP145 1479 0 14 1253 2 Toxoplasma gondii (strain ATCC 50611 / Me49) SwissProt::B6KV60 1 SwissProt MTKRAGLPLGRAFLVLILLSAADSLFFSSFPRSALQLFSSVLFTDAAEPDSDATPGLRPQPSPRTFRPTGYQRIEVKTVDEELPEDLKVYTASTRGSSSRTFEVRNAGGRQEGFTLSVLTAGGPLPHGSWSWSGTPPEVQTTGGSQISFGWVPDTETPSLPERNLLQLKRMLRDEGLIEAVQLRAAEKGCPVAVLHNLRQLPVNFREVLHEEYESRSNPAKMYEVANSYVQQRGSDAARWSVSQSVELSLLEMHATSTTDPRGSSAVPSFLETGPQVRVAMTDAVPSGIRVYATPPAPRPVPVQSNQTEKERSPTSKRLVGMQLGLYLICKLAALFGHPTLFLNPYYTEQQLLEAVAQALGIAPPHRGDFENEGNEAQATANQHNGSADQLLAAIEIFRLGPNPYTIGHVLTLMIAYLDYESFFGASPSKPFHSWVSLAASAGNNTGFAMLDEMCDNHRGPKRRGQKHWYQTGGARKHKNRDMLPLHRQLCDALELVLNGVQQIQIDLMDELGKYKTGVEPLVDPATNSARIHTRTCRGLSPVCDYEATILAPVRALEPHEQQDSLRTKKAFNLVTGYGSGHVGQITGSIAEPFSHSWRTRWGKVVADPTAYGEIFERTLWFDDRELMAKSSGALFRQYDRIAKDSMSFGVFMNVENGLLKKDMRSKLEAYISQRKSFVEKRQQSRFAKLRKKIPENDPYALRAAIFLALNSRTFCAQPTSFLSSFRTFLTNQYHKLSQGRNLPRSQRSLMAFMRTGQVKFFQEWCSFDPLAVNALFLFRFAVSGTDPAALHDRQHTRVSRNKKTMRILNSKWTPAVLKKLMRKVNHKHMAREAKALLLRSLDPTVLSSIVTAFDFITHTQANLEVNQNAFMYHEVRAREVSRQSAAEKGSHRLHERGLVRETDDMIKRWAEHGIPGDIKRRLARGEKLPEGMSFGGIPIPNLTNWDAQLNSKWLEAYNAYLRHPYGRAALNARDPVALLVKDSRDRLQAEAEGTIFLGRIAKRVHQSKNLLRRAGRALKTFFLSLLRENERSEYAVWFGVKVDMRQVIQTCRQINSVAEVVKNDRLYDFITDGWMELVKDVVAGYTKASVRVPGFDTISAANEQLRKEGVAAATARNQGFLSIHYDYANLPEEERKKEFQRSMCMEQCEALWKLVMAFVMPNLQNPKKLKGYEKDFSGAKEIEKLNSPHHVNAFRFSLSVQIDFFDNMLDKTSKKNLKAMKFGASTWFTYAMKLAGQVNSEMGNPNLGTALYMQAAYYGNYIRKWMEQRRKSRKQAIIGVLTLGMMGLYALLNVA
XP_641123.1 1 889 0.238844319460068 PF01833.24:TIG:294:380 Tiger protein C1; Loose aggregate C protein; Loose aggregate C1 protein; Transmembrane, IPT, Ig, E-set, Repeat protein C1 889 87 14 843 2 Dictyostelium discoideum (Slime mold) SwissProt::P42523 1 SwissProt MEKKIILLILFLFFISGYSMNPPTPIDAIYDDKSFTLIFNSNLPYSTRLILYKNEKEPRTEMAPNYFNCSLVDGERHCLFHSDEPFSRLWGSIDSKVCVRDKSNTVEDCTFDASGLVYYPKVYNLKYSKKPKTSGEDIVITGSYLRLFGGPNFLINSIDVNKPFVVKGNFSDPSFDCNNITVTFPPGSGKFRLYYDETGDNPVPFSYESPIISSSVSDSSKQIITINGDNFFTDKDLVKVSFDGIDQPNFIISVNHKQIQVNNYNRVDPGPMSVNITVDEVSIEKNYIHCFPAIITSISSVSNHLDGIVTIKGEKLSSTLNSSLTPSITIGDKVCKFIKSTTTELECKLDANELGGKNLPVNVNFGGCDSTSPNGVSFTYNIPTLSSGSYSNGIVTLIGTNLGTNNESSIQLYGDGIKNTNISQFNVSSSDEKSVTFELPHLRCRSFNINFTRSGITAKTLSISASLSVNVINRPTVSNGILNIEIYYMDCTISSSAPSITVGDSSSASPCSIPSSNSSYYETTCPTPYGTGINKQFIFKLNSETVSDQFSYAPPEVENRTISDDGTNIELHGNNFGNSTSLIKVYLNGSDISSEIQELEDHQLTIKILDSYENGPINITVDGNYMDSLFYLTLPPVIYRITNKDNKTLACGGLITVSGKNLLTSDKEFKVNVKSNNKNTTVFAQDEKILIVRDESRESSLFVTTFIGVRSGPSTTLTYIKPMISEIPTIENKIEKGILAIIRGYSFTDILNASLTVSSETVPLSCNLECSLSPNEILDDSDSSETNITNSNTDCLSCHSGSSVKNTSGVLYLLFNSTSFQYNVTIEEIKLSPSPNVSQRDVETKSSKPSNGLIIGSTIGSVGGALAIGALAYYFKIPFRVKKFIGKKF 2
XP_663799.1 132 416 0.762006315789473 DNA-binding protein creA; Carbon catabolite repressor A 416 0 14 285 0 Emericella nidulans (strain FGSC A4 / ATCC 38163 / CBS 112.46 / NRRL 194 / M139) (Aspergillus nidulans) SwissProt::Q01981 1 SwissProt AAAAAAAANQDGSAMANNAGSMMPPPSKPITRSAPVSQVGSPDISPPHSFSNYANHMRSNLSPYSRTSERASSGMDINLLATAASQVERDESFGFRSGQRSHHMYGPRHGSRGLPSLSAYAISHSMSRSHSHEDEDSYASHRVKRSRPNSPNSTAPSSPTFSHDSLSPTPDHTPLATPAHSPRLKPLSPSELHLPSIRHLSLHHTPALAPMEPQAEGPNYYNPNQPHVGPSISDIMSRPEGAQRKLPIPQVPKVAVQDMLNPSGFTSVSSSTANSVAGGDLAERF
XP_747162.1 1 622 0.57609115755627 PF00172.18:Zn_clus:92:124 C6 finger transcription factor fumR; Fumagillin gene cluster regulator 622 33 14 622 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WAY8 1 SwissProt MDVNRKRMVRMASAQRSEMLVRLSGKAKRWTVGGGGEVETHTFHTFCAGQKSYKSVVRRQRPRIARRDSRPSHPIANRGMNMLVHDLGFSHRACDRCHGQKLRCRRENNSDTCVRCARAGVRCTPRPMRLRSRAQSTKNTQQQQSQSPANGGSTQQLHVNQEQGPNDTNDEHSDHFEYLPTSLLDMPTDLNMGMDPSSLQVDIHPALTAPYGPEGSVHTSQPSGPQAPSHLRTAEQAGQTRWSDAPNLDTSDELYDFSLPATMRSSFPATYHRHRASLARNMSPQPAHQQGRDDMMVDFDQAEGNPRGSDGKDSRADSGYGNELSPSDLLRSPYGDAPDSDSELQPRGNSQDQGEQSNSILDTRHQNMTSWIRRLSDTNVQLHQHMQSIPLVGTGKKTRGSGAGTSLSPMELPVDSTFKLSSQYTGLLTSICARLQACRSCNDSQALAQLALDQPSQLLVLSSYMCLLASYDRILQHIEAWLKVRLKMGVRGSAMTLDDDESSSCFPTQLPSLAVGSFEVPKTSSIQSLVLTCIMETNVMHMHSLISEIMRPVSHPATGSASKTAASGPPAAEKRPGNGAADAGDGLSTVAKVTLQAIEANEDSTLRLVHTVSRLALQRVML
XP_749262.1 1 988 0.35932935222672 PF09427.10:DUF2014:501:762,PF00010.26:HLH:166:237 HLH transcription factor, putative 988 334 14 965 1 Aspergillus fumigatus Af293 XP_749262.1 1 RefSeq MSTPGIGGDFQLFSPLESTRRISQGNSLSVDQSSTDVASQDWTQWMRWDDEQAFPETANASPSSPFDLAFISPSASSGREASDAMHKDFSPDISLDFKSPSLGFFPGGDLNTNVSPQPDHVGAGSLSVHSNSPLSSIGASRKRKTGSDDDGSTMTSMFKAKQAPSKKRAHNVIEKRYRANLNEKIAELRDSVPSLRASYKQANGNSGDDDDDGVTSASKLNKASILSKATEYIRHLEIRNKRLEEENTALKIRLRQLDKAADQIVTSAASVSSPSDCTVSTESGASSSPSVFSHAEDVPSDHSPTSSHPPEGLIKVPDAWKRMRAAGSNESPYSQSYIQYKKTDSHSSQSGGGRMRSHLPNKYMLGALAGLMVLEGLGTEKKTESTAKGLLAVPLNLLNRVQLPSEVYSSAAFQYFWSSWHARAISHFLMLAILVVGSAFIVFVYLFNSDPRRQYSASKVAPDVTLSSCNFRRQAWLTSIQRVGVPRHRFFHEWYVVTSRCFEYVLRCLLGWKLYSLVTGVTEEDEKGRVKTWDIAIDAQLAGGDAEISKSRLVLTIFAAGTLPRSPMRMMLKALHCRILMWRVGEPGSWTFNVSNDVARSLARYQWDLARKMNAALPKDHPDSLPSHLATLLKIDCDDVMIDTIIQRAANLTWNRPTQEGTDDDEALLDVVEEDPAIQSSLDALAAWWSSHLLQGALLRYFEASSGGPDAKKSRNVFKSKIKLALDVAPQPSAAHTRALVMMAVFFERDRVANIGSVLAALPKEKGKNKQNQASNFLDSSLPISVREEISTAVRCAMIAAIFNARATGDTSLPATFTVEKAIHWFNRLPLDPVELTLLEFAAVYHLLHILASDIDYLASSDSSAPPSPMSKASDMLSSSSDDGEDGASQRNNNIIPHPMPNLGRVASELIYWARNAYNPAFYGFTSNLVKVIETECTSLCQTAGVHVADYSCVQEEKSKAKQAIDSKRRFAGGNEEASDNLLLSDES
XP_753026.1 1 307 0.594875895765472 C2H2 type master regulator of conidiophore development brlA 426 0 14 307 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WRE4 1 SwissProt MRSQGNMSDRLGVEVDCHSLGSNECPSMGSSFSPLESPTPTPTSIYSQGSLASPSWPENGSYPGHAYDRGTGSTPIRGHFRLASMPSHENMGLPPYSSLDGQDRMAVTDFLPSYDENADQFWLPSDVPKTYDHHVHGLPCPPSMHQYPPMLRSNYRHHPAPYFPESATNPCLSRPIFHHQPERLPPSLSMSHMMPWMGHTESIAPETIAPSQVAPVTPPPSYTDFSNSINTFKTHSPDTPIRSCSLGTVSGADTPLSRLSGGAGEYMDECHQSPIYRDASGVRLQRQPSRKMARKQPSKQSLSLENL
XP_754172.1 1 104 0.480763461538462 heat shock protein Hsp30/Hsp42, putative 180 0 14 104 0 Aspergillus fumigatus Af293 XP_754172.1 1 RefSeq MSLFHTFHTPGDFAPLFRLLDDYDFHRSTRNQASSVRSFAPRFDVRETNDAYLLDGELPGIANKDIEIEFSDNDTLVIKGRSEREYHSGTPEQATQESSDDKQK
XP_958476.3 1 696 0.281663505747126 PF11951.8:Fungal_trans_2:285:696,PF00172.18:Zn_clus:53:87 Transcriptional regulatory protein pro1; Arrested development protein 1 696 447 14 696 0 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) SwissProt::Q9P326 1 SwissProt MSTQSPNHHEDITKTSSVNMTTTTTTTKTKAAAKAGTNAAPKQKTQMHRRSRTGCYTCRLRRKKCDEGSPMCTACKHLGLCCEYKRPMWWSNNDARRKQKDEIKMIIKRKKLSEKSSHTIQTSINTPPGLSHSLPTSATFSDPLDRNRSASIDSHFGFNFNSPQHGQDFAAFATPQIHVNGEYMFPPFSPYEIDMKTERQIFINDIPTLRESTVSTFSTYQTPPPPGTILPSFPLEGEWTEQVFSERRESLTEETFNANFFDFACDPAMASSQVAIELDDGDQKLLDHFVQHVLPTIFPILESNQHGSISSELVLPSLANNKGYLHCCLSIAAQHFKSTMGIQNEEIDNDIMRHRYATITWLCEALNRDENHQPILDATLGLIFFQCIVGRPEDTLPDIPWHQHFQAVVSLVQKLDLAGLVSDITKPLAHTPFNMTLTSWIDILGATMLGSSPLFAHTYRNKHLSINNHSLGLRELMGCEDRVMYLISEIACLESLKNQGMDDITLCQHVRGLGDEISNTEVNEGTLVEPYNANGTLSPKQLSKNITAAFRLAARIYLCSLVPGFYPAQPSCMGLVEKLTAVLQLIPSGVNGYDRSLTWVYLIGGSVSVPGSSFRDFFENRVAQLGDVANSGSFGRMTVLLREVWLQYEAILAAAEAAAGTSSLSSETPQQQQQVNAHYVRWREVMQIKGWDYLLI
XP_963587.1 1 792 0.33725808080808 PF00172.18:Zn_clus:10:43 Conidial development protein fluffy 792 34 14 792 0 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) SwissProt::O13360 1 SwissProt MPRQHLTPNACLVCRKKRTKCDGQMPCRRCRSRGEECAYEDKKWRTKDHLRSEIERLRNEQRQGHAVIRALINDEQDWESFLSRIRGDESPEAIADWIRSIRNLFEPLQAASSQSMGGLGAPPTLLSPSQATASESSQLHRAASFAGIGSYNFGQGRVPFDQSTPRSSFSSDLSPTTPFSFREQADFIHAPQPMYPSSRRFSSSSLPSLPLRHSSQPLVPGIFNEPLPHTWTSITSDTQLVQRLLSRFFSAPCSLLCFIPQSSFMKAFREGDSRYCSEALVNAILGKACKSYGTASNIVSRMAFGDAFIGEAKRLLATEPNHTNLPSTQALAVLALAEISEGKDDEAWDLAWASVRAAITREQSFHVDQEFATARAVSYCGGFTLIHMLRLLTGRLDLNTSPFFMRLYQGSEETPEDEPQNRIERGFALHMQFLAELEHCPPLPRFVFEITTAVHTFASYNFSNAATAEELEDAYGKCLDAYKRFEETFCLDMDTTPDLLFAQIWYHYCLLALLRPFVKSTASLRDSAMTTPRLRNDANPSDICQRSSEAIIFLTSTYQTRFSLGNPPELLPHMLFAAVLYQVTLTPDPEHLSTIANDIKPELSESPVMMPSQAAFGAHGNSNLVPPPPMPFNNHGSYFPQPLSPVLKLEVRQAAPRRESSISLSSTFDSCGNRRPSDSFTSSTLTSHDASERESSTSDTQSDFLPFFTSEPADLVTIGSLQLASMQHHGAVEATRLLRSLSTVKDLVGSTLDLETLAEALPFPMGDLNTAVLYTGLGLQRAPVEPMQVTGP
YP_002302219.1 1 496 0.0888917338709677 PF00981.17:Rota_NS53:1:492 Non-structural protein 1; NSP1; NCVP2; Non-structural RNA-binding protein 53; NS53 496 492 14 496 0 Rotavirus A (strain RVA/SA11-4F/G3P6[1]) (RV-A) (Simian Agent 11 (strain 4F)) SwissProt::Q99FX5 1 SwissProt MATFKDACFHYRRLTALNRRLCNIGANSICMPVPDAKIKGWCLECCQIADLTHCYGCSLPHVCKWCVQNRRCFLDNEPHLLKLRTVKHPITKDKLQCIIDLYNIIFPINDKVIRKFERMIKQRKCRNQYKIEWYNHLLLPITLNAAAFKFDENNLYYVFGLYEKSVSDIYAPYRIVNFINEFDKLLLDDINFTRMSNLPIELRNHYAKKYFQLSRLPSSKLKQIYFSDFTKETVIFNTYTKTPGRSIYRNVTEFNWRDELELYSDLKNDKNKLIAAMMTSKYTRFYAHDNNFGRLKMTIFELGHHCQPNYVASNHPGNASDIQYCKWCNIKYFLSKIDWRIRDMYNLLMEFIKDCYKSNVNVGHCSSVENIYPLIKRLIWSLFTNHMDQTIEEVFNHMSPVSVEGTNVIMLILGLNISLYNEIKRTLNVDSIPMVLNLNEFSSIVKSISSKWYNVDELDKLPMSIKSTEELIEMKNSGTLTEEFELLISNSEDDNE
YP_009137089.1 1 464 0.144585344827586 PF02500.15:DNA_pack_N:55:347,PF02499.15:DNA_pack_C:373:464 Tripartite terminase subunit 3; Terminase large subunit; EC 3.1.-.- 735 385 14 464 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P04295 1 SwissProt MFGQQLASDVQQYLERLEKQRQLKVGADEASAGLTMGGDALRVPFLDFATATPKRHQTVVPGVGTLHDCCEHSPLFSAVARRLLFNSLVPAQLKGRDFGGDHTAKLEFLAPELVRAVARLRFKECAPADVVPQRNAYYSVLNTFQALHRSEAFRQLVHFVRDFAQLLKTSFRASSLTETTGPPKKRAKVDVATHGRTYGTLELFQKMILMHATYFLAAVLLGDHAEQVNTFLRLVFEIPLFSDAAVRHFRQRATVFLVPRRHGKTWFLVPLIALSLASFRGIKIGYTAHIRKATEPVFEEIDACLRGWFGSARVDHVKGETISFSFPDGSRSTIVFASSHNTNGIRGQDFNLLFVDEANFIRPDAVQTIMGFLNQANCKIIFVSSTNTGKASTSFLYNLRGAADELLNVVTYICDDHMPRVVTHTNATACSCYILNKPVFITMDGAVRRTADLFLADSFMQEII
YP_026189.1 98 1520 0.349468446943078 PF13322.6:DUF4092:320:488,PF13402.6:Peptidase_M60:1077:1368,PF17291.2:M60-like_N:972:1067 putative lipoprotein YghJ 1520 557 14 1423 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7541-MONOMER 1 ecocyc GSQRVTGATCNGESSDGFTFKPGEDVTCVAGNTTIATFNTQSEAARSLRAVEKVSFSLEDAQELAGSDDKKSNAVSLVTSSNSCPANTEQVCLTFSSVIESKRFDSLYKQIDLAPEEFKKLVNEEVENNAATDKAPSTHTSPVVPVTTPGTKPDLNASFVSANAEQFYQYQPTEIILSEGRLVDSQGYGVAGVNYYTNSGRGVTGENGEFSFSWGETISFGIDTFELGSVRGNKSTIALTELGDEVRGANIDQLIHRYSTTGQNNTRVVPDDVRKVFAEYPNVINEIINLSLSNGATLGEGEQVVNLPNEFIEQFNTGQAKEIDTAICAKTDGCNEARWFSLTTRNVNDGQIQGVINKLWGVDTNYKSVSKFHVFHDSTNFYGSTGNARGQAVVNISNAAFPILMARNDKNYWLAFGEKRAWDKNELAYITEAPSLVEPENVTRDTATFNLPFISLGQVGEGKLMVIGNPHYNSILRCPNGYSWNGGVNKDGQCTLNSDPDDMKNFMENVLRYLSDDKWKPDAKASMTVGTNLDTVYFKRHGQVTGNSAAFDFHPDFAGISVEHLSSYGDLDPQEMPLLILNGFEYVTQVGNDPYAIPLRADTSKPKLTQQDVTDLIAYLNKGGSVLIMENVMSNLKEESASGFVRLLDAAGLSMALNKSVVNNDPQGYPNRVRQQRATGIWVYERYPAVDGALPYTIDSKTGEVKWKYQVENKPDDKPKLEVASWLEDVDGKQETRYAFIDEADHKTEDSLKAAKEKIFAAFPGLKECTNPAYHYEVNCLEYRPGTGVPVTGGMYVPQYTQLSLNADTAKAMVQAADLGTNIQRLYQHELYFRTNGRKGERLSSVDLERLYQNMSVWLWNDTSYRYEEGKNDELGFKTFTEFLNCYANDAYAGGTKCSADLKKSLVDNNMIYGDGSSKAGMMNPSYPLNYMEKPLTRLMLGRSWWDLNIKVDVEKYPGAVSEEGQNVTETISLYSNPTKWFAGNMQSTGLWAPAQKEVTIKSNANVPVTVTVALADDLTGREKHEVALNRPPRVTKTYSLDASGTVKFKVPYGGLIYIKGNSSTNESASFTFTGVVKAPFYKDGAWKNDLNSPAPLGELESDAFVYTTPKKNLNASNYTGGLEQFANDLDTFASSMNDFYGRDSEDGKHRMFTYKNLPGHKHRFTNDVQISIGDAHSGYPVMNSSFSPNSTTLPTTPLNDWLIWHEVGHNAAETPLTVPGATEVANNVLALYMQDRYLGKMNRVADDITVAPEYLEESNNQAWARGGAGDRLLMYAQLKEWAEKNFDIKKWYPDGTPLPEFYSEREGMKGWNLFQLMHRKARGDEVSNDKFGGKNYCAESNGNAADTLMLCASWVAQTDLSEFFKKWNPGANAYQLPGASEMSFEGGVSQSAYNTLASLDLPKPEQGPETINQVTEHKMSAE
YP_081561.1 1 435 0.674361149425287 PF07340.11:Herpes_IE1:1:87,PF03361.14:Herpes_IE2_3:383:435 regulatory protein IE2 580 140 14 435 0 Human betaherpesvirus 5 YP_081561.1 1 RefSeq MESSAKRKMDPDNPDEGPSSKVPRPETPVTKATTFLQTMLRKEVNSQLSLGDPLFPELAEESLKTFEQVTEDCNENPEKDVLTELGDILAQAVNHAGIDSSSTGPTLTTHSCSVSSAPLNKPTPTSVAVTNTPLPGASATPELSPRKKPRKTTRPFKVIIKPPVPPAPIMLPLIKQEDIKPEPDFTIQYRNKIIDTAGCIVISDSEEEQGEEVETRGATASSPSTGSGTPRVTSPTHPLSQMNHPPLPDPLGRPDEDSSSSSSSSCSSASDSESESEEMKCSSGGGASVTSSHHGRGGFGGAASSSLLSCGHQSSGGASTGPRKKKSKRISELDNEKVRNIMKDKNTPFCTPNVQTRRGRVKIDEVSRMFRHTNRSLEYKNLPFMIPSMHQVLEEAIKVCKTMQVNNKGIQIIYTRNHEVKNEVDQVRCRLGSMC
YP_169915.1 1 557 0.26697289048474 PF12097.8:DUF3573:6:422 hypothetical protein 557 417 14 557 0 Francisella tularensis subsp. tularensis SCHU S4 YP_169915.1 1 RefSeq MVRKFKKTCLIVSSLLACSGLAYSEDSPQVVSQGGPLGATSIGDQNLGQPDPNASGASSTTQTTGSNLNDRELLLKLQQQVQQLQGQLQQLKAQGNGGGLQNTYNGSSQFTTYSSKVDGNKNPRTLGGNGESKDLSQALIGGQTSSDIMGNVNASNSIINLASEPLGGVFNQKGGIDVGGAPAITTQGQVTYLGSYSGNNSIPIGQISSNLFASTLLGQREKFDDYSVFFGGFIEADAQAWFGSAVTKVQNAGQLSSNGQNIYLTSANLYFLSNLGHYVTAQFDFDTNESGSFSLGNAFVIFGNLDISPFFVTAGRNKLSVGSYGGGGTWTSGITKFLSPNQVTNVSIDYKDQVWNANIAVFGSDDRRANFSTGLFYADSWTPNLAAGFNVGYVFNIAGAGNSSIANSLANLNRSSDNVGALNVDGNLTYAIWDGFLNLGAGWASTTTKEDFNNNGGSVLAGAWYGALNYSAILGGRNTNFGVTYGQSYNAAAIPMETANASPTFGQTASGIKQQLIFSAQRAYFDDNVLFGPEYAYQRLYTGEHMNTITLDMSVYV 3
YP_499647.1 1 204 0.0084078431372549 PF01127.22:Sdh_cyt:92:192 succinate dehydrogenase cytochrome b-558 subunit 204 101 14 92 5 Staphylococcus aureus subsp. aureus NCTC 8325 YP_499647.1 0 RefSeq MAQSKNEFYLRRIHSLLGIIPIGAFLVVHLLVNHQATQGAEAFNKASNFMESLPFLIIVEFLFIYIPLLYHGLFGIHIAFTAKENVGHYSIFRNWMFFFQRVSGILTFIFIGIHLWQTRLQKAFYGKEVNYDLMHETLQHPGWAIFYIICIIAVVFHFANGLWSFLVTWGGLQSPKSQRVFTWVSLIVFLVISYIGVTAIIAFM
NP_001001985.3 1 299 0.199807023411371 PF00583.25:Acetyltransf_1:164:261,PF13508.7:Acetyltransf_7:178:262,PF13673.7:Acetyltransf_10:175:266 N-acetylaspartate synthetase 299 103 13 276 1 Mus musculus NP_001001985.3 1 RefSeq MHCGPPDMVCETKIVATEDHEALPGAKKDALLVAAGAMWPPLPAAPGPAAAPPPAAGPQPHGGTGGAGPPEGRGVCIREFRAAEQEAARRIFYDGILERIPNTAFRGLRQHPRTQLLYALLAALCFAVTRSLLLTCLVPAGLLALRYYYSRKVILAYLECALHTDMADIEQYYMKPPGSCFWVAVLDGNVVGIVAARAHEEDNTVELLRMSVDSRFRGKGIAKALGRRVLEFAMLHNYSAVVLGTTAVKVAAHKLYESLGFRHMGASDHYVLPGMTLSLAERLFFQVRYHRYRLQLREE
NP_001005527.1 1 140 0.119859285714286 PF12020.8:TAFA:50:138 Chemokine-like protein TAFA-4 140 89 13 117 1 Homo sapiens (Human) SwissProt::Q96LR4 1 SwissProt MRSPRMRVCAKSVLLSHWLFLAYVLMVCCKLMSASSQHLRGHAGHHQIKQGTCEVVAVHRCCNKNRIEERSQTVKCSCFPGQVAGTTRAQPSCVEASIVIQKWWCHMNPCLEGEDCKVLPDYSGWSCSSGNKVKTTKVTR
NP_001011936.1 64 400 0.843423145400594 BAG family molecular chaperone regulator 3 574 0 13 337 0 Rattus norvegicus NP_001011936.1 1 RefSeq TASSANGPSRDGSRLLPAREGHPIYPQLRPGYIPIPVHHEGSENRQPHLFHAYSQPGVQRFRTEAAAAAPQRSQSPLRGGVTETTQTDKQCGQVPAAATAQPPTAHGPERSQSPAASDCSSSSSSASLPSSGRSSLGSHQLPRGYIPIPVIHEQNITRPAAQPSFHQAQKTHYPAQQGEYQPQQPVYHKIQGDDWEPRPLRATSPFRSPVRGASSREGSPARSGTPVHCPSPIRVHTVVDRPQPMTHREPPPVTQPENKPESKPGLAGPDLPPGHIPIQVIRREADSKPVSQKPPPPAEKVEVKVSSAPIPCPSPGPAPSAVPSSPKNVAAEPKAAP
NP_001022275.1 1 549 0.0977901639344263 PF06664.12:MIG-14_Wnt-bd:179:508 Protein wntless homolog; Abnormal cell migration protein 14 549 330 13 368 8 Caenorhabditis elegans SwissProt::Q7YWX7 1 SwissProt MAGGAVIENLSNRKLFVIFAGLLVIQIMFFLIGAWYAPSPSSYMEFEMITCRDETKGLSGEWIHRDNCQQISELSEYTPSSFDLREIVFIAKMPHTRDGIELEYSPWFQFLLGVLHVDVEYSEHFKYVAHAPLELEVRMGYRDKESKKNEWKELVTSNVTRILECTIAEDEKKAGGTYDCDMLDLFELGSSSYPFYLINIRIPINQQACQFDNKSANCQIGKLTGLRLIEIHQNGGFTLVWLWTKTFMTPVVAICLWWYYNRINQLARNPLLLERAILLLGLSLVILDFPIEWISLTYRIPFLLLISDLRQGLFYTVLFSFWLIFAGEHLIDDNTRNNLKSYRFNLSFIITASLGLLIYDLIERGIQLYDPFYSVWSSPTGSQIAYFAIFISAISTVAYFIFLFFKIARVWSTIKSKRSAQIYQTSENRRLKVEGVIYRFKFLMLFTLLCSAFTIAAYFMKQYGEAQLHGDEARDGFLTGSTSAFFTGAFGMCNIYVLLLLAMYAPSHKHYRGASQLIDENDDDEIMEDPSNQHTESNAMTTFLKPSTD
NP_001025184.1 1 89 0.112559550561798 PF14993.6:Neuropeptide_S:24:88 Neuropeptide S 89 65 13 89 0 Homo sapiens (Human) SwissProt::P0C0P6 1 SwissProt MISSVKLNLILVLSLSTMHVFWCYPVPSSKVSGKSDYFLILLNSCPTRLDRSKELAFLKPILEKMFVKRSFRNGVGTGMKKTSFQRAKS
NP_001030922.1 1 213 0.443443661971831 E3 ubiquitin-protein ligase BIG BROTHER; Protein ENHANCER OF DA1-1; RING-type E3 ubiquitin transferase BIG BROTHER; EC 2.3.2.27 248 0 13 213 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L649 1 SwissProt MNGDNRPVEDAHYTETGFPYAATGSYMDFYGGAAQGPLNYDHAATMHPQDNLYWTMNTNAYKFGFSGSDNASFYGSYDMNDHLSRMSIGRTNWDYHPMVNVADDPENTVARSVQIGDTDEHSEAEECIANEHDPDSPQVSWQDDIDPDTMTYEELVELGEAVGTESRGLSQELIETLPTKKYKFGSIFSRKRAGERCVICQLKYKIGERQMNL
NP_001032995.1 1 431 0.123441531322506 PF00093.18:VWC:273:331,PF12714.7:TILa:273:312,PF02210.24:Laminin_G_2:99:206 Protein kinase C-binding protein NELL1; NEL-like protein 1 810 167 13 431 0 Mus musculus (Mouse) SwissProt::Q2VWQ2 1 SwissProt MPMDVILVLWFCVCTARTVLGFGMDPDLQMDIITELDLVNTTLGVTQVAGLHNASKAFLFQDVQREIHSAPHVSEKLIQLFRNKSEFTFLATVQQKPSTSGVILSIRELEHSYFELESSGPREEIRYHYIHGGKPRTEALPYRMADGQWHKVALSVSASHLLLHVDCNRIYERVIDPPETNLPPGSNLWLGQRNQKHGFFKGIIQDGKIIFMPNGFITQCPNLNRTCPTCSDFLSLVQGIMDLQELLAKMTAKLNYAETRLGQLENCHCEKTCQVSGLLYRDQDSWVDGDNCRNCTCKSGAVECRRMSCPPLNCSPDSLPVHISGQCCKVCRPKCIYGGKVLAEGQRILTKTCRECRGGVLVKITEACPPLNCSEKDHILPENQCCRVCRGHNFCAEAPKCGENSECKNWNTKATCECKNGYISVQGNSAY
NP_001033691.1 1 435 0.298118390804598 PF01284.23:MARVEL:190:357 MARVEL domain-containing protein 2; Tricellulin 555 168 13 343 4 Mus musculus (Mouse) SwissProt::Q3UZP0 1 SwissProt MSSSDARSRIRDRGYSEVPRDTSCPDGTIRTFQSLHSSELAVSADPLPPPPLPLQPPFGPSFYSSDTEEPAVAPDLKPVRRFVPDSWKNFFRGKKKDPEWDNPVSDIRYISDGVECSPPASPARANHHPYKDPSRGSQGTFNSQHEADAMFAHDPYASLDRRTQTARTYSEKVEEYNLRYAYMKSWAGLLRILGVVELLLGAGVFACVTAYIHKDNEWYNLFGYTQPYGMGGLGSLGNTYGGYYYSGPKTPFVLVVAGLAWITTIIILVLGMSMYYRTILLDSNWWPLTEFGVNVALFILYMAAAIVYVNDTNRGGLCYYPLFNTPMNAMFCRVEGGQIAAMIFLFVTMIVYLVSALVCLKLWRHEAARRHREFLEQQEINDPSLSSKRKMCEAAISDRQRDQEVNVKDLRTTTKMTPELLSGHIPPGHIPKPIV
NP_001079259.1 1 289 0.293482006920415 PF00688.18:TGFb_propeptide:48:141 Nodal homolog 3-A; Nodal-related protein 3-A; Xnr3; Xnr3-A 401 94 13 289 0 Xenopus laevis (African clawed frog) SwissProt::Q91609 1 SwissProt MAFLNLFFCLVFISPLMAMPPVLQGRKSISPDSILKDTSTDIGAREFQGRKFPNFMMQLYQNIIRGRDNDLSNLEHPTLQESDTVQSFIAKSYTTVGNRWTLFFDMSSISRSNELKLAELRICLPSFRKSHSVTVDIYHTNDGKEKLFMGSFKTKLSSALDSDCKVFNLTILLQNFLTRGKRLIKDEYIQAKGLHLKDLEKSATEKDTENVDTMKQHQYHVSDFAAERIMLVVFAKEQSHAKPDPPSLGQKLFPSKYGIDDNANKVNGFRRLRRNKKEKTQIHVSTVPP
NP_001082161.1 96 277 0.443692857142857 PF07527.13:Hairy_orange:14:52 Transcription factor HES-4-B; Hairy and enhancer of split 4-B; Protein hairy-2; Xhairy2; Protein hairy-2a; Xhairy2b 277 39 13 182 0 Xenopus laevis (African clawed frog) SwissProt::Q90VV1 1 SwissProt MTAALTADPSVLGKYRAGFNECMNEVTRFLSTCEGVNTEVRTRLLGHLSSCLGQIVAMNYQQPPSSQQPVHVQLPSSTPVPMPCKVNPAEAISPKVFQGGFQLVPATDGQFAFLIPNPAYTSSPGPVIPLYANATSPGGPPSQSPVQGLTSFAHKMPHMAQAVSPLGGSTRADSAESVWRPW
NP_001159745.1 1 566 0.579943992932861 PF06566.11:Chon_Sulph_att:34:278,PF06567.11:Neural_ProG_Cyt:447:565 Chondroitin sulfate proteoglycan 5; Acidic leucine-rich EGF-like domain-containing brain protein; Neuroglycan C 566 364 13 543 1 Mus musculus (Mouse) SwissProt::Q71M36 1 SwissProt MGRAGGGGPDWGPPPVLLLLGVTLVLTAGAVPARETGSAIEAEELVRSSLAWESRANDTREEAGLPAAGEDETSWTERGSEMAAVGPGVGPEEALEASAAVTGTAWLEADGPGLGGVTAEAGSGDAQTLPATLQAPDEALGSSTMPPAIPEATETSGPPSPAVHDKPSVGPELPKEIPLEVRLNLGGSTPEPTFPLQGTLETQPASDIIDIDYFEGLDSEGRGADMGSFPGSPGTSENHPDTEGETPSWSLLDLYDDFTPFDESDFYPTTSFYDDLEEEEEEEEDKDTVGGGDLEDENDLLLPSQKPGVGPGTGQPTNRWHAVPPQHTLGMVPGSSISLRPRPGDPGKDLASGENGTECRVGFVRHNGSCRSVCDLFPSYCHNGGQCYLVENIGAFCRCNTQDYIWHKGMRCESIITDFQVMCVAVGSAALVLLLLFMMTVFFAKKLYLLKTENTKLRRTNKFRTPSELHNDNFSLSTIAEGSHPNVRKFCDTPRVSSPHARALAHYDNIVCQDDPSAPHKIQDPLKSRLKEEESFNIQNSMSPKLEGGKGDQDDLGVNCLQNNLT
NP_001161382.1 480 1052 0.289535776614311 membrane-bound transcription factor site-1 protease precursor 1052 0 13 550 1 Mus musculus NP_001161382.1 1 RefSeq PQASLSPSYIDLTECPYMWPYCSQPIYYGGMPTIVNVTILNGMGVTGRIVDKPEWRPYLPQNGDNIEVAFSYSSVLWPWSGYLAISISVTKKAASWEGIAQGHIMITVASPAETELHSGAEHTSTVKLPIKVKIIPTPPRSKRVLWDQYHNLRYPPGYFPRDNLRMKNDPLDWNGDHVHTNFRDMYQHLRSMGYFVEVLGAPFTCFDATQYGTLLLVDSEEEYFPEEIAKLRRDVDNGLSLVIFSDWYNTSVMRKVKFYDENTRQWWMPDTGGANIPALNELLSVWNMGFSDGLYEGEFVLANHDMYYASGCSIAKFPEDGVVITQTFKDQGLEVLKQETAVVENVPILGLYQIPSEGGGRIVLYGDSNCLDDSHRQKDCFWLLDALLQYTSYGVTPPSLSHSGNRQRPPSGAGLAPPERMEGNHLHRYSKVLEAHLGDPKPRPLPACPHLSWAKPQPLNETAPSNLWKHQKLLSIDLDKVVLPNFRSNRPQVRPLSPGESGAWDIPGGIMPGRYNQEVGQTIPVFAFLGAMVALAFFVVQISKAKSRPKRRRPRAKRPQLAQQAHPARTPSV
NP_001164675.1 1 260 0.423191153846154 Ubiquitin-associated protein 1; UBAP-1; Nasopharyngeal carcinoma-associated gene 20 protein 502 0 13 260 0 Homo sapiens (Human) SwissProt::Q9NZ09 1 SwissProt MASKKLGADFHGTFSYLDDVPFKTGDKFKTPAKVGLPIGFSLPDCLQVVREVQYDFSLEKKTIEWAEEIKKIEEAEREAECKIAEAEAKVNSKSGPEGDSKMSFSKTHSTATMPPPINPILASLQHNSILTPTRVSSSATKQKVLSPPHIKADFNLADFECEEDPFDNLELKTIDEKEELRNILVGTTGPIMAQLLDNNLPRGGSGSVLQDEEVLASLERATLDFKPLHKPNGFITLPQLGNCEKMSLSSKVSLPPIPAV
NP_001171515.1 1 668 0.0565232035928144 PF04515.12:Choline_transpo:272:633 choline transporter-like protein 4 isoform 2 668 362 13 438 10 Homo sapiens NP_001171515.1 1 RefSeq MGGKQRDEDDEAYGKPVKYDPSFRGPIKNRSCTDVICCVLFLLFILGYIVVGIVAWLYGDPRQVLYPRNSTGAYCGMGENKDKPYLLYFNIFSCILSSNIISVAENGLQCPTPQTVITSLQQELCPSFLLPSAPALGRCFPWTNVTPPALPGITNDTTIQQGISGLIDSLNARDISVKIFEDFAQSWYWILVALGVALVLSLLFILLLRLVAGPLVLVLILGVLGVLAYGIYYCWEEYRVLRDKGASISQLGFTTNLSAYQSVQETWLAALIVLAVLEAILLLMLIFLRQRIRIAIALLKEASKAVGQMMSTMFYPLVTFVLLLICIAYWAMTALYLATSGQPQYVLWASNISSPGCEKVPINTSCNPTAHLVNSSCPGLMCVFQGYSSKGLIQRSVFNLQIYGVLGLFWTLNWVLALGQCVLAGAFASFYWAFHKPQDIPTFPLISAFIRTLRYHTGSLAFGALILTLVQIARVILEYIDHKLRGVQNPVARCIMCCFKCCLWCLEKFIKFLNRNAYIMIAIYGKNFCVSAKNAFMLLMRNIVRVVVLDKVTDLLLFFGKLLVVGGVGVLSFFFFSGRIPGLGKDFKSPHLNYYWLPIMTSILGAYVIASGFFSVFGMCVDTLFLCFLEDLERNNGSLDRPYYMSKSLLKILGKKNEAPPDNKKRKK
NP_001186205.1 1 407 0.641374692874693 TNFAIP3-interacting protein 1 isoform 2 594 0 13 407 0 Mus musculus NP_001186205.1 1 RefSeq MEASRLRQKAEELVKDSELSPPTSAPSLVSFDDLAELTGQDTKVQVHPATSTAATTTATATTGNSMEKPEPASKSPSNGASSDFEVVPTEEQNSPETGSHPTNMMDLGPPPPEDSNLKLHLQRLETTLSVCAEEPDHSQLFTHLGRMALEFNRLASKVHKNEQRTSILQTLCEQLRQENEALKAKLDKGLEQRDLAAERLREENTELKKLLMNSSCKEGLCGQPSSPKPEGAGKKGVAGQQQASVMASKVPEAGAFGAAEKKVKLLEQQRMELLEVNKQWDQHFRSMKQQYEQKITELRQKLVDLQKQVTELEAEREQKQRDFDRKLLLAKSKIEMEETDKEQLTAEAKELRQKVRYLQDQLSPLTRQREYQEKEIQRLNKALEEALSIQASPSSPPAAFGSPEGVG
NP_001186851.1 1 488 0.200659221311476 PF03629.18:SASA:84:331 sialate O-acetylesterase isoform 2 488 248 13 488 0 Homo sapiens NP_001186851.1 1 RefSeq MVLQKEPAGAVIWGFGTPGATVTVTLRQGQETIMKKVTSVKAHSDTWMVVLDPMKPGGPFEVMAQQTLEKINFTLRVHDVLFGDVWLCSGQSNMQMTVLQIFNATRELSNTAAYQSVRILSVSPIQAEQELEDLVAVDLQWSKPTSENLGHGYFKYMSAVCWLFGRHLYDTLQYPIGLIASSWGGTPIEAWSSGRSLKACGVPKQGSIPYDSVTGPSKHSVLWNAMIHPLCNMTLKGVVWYQGESNINYNTDLYNCTFPALIEDWRETFHRGSQGQTERFFPFGLVQLSSDLSKKSSDDGFPQIRWHQTADFGYVPNPKMPNTFMAVAMDLCDRDSPFGSIHPRDKQTVAYRLHLGARALAYGEKNLTFEGPLPEKIELLAHKGLLNLTYYQQIQVQKKDNKIFEISCCSDHRCKWLPASMNTVSTQSLTLAIDSCHGTVVALRYAWTTWPCEYKQCPLYHPSSALPAPPFIAFITDQGPGHQSNVAK
NP_001186900.1 1 116 0.371005172413793 PF03823.14:Neurokinin_B:1:54 tachykinin-3 preproprotein 116 54 13 116 0 Mus musculus NP_001186900.1 1 RefSeq MRSAMLFAAVLALSLAWTFGAVCEEPQGQGGRLSKDSDLYQLPPSLLRRLYDSRPVSLEGLLKVLSKASVGPKETSLPQKRDMHDFFVGLMGKRNSQPDTPTDVVEENTPSFGILK
NP_001188772.1 163 968 0.553818734491314 PF02174.17:IRS:6:71 Insulin receptor substrate 1; dIRS; Protein chico 968 66 13 806 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9XTN2 1 SwissProt KTPNGEDRVASIEILLTTIRRCGHASPQCIFYVELGRQSVLGSGDLWMETDNAAIATNMHNTILSAMSAKTESNTNLINVYQNRPDLSHEPMRKRSSSANEASKPINVNVIQNSQNSLELRSCSSPHNYGFGRERCDSLPTRNGTLSESSNQTYFGSNHGLRSNTISGIRPHSTNKHSNSPTFTMPLRCSESEESSISVDESDDNGSFSHYRLNTRSSETAIPEENIDDFASAELFSKVTEQNVSDENYIPMNPVNPTDAIHEKEKADMQRLEDASLHFNFPEHASEKLAKDFDLDSDNQCCRPIRAYSIGNKVEHLKFNKRLGHLNDTGQNPNRVRAYSVGSKSKIPRCDLQRVVLVEDNKHEFTANRSQSSITKEGTSYGSSANRQKKSTSAPLLSLKNQINSDRMSDLMEIDFSQATNLEKQKFIKNNEIPKYIENVFPKAPRTDSSSLTLHATSQKDIFNGTKLNNTAITSEDGYLEMKPVGNGYTPSSNCLPMKVEKLKLSDYQTAPPLTATAAPVHDLNKISTYNISAEKWREQPSRSEEKKSNSPLNDNTFSSKPTNVESTSKSHDVHSANQIDCEKVCAQSSDKLNNHLADKIVENNNLDIGGHEEKKLVHSISSEDYTQIKDKSNDFTKFNEAGYKILQIKSDSSLISSKLYQKGIHKDNLERSQRLTESVNTIPDNATATAVSSSSLTKFNINSAKPAAAADSRSTGTDPSTPQNILQIKDLNFPSRSSSRISQPELHYASLDLPHCSGQNPAKYLKRGSRESPPVSACPEDGNTYAKIDFDQSDSSSSSSNIFNT
NP_001190116.1 1 182 0.122653846153846 PF00651.31:BTB:18:110 Ankyrin repeat family protein / BTB/POZ domain-containing protein 182 93 13 182 0 Arabidopsis thaliana NP_001190116.1 1 RefSeq MSNTFEESLKSMSLDYLNLLINGQAFSDVTFSVEGRLVHAHRCILAARSLFFRKFFCESDPSQPGAEPANQTGSGARAAAVGGVIPVNSVGYEVFLLLLQFLYSGQVSIVPHKHEPRSNCGDRGCWHTHCTAAVDLSLDILAAARYFGVEQLALLTQCNFLSRFRRQWTDVFCTPRNFLKME
NP_001229759.1 1 262 0.112612977099237 PF01151.18:ELO:28:169 elongation of very long chain fatty acids protein 5 isoform 3 262 142 13 193 3 Homo sapiens NP_001229759.1 1 RefSeq MEHFDASLSTYFKALLGPRDTRVKGWFLLDNYIPTFICSVIYLLIVWLGPKYMRNKQPFSCRGILVVYNLGLTLLSLYMFCELVTGVWEGKYNFFCQGTRTAGESDMKIIRVLWWYYFSKLIEFMDTFFFILRKNNHQITVLHVYHHASMLNIWWFVMNWVPCGHSSVCADNHPDQLRGHLAVHIPSWLVVFPDWIHDFPDCSLHKLLHSDLQQERGLPKERPPEGPPEWVHGCCEWTHQQLFTPGKQCEAKEAAEGLKSKN
NP_001230066.1 1 399 0.306068671679198 PF00989.25:PAS:147:252,PF13426.7:PAS_9:154:252,PF08448.10:PAS_4:149:251 high affinity cAMP-specific and IBMX-insensitive 3',5'-cyclic phosphodiesterase 8A isoform 3 757 106 13 399 0 Homo sapiens NP_001230066.1 1 RefSeq MRFHQDQLQVLLVFTKEDNQCNGFCRACEKAGFKCTVTKEAQAVLACFLDKHHDIIIIDHRNPRQLDAEALCRSIRSSKLSENTVIVGVVRRVDREELSVMPFISAGFTRRYVENPNIMACYNELLQLEFGEVRSQLKLRACNSVFTALENSEDAIEITSEDRFIQYANPAFETTMGYQSGELIGKELGEVPINEKKADLLDTINSCIRIGKEWQGIYYAKKKNGDNIQQNVKIIPVIGQGGKIRHYVSIIRVCNGNNKAEKISECVQSDTHTDNQTGKHKDRRKGSLDVKAVASRATEVSSQRRHSSMARIHSMTIEAPITKVINIINAAQESSPMPVTEALDRVLEILRTTELYSPQFGAKDDDPHANDLVGGLMSDGLRRLSGNEYVLSTKNTQMV
NP_001231785.1 1 146 0.277156849315068 C-type lectin domain family 4 member G isoform 2 281 0 13 123 1 Homo sapiens NP_001231785.1 1 RefSeq MDTTRYRPWGRWVHWSRRPLFLALAVLVTTVLWAVILSILLSKASTERAALLDGHDLLRTNASKQTAALGALKEEVGDCHSCCSGTQAQLQTTRAELGEAQAKLMEQESALRELRERVTQGLAEAGRGREDVRTELFRALEAVRLQ
NP_001245381.1 1 986 0.209186206896552 PF13361.6:UvrD_C:812:877,PF13245.6:AAA_19:383:607,PF00580.21:UvrD-helicase:566:614,PF13538.6:UvrD_C_2:814:874,PF12937.7:F-box-like:138:177,PF00646.33:F-box:137:182 F-box DNA helicase 1 isoform 3 986 344 13 986 0 Homo sapiens NP_001245381.1 1 RefSeq MAKSNSVGQDSCQDSEGDMIFPAESSCALPQEGSAGPGSPGSAPPSRKRSWSSEEESNQATGTSRWDGVSKKAPRHHLSVPCTRPREARQEAEDSTSRLSAESGETDQDAGDVGPDPIPDSYYGLLGTLPCQEALSHICSLPSEVLRHVFAFLPVEDLYWNLSLVCHLWREIISDPLFIPWKKLYHRYLMNEEQAVSKVDGILSNCGIEKESDLCVLNLIRYTATTKCSPSVDPERVLWSLRDHPLLPEAEACVRQHLPDLYAAAGGVNIWALVAAVVLLSSSVNDIQRLLFCLRRPSSTVTMPDVTETLYCIAVLLYAMREKGINISNRIHYNIFYCLYLQENSCTQATKVKEEPSVWPGKKTIQLTHEQQLILNHKMEPLQVVKIMAFAGTGKTSTLVKYAEKWSQSRFLYVTFNKSIAKQAERVFPSNVICKTFHSMAYGHIGRKYQSKKKLNLFKLTPFMVNSVLAEGKGGFIRAKLVCKTLENFFASADEELTIDHVPIWCKNSQGQRVMVEQSEKLNGVLEASRLWDNMRKLGECTEEAHQMTHDGYLKLWQLSKPSLASFDAIFVDEAQDCTPAIMNIVLSQPCGKIFVGDPHQQIYTFRGAVNALFTVPHTHVFYLTQSFRFGVEIAYVGATILDVCKRVRKKTLVGGNHQSGIRGDAKGQVALLSRTNANVFDEAVRVTEGEFPSRIHLIGGIKSFGLDRIIDIWILLQPEEERRKQNLVIKDKFIRRWVHKEGFSGFKRYVTAAEDKELEAKIAVVEKYNIRIPELVQRIEKCHIEDLDFAEMESHHVGQAGLELPTSEYILGTVHKAKGLEFDTVHVLDDFVKVPCARHNLPQLPHFRVESFSEDEWNLLYVAVTRAKKRLIMTKSLENILTLAGEYFLQAELTSNVLKTGVVRCCVGQCNNAIPVDTVLTMKKLPITYSNRKENKGGYLCHSCAEQRIGPLAFLTASPEQVRAMERTVENIVLPRHEALLFLVF
NP_001257339.1 1 280 0.372061428571429 PF06584.13:DIRP:75:179 protein lin-9 homolog isoform 3 490 105 13 280 0 Homo sapiens NP_001257339.1 1 RefSeq MPFRNSKRSRLFSDEDDRQINTRSPKRNQRVAMVPQKFTATMSTPDKKASQKIGFRLRNLLKLPKAHKWCIYEWFYSNIDKPLFEGDNDFCVCLKESFPNLKTRKLTRVEWGKIRRLMGKPRRCSSAFFEEERSALKQKRQKIRLLQQRKVADVSQFKDLPDEIPLPLVIGTKVTARLRGVHDGLFTGQIDAVDTLNATYRVTFDRTGLGTHTIPDYEVLSNEPHETMPIAAFGQKQRPSRFFMTPPRLHYTPPLQSPIIDNDPLLGQSPWRSKISGSDT
NP_001258164.1 210 633 0.613161320754717 tumor necrosis factor receptor superfamily member 11A precursor 633 0 13 401 1 Rattus norvegicus NP_001258164.1 1 RefSeq VYLPSLIVLLLFISVVVVAAIVFSIYYRKGGKTLTANLWNWVNDACSSLSGNKESSGDHCVGSHSANSSQQEVCEGILLMTREEKMVPEDCAGVCGPVRAASGPWAEGRDARTFTLVSEVETQGNLSRKIPTEDEYTDRPSQPPNGSLFLIQPGSRSTPPFQEPLEVGENDSLSQCFTGTESMVDSEGCDFTEPPSRTDYMPASPEKLLTKEIEDDSCLPWVANSNSTDGYTGSGNTPGEDHEPLPGSLKCGPLPQCAYSIGLPSEAAASLAEVGAQPQDRADAKLPSSERGASGSGSSPSEQPPASGNVTGNSNSTFISSGQVMNFKGDIIVVYVSQTSQEGPGPAEPEPEPEPVGRPVQEETLAHRDSFAGTAPRFPDACTTGAGLQEKGASRPKDWASRPVQEQGGAQASLNTQGSGQCAE
NP_001260971.1 1 564 0.200103546099291 PF03016.15:Exostosin:102:393,PF09258.10:Glyco_transf_64:477:539 Exostosin-1; Protein tout-velu; EC 2.4.1.224; EC 2.4.1.225 760 355 13 545 1 Drosophila melanogaster (Fruit fly) SwissProt::Q9V730 1 SwissProt MQAKKRYILVFVSCAFLAYAYFGGYRLKVSPLRPRRAQHESAKDGGVQPHEQLPSFLGAHDMQELQLLQSNQSKSLDSSKHLVTRKPDCRMETCFDFTRCYDRFLVYIYPPEPLNSLGAAPPTSANYQKILTAIQESRYYTSDPTAACLFVLGIDTLDRDSLSEDYVRNVPSRLARLPYWNNGRNHIIFNLYSGTWPDYAENSLGFDAGEAILAKASMGVLQLRHGFDVSIPLFHKQFPLRAGATGTVQSNNFPANKKYLLAFKGKRYVHGIGSETRNSLFHLHNGRDMVLVTTCRHGKSWRELQDNRCDEDNREYDRYDYETLLQNSTFCLVPRGRRLGSFRFLEALQAGCIPVLLSNAWVLPFESKIDWKQAAIWADERLLLQVPDIVRSIPAERIFALRQQTQVLWERYFGSIEKIVFTTFEIIRERLPDYPVRSSLVWNSSPGALLTLPTFADSSRYMPFLLNSMGAEPRHNYTAVIYVQIGAALGPNAALYKLVRTITKSQFVERILVLWAADRPLPLKKRWPPTSHIPLHVISLGGSTRSQGAGPTSQTTEGRPSISQ
NP_001263395.1 1 156 0.711428205128205 PF04487.12:CITED:90:111 Cbp/p300-interacting transactivator 1; Melanocyte-specific protein 1 203 22 13 156 0 Mus musculus (Mouse) SwissProt::P97769 1 SwissProt MPTMSRPALDVKGGTTSGKEDANQEMNSLAYSNLGVKDRKAVTVLHYPGVTANGAKANGVPTSSSGSTSPIGSPTATPSSKPPSFNLHPTPHLMASMQLQKLNSQYQGAAATAAAALTGAGLPGEEEPMQNWVTAPLVVGGSPGSVSPPAGAQSPA
NP_001265177.1 107 252 0.108614383561644 RWD domain-containing protein 3 isoform e 252 0 13 146 0 Homo sapiens NP_001265177.1 1 RefSeq SGSEKCTFSTSTTMDDGLWITLLHLDHMRAKTKYVKIVEKWASDLRLTGRLMFMGKIILILLQGDRNNLKEYLILQKTSKVDVDSSGKKCKEKMISVLFETKVQTEHKRFLAFEVKEYSALDELQKEFETAGLKKLFSEFVLALVK
NP_001265653.2 113 420 0.503349675324676 interleukin-20 receptor subunit alpha isoform 4 420 0 13 285 1 Homo sapiens NP_001265653.2 1 RefSeq EFKAKIIFWYVLPVSITVFLFSVMGYSIYRYIHVGKEKHPANLILIYGNEFDKRFFVPAEKIVINFITLNISDDSKISHQDMSLLGKSSDVSSLNDPQPSGNLRPPQEEEEVKHLGYASHLMEIFCDSEENTEGTSLTQQESLSRTIPPDKTVIEYEYDVRTTDICAGPEEQELSLQEEVSTQGTLLESQAALAVLGPQTLQYSYTPQLQDLDPLAQEHTDSEEGPEEEPSTTLVDWDPQTGRLCIPSLSSFDQDSEGCEPSEGDGLGEEGLLSRLYEEPAPDRPPGENETYLMQFMEEWGLYVQMEN
NP_001268403.1 147 457 0.796940514469453 PF04698.12:Rab_eff_C:167:222 melanophilin isoform 4 457 56 13 311 0 Homo sapiens NP_001268403.1 1 RefSeq GGAGPELISEERSGDSDQTDEDGEPGSEAQAQAQPFGSKKKRLLSVHDFDFEGDSDDSTQPQGHSLHLSSVPEARDSPQGLGAGVRTEADVEEEALRRKLEELTSNVSDQETSSEEEEAKDEKAEPNRDKSVGPLPQADPEVGTAAHQTNRQEKSPQDPGDPVQYNRTTDEELSELEDRVAVTASEVQQAESEVSDIESRIAALRAAGLTVKPSGKPRRKSNLPIFLPRVAGKLGKRPEDPNADPSSEAKAMAVPYLLRRKFSNSLKSQGKDDDSFDRKSVYRGSLTQRNPNARKGMASHTFAKPVVAHQS
NP_001269105.1 1 567 0.188406349206349 PF05602.12:CLPTM1:1:395 cleft lip and palate transmembrane protein 1 isoform 4 567 395 13 460 5 Homo sapiens NP_001269105.1 1 RefSeq MNLHVYISEHEHFTDFNATSALFWEQHDLVYGDWTSGENSDGCYEHFAELDIPQSVQQNGSIYIHVYFTKSGFHPDPRQKALYRRLATVHMSRMINKYKRRRFQKTKNLLTGETEADPEMIKRAEDYGPVEVISHWHPNITINIVDDHTPWVKGSVPPPLDQYVKFDAVSGDYYPIIYFNDYWNLQKDYYPINESLASLPLRVSFCPLSLWRWQLYAAQSTKSPWNFLGDELYEQSDEEQDSVKVALLETNPYLLALTIIVSIVHSVFEFLAFKNDIQFWNSRQSLEGLSVRSVFFGVFQSFVVLLYILDNETNFVVQVSVFIGVLIDLWKITKVMDVRLDREHRVAGIFPRLSFKDKSTYIESSTKVYDDMAFRYLSWILFPLLGCYAVYSLLYLEHKGWYSWVLSMLYGFLLTFGFITMTPQLFINYKLKSVAHLPWRMLTYKALNTFIDDLFAFVIKMPVMYRIGCLRDDVVFFIYLYQRWIYRVDPTRVNEFGMSGEDPTAAAPVAEVPTAAGALTPTPAPTTTTATREEASTSLPTKPTQGASSASEPQEAPPKPAEDKKKD
NP_001269896.1 70 142 0.337790410958904 ETS domain-containing protein Elk-3 isoform Elk3d 142 0 13 73 0 Mus musculus NP_001269896.1 1 RefSeq TPSGLFLASSPLLPSIHFWSSLSPVAPLSPARLQGPNTLFQFPTLLNGHMPVPLPSLDRAPSPVLLSPSSQKS
NP_001271448.1 1 577 0.206069670710572 PF13483.6:Lactamase_B_3:138:217,PF00355.26:Rieske:22:93 Cytidine monophosphate-N-acetylneuraminic acid hydroxylase; CMP-N-acetylneuraminic acid hydroxylase; CMP-N-acetylneuraminate monooxygenase; CMP-Neu5Ac hydroxylase; CMP-NeuAc hydroxylase; EC 1.14.18.2 577 152 13 577 0 Mus musculus (Mouse) SwissProt::Q61419 1 SwissProt MMDRKQTAETLLTLSPAEVANLKEGINFFRNKTTGKEYILYKEKDHLKACKNLCKHQGGLFMKDIEDLDGRSVKCTKHNWKLDVSTMKYINPPGSFCQDELVIEMDENNGLSLVELNPPNPWDSDPRSPEELAFGEVQITYLTHACMDLKLGDKRMVFDPWLIGPAFARGWWLLHEPPSDWLERLCKADLIYISHMHSDHLSYPTLKQLSQRRPDIPIYVGDTERPVFWNLDQSGVGLTNINVVPFGIWQQVDKSLRFMILMDGVHPEMDTCIIVEYKGHKILNTVDCTRPNGGRLPEKVALMMSDFAGGASGFPMTFSGGKFTEEWKAQFIKAERRKLLNYKAQLVKDLQPRIYCPFAGYFVESHPSDKYIKETNTKNDPNQLNNLIRKNSDVVTWTPRPGAVLDLGRMLKDPTDSKGIVEPPEGTKIYKDSWDFGPYLEILNSAVRDEIFCHSSWIKEYFTWAGFKNYNLVVRMIETDEDFSPFPGGYDYLVDFLDLSFPKERPSREHPYEEIHSRVDVIRYVVKNGLLWDDLYIGFQTRLLRDPDIYHHLFWNHFQIKLPLTPPNWKSFLMHCD
NP_001273683.1 1 1230 0.617767154471546 synaptopodin-2 isoform d 1230 0 13 1230 0 Homo sapiens NP_001273683.1 1 RefSeq MVTQIRNQSKASGSGLCEGDEVVSINGNPCADLTYPEVIKLMESITDSLQMLIKRPSSGISEALISENENKNLEHLTHGGYVESTTLQIRPATKTQCTEFFLAPVKTEVPLAENQRSGPDCAGSLKEETGPSYQRAPQMPDSQRGRVAEELILREKVEAVQPGPVVELQLSLSQERHKGASGPLVALPGAEKSKSPDPDPNLSHDRIVHINSIPTNEKADPFLRSSKIIQISSGRELRVIQESEAGDAGLPRVEVILDCSDRQKTEGCRLQAGKECVDSPVEGGQSEAPPSLVSFAVSSEGTEQGEDPRSEKDHSRPHKHRARHARLRRSESLSEKQVKEAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREADEEEEGDKEDTCEVAFLGASESEVDEELLSDVDDNTQVVNFDWDSGLVDIEKKLNRGDKMEMLPDTTGKGALMFAKRRERMDQITAQKEEDKVGGTPSREQDAAQTDGLRTTTSYQRKEEESVRTQSSVSKSYIEVSHGLGHVPQQNGFSGTSETANIQRMVPMNRTAKPFPGSVNQPATPFSPTRNMTSPIADFPAPPPYSAVTPPPDAFSRGVSSPIAGPAQPPPWPQPAPWSQPAFYDSSERIASRDERISVPAKRTGILQEAKRRSTTKPMFTFKEPKVSPNPELLSLLQNSEGKRGTGAGGDSGPEEDYLSLGAEACNFMQSSSAKQKTPPPVAPKPAVKSSSSQPVTPVSPVWSPGVAPTQPPAFPTSNPSKGTVVSSIKIAQPSYPPARPASTLNVAGPFKGPQAAVASQNYTPKPTVSTPTVNAVQPGAVGPSNELPGMSGRGAQLFAKRQSRMEKYVVDSDTVQAHAARAQSPTPSLPASWKYSSNVRAPPPVAYNPIHSPSYPLAALKSQPSAAQPSKMGKKKGKKPLNALDVMKHQPYQLNASLFTFQPPDAKDGLPQKSSVKVNSALAMKQALPPRPVNAASPTNVQASSVYSVPAYTSPPSFFAEASSPVSASPVPVGIPTSPKQESASSSYFVAPRPKFSAKKSGVTIQESGRSLSLPGRSVPPPISTSPWVYQPTYSYSSKPTDGLEKANKRPTPWEAAAKSPLGLVDDAFQPRNIQESIVANVVSAARRKVLPGPPEDWNERLSYIPQTQKAYMGSCGRQEYNVTANNNMSTTSQYGSQLPYAYYRQASRNDSAIMSMETRSDYCLPVADYNYNPHPRGWRRQT
NP_001276403.1 403 1194 0.666265277777777 erbin isoform 4 1294 0 13 792 0 Mus musculus NP_001276403.1 1 RefSeq SKPLIPLQKETDTETQKMVLTNYMFPQQPRTEDVMFISDNESFNPALWEEQRKQRAQVAFECDEDKDEREAPPREGNLKRYPTPYPDELKNMVKTVQTIVHRLKDEETNEESGRDLKQHEDQQVVNKDKCVKTSESTTTKSKLDEREKYMNSVQKMSEPEAETNGGNLPVTASMKLSGNLKHIVNHDDVFEESEELSSDEEMKMAEMRPPLIESSINQPKVVALSNNKKDDAKDADSLSDEVTHNSNQNNSNCSSPSRMSDSVSLNTDSSQDTSLCSPVKQTPVDSNSKVRQEDENFNSLLQNGVNLNNSPEEKFKINDKKDFKLPEYDLNIEEQLVLIEKDIDSKATSDDSRQLDHINMNINKLVTNNIFQPEVMERSKMQDIVLGTGFLSIHPKNEAEHIENGAKFPNLESINKVNGLCEDTAPSPGRVEPQKASSSADVGISKSTEDLSPQRSGPTGAVVKSHSITNMETGGLKIYDILGDDGPQPPSAAVKIASAVDGKNIVRSKSATLLYDQPLQVFTAASSSSELLSGTKAVFKFDSNHNPEEPDIIRAATVSGPQSTPHLYGPPQYNVQYSGSATVKDTLWHPKQNPQIDPVSFPPQRLPRSESAENHSYAKHSANMNFSNHNNVRANTGYHLQQRLAPARHGEMWAISPNDRLVPAVTRTTIQRQSSVSSTASVNLGDPTRRTEGDYLSYRELHSMGRTPVMSGSQRPLSARAYSIDGPNTSRPQSARPSINEIPERTMSVSDFNYSRTSPSKRPNTRVGSEHSLLDPPGKSKVPHDWREQVLR
NP_001284835.1 238 764 0.403575332068312 PF13927.6:Ig_3:109:173,PF13927.6:Ig_3:199:275,PF13895.6:Ig_2:32:105,PF13895.6:Ig_2:116:182,PF07679.16:I-set:109:171,PF07679.16:I-set:205:287,PF00047.25:ig:199:284 Irregular chiasm C-roughest protein; Protein IRREC 764 237 13 504 1 Drosophila melanogaster (Fruit fly) SwissProt::Q08180 1 SwissProt KVKVNVMGSLPGGAGGSVGGAGGGSVHMSTGSRIVEHSQVRLECRADANPSDVRYRWFINDEPIIGGQKTEMVIRNVTRKFHDAIVKCEVQNSVGKSEDSETLDISYAPSFRQRPQSMEADVGSVVSLTCEVDSNPQPEIVWIQHPSDRVVGTSTNLTFSVSNETAGRYYCKANVPGYAEISADAYVYLKGSPAIGSQRTQYGLVGDTARIECFASSVPRARHVSWTFNGQEISSESGHDYSILVDAVPGGVKSTLIIRDSQAYHYGKYNCTVVNDYGNDVAEIQLQAKKSVSLLMTIVGGISVVAFLLVLTILVVVYIKCKKRTKLPPADVISEHQITKNGGVSCKLEPGDRTSNYSDLKVDISGGYVPYGDYSTHYSPPPQYLTTCSTKSNGSSTIMQNNHQNQLQLQQQQQQSHHQHHTQTTTLPMTFLTNSSGGSLTGSIIGSREIRQDNGLPSLQSTTASVVSSSPNGSCSNQSTTAATTTTTHVVVPSSMALSVDPRYSAIYGNPYLRSSNSSLLPPPTAV
NP_001287781.1 485 1124 0.68040828125 roquin-1 isoform d 1124 0 13 640 0 Homo sapiens NP_001287781.1 1 RefSeq LPSRKPPALPNGIVSTGNTVTQLIPRGTDPSYDSSLKPGKIDHLSSSAPGSPPDLLESVPKSISALPVNPHSIPPRGPADLPPMPVTKPLQMVPRGSQLYPAQQTDVYYQDPRGAAPPFEPAPYQQGMYYTPPPQCVSRFVRPPPSAPEPAPPYLDHYPPYLQERVVNSQYGTQPQQYPPIYPSHYDGRRVYPAPSYTREEIFRESPIPIEIPPAAVPSYVPESRERYQQIESYYPVAPHPTQIRPSYLREPPYSRLPPPPQPHPSLDELHRRRKEIMAQLEERKVISPPPFAPSPTLPPTFHPEEFLDEDLKVAGKYKGNDYSQYSPWSCDTIGSYIGTKDAKPKDVVAAGSVEMMNVESKGMRDQRLDLQRRAAETSDDDLIPFGDRPTVSRFGAISRTSKTIYQGAGPMQAMAPQGAPTKSINISDYSPYGTHGGWGASPYSPHQNIPSQGHFSERERISMSEVASHGKPLPSAEREQLRLELQQLNHQISQQTQLRGLEREANTLAGQSQPPPPPPPKWPGMISSEQLSLELHQVEREIGKRTRELSMENQCSLDMKSKLNTSKQAENGQPEPQNKVPAEDLTLTFSDVPNGSALTQENISLLSNKTSSLNLSEDPEGGGDNNDSQRSGVTPSSAP
NP_001289387.1 1 104 0.885040384615385 inhibitor of growth protein 1 isoform 3 185 0 13 104 0 Mus musculus NP_001289387.1 1 RefSeq MVELVENRSRQVDSHVELFEAHQDISDGTGGSGKAGQDKSKSEAITQADKPNNKRSRRQRNNENRENASNNHDHDDITSGTPKEKKAKTSKKKKRSKAKAEREA
NP_001297624.1 1 239 0.44992050209205 PF05644.11:Miff:1:149,PF05644.11:Miff:152:239 mitochondrial fission factor isoform 2 239 237 13 221 1 Mus musculus NP_001297624.1 1 RefSeq MAEISRIQYEMEYTEGISQRMRVPEKLKVAPPNADLEQEFQDGVPNASVIMQVPERIVVTGNNEDISFSRPADLDLIQSTPFKPLALKTPPRVLTLSERPLDFLDLERPLPTPQSEEQSRAVGRLKRERSMSENAVRQNGQLVRNDSIPVLRGGSAAATSNPHHDNVRYGISNLDAAIEGASDDMTVVDAASLRRQIIKLNRRLQLLEEENKERAKREMVMYSITVAFWLLNSWLWFRR
NP_001305155.1 1 1033 0.4102298160697 PF13499.6:EF-hand_7:199:259,PF00036.32:EF-hand_1:237:262,PF13833.6:EF-hand_8:214:258,PF13202.6:EF-hand_5:238:259 ninein-like protein isoform 2 1033 64 13 1033 0 Homo sapiens NP_001305155.1 1 RefSeq MDEEENHYVSQLREVYSSCDTTGTGFLDRQELTQLCLKLHLEQQLPVLLQTLLGNDHFARVNFEEFKEGFVAVLSSNAGVRPSDEDSSSLESAASSAIPPKYVNGSKWYGRRSRPELCDAATEARRVPEQQTQASLKSHLWRSASLESVESPKSDEEAESTKEAQNELFEAQGQLQTWDSEDFGSPQKSCSPSFDTPESQIRGVWEELGVGSSGHLSEQELAVVCQSVGLQGLEKEELEDLFNKLDQDGDGKVSLEEFQLGLFSHEPALLLESSTRVKPSKAWSHYQVPEESGCHTTTTSSLVSLCSSLRLFSSIDDGSGFAFPDQVLAMWTQEGIQNGREILQSLDFSVDEKVNLLELTWALDNELMTVDSAVQQAALACYHQELSYQQGQVEQLARERDKARQDLERAEKRNLEFVKEMDDCHSTLEQLTEKKIKHLEQGYRERLSLLRSEVEAERELFWEQAHRQRAALEWDVGRLQAEEAGLREKLTLALKENSRLQKEIVEVVEKLSDSERLALKLQKDLEFVLKDKLEPQSAELLAQEERFAAVLKEYELKCRDLQDRNDELQAELEGLWARLPKNRHSPSWSPDGRRRQLPGLGPAGISFLGNSAPVSIETELMMEQVKEHYQDLRTQLETKVNYYEREIAALKRNFEKERKDMEQARRREVSVLEGQKADLEELHEKSQEVIWGLQEQLQDTARGPEPEQMGLAPCCTQALCGLALRHHSHLQQIRLEFHRLSEENTLLKNDLGRVRQELEAAESTHDAQRKEIEVLKKDKEKACSEMEVLNRQNQNYKDQLSQLNVRVLQLGQEASTHQAQNEEHRVTIQMLTQSLEEVVRSGQQQSDQIQKLRVELECLNQEHQSLQLPWSELTQTLEESQDQVQGAHLRLRQAQAQHLQEVRLVPQDRVAELHRLLSLQGEQARRRLDAQREEHEKQLKATEERVEEAEMILKNMEMLLQEKVDKLKEQFEKNTKSDLLLKELYVENAHLVRALQATEEKQRGAEKQSRLLEEKVRALNKLVSRIAPAALSV
NP_001305310.1 453 969 0.682673114119922 PF00612.27:IQ:10:28,PF00612.27:IQ:370:388 inversin isoform c 969 38 13 517 0 Homo sapiens NP_001305310.1 1 RefSeq LSIAAIQDIAAFKIQAVYKGYKVRKAFRDRKNLLMKHEQLRKDAAAKKREEENKRKEAEQQKGRRSPDSCRPQALPCLPSTQDVPSRQSRAPSKQPPAGNVAQGPEPRDSRGSPGGSLGGALQKEQHVSSDLQGTNSRRPNETAREHSKGQSACVHFRPNEGSDGSRHPGVPSVEKSRGETAGDERCAKGKGFVKQPSCIRVAGPDEKGEDSRRAAASLPPHDSHWKPSRRHDTEPKAKCAPQKRRTQELRGGRCSPAGSSRPGSARGEAVHAGQNPPHHRTPRNKVTQAKLTGGLYSHLPQSTEELRSGARRLETSTLSEDFQVSKETDPAPGPLSGQSVNIDLLPVELRLQIIQRERRRKELFRKKNKAAAVIQRAWRSYQLRKHLSHLRHMKQLGAGDVDRWRQESTALLLQVWRKELELKFPQTTAVSKAPKSPSKGTSGTKSTKHSVLKQIYGCSHEGKIHHPTRSVKASSVLRLNSVSNLQCIHLLENSGRSKNFSYNLQSATQPKNKTKP
NP_001319504.1 137 572 0.298628669724771 DnaJ protein ERDJ3A; Chaperone protein dnaJ 63; AtDjA63; AtJ63; Endoplasmic reticulum dnaJ domain-containing protein 3A; AtERdj3A; Protein SCJ1 homolog ERDJ3A; THERMOSENSITIVE MALE STERILE 1, TMS1 572 0 13 436 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SR96 1 SwissProt KSFSFSFGGPSESSFGFGMDDIFSMFSGGSSKGKEQFGGFGSSSNAESKSKSSTVAAIKTINSQVYKKDVVDQGMTWLLLSYLPSQRGSQYHESIIEEVAESLQGALKVGRLNCETESSLCKQLGIVPRRAPRMFVYSYTSSGKATLAEYTEELVAKKVKSFCQEHLPRFSKKIDLNTFDVSAVSSQKTPKVLLLSTKKDTPVIWRVLSGLYNGRFVFYNTEVHDTSDPKIQKLGVDKFPAIVGWLSNGEKQVLKTGITVKNLKSAVQELGKLLEGLEKKNKKVSSKSQAGQAPNESSEKIPLLSRPNFDSICGENTPVCIIGAFRSSNGKEKLQSIMSKVSQKSLSRRQASTTGSQDTVSYSLLDATKQSAFLSSLDKSEFKTSSDKLLIAYKPRRGKFATFKGDMTIEEVEKFVAAVLNGDIQFTKTRQKPQIK
NP_001478.2 1 153 0.461475163398692 PF06320.13:GCN5L1:33:145 Biogenesis of lysosome-related organelles complex 1 subunit 1; BLOC-1 subunit 1; GCN5-like protein 1; Protein RT14 153 113 13 153 0 Homo sapiens (Human) SwissProt::P78537 1 SwissProt MAPGSRGERSSFRSRRGPGVPSPQPDVTMLSRLLKEHQAKQNERKELQEKRRREAITAATCLTEALVDHLNVGVAQAYMNQRKLDHEVKTLQVQAAQFAKQTGQWIGMVENFNQALKEIGDVENWARSIELDMRTIATALEYVYKGQLQSAPS
NP_002589.2 1 344 0.218070930232558 PF04194.13:PDCD2_C:189:339,PF01753.18:zf-MYND:135:172 Programmed cell death protein 2; Zinc finger MYND domain-containing protein 7; Zinc finger protein Rp-8 344 189 13 344 0 Homo sapiens (Human) SwissProt::Q16342 1 SwissProt MAAAGARPVELGFAESAPAWRLRSEQFPSKVGGRPAWLGAAGLPGPQALACELCGRPLSFLLQVYAPLPGRPDAFHRCIFLFCCREQPCCAGLRVFRNQLPRKNDFYSYEPPSENPPPETGESVCLQLKSGAHLCRVCGCLGPKTCSRCHKAYYCSKEHQTLDWRLGHKQACAQPDHLDHIIPDHNFLFPEFEIVIETEDEIMPEVVEKEDYSEIIGSMGEALEEELDSMAKHESREDKIFQKFKTQIALEPEQILRYGRGIAPIWISGENIPQEKDIPDCPCGAKRILEFQVMPQLLNYLKADRLGKSIDWGILAVFTCAESCSLGTGYTEEFVWKQDVTDTP
NP_002632.1 1 279 0.113042652329749 PF08288.12:PIGA:72:161,PF13439.6:Glyco_transf_4:46:213,PF13579.6:Glyco_trans_4_4:47:156 Phosphatidylinositol N-acetylglucosaminyltransferase subunit A; GlcNAc-PI synthesis protein; Phosphatidylinositol-glycan biosynthesis class A protein; PIG-A; EC 2.4.1.198 484 168 13 279 0 Homo sapiens (Human) SwissProt::P37287 1 SwissProt MACRGGAGNGHRASATLSRVSPGSLYTCRTRTHNICMVSDFFYPNMGGVESHIYQLSQCLIERGHKVIIVTHAYGNRKGIRYLTSGLKVYYLPLKVMYNQSTATTLFHSLPLLRYIFVRERVTIIHSHSSFSAMAHDALFHAKTMGLQTVFTDHSLFGFADVSSVLTNKLLTVSLCDTNHIICVSYTSKENTVLRAALNPEIVSVIPNAVDPTDFTPDPFRRHDSITIVVVSRLVYRKGIDLLSGIIPELCQKYPDLNFIIGGEGPKRIILEEVRERYQ
NP_003702.2 1 284 0.100729929577465 PF01569.21:PAP2:104:247 Phospholipid phosphatase 1; Lipid phosphate phosphohydrolase 1; PAP2-alpha; Phosphatidate phosphohydrolase type 2a; Phosphatidic acid phosphatase 2a; PAP-2a; PAP2a; EC 3.1.3.4 284 144 13 151 6 Homo sapiens (Human) SwissProt::O14494 1 SwissProt MFDKTRLPYVALDVLCVLLAGLPFAILTSRHTPFQRGVFCNDESIKYPYKEDTIPYALLGGIIIPFSIIVIILGETLSVYCNLLHSNSFIRNNYIATIYKAIGTFLFGAAASQSLTDIAKYSIGRLRPHFLDVCDPDWSKINCSDGYIEYYICRGNAERVKEGRLSFYSGHSSFSMYCMLFVALYLQARMKGDWARLLRPTLQFGLVAVSIYVGLSRVSDYKHHWSDVLTGLIQGALVAILVAVYVSDFFKERTSFKERKEEDSHTTLHETPTTGNHYPSNHQP
NP_004578.2 1 977 0.566188536335722 PF05104.12:Rib_recp_KP_reg:33:168 ribosome-binding protein 1 isoform 2 977 136 13 954 1 Homo sapiens NP_004578.2 1 RefSeq MDIYDTQTLGVVVFGGFMVVSAIGIFLVSTFSMKETSYEEALANQRKEMAKTHHQKVEKKKKEKTVEKKGKTKKKEEKPNGKIPDHDPAPNVTVLLREPVRAPAVAVAPTPVQPPIIVAPVATVPAMPQEKLASSPKDKKKKEKKVAKVEPAVSSVVNSIQVLTSKAAILETAPKEGRNTDVAQSPEAPKQEAPAKKKSGSKKKGPPDADGPLYLPYKTLVSTVGSMVFNEGEAQRLIEILSEKAGIIQDTWHKATQKGDPVAILKRQLEEKEKLLATEQEDAAVAKSKLRELNKEMAAEKAKAAAGEAKVKKQLVAREQEITAVQARMQASYREHVKEVQQLQGKIRTLQEQLENGPNTQLARLQQENSILRDALNQATSQVESKQNAELAKLRQELSKVSKELVEKSEAVRQDEQQRKALEAKAAAFEKQVLQLQASHRESEEALQKRLDEVSRELCHTQSSHASLRADAEKAQEQQQQMAELHSKLQSSEAEVRSKCEELSGLHGQLQEARAENSQLTERIRSIEALLEAGQARDAQDVQASQAEADQQQTRLKELESQVSGLEKEAIELREAVEQQKVKNNDLREKNWKAMEALATAEQACKEKLHSLTQAKEESEKQLCLIEAQTMEALLALLPELSVLAQQNYTEWLQDLKEKGPTLLKHPPAPAEPSSDLASKLREAEETQSTLQAECDQYRSILAETEGMLRDLQKSVEEEEQVWRAKVGAAEEELQKSRVTVKHLEEIVEKLKGELESSDQVREHTSHLEAELEKHMAAASAECQNYAKEVAGLRQLLLESQSQLDAAKSEAQKQSDELALVRQQLSEMKSHVEDGDIAGAPASSPEAPPAEQDPVQLKTQLEWTEAILEDEQTQRQKLTAEFEEAQTSACRLQEELEKLRTAGPLESSETEEASQLKERLEKEKKLTSDLGRAATRLQELLKTTQEQLAREKDTVKKLQEQLEKAEDGSSSKEGTSV
NP_005383.3 453 1096 0.721095496894409 Lysine-specific demethylase PHF2; GRC5; PHD finger protein 2; EC 1.14.11.- 1096 0 13 644 0 Homo sapiens (Human) SwissProt::O75151 1 SwissProt VNTVASSDEVCDGDREKEEPPSPIEATPPQSLLEKVSKKKTPKTVKMPKPSKIPKPPKPPKPPRPPKTLKLKDGGKKKGKKSRESASPTIPNLDLLEAHTKEALTKMEPPKKGKATKSVLSVPNKDVVHMQNDVERLEIREQTKSKSEAKWKYKNSKPDSLLKMEEEQKLEKSPLAGNKDNKFSFSFSNKKLLGSKALRPPTSPGVFGALQNFKEDKPKPVRDEYEYVSDDGELKIDEFPIRRKKNAPKRDLSFLLDKKAVLPTPVTKPKLDSAAYKSDDSSDEGSLHIDTDTKPGRNARVKKESGSSAAGILDLLQASEEVGALEYNPSSQPPASPSTQEAIQGMLSMANLQASDSCLQTTWGAGQAKGSSLAAHGARKNGGGSGKSAGKRLLKRAAKNSVDLDDYEEEQDHLDACFKDSDYVYPSLESDEDNPIFKSRSKKRKGSDDAPYSPTARVGPSVPRQDRPVREGTRVASIETGLAAAAAKLSQQEEQKSKKKKSAKRKLTPNTTSPSTSTSISAGTTSTSTTPASTTPASTTPASTSTASSQASQEGSSPEPPPESHSSSLADHEYTAAGTFTGAQAGRTSQPMAPGVFLTQRRPSASSPNNNTAAKGKRTKKGMATAKQRLGKILKIHRNGKLLL
NP_005407.1 1 169 0.656129585798816 PF02389.15:Cornifin:17:89,PF02389.15:Cornifin:67:167 Small proline-rich protein 3; 22 kDa pancornulin; Cornifin beta; Esophagin 169 151 13 169 0 Homo sapiens (Human) SwissProt::Q9UBC9 1 SwissProt MSSYQQKQTFTPPPQLQQQQVKQPSQPPPQEIFVPTTKEPCHSKVPQPGNTKIPEPGCTKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGCTKVPEPGYTKVPEPGSIKVPDQGFIKFPEPGAIKVPEQGYTKVPVPGYTKLPEPCPSTVTPGPAQQKTKQK
NP_005524.2 1 288 0.311273263888889 PF07292.13:NID:81:172,PF07292.13:NID:183:268,PF07334.13:IFP_35_N:9:80 interferon-induced 35 kDa protein isoform 1 288 250 13 288 0 Homo sapiens NP_005524.2 1 RefSeq MSAPLDAALHALQEEQARLKMRLWDLQQLRKELGDSPKDKVPFSVPKIPLVFRGHTQQDPEVPKSLVSNLRIHCPLLAGSALITFDDPKVAEQVLQQKEHTINMEECRLRVQVQPLELPMVTTIQVMMSSQLSGRRVLVTGFPASLRLSEEELLDKLEIFFGKTRNGGGDVDVRELLPGSVMLGFARDGVAQRLCQIGQFTVPLGGQQVPLRVSPYVNGEIQKAEIRSQPVPRSVLVLNIPDILDGPELHDVLEIHFQKPTRGGGEVEALTVVPQGQQGLAVFTSESG
NP_006029.1 220 520 0.429248172757475 Spermatogenesis-associated protein 2 520 0 13 301 0 Homo sapiens (Human) SwissProt::Q9UM82 1 SwissProt HLTASMSRVALQKSASERAAKDYYKPRVTKPSRSVDAYDSYWESRKPPLKASLSLRKEPVATDVGDDLKDEIIRPSPSLLTMASSPHGSPDVLPPASPSNGPALLRGTYFSTQDDVDLYTDSEPRATYRRQDALRPDVWLLRNDAHSLYHKRSPPAKESALSKCQSCGLSCSSSLCQRCDSLLTCPPASKPSAFPSKASTHDSLAHGASLREKYPGQTQGLDRLPHLHSKSKPSTTPTSRCGFCNRPGATNTCTQCSKVSCDACLSAYHYDPCYKKSELHKFMPNNQLNYKSTQLSHLVYR
NP_006151.3 181 382 0.339807425742574 PF12533.8:Neuro_bHLH:1:130 Neurogenic differentiation factor 2; NeuroD2; Class A basic helix-loop-helix protein 1; bHLHa1; NeuroD-related factor; NDRF 382 130 13 202 0 Homo sapiens (Human) SwissProt::Q15784 1 SwissProt KRPDLVSYVQTLCKGLSQPTTNLVAGCLQLNSRNFLTEQGADGAGRFHGSGGPFAMHPYPYPCSRLAGAQCQAAGGLGGGAAHALRTHGYCAAYETLYAAAGGGGASPDYNSSEYEGPLSPPLCLNGNFSLKQDSSPDHEKSYHYSMHYSALPGSRPTGHGLVFGSSAVRGGVHSENLLSYDMHLHHDRGPMYEELNAFFHN
NP_008849.2 1 299 0.0769842809364549 PF04116.13:FA_hydroxylase:124:252 Lathosterol oxidase; C-5 sterol desaturase; Delta(7)-sterol 5-desaturase; Delta(7)-sterol C5(6)-desaturase; Lathosterol 5-desaturase; Sterol-C5-desaturase; EC 1.14.19.20 299 129 13 230 3 Homo sapiens (Human) SwissProt::O75845 1 SwissProt MDLVLRVADYYFFTPYVYPATWPEDDIFRQAISLLIVTNVGAYILYFFCATLSYYFVFDHALMKHPQFLKNQVRREIKFTVQALPWISILTVALFLLEIRGYSKLHDDLGEFPYGLFELVVSIISFLFFTDMFIYWIHRGLHHRLVYKRLHKPHHIWKIPTPFASHAFHPIDGFLQSLPYHIYPFIFPLHKVVYLSLYILVNIWTISIHDGDFRVPQILQPFINGSAHHTDHHMFFDYNYGQYFTLWDRIGGSFKNPSSFEGKGPLSYVKEMTEGKRSSHSGNGCKNEKLFNGEFTKTE
NP_009145.1 220 733 0.425436964980545 PF02889.16:Sec63:11:267,PF02889.16:Sec63:402:492 Translocation protein SEC63 homolog 760 348 13 514 0 Homo sapiens (Human) SwissProt::Q9UGP8 1 SwissProt GDQILIRTTQIYTYFVYKTRNMDMKRLIMVLAGASEFDPQYNKDATSRPTDNILIPQLIREIGSINLKKNEPPLTCPYSLKARVLLLSHLARMKIPETLEEDQQFMLKKCPALLQEMVNVICQLIVMARNREEREFRAPTLASLENCMKLSQMAVQGLQQFKSPLLQLPHIEEDNLRRVSNHKKYKIKTIQDLVSLKESDRHTLLHFLEDEKYEEVMAVLGSFPYVTMDIKSQVLDDEDSNNITVGSLVTVLVKLTRQTMAEVFEKEQSICAAEEQPAEDGQGETNKNRTKGGWQQKSKGPKKTAKSKKKKPLKKKPTPVLLPQSKQQKQKQANGVVGNEAAVKEDEEEVSDKGSDSEEEETNRDSQSEKDDGSDRDSDREQDEKQNKDDEAEWQELQQSIQRKERALLETKSKITHPVYSLYFPEEKQEWWWLYIADRKEQTLISMPYHVCTLKDTEEVELKFPAPGKPGNYQYTVFLRSDSYMGLDQIKPLKLEVHEAKPVPENHPQWDTAI
NP_009839.4 1 637 0.338918210361068 PF13540.6:RCC1_2:548:579,PF00415.18:RCC1:565:632 SCF-associated factor 1 637 85 13 637 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38352 1 SwissProt MSEVESREKEPDAGLSPDIVQATLPFLSSDDIKNLSQTNKYYNTLLDFDHSKILWHELFHKAFGTLKTNDEPFQGRNSAEFKTCTETILREAFPTLSWQEVYQLRAYDAKFYSWGYLKHGRLGYTASSNNELVATSLNGPSPRFKYGVNTPTEVPWFNSRTTSRTSNFTPSEDPLSAIKKDGDEIIAQVSSGGFSFQILTESGNLYSSGSTFSGGLKGPGPSGSQHDYNPFREMIHNMERSYPRITSRSNGSTVNTTGTFSGRRMSGSHPSTAYEPGNATTAQHITIDSGGAPAASPGGSHSGVPRTTMPSMGPHENIYSQIEMLERSANKAVPGNNHIRRMFARNSFPLYSGRDENLGSFNDIQFVAVSSGRSHFLAMDTDNNIYSWDSTESDQGVKIEFANLPSRATNPILKIASGWNFNCCYIYKVGLVAWKERDAIQKGESFAFAKYEIVPNTNDVNGDSRIVDFACLQDNCVFFINNNGDKLWKYHNGLNQIVDLNIVGKLCKINACFASLVLFTDTHCYTLKVTNGDVDKDSLTELDINENVISVASGDYHTVALTERGHLYSWGIESQDCGCLGLGPSEKIVNELHIGNWEGQRNIRVVKPTKIELPEDYICVSVTAGGWQTGALIIKKH
NP_009973.1 1 357 0.0211257703081232 PF04080.13:Per1:65:347 Protein PER1; Protein processing in the ER protein 1 357 283 13 224 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25625 1 SwissProt MRLAVVVTLLVHCFLVTCSPGDNLDEFIDCTYACEYNRRCPNSQINYIDPETNMFHDIEFFDTPPLYSKLLFWDCISDCDYQCQHIITRWRIDEEEEIYQFHGKWPFLRVLGTQEFFSTIFSIGNFIPHYKGFVKFSRIIREEGDRRRKNSRSILIWNYLYVTVAGMLAWTASSVFHCRDLIITEKLDYFFAGLTVLTGFHAIFARMTSMFLYPKIAQAFTASVAAIFALHILRLYVDWSYTYNMRFNIFFGVLQYILLIMLSCQNYHALQKQKLMGEFKKTAYSSFKRQIFKLCVIPILLVIVTTMAMSLELFDFFSYEWQIDAHALWHLCTIWPSWVLYDFFLEDYAYWGNRQLY
NP_010727.1 103 347 0.701461632653061 PF10422.9:LRS4:1:130 Monopolin complex subunit LRS4; Loss of rDNA silencing protein 4 347 130 13 245 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04087 1 SwissProt LKLKVEQISVDKHNKERTPSTGRDEQQRNSKAAHTSKPTIHLLSPIVNRDKPNNQTNDRGGNDPDSPTSQRRSRGLRSLLSSGKNTIFDSISKNLDDEINENAHIRNDTTSSKIAGKSPSRLSALQKSPELRKERNNMILKEHILRSKDDQNITSSRKLDNIELSSIGDSTAMTSRSSTVNANDILGNEENDGITKLKRVNKLTSSPVKRDCSTNKKRKLTKQRIATLPNSDEELSNNLNVDEFV
NP_010768.1 1 323 0.545548297213622 Down-regulator of invasive growth 2; Regulator of STE12 protein 2; Regulator of sterile twelve 2 323 0 13 323 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03373 1 SwissProt MNKEEQEDPQQEQISTVQENDPRNLQQLGMLLVSPGLDEDRLSEKMISKIKKSRDIEKNQKLLISRLSQKEEDHSGKPPTITTSPAEKTVPFKSLNHSLKRKRVPPALNFSDIQASSHLHGSKSAPPNITRFPQHKNSLRVRYMGRMAPTNQDYHPSVANSYMTATYPYPYTGLPPVPCYPYSSTPTQTHAYEGYYSPMYPGPLYNNGIIPADYHAKRKKLAGRSPHLEDLTSRKRTFVSKHHNGDPIISKTDEDIECSVTKNSLSEGASLNDDADDDNDKERIIIGEISLYDDVFKFEVRDDKNDYMKACETIWTEWHNLKK
NP_010791.3 1 274 0.0427532846715328 PF01569.21:PAP2:119:261 Lipid phosphate phosphatase 1; Phosphatidate phosphatase; EC 3.1.3.81; EC 3.1.3.4 274 143 13 190 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04396 1 SwissProt MISVMADEKHKEYFKLYYFQYMIIGLCTILFLYSEISLVPRGQNIEFSLDDPSISKRYVPNELVGPLECLILSVGLSNMVVFWTCMFDKDLLKKNRVKRLRERPDGISNDFHFMHTSILCLMLIISINAALTGALKLIIGNLRPDFVDRCIPDLQKMSDSDSLVFGLDICKQTNKWILYEGLKSTPSGHSSFIVSTMGFTYLWQRVFTTRNTRSCIWCPLLALVVMVSRVIDHRHHWYDVVSGAVLAFLVIYCCWKWTFTNLAKRDILPSPVSV
NP_011260.1 99 299 0.450941293532338 Zinc finger protein FZF1; Sulfite resistance protein 1 299 0 13 201 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32805 1 SwissProt KLASRIDRKHEGVNANVKAELNGKEGGFDPKLPSGSPMCGEEFSQGHLPGYDDMQVLQCPYKSCQKVTSFNDDLINHMLQHHIASKLVVPSGDPSLKESLPTSEKSSSTDTTSIPQLSFSTTGTSSSESVDSTTAQTPTDPESYWSDNRCKHSDCQELSPFASVFDLIDHYDHTHAFIPETLVKYSYIHLYKPSVWDLFEY
NP_011754.3 1 882 0.40951462585034 PF13415.6:Kelch_3:97:148,PF13415.6:Kelch_3:213:265,PF13415.6:Kelch_3:266:306,PF13854.6:Kelch_5:82:117,PF13854.6:Kelch_5:194:237,PF13854.6:Kelch_5:253:289,PF13418.6:Kelch_4:259:304,PF13964.6:Kelch_6:258:297,PF01344.25:Kelch_1:257:298 Kelch repeat-containing protein 2 882 180 13 882 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50090 1 SwissProt MVPFKLTNKVPTDTGPSLISAQSVPRPIVFMDNRNNTRIVTPTLPPNQHRGISGASTALPWSPESKNTGKYIWNRVKLKNSPFPRYRHSSSFIVTNDNRIFVTGGLHDQSVYGDVWQIAANADGTSFTSKRIDIDQNTPPPRVGHASTICGNAYVVFGGDTHKLNKNGLLDDDLYLFNINSYKWTIPQPIGRRPLGRYGHKISIIASNPMQTKLYLFGGQVDETYFNDLVVFDLSSFRRPNSHWEFLEPVGDLPPPLTNHTMVAYDNKLWVFGGETPKTISNDTYRYDPAQSEWSKVKTTGEKPPPIQEHASVVYKHLMCVLGGKDTHNAYSNDVYFLNLLSLKWYKLPRMKEGIPQERSGHSLTLMKNEKLLIMGGDKTDYASPNIHDLQTSETDQGEGTLLYTLDLSSLNELCPGIMCESLHAGESFSNSLSGGFTPSKSTESENQEIINILTPRLPDSKVLSYNDIDEGAGSYSSALDDKAFERKSDREEKKPQSSKVDSSINKESPGTGIKVSKKNFPVLRGLTVDSEEYGSSSYKDTSCQKGIPKNLFDDLNLNLQTLRLEAQQKELETARHISQLEKEVQRLMVIKEASKDSNFQTARLKNLEIQKTFLESRINDLKNLLMVKLSQASKLCDQITIQNNGLKTCSEHVTIKRDIIDLENKCDVLKRQNEILVNNMQKITPELHTYLNESSCYLGKLLKSYPTSARPPSSEKDNQIYEKDSLNKIEKVINEMHETVRAKEKLHLETQKLNDERDSLRANLLDNNNKLDALRKLSDGSSKSMDLTKKAIHLSQSELEKYRKNNDDLQKEIDRIKTEQAEQDDKQEQRGAITHGNFDAFHRMKINNLKAELYMSKENRDSLKDELLALKKKLYTLEQKK
NP_011982.1 1 495 0.44861696969697 PF00611.23:FCH:15:105 Protein BZZ1; LAS17-binding protein 7 633 91 13 495 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38822 1 SwissProt MSADLSIGNEIKDSFKETHKWVQNNLKWLKDIEQFYRERAKLEKDYSERLSRLSAEYFNKKSSTSVPISVGDTPTTTPGSIEAAGVVAWNEILSQTDMISKDHDQLSTDFENHVANQLSGLFTKLDMTLSKINGFNNDMVNKKDNIYHELEKAKKDYDEACSTMEMARNRYTKASNDRNKKKLDEKEMEMNKCKNEYLIKINQANRTKDKYYFQDVPEVLDLLQDVNEAKTLFLNDLWLKAASVENDLGANVSKRLQAANSVVKQNKPSLNTAIFIKHNLKNWKEPQDFVYKPSPVWHDDEKFAVPSSLEVEDLRIKLAKAENDYNSLQDKTQNELSKLSTLNKIKHEMKTNEDNINATKFYDTLKEYLNVVSPFTSHETLKLQAEVQIESIQNNVPEEYDLSTDNIDLSKTKKKSGIFSKFKHNILNVDSKPSSGGSTGNGNGGPLHITSLFNTSRRTRLGSAPNNAGEDSDNNSIRTTSTNNTKKTTQNSSDD
NP_012028.1 1 1164 0.486773367697595 PF13854.6:Kelch_5:122:159,PF13854.6:Kelch_5:234:277,PF13418.6:Kelch_4:251:276,PF13415.6:Kelch_3:356:406 Kelch repeat-containing protein 1 1164 133 13 1164 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38853 1 SwissProt MAGFSFAKKFTHKKHGKTPSDASISDQSREASLSTPPNEKFFTKQETPQKGRQFSQGYHSNVNKTSSPPMFARKQVSESRIQPSAVPPQQRNVSGPSTTLHKQLSKQREYTVWNRIKLQNSPFPRYRHVASAYVTDKNQIYVIGGLHDQSVYGDTWILTAFDNATRFSTTTIDISEATPPPRVGHAAVLCGNAFVVFGGDTHKVNKEGLMDDDIYLLNINSYKWTVPAPVGPRPLGRYGHKISIIATTQMKTKLYVFGGQFDDTYFNDLAVYDLSSFRRPDSHWEFLKPRTFTPPPITNFTMISYDSKLWVFGGDTLQGLVNDVFMYDPAINDWFIIDTTGEKPPPVQEHATVVYNDLMCVVGGKDEHDAYLNSVYFLNLKSRKWFKLPVFTAGIPQGRSGHSLTLLKNDKILIMGGDKFDYARVEEYDLHTSDIDMQRGTIVYTLDLARIKDLCPGVMDVPTDTPTPRNGNLDLATPVTPTSHQTKNMNVPISAAPLASAPSPAPKDFSDADRLNREVHNRNVSTEHQNQSHPVNSESHLIAEPNILTPYVPSESSQTPVMKITSNKPFDTPTIQKEPDLSETMDPTVGNQRIPSSIYGDNLTPANQIKNNSPILETLPSNEIKTPQNGNIEEIKHLPDADEKIDSTTTFDQEINGDKLGTSSMSKVEEDGNVADEDDEIGVAQMASSPSKDQFKIKHYNESSELSQNNTEIDKLSEPVDITIKKSDTAGHDSANHVIDASDEKNVSPMGDVPTDTKNEEASVPINRDATTEVVDRALFEKLRSELQSLKELTHEKALEAGAHIKELETELWQLKSQKNSGTTKEIDELDSVRLQSKCEILEADNHSLEDKVNELEELVNSKFLDIENLNEVIQFQNEKIKSLELEPNYKEKLEELQIEHENLSRENERLKNESKQHNEDIINNVANYSSQLGSLISHWKENRANSSFLESSSSLISVSDENGEKTVGEPYGDQSRHHRVVINKLTNRLDDLLERSQELTISKEKLSSEYHALKMEHSSLSQDVLVKENEIKKIQNDYKESISSMDSASKALMVSQRELEKYKSLNKKLIDELDELKFKNGVCSENFENGLRSTEESSNNVKNSNSIRENQFNIKINDLKAELFITNQERDDLKSEVLELKKRLLNLENNTKQVNEDADSDLL
NP_012144.1 1 351 0.683034757834758 Transcriptional activator POG1; Promoter of growth protein 1 351 0 13 351 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40473 1 SwissProt MKQEPHRQSEEKEKPKGPMAVEREQHTSLSSGTTVTASTGDESTNSRPVESSQTEKSLSLRIRILKQLGFDDIQELNACDTGLVEQFLNVRLINDTKELEKIRESNLAKLNQIIDKCMESDKISDSTLNKILDMSMNRDTNNDNNNHLTIPSPITTKKRKINASELASPRGHRRYRSDIPTVSEVETGVGYPQIHQQPGAYTLPMPANQWMSNPYMQPPQPQVQQIMPQYLYPPGMGPQAQLPTMSSNSESQTPVMSSQFLSLNQHGLYQQNIGAHPVMSMGPQANIYGQQHQLQPGQERDQSRKSFSHRRSQSANISMANFRSPMRNPQPASSQRPVNFLIHTPKHPPPT
NP_012248.1 1 159 0.326554716981132 PF02179.16:BAG:74:157 HSP70 co-chaperone SNL1; Suppressor of NUP116-C lethal 159 84 13 136 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40548 1 SwissProt MSHNAMEHWKSKLSKTSTSTYVLLAVIAVVFLVTIRRPNGSKGKSSKKRASKKNKKGKNQFEKAPVPLTLEEQIDNVSLRYGNELEGRSKDLINRFDVEDEKDIYERNYCNEMLLKLLIELDSIDLINVDESLRRPLKEKRKGVIKEIQAMLKSLDSLK
NP_012269.1 103 432 0.467641212121212 PF14308.6:DnaJ-X:103:320 DnaJ-like protein 1; Peroxisome assembly protein 22 432 218 13 330 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40564 1 SwissProt ELMLLKNLQKTEELNAEDEAEKEKENVETMEESPADGKTNGTTNAVDAALGNTNEKDDKNKARTTSGNLTVHDGNKKNEQVGAEAKKKKTKLEQFEEEQEVEKQKRVDQLSKTLIERLSILTESVYDDACKDSFKKKFEEEANLLKMESFGLDILHTIGDVYYEKAEIFLASQNLFGMGGIFHSMKAKGGVFMDTLRTVSAAIDAQNTMKELEKMKEASTNNEPLFDKDGNEQIKPTTEELAQQEQLLMGKVLSAAWHGSKYEITSTLRGVCKKVLEDDSVSKKTLIRRAEAMKLLGEVFKKTFRTKVEQEEAQIFEELVAEATKKKRHT
NP_012379.2 1 699 0.291360515021459 PF08192.11:Peptidase_S64:1:693 SPS-sensor serine protease component SSY5; Endoprotease SSY5 699 693 13 699 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47002 1 SwissProt MVRFFGLNKKKNEEKENTDLPADNEQNAAETSSSNVSGNEERIDPNSHDTNPENANNDDASTTFGSSIQSSSIFSRGRMTYGTGASSSMATSEMRSHSSGHSGSKNSKNLQGFKDVGKPLRAVSFLSPVKEEESQDTQNTLDVSSSTSSTLATSENARENSFTSRRSITLEYIHKSLSELEENLVDIMDDIHQDVISISKAVIEAIEYFKEFLPTTRDRIPYRISLEKSSSLRKINKIVLHFLDNLLVSDAFSNSRSILLRRFYFFLKKLNLITDDDLISESGVLPCLSVFCIGSHCNLPSMDKLGMILDELTKMDSSIISDQEGAFIAPILRGITPKSSILTIMFGLPNLQHEHYEMIKVLYSLFPDVHMYCVKDYIKKAASAVGSIPSHTAATIDTIAPTKFQFSPPYAVSENPLELPISMSLSTETSAKITGTLGGYLFPQTGSDKKFSQFASCSFAITCAHVVLSEKQDYPNVMVPSNVLQTSYKKVLTKESDRYPDGSVEKTAFLEEVQRIDQNLNWQKSNKFGQVVWGERAIVDHRLSDFAIIKVNSSFKCQNTLGNGLKSFPDPTLRFQNLHVKRKIFKMKPGMKVFKIGASTGYTSGELNSTKLVYWADGKLQSSEFVVASPTPLFASAGDSGAWILTKLEDRLGLGLVGMLHSYDGEQRQFGLFTPIGDILERLHAVTKIQWDIDPQLDG
NP_012625.5 1 1447 0.53002190739461 PF00169.29:PH:1304:1412 Bud site selection protein 4 1447 109 13 1447 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47136 1 SwissProt MHDAESTVDSLLKEIDNEMEQTKSNITQNGSEDTPHNWKLPLQEIGDDTMEMLVKHNTRSNATENSRGRSPSKMSTISNESLNLGLLRVNSELEESPAAVHQERIKNSVANGALGHANSPKVLNNLKNMAQDIDKLARDEEKPVKLSSSPLKFTLKSTQPLLSYPESPIHRSSIEIETNYDDEDEEEEDAYTCLTQSPQILHSPSRIPITNAVSINKLNLDFTLNPNESDKSLVSDTSVDSTGRELDTKTIPELPFCMSSTPEMTPVDEKCNLPSKLLNTSNNSHSDSRSPTASVEDLNISTNLPGADSSQNNPVTTDADALIENDVVRDLQQNMEHIDDAFDEKKVLDEGCSNEPVTFLGENDTRSIVYSNKGTNANVQEFSQEDSLAHSEPKFKDLNATSDDVWNEDKETDANISTSTKSEESYIADYKVTRQEDWDTKKLHQESEHANEQPAIIPQKDSSEETFTELNNESEFQRNFKDGEEYRIVQHEESLYGQRTKSPEENIINGSEIGVDHGEAAEVNEPLAKTSAEEHDLSSSCEDQSVSEARNKDRIEEKEVETKDENIETEKDESEYHKVEENEEPEHVPLLPPLPRWEEIQFNEPFIDENDTSNDSIDLTRSMKPSDYISIWHIQEEEIKSNSPESIANSQFSQQSSITTASTVDSKKDNGSTSFKFKPRIVSRSRIYNPKSRVSSLNYYDNEDYILSNSEWNALDPMRRNTLISKRIQDNIRTQKGHAPLIRPSIMKLNGEDSGFQNHFLEVEQPQEHENIPLSTHLSEQDITTNVGLDEQKLPTNTQDEAEISIREIESAGDITFNRGDLLSLSFDEELGQDFANFLDALDHDSTSFNHGPDDSSSFQRDSSKKSFNSLWESSYELKPPPSIRKQPIAPDVLQKLLESDTKDDADLEKIREERITEPRTGLGIGMLKTPVKDVSIALAASIKGYEASFSDTDSRPEGMNNSDAITLNMFDDFEEDKMTPSTPVRSISPIKRHVSSPFKVVKAGNKQENNEINIKAEEEIEPMTQQETDGLKQDIPPLLAQTKDNVEAKEETITQLEEPQDVEQEFPDMGTLYLSIKAISTLALYGTKSHRATYAIVFDNGENVVQTPWESLPYDGNIRINKEFELPIDFKGKAETSSASSERDSYKKCVITLKCKYEKPRHELVEIVDKVPVGKSFFGKTKYKFEKKYVQKKPKQDEWDYLFAQDGSFARCEIEINEEFLKNVAFNTSHMHYNMINKWSRIADKIHGSKRLYELPRKAPHKVASLDVEACFLERTSAFEQFPKQFSLVNKIVSKYKLQQNIYKEGYLLQDGGDLKGKIENRFFKLHGSQLSGYHEISRKAKIDINLLKVTKVLRNEDIQADNGGQRNFTDWVLFNECFQLVFDDGERITFNAECSNEEKSDWYNKLQEVVELNVFHQPWVKKYCEKLAEEEKTRTTGHNLKQDFN
NP_012627.1 1 360 0.462999444444445 Meiosis-inducing protein 1 360 0 13 360 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21190 1 SwissProt MQADMHGKLHAALEDGFFLFPFEQQQQPNIYYDTTTDQEDRPCFSFGSTISPRSWHFEKSDKIASSQLQNLVHTQPIHLINPQILFNEEFLNLENIDSQPISKETKTTKDCTMATGPERGKKSSESTRSSSLSSLFSNDESASTFHSSFNNHDNFQKSNRNGDDIDISDTIKYETNTNAQKDIKIFQENFEFNEFPYTQDFYPYTTNYTYSKPTNIHESINSKNTDSYSQYQDQFPPHTDNIHSFNNRHYSNHKSTNCNYYNNTSNNNNASDNVYEADPFIDEPQVPSYYYPLEIAFDVEKSPPPSLQKLNSKELEFLKKLNSKLSRYAAAYSFSSSNDQDYYDKVRFQEISYKFSKTYS 1
NP_012922.1 1 401 0.052525187032419 PF14378.6:PAP2_3:138:310,PF01569.21:PAP2:224:317 Inositol phosphorylceramide synthase catalytic subunit AUR1; IPC synthase catalytic subunit AUR1; Aureobasidin A resistance protein; Phosphatidylinositol:ceramide phosphoinositol transferase; EC 2.-.-.- 401 180 13 263 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36107 1 SwissProt MANPFSRWFLSERPPNCHVADLETSLDPHQTLLKVQKYKPALSDWVHYIFLGSIMLFVFITNPAPWIFKILFYCFLGTLFIIPATSQFFFNALPILTWVALYFTSSYFPDDRRPPITVKVLPAVETILYGDNLSDILATSTNSFLDILAWLPYGLFHFGAPFVVAAILFVFGPPTVLQGYAFAFGYMNLFGVIMQNVFPAAPPWYKILYGLQSANYDMHGSPGGLARIDKLLGINMYTTAFSNSSVIFGAFPSLHSGCATMEALFFCYCFPKLKPLFIAYVCWLWWSTMYLTHHYFVDLMAGSVLSYVIFQYTKYTHLPIVDTSLFCRWSYTSIEKYDISKSDPLAADSNDIESVPLSNLELDFDLNMTDEPSVSPSLFDGSTSVSRSSATSITSLGVKRA
NP_013193.1 1 893 0.189014669652856 PF00916.20:Sulfate_transp:137:544 sulfate permease 893 408 13 714 8 Saccharomyces cerevisiae S288C NP_013193.1 1 RefSeq MSREGYPNFEEVEIPDFQETNNTVPDLDDLELEYDQYKNNENNDTFNDKDLESNSVAKHNAVNSSKGVKGSKIDYFNPSDVSLYDNSVSQFEETTVSLKEYYDHSIRSHLTVKGACSYLKSVFPIINWLPHYNFSWFTADLIAGITIGCVLVPQSMSYAQVATLPAQYGLYSSFIGAYSYSFFATSKDVCIGPVAVMSLQTAKVIADVTAKYPDGDSAITGPVIATTLALLCGIISAAVGFLRLGFLVELISLNAVAGFMTGSAFNILWGQVPALMGYNSLVNTRAATYKVVIETLKHLPDTKLDAVFGLIPLFLLYVWKWWCGTYGPRLNDRYNSKNPRLHKIIKWTYFYAQASRNGIIIIVFTCIGWAITRGKSKSERPISILGSVPSGLKEVGVFHVPPGLMSKLGPNLPASIIVLLLEHIAISKSFGRINDYKVVPDQELIAIGVSNLLGTFFNAYPATGSFSRSALKAKCNVRTPLSGLFSGSCVLLALYCLTGAFFYIPKATLSAVIIHAVSDLLASYQTTWNFWKMNPLDFICFIVTVLITVFASIEDGIYFAMCWSCAMLILKVAFPAGKFLGRVEVAEVTDAYVRPDSDVVSYVSENNNGISTLEDGGEDDKESSTKYVTNSSKKIETNVQTKGFDSPSSSISQPRIKYHTKWIPFDHKYTRELNPDVQILPPPDGVLVYRLSESYTYLNCSRHYNIITEEVKKVTRRGQLIRHRKKSDRPWNDPGPWEAPAFLKNLKFWKKRENDPESMENAPSTSVDVERDDRPLLKILCLDFSQVAQTDATALQSLVDLRKAINQYADRQVEFHFVGIISPWVKRGLISRGFGTLNEEYSDESIVAGHTSYHVARVPQGEENPEKYSVYTASGTNLPFFHIDIPDFAKWDI
NP_013238.1 1 367 0.203595367847412 Ribosomal lysine N-methyltransferase 5; EC 2.1.1.- 367 0 13 367 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12367 1 SwissProt MAFKLWLLDEETIYEHVFERYTQLEGQSGKLAQDLGIQDRRGGVLEITFEPSGLEGGRKKKRVRRRNKASSVEEDQNVAVDSYHVSVGQSISSLRSSRDNGNSTTGYVLWSTTPFFINWLLYSTSAAPFRLGSQVEVTCGSSCEGHKLELPRLVDLTGADRGKRGILELGAGISGILPVILGNFVDTYVSTDQKGILNKLKDNIMENLSQLTRKRCISRSLRLELPTVEPVGDADITAASLPSKSTLHLEVAALDWEKINLQDKKTHSLHPELSLIGETCSSVYVIAMDVIYNEYLIDPFLKTLKQLKHWLQTTYNLQFHVLVGIHLRSQEVTTLFLEKAIIEYDFTVYDIVDQVIQESRFNFYLIT
NP_013277.1 359 811 0.270208830022075 RFX-like DNA-binding protein RFX1 811 0 13 453 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48743 1 SwissProt TVNESGSVSLNNNNASLSLVHNNDPISPLSSPSPSSPSPQVPNVSSPFSLNRKSLSRTGSPVKQSSNDNPNEPELESQHPNETEANKLDSLPPAANNPTGTLSSDELTFTHDLIEKVFNCNDKLSDNYNTQILSNTEHPLLTSYKLDFPKIPAGVLPTDTDSDVISSLESLYHIHCNSVYECIKFLKSDNISNALFFSNSNSISPTMFNLFISEPLIDWVTKCDLITYTGLIKFFSQFIIHSNEISDSIIQKLESMIKLLPEQINKAVLELPKALVQRKLSIINNFTKLVKKLIKLLKFILNFLKSFPIFKSGMNNDWKNIVNLDDILEMMINEDDTNSETNTIMQHLQGFCQVFVTKFLNSSMSVSNDPSVSIECKSLNEMIKDFCSFISLQSKFSCLKLIDCSTRFRNAIIGDISLKSNENLLSWLFLNNVMGQLLNYCFEVMKFVNGLKV
NP_013305.1 1 77 0.370084415584416 Mitochondrial mRNA-processing protein COX24; Mitochondrial small ribosomal subunit protein mS38 111 0 13 77 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32344 1 SwissProt MLGRALRPGWLGITRTVVKKPSCGSYFNRTFQTAINTTMPPMQEGMLSTMMMMTATATRITGTVSEPLNGSNIVMQL
NP_013465.1 1 578 0.169495155709343 PF00149.28:Metallophos:248:501 Phosphatase DCR2; Dosage-dependent cell cycle regulator 2; EC 3.1.-.- 578 254 13 555 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05924 1 SwissProt MIRLPRLYQRYLLYLVVFVVIALFYFLQAPRVEEHIGFDLALPISHVDNLWFQNKGLEGFSNDDKLVVNIGYDECFHIGRFYEGCFNRHELKSTLTDGHQYLQRKRIHKDLRGSFGRRWFGKSEYLYYDVLYPALVDYFGSNLEKLNVEAVTGISKYPKDKSLPFMDVSITFEPISIELLQKRSYISDINILFGVDCIQPIANWTLQKEFPLVKYRYSEPAYLTYKFVGTRPVDTGAQRLQETDEGKFKIVQLADLHLGVGESECIDEYPKHEACKADPKTETFVQQVLDIEKPQLVVFTGDQIMGDRSIQDSETVLLKAVAPVIARKIPWAMVWGNHDDEGSLTRWQLSEIASVLPYSLFKFSPHDTHDNTFGVGNYIYQIFSNNDTEVPVGTLYFLDSHKYSTVGKIYPGYDWIKESQWKYIEDYHDVNLKFKTGLSMAFFHIPLPEYLNIESKTHPGEKNPLIGMYKEGVTAPKYNSEGITTLDRLSVDVVSCGHDHCNDYCLRDDSTPNKIWLCYGGGGGEGGYAGYGGTERRIRIYEINVNENNIHTWKRLNGSPKEIFDFQSMLDGNSPESV
NP_013477.1 1 614 0.181642833876222 Vacuolar import and degradation protein 22 901 0 13 614 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05934 1 SwissProt MRAMDTQVQSAERGLVLPPMNSTVSSATAATTATNTDTDTDGDRDEERESLAEDGSEWVPAYMLTRDRSRYLGHFLGVDKMLEAVKCKYCGVIIRRQGNSISMAEASQTHLWSTHKIDPNANYYSGWTGVEAGSTFMVRPPLKNHQGGSATTNSIANLLEIDEDFLKRTREKEMALPLVQSLAIIIASENLPLSFVDNTAVRLLINQNANSLSFIDHDLILNAIRSIAYNLDRIIQRTALRNNSDLSLIIDKNYLLMDPTDRSNQLSNRLKNQLFEMQKINFFSLSHSVWNNTISILSIQYYDDFHSQVKTLPLIIQNLHEYNNDPKLSIPAQLLKISQELPGLQNTVISITLPRSQIVDLLNVMDSQPFFPNTYTNAKNYYHNCIISIINSAILPLFGTPKSADITHPRQSSFSKEPLTLLDSLIDLSNIDISNSIFSRINSFLDDLQSNSWQLDKFRSLCEKFGFEFVCSKFDLSRYSTATVSLQTFLNLRPIIEEYQSSIQIEKFNEIDFQIIDYLLITLNSINRILKFFTSSKSLNFTYVLFAIMSIEKHLLSTLGSLQFQRLIAPFETFLSKIQEFKTILFSDDMNLLAMFLCPAILFEREVLEYSFHT
NP_013640.1 1 607 0.390475782537068 PF04124.12:Dor1:29:381 Conserved oligomeric Golgi complex subunit 8; COG complex subunit 8; Component of oligomeric Golgi complex 8 607 353 13 607 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04632 1 SwissProt MELILNSLISDDLTEEQKRLSLDFLQDILQSNTKDYESYFSSRAVPGSITEDIAEIDAELSALDRKIRKTLLDNTSQIIGNILENDDRAQLDDIAKSLEQLWELDTNINKAADRNVTNDDINNESVSIDDFLEDDKEDNDTGRIMTTESNNLARKKKEDEFHKALSRLRNRISTKEDDKDDIRSDTLVTVLENLDSITDLMELPFLARTCIRTGHYQEAVMLYTHTTSLRSRFPGSTIVDEVCEKVLNEISTTMLSGLVKLLSTNVSVNSLKKILQYLNSIPPFDGKTNKSLLSVFLAMRYKFITDEIASYPLDVESSNESLIEMMVKRKIEVLREHVYMSLNVFLKSFLYDTNDLEIPFPEELESTVLRINGTNEEKEIEEKEKETKKEEYQKQDSVANNEEDVTENKSIEDVQEEVQGKVEGEDDGAERKTENEIENETVNKTEDKAEKEKEEEVNTKDNKAEKEEEEINKVEVTPEEPSKSIDNKAEKEEEEINKVEVTPEEPSKKIRTSKRENKIPTNAVMLQFVDKCITYVLKDLTRGLNSIKLSDSVCLQLVYCSFRLCDLNRNYHHLFLKKINDTSLFTTEQLARAIDKRAELASKYIYS
NP_014031.1 1 850 0.265888117647059 PF10443.9:RNA12:364:814,PF00076.22:RRM_1:200:266 Mitochondrial escape protein 2; Protein RNA12 850 518 13 850 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32843 1 SwissProt MLLVRTTSLNVSRMPVPCLARGIGILKGKYRLANLMNAQPSVRHVSSEIQQKDQQAGESNTATDTGVIHKSDEETLIYFDNVYARTTSVWNPTLWYNLLLRNQSRDAVREKIRNLASPPNNPIYGLELKSTIPVKRDGGVFATFVVPPKYTKAQVNSLIQQNTARESSKNLLSYFTRASAFPVKGSPWIEDLRRLPSTTIVIKFQGPALTEEEIYSLFRRYGTIIDIFPPTAANNNVAKVRYRSFRGAISAKNCVSGIEIHNTVLHIQYENIRRGHLVSNFFTNHTRIAIPVLFALLSIFAVLVFDPIREFSIEQKITHKYSLSWDNKFWKQLKTLTSSTMTSIKYYWGGPDDNHQRKHLWEERIEKVNDLKMWLEENNNTFVVIRGPRGSGKHDLVMQHTLQNRANVLYLDCDKLIKSRTDPMFLKNAASQLGYFPIFPWIDSVTGVLDLTVQGLTGQKTGLSETKESRFRNMLTTSLMSIRRIALKNYKAFVSTGDGTVNVKEEDYLQQHPEAKPVIVIDRFEGKSEINGFVYKELSDWAAMLVQMNIAHVIFLTETVASNQRLSESLPNQVFKNLILSDASKENSRNYVLSQLEDYLYYNKKSKGENVKEPESEKETAENNDSDSEADTSVKKAEVILNEKELQEIDASLEPLGGRMLDLQAFVRRVKSGEEPSEAVDKMIEQASEQITQMFLSDKIDSNKSAQAWELIELLSANPVIPFHEIVNKPLFKAAPETGIMELENNGLITVSRDRGVLQEIRPAKPLYRAAFTYLINDPELAKVLKTRYLLKVVGFETGRIKKWEEELKPLGKVPDQKLFKTRLDYLSGKINASNAVITKCEEEIKNLSK
NP_014260.3 1 1597 0.263912711333751 PF16134.5:THOC2_N:29:656,PF11262.8:Tho2:939:1107,PF11262.8:Tho2:1113:1192,PF11732.8:Thoc2:658:732 THO complex subunit 2; Low dye-binding protein 5; THO complex subunit RLR1; Zinc-regulated gene 13 protein 1597 952 13 1597 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53552 1 SwissProt MAEQTLLSKLNALSQKVIPPASPSQASILTEEVIRNWPERSKTLCSDFTALESNDEKEDWLRTLFIELFDFINKNDENSPLKLSDVASFTNELVNHERQVSQASIVGKMFIAVSSTVPNINDLTTISLCKLIPSLHEELFKFSWISSKLLNKEQTTLLRHLLKKSKYELKKYNLLVENSVGYGQLVALLILAYYDPDNFSKVSAYLKEIYHIMGKYSLDSIRTLDVILNVSSQFITEGYKFFIALLRKSDSWPSSHVANNSNYSSLNEGGNMIAANIISFNLSQYNEEVDKENYERYMDMCCILLKNGFVNFYSIWDNVKPEMEFLQEYIQNLETELEEESTKGVENPLAMAAALSTENETDEDNALVVNDDVNMKDKISEETNADIESKGKQKTQQDILLFGKIKLLERLLIHGCVIPVIHVLKQYPKVLYVSESLSRYLGRVFEYLLNPLYTSMTSSGESKDMATALMITRIDNGILAHKPRLIHKYKTHEPFESLELNSSYVFYYSEWNSNLTPFASVNDLFENSHIYLSIIGPYLGRIPTLLSKISRIGVADIQKNHGSESLHVTIDKWIDYVRKFIFPATSLLQNNPIATSEVYELMKFFPFEKRYFIYNEMMTKLSQDILPLKVSFNKAEREAKSILKALSIDTIAKESRRFAKLISTNPLASLVPAVKQIENYDKVSELVVYTTKYFNDFAYDVLQFVLLLRLTYNRPAVQFDGVNQAMWVQRLSIFIAGLAKNCPNMDISNIITYILKTLHNGNIIAVSILKELIITVGGIRDLNEVNMKQLLMLNSGSPLKQYARHLIYDFRDDNSVISSRLTSFFTDQSAISEIILLLYTLNLKANTQNSHYKILSTRCDEMNTLLWSFIELIKHCLKGKAFEENVLPFVELNNRFHLSTPWTFHIWRDYLDNQLNSNENFSIDELIEGAEFSDVDLTKISKDLFTTFWRLSLYDIHFDKSLYDERKNALSGENTGHMSNRKKHLIQNQIKDILVTGISHQRAFKKTSEFISEKSNVWNKDCGEDQIKIFLQNCVVPRVLFSPSDALFSSFFIFMAFRTENLMSILNTCITSNILKTLLFCCTSSEAGNLGLFFTDVLKKLEKMRLNGDFNDQASRKLYEWHSVITEQVIDLLSEKNYMSIRNGIEFMKHVTSVFPVVKAHIQLVYTTLEENLINEEREDIKLPSSALIGHLKARLKDALELDEFCTLTEEEAEQKRIREMELEEIKNYETACQNEQKQVALRKQLELNKSQRLQNDPPKSVASGSAGLNSKDRYTYSRNEPVIPTKPSSSQWSYSKVTRHVDDINHYLATNHLQKAISLVENDDETRNLRKLSKQNMPIFDFRNSTLEIFERYFRTLIQNPQNPDFAEKIDSLKRYIKNISREPYPDTTSSYSEAAAPEYTKRSSRYSGNAGGKDGYGSSNYRGPSNDRSAPKNIKPISSYAHKRSELPTRPSKSKTYNDRSRALRPTGPDRGDGFDQRDNRLREEYKKNSSQRSQLRFPEKPFQEGKDSSKANPYQASSYKRDSPSENEEKPNKRFKKDETIRNKFQTQDYRNTRDSGAAHRANENQRYNGNRKSNTQALPQGPKGGNYVSRYQR
NP_014399.3 1 282 0.120477304964539 PF01184.19:Gpr1_Fun34_YaaH:70:275 Ammonia transport outward protein 2 282 206 13 170 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32907 1 SwissProt MSDREQSSGNTAFENPKALDSSEGEFISENNDQSRHSQESICKIYTAGKNNEYIYIGRQKFLRDDLFEAFGGTLNPGLAPAPVHKFANPAPLGLSGFALTTFVLSMFNARAQGITIPNVVVGCAMFYGGLVQLIAGIWEIALENTFGGTALCSFGGFWLSFGAIYIPWFGILDAYKDKESDLGNALGFYLLGWALFTFGLSVCTMKSTIMFFALFFLLAVTFLLLSIANFTGEVGVTRAGGVLGVIVAFIAWYNAYAGIATRQNSYIMVHPFALPSNDKVFF
NP_014599.1 1 380 0.185492894736842 PF00730.25:HhH-GPD:153:300 Endonuclease III homolog 2; Bifunctional DNA N-glycosylase/DNA-(apurinic or apyrimidinic site) lyase 2; DNA glycosylase/AP lyase 2; Endonuclease III-like glycosylase 2; Redoxyendonuclease 2; EC 3.2.2.-; EC 4.2.99.18 380 148 13 380 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08214 1 SwissProt MREESRSRKRKHIPVDIEEVEVRSKYFKKNERTVELVKENKINKDLQNYGGVNIDWIKALKPIEYFEWIESRTCDDPRTWGRPITKEEMINDSGAKVPESFLPIYNRVRLMRSKVKTPVDAMGCSMIPVLVSNKCGIPSEKVDPKNFRLQFLIGTMLSAQTRDERMAQAALNITEYCLNTLKIAEGITLDGLLKIDEPVLANLIRCVSFYTRKANFIKRTAQLLVDNFDSDIPYDIEGILSLPGVGPKMGYLTLQKGWGLIAGICVDVHVHRLCKMWNWVDPIKCKTAEHTRKELQVWLPHSLWYEINTVLVGFGQLICMARGKRCDLCLANDVCNARNEKLIESSKFHQLEDKEDIEKVYSHWLDTVTNGITTERHKKK
NP_014885.3 1 371 0.250024528301887 Sporulation-specific protein 2 371 0 13 371 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08646 1 SwissProt MYKNYYSNTEVYKKHKDSGSLRKKALRSRRSSFFSFFNDSSSSNGNEFIGFRRFAKAYLFGREIGSCGTDSYTPVGANVNKRRLKKEDKNDQQLWKRQHHSQGCFFPIDDDSNKQTEAAVNKFYENGEYVNQDLIFKGKVYSEESEVVDEKTAGSQNPALLKTRSISLNDIPRGTGISSVLSQVRGGSLERIIVYRYDTPERSLHKVDLFFLNYEGAQSFMRYAKTNIFKVNGVQLKPEWIFLESTYENIMKEQSVNRIIEEEKFISRCLIVKKSSTTAMPNKSNLNKGQTLENIDIQELEKDFQNFGEVLEITPIVSRKLCVSIFFYDISSAMRAMEEYEQKGSYLYNKYFKTWTIWYGKDITDQPCIDL
NP_015151.1 85 868 0.236660841836735 Protein NIP100; Protein NIP80 868 0 13 784 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33420 1 SwissProt KDTLQFYKPDDDEHSLLNGNAAQETIKNLQVKCESLASKLNKIKIENHELKTSVEKLSTNETVLLSKISRLDKLVKELKVENGNMKTHLDNFNHLLDASDSVMAPDLDKGTLLERSHLLQGLLDQTKLSYDKAMKVQEDLLEENTQLLEENAVLSKKISDLGLQLQQTNNTIGDLALQIEAQSKSSNIVDKLTNDNILLTSNIKALNNELEELQAKEKLDENLRITYEQLEQELRLQLSNLQSALENEKEIAGTYIEENSRLKATLESIEAKTSHKFQSLELKVNTLQEELYQNKLLKKFYQIYEPFAQPHLAALSSQLQYLAEVIESENFGKLENIEIHIILKVLSSISYALHIYTIKNTPDHLETTLQCFKVNIAPISMWLSEFLQRKFSSKQETAFSICQFLEDNKFLDKDVTLILKILHPILETTVPKLLAFLRTNSNFNDNDTLCLIGSLYERSLSLIARIDKLIGKEEISKQDNRLFLYPSCDITLSSILTILFSDALFLRQDYKRISSLKKLEVFFQGIESLLENITIFPEQPSQQTSDSESQCNIKEGNFSNSLLSDRLNEENIRLKEVLVQKENMLTELETKIKIIIGRDLERKTLEENIKTLKVELNNKNEENCGKTEILNKLKEENFNLVNRLKNMELKLYQIKDNNTLNKIYLDREKVDRVNLVSEIMELRETIRRQIKEQKRVSIDFSWLDELPAVENKQPFKEHINHSLDTLGIEMFNFVSTSRILDLKLDQPLAEDELWHERDHSYISYLKRKRKNIRLKSQNVVTYYK
NP_015292.1 1 281 0.0879220640569395 PF00106.25:adh_short:29:214 Oxidoreductase-like protein SRL4; Suppressor of RAD53 and LCD1 4; Suppressor of RAD53 null lethality 4 281 186 13 281 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03085 1 SwissProt MKKTIYKVLVSFYQYVGLGKKFHPSHDTVLIIGGSSNELGIELCETFIEDYHTKVINIDTIDSINGKNARRSEKLYTFISCKDFSDIKCLEESMLYLQNLEIIPTVLINNMQEGIESTLLKEDKFLRLDEESLNEFEKIVRYNLQSVILITKFCLSNIFPKVQAEAQEKAKGFYIVNISSVLTLKPCKSGTHFITSKCGINSFHDGITSELKLKDSNLNVKTLIAYLPSFESEAHWKRLSPSISKHLVHCLLEGRYGDTILESKRSIGDILLITGFKSSFT
NP_015345.1 1 115 0.0712530434782609 PF04718.15:ATP-synt_G:15:113 F1F0 ATP synthase subunit g 115 99 13 115 0 Saccharomyces cerevisiae S288C NP_015345.1 1 RefSeq MLSRIQNYTSGLVSKANLLSSKALYYGKVGAEISKQIYLKEGLQPPTVAQFKSVYSNLYKQSLNFALKPTEVLSCLKNIQKNELLKYGAYGIQLIGFYSVGEIIGRRKLVGYKHH
NP_015382.1 1 341 0.231569794721408 PF04938.12:SIP1:28:303 Pre-mRNA-splicing factor BRR1; Bad response to refrigeration protein 1 341 276 13 341 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99177 1 SwissProt MKRGESQAPDAIFGQSRAFALSDSSVNPDVIEYLKSVRQEALRTNAISIKNHMNLQKRTRHKSSMYDDEDEGALKRHAISPSLIRLQRNVEIWVRWFNSVKATVLTNAYEFTGYEDETLDLLLLFLKNYLEDMPSKCTTVEKIISVLNQHSFPEKAEEKEENLQIDEEWAKNILVRLEKTKIDSVEDVKKVITEGDKHELVGYNQWFQYLINNEPQHTTFHEKITSKQLWVLIKYMSNTWIKEIHKKGRHYRRLQDWLFYILVHTPERVTAEYTSILRDLGKKCLELIQKKPVEAHENKITLPKEMAELNVEIPAAVENMTITELTVSVIAVNYGQKDLIE
NP_015418.2 51 288 0.257347899159664 Alcohol-sensitive RING finger protein 1 288 0 13 238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06834 1 SwissProt STHLEVGEGQHALSINLKMGFMIKNAIDYVGAETTNERNEDDTGEQDQEIEFLSERLRGTLVMDTIKIIQCSICGDTDVSRLSLYCQDCEAIYHETCLRGLACEVGDRNTWQECTDCRSNALLELRMGAISSQLASYDSRNSMIFAGELRDKHSVKTQQMYEQIRNAKHKIQMHVRRALDRYPLPLLRFKDAYKHVNKQVSRKLYRLSDNKYLPDQYDYDSLARTGVHTELLIYCHDE
NP_031781.1 1 292 0.487372602739726 PF16368.5:CEBP1_N:1:292 Cytoplasmic polyadenylation element-binding protein 1; CPE-BP1; CPE-binding protein 1; mCPEB; mCPEB-1 561 292 13 292 0 Mus musculus (Mouse) SwissProt::P70166 1 SwissProt MAFSLEEAAGRIKDCWDNQEVPALSTCSNANIFRRINAILDDSLDFSKVCTTPINRGIHDQLPDFQDSEETVTSRMLFPTSAQESPRGLPDANGLCLGLQSLSLTGWDRPWSTQDSDSSAQSSTQSVLSMLQNPLGNVLGKAPLSFLSLDPLGSDLDKFPAPSVRGSRLDTRPILDSRSSSPSDSDTSGFSSGSDHLSDLISSLRISPPLPFLSMTGNGPRDPLKMGVGSRMDQEQAALAAVAPSPTSAPKRWPGASVWPSWDLLGAPKDPFSIEREARLHRQAAAVNEATC
NP_032107.1 1 510 0.180925882352941 PF00535.26:Glycos_transf_2:269:389 β-1,4-GalNAc transferase 1 (Galgt2;Galnt4;GGM3) (EC 2.4.1.92) 510 121 13 490 1 Mus musculus CAZy::AAA39802.1 1 CAZy MTSSVSFASFRFPWLLKTFVLMVGLATVAFMVRKVSLTTDFSTFKPKFPEPARVDPVLKLLPEEHLRKLFTYSDIWLFPKNQCDCNSGKLRMKYKFQDAYNQKDLPAVNARRQAEFEHFQRREGLPRPPPLLAPPNLPFGYPVHGVEVMPLHTILIPGLQYEGPDAPVYEVILKASLGTLNTLADVPDDEVQGRGQRQLTISTRHRKVLNFILQHVTYTSTEYYLHKVDTVSMEYESSVAKFPVTIKQQTVPKLYDPGPERKIRNLVTIATKTFLRPHKLKILLQSIRKYYPDITVIVADDSKEPLEINDDYVEYYTMPFGKGWFAGRNLAISQVTTKYVLWVDDDFLFSDKTKIEVLVDVLEKTELDVVGGSVQGNTYQFRLLYEQTKNGSCLHQRWGSFQALDGFPGCTLTSGVVNFFLAHTEQLRRVGFDPILQRVAHGEFFIDGLGRLLVGSCPGVIINHQVRTPPKDPKLAALEKTYDKYRANTNSVIQFKVALQYFKNHLYCST
NP_032769.2 1 163 0.584656441717792 Endonuclease III-like protein 1; Bifunctional DNA N-glycosylase/DNA-(apurinic or apyrimidinic site) lyase; DNA glycosylase/AP lyase; EC 3.2.2.-; EC 4.2.99.18 300 0 13 163 0 Mus musculus (Mouse) SwissProt::O35980 1 SwissProt MNSGVRMVTRSRSRATRIASEGCREELAPREAAAEGRKSHRPVRHPRRTQKTHVAYEAANGEEGEDAEPLKVPVWEPQNWQQQLANIRIMRSKKDAPVDQLGAEHCYDASASPKVRRYQVLLSLMLSSQTKDQVTAGAMQRLRARGLTVESILQTDDDTLGRL
NP_032975.1 85 217 0.432181954887218 PF18517.1:LZ3wCH:70:126 Homologous-pairing protein 2 homolog; PSMC3-interacting protein; Proteasome 26S ATPase subunit 3-interacting protein; Tat-binding protein 1-interacting protein; TBP-1-interacting protein 217 57 13 133 0 Mus musculus (Mouse) SwissProt::O35047 1 SwissProt DLHGLDASIVALTAKVQSLQQSCRHMEAELKELTSALTTPEMQKEIQELKKECAQYTERLKNIKAATNHVTPEEKEKVYRDRQKYCKEWRKRKRMTTELCDAILEGYPKSKKQFFEEVGIETDEDHNVLLPDP
NP_033151.2 214 1286 0.72611118359739 PF14438.6:SM-ATX:23:98,PF06741.13:LsmAD:165:232,PF07145.15:PAM2:668:683 ataxin-2 isoform 1 1286 160 13 1073 0 Mus musculus NP_033151.2 1 RefSeq RGRNSSKGLPQPTISFDGIYANVRMVHILTSVVGSKCEVQVKNGGIYEGVFKTYSPKCDLVLDAAHEKSTESSSGPKREEIMESVLFKCSDFVVVQFKDTDSSYARRDAFTDSALSAKVNGEHKEKDLEPWDAGELTASEELELENDVSNGWDPNDMFRYNEENYGVVSTYDSSLSSYTVPLERDNSEEFLKREARANQLAEEIESSAQYKARVALENDDRSEEEKYTAVQRNCSDREGHGPNTRDNKYIPPGQRNREVLSWGSGRQSSPRMGQPGPGSMPSRAASHTSDFNPNAGSDQRVVNGGVPWPSPCPSPSSRPPSRYQSGPNSLPPRAATPTRPPSRPPSRPSRPPSHPSAHGSPAPVSTMPKRMSSEGPPRMSPKAQRHPRNHRVSAGRGSMSSGLEFVSHNPPSEAAAPPVARTSPAGGTWSSVVSGVPRLSPKTHRPRSPRQSSIGNSPSGPVLASPQAGIIPAEAVSMPVPAASPTPASPASNRALTPSIEAKDSRLQDQRQNSPAGSKENVKASETSPSFSKADNKGMSPVVSEHRKQIDDLKKFKNDFRLQPSSTSESMDQLLSKNREGEKSRDLIKDKTEASAKDSFIDSSSSSSNCTSGSSKTNSPSISPSMLSNAEHKRGPEVTSQGVQTSSPACKQEKDDREEKKDTTEQVRKSTLNPNAKEFNPRSFSQPKPSTTPTSPRPQAQPSPSMVGHQQPAPVYTQPVCFAPNMMYPVPVSPGVQPLYPIPMTPMPVNQAKTYRAGKVPNMPQQRQDQHHQSTMMHPASAAGPPIVATPPAYSTQYVAYSPQQFPNQPLVQHVPHYQSQHPHVYSPVIQGNARMMAPPAHAQPGLVSSSAAQFGAHEQTHAMYACPKLPYNKETSPSFYFAISTGSLAQQYAHPNAALHPHTPHPQPSATPTGQQQSQHGGSHPAPSPVQHHQHQAAQALHLASPQQQSAIYHAGLAPTPPSMTPASNTQSPQSSFPAAQQTVFTIHPSHVQPAYTTPPHMAHVPQAHVQSGMVPSHPTAHAPMMLMTTQPPGGPQAALAQSALQPIPVSTTAHFPYMTHPSVQAHHQQQL
NP_034355.2 218 446 0.554912227074236 Forkhead box protein F2; Protein LUN 446 0 13 229 0 Mus musculus (Mouse) SwissProt::O54743 1 SwissProt ASLLPQGFDFQAPPSAPLGCHGQGGYGGLDMMPAGYDTGAGAPGHAHPHHLHHHHVPHMSPNPGSTYMASCPVPAGPAGVGAAAGGGGGGGDYGPDSSSSPVPSSPAMASAIECHSPYTSPAAHWSSPGASPYLKQPPALTPSSNPAASAGLHPSMSSYSLEQSYLHQNAREDLSVGLPRYQHHSTPVCDRKDFVLNFNGISSFHPSASGSYYHHHHQSVCQDIKPCVM
NP_034702.2 555 1216 0.569975981873111 Insulin receptor substrate 4; IRS-4; Phosphoprotein of 160 kDa; pp160 1216 0 13 662 0 Mus musculus (Mouse) SwissProt::Q9Z0Y7 1 SwissProt GQRPGDGHGSGGGKNSGSGKNSDDGDRGKSVKKRSYFGKFTQSKQQQTLPPPPPPPPAAGATGGKGKSGGRFRLYFCADRGTKERKEAKEVRDMETSGGATRGPYRARAFDEDEDDPYVPMRPGVAAPLACSSDYMPMAPQNSSASTKRHSRSPFEDSRGYMMMFPRVSPPPPVPSAPKAPDTNKGDDSKDNDSDSDYMFMAPGAGAIPKNPPNAQGGSSSKSWSSYFSLPSPFQSSPLGQSDHSEYVPMLPGKFLGSGLHKEASFSQGTKNVSSKPSTEASFSKPEDKGSSAKPSDDVPPMNKAKEPNHLSFIAKGTQVKPKPLNPTQERREAAGSRDYINIDFIKRERLVLPSSAQGLPDMRGVVTDPAPTAFSGYLNVEFGVPFPNPTIRLSDLLRVLPGANSIPLAGTRWPFPGSAIGSIVEAGEYIEVIFNPAMTPAMSFADSAICYDAQTGQIYVVDPFSECCMDVSLSPGRCSEPPPVARLRREEAQERRRPQSRSQSLFASTRAAVSAFPTDSLDRDFPAASAVIAAPAEAPLLAVSRALAVVSALAAAPSIGDVFAGFRAAAGVDSASARGFQPVAGAQAVREFQDLAAGWNPGALNHRARGEDLAAGAAAPPPPPRQIWVLRPQERADSEDDDDDDDDIYVRMDFARRDYRK
NP_035766.2 1 328 0.32145 PF03803.15:Scramblase:96:316 Phospholipid scramblase 1; PL scramblase 1; Ca(2+)-dependent phospholipid scramblase 1; Transplantability-associated protein 1; NOR1; TRA1 328 221 13 328 0 Mus musculus (Mouse) SwissProt::Q9JJ00 1 SwissProt MENHSKQTEAPHPGTYMPAGYPPPYPPAAFQGPSDHAAYPIPQAGYQGPPGPYPGPQPGYPVPPGGYAGGGPSGFPVQNQPAYNHPGGPGGTPWMPAPPPPLNCPPGLEYLAQIDQLLVHQQIELLEVLTGFETNNKYEIKNSLGQRVYFAVEDTDCCTRNCCGASRPFTLRILDNLGREVMTLERPLRCSSCCFPCCLQEIEIQAPPGVPVGYVTQTWHPCLPKFTLQNEKKQDVLKVVGPCVVCSCCSDIDFELKSLDEESVVGKISKQWSGFVREAFTDADNFGIQFPLDLDVKMKAVMLGACFLIDFMFFERTGNEEQRSGAWQ
NP_036321.2 1 493 0.588238742393509 PF02197.17:RIIa:12:48 Calcium-binding tyrosine phosphorylation-regulated protein; Calcium-binding protein 86; Cancer/testis antigen 88; CT88; Fibrousheathin II; Fibrousheathin-2; FSP-2; Testis-specific calcium-binding protein CBP86 493 37 13 493 0 Homo sapiens (Human) SwissProt::O75952 1 SwissProt MISSKPRLVVPYGLKTLLEGISRAVLKTNPSNINQFAAAYFQELTMYRGNTTMDIKDLVKQFHQIKVEKWSEGTTPQKKLECLKEPGKTSVESKVPTQMEKSTDTDEDNVTRTEYSDKTTQFPSVYAVPGTEQTEAVGGLSSKPATPKTTTPPSSPPPTAVSPEFAYVPADPAQLAAQMLGKVSSIHSDQSDVLMVDVATSMPVVIKEVPSSEAAEDVMVAAPLVCSGKVLEVQVVNQTSVHVDLGSQPKENEAEPSTASSVPLQDEQEPPAYDQAPEVTLQADIEVMSTVHISSVYNDVPVTEGVVYIEQLPEQIVIPFTDQVACLKENEQSKENEQSPRVSPKSVVEKTTSGMSKKSVESVKLAQLEENAKYSSVYMEAEATALLSDTSLKGQPEVPAQLLDAEGAIKIGSEKSLHLEVEITSIVSDNTGQEESGENSVPQEMEGKPVLSGEAAEAVHSGTSVKSSSGPFPPAPEGLTAPEIEPEGESTAE
NP_036520.1 1 172 0.508373255813954 PF14712.6:Snapin_Pallidin:50:140 Biogenesis of lysosome-related organelles complex 1 subunit 6; BLOC-1 subunit 6; Pallid protein homolog; Pallidin; Syntaxin 13-interacting protein 172 91 13 172 0 Homo sapiens (Human) SwissProt::Q9UL45 1 SwissProt MSVPGPSSPDGALTRPPYCLEAGEPTPGLSDTSPDEGLIEDLTIEDKAVEQLAEGLLSHYLPDLQRSKQALQELTQNQVVLLDTLEQEISKFKECHSMLDINALFAEAKHYHAKLVNIRKEMLMLHEKTSKLKKRALKLQQKRQKEELEREQQREKEFEREKQLTARPAKRM
NP_036546.2 1 1393 0.20800768126346 PF14656.6:RAB3GAP2_C:769:1369,PF14655.6:RAB3GAP2_N:74:498 Rab3 GTPase-activating protein non-catalytic subunit; RGAP-iso; Rab3 GTPase-activating protein 150 kDa subunit; Rab3-GAP p150; Rab3-GAP150; Rab3-GAP regulatory subunit 1393 1026 13 1393 0 Homo sapiens (Human) SwissProt::Q9H2M9 1 SwissProt MACSIVQFCYFQDLQAARDFLFPHLREEILSGALRRDPSKSTDWEDDGWGAWEENEPQEPEEEGNTCKTQKTSWLQDCVLSLSPTNDLMVIAREQKAVFLVPKWKYSDKGKEEMQFAVGWSGSLNVEEGECVTSALCIPLASQKRSSTGRPDWTCIVVGFTSGYVRFYTENGVLLLAQLLNEDPVLQLKCRTYEIPRHPGVTEQNEELSILYPAAIVTIDGFSLFQSLRACRNQVAKAAASGNENIQPPPLAYKKWGLQDIDTIIDHASVGIMTLSPFDQMKTASNIGGFNAAIKNSPPAMSQYITVGSNPFTGFFYALEGSTQPLLSHVALAVASKLTSALFNAASGWLGWKSKHEEEAVQKQKPKVEPATPLAVRFGLPDSRRHGESICLSPCNTLAAVTDDFGRVILLDVARGIAIRMWKGYRDAQIGWIQTVEDLHERVPEKADFSPFGNSQGPSRVAQFLVIYAPRRGILEVWSTQQGPRVGAFNVGKHCRLLYPGYKIMGLNNVTSQSWQPQTYQICLVDPVSGSVKTVNVPFHLALSDKKSERAKDMHLVKKLAALLKTKSPNLDLVETEIKELILDIKYPATKKQALESILASERLPFSCLRNITQTLMDTLKSQELESVDEGLLQFCANKLKLLQLYESVSQLNSLDFHLDTPFSDNDLALLLRLDEKELLKLQALLEKYKQENTRTNVRFSDDKDGVLPVKTFLEYLEYEKDVLNIKKISEEEYVALGSFFFWKCLHGESSTEDMCHTLESAGLSPQLLLSLLLSVWLSKEKDILDKPQSICCLHTMLSLLSKMKVAIDETWDSQSVSPWWQQMRTACIQSENNGAALLSAHVGHSVAAQISNNMTEKKFSQTVLGADSEALTDSWEALSLDTEYWKLLLKQLEDCLILQTLLHSKGNTQTSKVSSLQAEPLPRLSVKKLLEGGKGGIADSVAKWIFKQDFSPEVLKLANEERDAENPDEPKEGVNRSFLEVSEMEMDLGAIPDLLHLAYEQFPCSLELDVLHAHCCWEYVVQWNKDPEEARFFVRSIEHLKQIFNAHVQNGIALMMWNTFLVKRFSAATYLMDKVGKSPKDRLCRRDVGMSDTAMTSFLGSCLDLLQILMEADVSRDEIQVPVLDTEDAWLSVEGPISIVELALEQKHIHYPLVEHHSILCSILYAVMRFSLKTVKPLSLFDSKGKNAFFKDLTSIQLLPSGEMDPNFISVRQQFLLKVVSAAVQAQHSATKVKDPTEEATPTPFGKDQDWPALAVDLAHHLQVSEDVVRRHYVGELYNYGVDHLGEEAILQVHDKEVLASQLLVLTGQRLAHALLHTQTKEGMELLARLPPTLCTWLKAMDPQDLQNTEVPIATTAKLVNKVIELLPEKHGQYGLALHLIEAVEAISLPSL
NP_036994.1 1 103 0.232296116504854 matrix Gla protein precursor 103 0 13 103 0 Rattus norvegicus NP_036994.1 1 RefSeq MKSLLPLAILAALAVAALCYESHESMESYEVSPFTTRRNANTFISPQQRWHAKAQERVRELNKPAQEINREACDDYKLCERYALIYGYNAAYNRYFRQRRGAK
NP_037451.1 1 338 0.0810168639053254 PF01040.18:UbiA:62:316 UbiA prenyltransferase domain-containing protein 1; Transitional epithelial response protein 1; EC 2.5.1.- 338 255 13 180 7 Homo sapiens (Human) SwissProt::Q9Y5Z9 1 SwissProt MAASQVLGEKINILSGETVKAGDRDPLGNDCPEQDRLPQRSWRQKCASYVLALRPWSFSASLTPVALGSALAYRSHGVLDPRLLVGCAVAVLAVHGAGNLVNTYYDFSKGIDHKKSDDRTLVDRILEPQDVVRFGVFLYTLGCVCAACLYYLSPLKLEHLALIYFGGLSGSFLYTGGIGFKYVALGDLIILITFGPLAVMFAYAIQVGSLAIFPLVYAIPLALSTEAILHSNNTRDMESDREAGIVTLAILIGPTFSYILYNTLLFLPYLVFSILATHCTISLALPLLTIPMAFSLERQFRSQAFNKLPQRTAKLNLLLGLFYVFGIILAPAGSLPKI
NP_037471.2 1 507 0.0155439842209073 PF03155.15:Alg6_Alg8:16:488 Dolichyl pyrophosphate Man9GlcNAc2 alpha-1,3-glucosyltransferase; Asparagine-linked glycosylation protein 6 homolog; Dol-P-Glc:Man(9)GlcNAc(2)-PP-Dol alpha-1,3-glucosyltransferase; Dolichyl-P-Glc:Man9GlcNAc2-PP-dolichyl glucosyltransferase; EC 2.4.1.267 507 473 13 285 10 Homo sapiens (Human) SwissProt::Q9Y672 1 SwissProt MEKWYLMTVVVLIGLTVRWTVSLNSYSGAGKPPMFGDYEAQRHWQEITFNLPVKQWYFNSSDNNLQYWGLDYPPLTAYHSLLCAYVAKFINPDWIALHTSRGYESQAHKLFMRTTVLIADLLIYIPAVVLYCCCLKEISTKKKIANALCILLYPGLILIDYGHFQYNSVSLGFALWGVLGISCDCDLLGSLAFCLAINYKQMELYHALPFFCFLLGKCFKKGLKGKGFVLLVKLACIVVASFVLCWLPFFTEREQTLQVLRRLFPVDRGLFEDKVANIWCSFNVFLKIKDILPRHIQLIMSFCSTFLSLLPACIKLILQPSSKGFKFTLVSCALSFFLFSFQVHEKSILLVSLPVCLVLSEIPFMSTWFLLVSTFSMLPLLLKDELLMPSVVTTMAFFIACVTSFSIFEKTSEEELQLKSFSISVRKYLPCFTFLSRIIQYLFLISVITMVLLTLMTVTLDPPQKLPDLFSVLVCFVSCLNFLFFLVYFNIIIMWDSKSGRNQKKIS
NP_038474.2 1 482 0.308060580912863 PF15815.5:MKRN1_C:400:480,PF18044.1:zf-CCCH_4:60:80,PF18044.1:zf-CCCH_4:88:108,PF18044.1:zf-CCCH_4:213:232,PF00642.24:zf-CCCH:57:80,PF00642.24:zf-CCCH:88:110,PF00642.24:zf-CCCH:210:233,PF18345.1:zf_CCCH_4:90:108,PF00097.25:zf-C3HC4:281:334 E3 ubiquitin-protein ligase makorin-1; RING finger protein 61; RING-type E3 ubiquitin transferase makorin-1; EC 2.3.2.27 482 206 13 482 0 Homo sapiens (Human) SwissProt::Q9UHC7 1 SwissProt MAEAATPGTTATTSGAGAAAATAAAASPTPIPTVTAPSLGAGGGGGGSDGSGGGWTKQVTCRYFMHGVCKEGDNCRYSHDLSDSPYSVVCKYFQRGYCIYGDRCRYEHSKPLKQEEATATELTTKSSLAASSSLSSIVGPLVEMNTGEAESRNSNFATVGAGSEDWVNAIEFVPGQPYCGRTAPSCTEAPLQGSVTKEESEKEQTAVETKKQLCPYAAVGECRYGENCVYLHGDSCDMCGLQVLHPMDAAQRSQHIKSCIEAHEKDMELSFAVQRSKDMVCGICMEVVYEKANPSERRFGILSNCNHTYCLKCIRKWRSAKQFESKIIKSCPECRITSNFVIPSEYWVEEKEEKQKLILKYKEAMSNKACRYFDEGRGSCPFGGNCFYKHAYPDGRREEPQRQKVGTSSRYRAQRRNHFWELIEERENSNPFDNDEEEVVTFELGEMLLMLLAAGGDDELTDSEDEWDLFHDELEDFYDLDL
NP_038554.1 1 337 0.482221661721068 PF00688.18:TGFb_propeptide:75:284 Growth/differentiation factor 6; GDF-6; Bone morphogenetic protein 13; BMP-13; Growth/differentiation factor 16 454 210 13 337 0 Mus musculus (Mouse) SwissProt::P43028 1 SwissProt MDTPRVLLWAIFLISFLWDLPGFQQASISSSSSSSTELDSTKDVGNRKEGKMQRTPQESAEGRTPPEHGLRQKDLRRRPPGQHQGQEPPGRGLRVVPHEYMLSIYKTYSIAEKLGINASFFQSSKSANTITSFVDRGLDDLSHTPLRRQKYLFDVSTLSDKEELVGAELRLYRQAPPTPWGLPARPLHLQLFPCLSPLLLDARTLDPQGPTQAGWEVFDVWQGLRPQPWKQLCLELRAAWGELDAGDTGARARGPQQPPPLDLRSLGFGRRVRPPQERALLVVFTRSQRKNLFTEMHEQLGSAEAAGAEGSWPAPSGSPDAGSWLPSPGRRRRRTAF
NP_038859.3 121 334 0.437689719626168 proline-serine-threonine phosphatase-interacting protein 2 334 0 13 214 0 Mus musculus NP_038859.3 1 RefSeq TIMDAAHKQRNAQFKKAMDAKKNYEQKCRDKDEAEQAVHRSANVANQRQQEKLFVKLATSKTAVEDSDKAYMLHINMLEKVREDWQSEHIKACEVFEAQECERINFFRNALWLHLNQLSQQCVANDEMYEQVRKSLETCSIEKDIQYFVNQRKTGQTPPAPIMYENFYSPQRNAAPPGKTTGPNPARRGPLPVPKRIPDDPDYSVVEDYSLLYQ
NP_040193.1 630 1310 0.483834214390601 PF03585.14:Herpes_ICP4_C:121:558 Major viral transcription factor ICP4 homolog; Immediate-early protein 62; IE62 1310 438 13 681 0 Varicella-zoster virus (strain Dumas) (HHV-3) (Human herpesvirus 3) SwissProt::P09310 1 SwissProt AYPEATGSSPAARISRGHPSPTTPATQAPDPQPSAAARSLSVCPPDDRLRTPRKRKSQPVESRSLLDKIRETPVADARVADDHVVSKAKRRVSEPVTITSGPVVDPPAVITMPLDGPAPNGGFRRIPRGALHTPVPSDQARKAYCTPETIARLVDDPLFPTAWRPALSFDPGALAEIAARRPGGGDRRFGPPSGVEALRRRCAWMRQIPDPEDVRLLIIYDPLPGEDINGPLESTLATDPGPSWSPSRGGLSVVLAALSNRLCLPSTHAWAGNWTGPPDVSALNARGVLLLSTRDLAFAGAVEYLGSRLASARRRLLVLDAVALERWPRDGPALSQYHVYVRAPARPDAQAVVRWPDSAVTEGLARAVFASSRTFGPASFARIETAFANLYPGEQPLCLCRGGNVAYTVCTRAGPKTRVPLSPREYRQYVLPGFDGCKDLARQSRGLGLGAADFVDEAAHSHRAANRWGLGAALRPVFLPEGRRPGAAGPEAGDVPTWARVFCRHALLEPDPAAEPLVLPPVAGRSVALYASADEARNALPPIPRVMWPPGFGAAETVLEGSDGTRFVFGHHGGSERPSETQAGRQRRTADDREHALELDDWEVGCEDAWDSEEGGGDDGDAPGSSFGVSIVSVAPGVLRDRRVGLRPAVKVELLSSSSSSEDEDDVWGGRGGRSPPQSRG
NP_040528.1 1 805 0.377806708074534 PF02438.16:Adeno_100:98:692 Shutoff protein; 100 kDa protein; p100K; 100K-chaperone protein; L4-100K; Shutoff protein 100K 805 595 13 805 0 Human adenovirus C serotype 2 (HAdV-2) (Human adenovirus 2) SwissProt::P24932 1 SwissProt MESVEKEDSLTAPFEFATTASTDAANAPTTFPVEAPPLEEEEVIIEQDPGFVSEDDEDRSVPTEDKKQDQDDAEANEEQVGRGDQRHGDYLDVGDDVLLKHLQRQCAIICDALQERSDVPLAIADVSLAYERHLFSPRVPPKRQENGTCEPNPRLNFYPVFAVPEVLATYHIFFQNCKIPLSCRANRSRADKQLALRQGAVIPDIASLDEVPKIFEGLGRDEKRAANALQQENSENESHCGVLVELEGDNARLAVLKRSIEVTHFAYPALNLPPKVMSTVMSELIVRRARPLERDANLQEQTEEGLPAVGDEQLARWLETREPADLEERRKLMMAAVLVTVELECMQRFFADPEMQRKLEETLHYTFRQGYVRQACKISNVELCNLVSYLGILHENRLGQNVLHSTLKGEARRDYVRDCVYLFLCYTWQTAMGVWQQCLEERNLKELQKLLKQNLKDLWTAFNERSVAAHLADIIFPERLLKTLQQGLPDFTSQSMLQNFRNFILERSGILPATCCALPSDFVPIKYRECPPPLWGHCYLLQLANYLAYHSDIMEDVSGDGLLECHCRCNLCTPHRSLVCNSQLLSESQIIGTFELQGPSPDEKSAAPGLKLTPGLWTSAYLRKFVPEDYHAHEIRFYEDQSRPPNAELTACVITQGHILGQLQAINKARQEFLLRKGRGVYLDPQSGEELNPIPPPPQPYQQPRALASQDGTQKEAAAAAAATHGRGGILGQSGRGGFGRGGGDDGRLGQPRRSFRGRRGVRRNTVTLGRIPLAGAPEIGNRSQHRYNLRSSGAAGTACSPTQP
NP_045363.1 69 471 0.675563771712159 E3 ubiquitin-protein ligase ICP0; IER 2.9/ER2.6; P135 protein; RING-type E3 ubiquitin transferase ICP0; EC 2.3.2.27 676 0 13 403 0 Bovine herpesvirus 1.1 (strain Jura) (BoHV-1) (Infectious bovine rhinotracheitis virus) SwissProt::P29128 1 SwissProt EEIPVGGGPGADGALEPDAAVIWGEDYDAGPIDLTAADGEASGAGGEAGAADGSEAGGGAGGAEEAGEARGAGAGRAAGAAGGRAGRGADAAQEFIDRVARGPRLPLLPNTPEHGPGAPYLRRVVEWVEGALVGSFAVTARELAAMTDYVMAMLAECGFDDDGLADAMEPLIGEDDAPAFVRSLLFVAARCVTVGPSHLIPQQSAPPGGRGVVFLDTSDSDSEGSEDDSWSESEESSSGLSTSDLTAIDDTETEPETDAEVESRRTRGASGAARARRPAERQYVSTRGRQTPAVQPAPRSLARRPCGRAAAVSAPPSSRSRGGRRDPRLPAAPRAAPAAQARACSPEPREEGRGAGLGVAAGETAGWGAGSEEGRGERRARLLGEAGPPRVQARRRRRTELDR
NP_045573.1 1 184 0.154905434782609 outer membrane protein 184 0 13 184 0 Borreliella burgdorferi B31 NP_045573.1 1 RefSeq MRILVGVCIIALALLGCYLPDNQEQAVQTFFENSESSDMGSDEIVTEGIFSSLKLYASEHRLLVEIKKTLISLKDPNYRGVVLPVSDYNEEYFNKFFLDLGSEQSKDLIKLFIMVKNEQNNNKFMRIVRWLYSCIEELYSPDIKYSGEEGSPEYYRNMPRPTAYQQYLKVKRYDYNRPVPILPT
NP_055241.1 181 404 0.155255357142857 PF09325.10:Vps5:3:211 Sorting nexin-5 404 209 13 224 0 Homo sapiens (Human) SwissProt::Q9Y5X3 1 SwissProt EMFGGFFKSVVKSADEVLFTGVKEVDDFFEQEKNFLINYYNRIKDSCVKADKMTRSHKNVADDYIHTAACLHSLALEEPTVIKKYLLKVAELFEKLRKVEGRVSSDEDLKLTELLRYYMLNIEAAKDLLYRRTKALIDYENSNKALDKARLKSKDVKLAEAHQQECCQKFEQLSESAKEELINFKRKRVAAFRKNLIEMSELEIKHARNNVSLLQSCIDLFKNN
NP_055995.4 784 6854 0.339662790314612 PF00435.21:Spectrin:5241:5350,PF00435.21:Spectrin:5354:5452,PF00435.21:Spectrin:5768:5873 Nesprin-2; KASH domain-containing protein 2; KASH2; Nuclear envelope spectrin repeat protein 2; Nucleus and actin connecting element protein; Protein NUANCE; Synaptic nuclear envelope protein 2; Syne-2 6885 315 13 6053 1 Homo sapiens (Human) SwissProt::Q8WXH0 1 SwissProt MARSEDMLQMDIQNISSQESFQHVLTTGLQAKIQEAKEKVQINVVKLIAALKNLTDVSPDLDIRLKMEESQKELESYMMRAQQLLGQRESPGELISKHKEALIISNTKSLAKYLKAVEELKNNVTEDIKMSLEEKSRDVCAKWESLHHELSLYVQQLKIDIEKGKLSDNILKLEKQINKEKKLIRRGRTKGLIKEHEACFSEEGCLYQLNHHMEVLRELCEELPSQKSQQEVKRLLKDYEQKIERLLKCASEIHMTLQPTAGGTSKNEGTITTSENRGGDPHSEAPFAKSDNQPSTEKAMEPTMKFSLASVLRPLQEESIMEKDYSASINSLLERYDTYRDILEHHLQNNKFRITSDFSSEEDRSSSCLQAKLTDLQVIKNETDARWKEFEIISLKLENHVNDIKKPFVIKERDTLKERERELQMTLNTRMESLETALRLVLPVEKASLLLCGSDLPLHKMAIQGFHLIDADRIYQHLRNIQDSIAKQIEICNRLEEPGNFVLKELHPFDLHAMQNIILKYKTQFEGMNHRVQRSEDTLKALEDFLASLRTAKLSAEPVTDLSASDTQVAQENTLTVKNKEGEIHLMKDKAKHLDKCLKMLDMSFKDAERGDDTSCENLLDAFSIKLSETHGYGVQEEFTEENKLLEACIFKNNELLKNIQDVQSQISKIGLKDPTVPAVKHRKKSLIRLDKVLDEYEEEKRHLQEMANSLPHFKDGREKTVNQQCQNTVVLWENTKALVTECLEQCGRVLELLKQYQNFKSILTTLIQKEESVISLQASYMGKENLKKRIAEIEIVKEEFNEHLEVVDKINQVCKNLQFYLNKMKTFEEPPFEKEANIIVDRWLDINEKTEDYYENLGRALALWDKLFNLKNVIDEWTEKALQKMELHQLTEEDRERLKEELQVHEQKTSEFSRRVAEIQFLLQSSEIPLELQVMESSILNKMEHVQKCLTGESNCHALSGSTAELREDLDQAKTQIGMTESLLKALSPSDSLEIFTKLEEIQQQILQQKHSMILLENQIGCLTPELSELKKQYESVSDLFNTKKSVLQDHFSKLLNDQCKNFNDWFSNIKVNLKECFESSETKKSVEQKLQKLSDFLTLEGRNSKIKQVDSVLKHVKKHLPKAHVKELISWLVGQEFELEKMESICQARAKELEDSLQQLLRLQDDHRNLRKWLTNQEEKWKGMEEPGEKTELFCQALARKREQFESVAQLNNSLKEYGFTEEEEIIMEATCLMDRYQTLLRQLSEIEEEDKLLPTEDQSFNDLAHDVIHWIKEIKESLMVLNSSEGKMPLEERIQKIKEIILLKPEGDARIETIMKQAESSEAPLVQKTLTDISNQWDNTLHLASTYLSHQEKLLLEGEKYLQSKEDLRLMLIELKKKQEAGFALQHGLQEKKAQLKIYKKFLKKAQDLTSLLKELKSQGNYLLECTKNPSFSEEPWLEIKHLHESLLQQLQDSVQNLDGHVREHDSYQVCVTDLNTTLDNFSKEFVSFSDKPVDQIAVEEKLQKLQELENRLSLQDGTLKKILALAKSVKQNTSSVGQKIIKDDIKSLQCKQKDLENRLASAKQEMECCLNSILKSKRSTEKKGKFTLPGREKQATSDVQESTQESAAVEKLEEDWEINKDSAVEMAMSKQLSLNAQESMKNTEDERKVNELQNQPLELDTMLRNEQLEEIEKLYTQLEAKKAAIKPLEQTECLNKTETGALVLHNIGYSAQHLDNLLQALITLKKNKESQYCVLRDFQEYLAAVESSMKALLTDKESLKVGPLDSVTYLDKIKKFIASIEKEKDSLGNLKIKWENLSNHVTDMDKKLLESQIKQLEHGWEQVEQQIQKKYSQQVVEYDEFTTLMNKVQDTEISLQQQQQHLQLRLKSPEERAGNQSMIALTTDLQATKHGFSVLKGQAELQMKRIWGEKEKKNLEDGINNLKKQWETLEPLHLEAENQIKKCDIRNKMKETILWAKNLLGELNPSIPLLPDDILSQIRKCKVTHDGILARQQSVESLAEEVKDKVPSLTTYEGSDLNNTLEDLRNQYQMLVLKSTQRSQQLEFKLEERSNFFAIIRKFQLMVQESETLIIPRVETAATEAELKHHHVTLEASQKELQEIDSGISTHLQELTNIYEELNVFERLFLEDQLKNLKIRTNRIQRFIQNTCNEVEHKIKFCRQFHEKTSALQEEADSIQRNELLLNQEVNKGVKEEIYNLKDRLTAIKCCILQVLKLKKVFDYIGLNWDFSQLDQLQTQVFEKEKELEEKIKQLDTFEEEHGKYQALLSKMRAIDLQIKKMTEVVLKAPDSSPESRRLNAQILSQRIEKAKCLCDEIIKKLNENKTFDDSFKEKEILQIKLNAEENDKLYKVLQNMVLELSPKELDEKNCQDKLETSLHVLNQIKSQLQQPLLINLEIKHIQNEKDNCEAFQEQVWAEMCSIKAVTAIEKQREENSSEASDVETKLREFEDLQMQLNTSIDLRTNVLNDAYENLTRYKEAVTRAVESITSLEAIIIPYRVDVGNPEESLEMPLRKQEELESTVAHIQDLTEKLGMISSPEAKLQLQYTLQELVSKNSAMKEAFKAQETEAERYLENYKCYRKMEEDIYTNLSKMETVLGQSMSSLPLSYREALERLEQSKALVSNLISTKEELMKLRQILRLLRLRCTENDGICLLKIVSALWEKWLSLLEAAKEWEMWCEELKQEWKFVSEEIEREAIILDNLQEELPEISKTKEAATTEELSELLDCLCQYGENVEKQQLLLTLLLQRIRSIQNVPESSGAVETVPAFQEITSMKERCNKLLQKVQKNKELVQTEIQERHSFTKEIIALKNFFQQTTTSFQNMAFQDHPEKSEQFEELQSILKKGKLTFENIMEKLRIKYSEMYTIVPAEIESQVEECRKALEDIDEKISNEVLKSSPSYAMRRKIEEINNGLHNVEKMLQQKSKNIEKAQEIQKKMWDELDLWHSKLNELDSEVQDIVEQDPGQAQEWMDNLMIPFQQYQQVSQRAECRTSQLNKATVKMEEYSDLLKSTEAWIENTSHLLANPADYDSLRTLSHHASTVQMALEDSEQKHNLLHSIFMDLEDLSIIFETDELTQSIQELSNQVTALQQKIMESLPQIQRMADDVVAIESEVKSMEKRVSKIKTILLSKEIFDFSPEEHLKHGEVILENIRPMKKTIAEIVSYQVELRLPQTGMKPLPVFQRTNQLLQDIKLLENVTQEQNELLKVVIKQTNEWDEEIENLKQILNNYSAQFSLEHMSPDQADKLPQLQGEIERMEKQILSLNQRKEDLLVDLKATVLNLHQHLKQEQEGVERDRLPAVTSEEGGVAERDASERKLNRRGSMSYLAAVEEEVEESSVKSDNGDEKAEPSPQSWSSLWKHDKDMEEDRASSSSGTIVQEAYGKISTSDNSMAQILTPDSLNTEQGPECSLRPNQTEEGTTPPIEADTLDSSDAQGGLEPRVEKTRPEPTEVLHACKTQVAELELWLQQANVAVEPETLNADMQQVLEQQLVGCQAMLTEIEHKVAFLLETCKDQGLGDNGATQHEAEALSLKLKTVKCNLEKVQMMLQEKHSEDQHPTILKKSSEPEHQEALQPVNLSELESIVTERPQFSRQKDFQQQQVLELKPMEQKDFIKFIEFNAKKMWPQYCQHDNDTTQESSASNQASSPENDVPDSILSPQGQNGDKWQYLHHELSSKIKLPLPQLVEPQVSTNMGILPSVTMYNFRYPTTEELKTYTTQLEDLRQEASNLQTQENMTEEAYINLDKKLFELFLTLSQCLSSVEEMLEMPRLYREDGSGQQVHYETLALELKKLYLALSDKKGDLLKAMTWPGENTNLLLECFDNLQVCLEHTQAAAVCRSKSLKAGLDYNRSYQNEIKRLYHQLIKSKTSLQQSLNEISGQSVAEQLQKADAYTVELENAESRVAKLRDEGERLHLPYALLQEVYKLEDVLDSMWGMLRARYTELSSPFVTESQQDALLQGMVELVKIGKEKLAHGHLKQTKSKVALQAQIENHKVFFQKLVADMLLIQAYSAKILPSLLQNRETFWAEQVTEVKILEEKSRQCGMKLQSLLQKWEEFDENYASLEKDLEILISTLPSVSLVEETEERLVERISFYQQIKRNIGGKHARLYQTLNEGKQLVASVSCPELEGQIAKLEEQWLSLNKKIDHELHRLQALLKHLLSYNRDSDQLTKWLESSQHTLNYWKEQSLNVSQDLDTIRSNINNFFEFSKEVDEKSSLKTAVISIGNQLLHLKETDTATLRASLAQFEQKWTMLITQLPDIQEKLHQLQMEKLPSRKAITEMISWMNNVEHQTSDEDSVHSPSSASQVKHLLQKHKEFRMEMDYKQWIVDFVNQSLLQLSTCDVESKRYERTEFAEHLGEMNRQWHRVHGMLNRKIQHLEQLLESITESENKIQILNNWLEAQEERLKTLQKPESVISVQKLLLDCQDIENQLAIKSKALDELKQSYLTLESGAVPLLEDTASRIDELFQKRSSVLTQVNQLKTSMQSVLQEWKIYDQLYDEVNMMTIRFWYCMEHSKPVVLSLETLRCQVENLQSLQDEAESSEGSWEKLQEVIGKLKGLCPSVAEIIEEKCQNTHKRWTQVNQAIADQLQKAQSLLQLWKAYSNAHGEAAARLKQQEAKFQQLANISMSGNNLAEILPPALQDIKELQHDVQKTKEAFLQNSSVLDRLPQPAESSTHMLLPGPLHSLQRAAYLEKMLLVKANEFEFVLSQFKDFGVRLESLKGLIMHEEENLDRLHQQEKENPDSFLNHVLALTAQSPDIEHLNEVSLKLPLSDVAVKTLQNMNRQWIRATATALERCSELQGIGLNEKFLYCCEKWIQLLEKIEEALKVDVANSLPELLEQQKTYKMLEAEVSINQTIADSYVTQSLQLLDTTEIENRPEFITEFSKLTDRWQNAVQGVRQRKGDVDGLVRQWQDFTTSVENLFRFLTDTSHLLSAVKGQERFSLYQTRSLIHELKNKEIHFQRRRTTCALTLEAGEKLLLTTDLKTKESVGRRISQLQDSWKDMEPQLAEMIKQFQSTVETWDQCEKKIKELKSRLQVLKAQSEDPLPELHEDLHNEKELIKELEQSLASWTQNLKELQTMKADLTRHVLVEDVMVLKEQIEHLHRQWEDLCLRVAIRKQEIEDRLNTWVVFNEKNKELCAWLVQMENKVLQTADISIEEMIEKLQKDCMEEINLFSENKLQLKQMGDQLIKASNKSRAAEIDDKLNKINDRWQHLFDVIGSRVKKLKETFAFIQQLDKNMSNLRTWLARIESELSKPVVYDVCDDQEIQKRLAEQQDLQRDIEQHSAGVESVFNICDVLLHDSDACANETECDSIQQTTRSLDRRWRNICAMSMERRMKIEETWRLWQKFLDDYSRFEDWLKSAERTAACPNSSEVLYTSAKEELKRFEAFQRQIHERLTQLELINKQYRRLARENRTDTASRLKQMVHEGNQRWDNLQRRVTAVLRRLRHFTNQREEFEGTRESILVWLTEMDLQLTNVEHFSESDADDKMRQLNGFQQEITLNTNKIDQLIVFGEQLIQKSEPLDAVLIEDELEELHRYCQEVFGRVSRFHRRLTSCTPGLEDEKEASENETDMEDPREIQTDSWRKRGESEEPSSPQSLCHLVAPGHERSGCETPVSVDSIPLEWDHTGDVGGSSSHEEDEEGPYYSALSGKSISDGHSWHVPDSPSCPEHHYKQMEGDRNVPPVPPASSTPYKPPYGKLLLPPGTDGGKEGPRVLNGNPQQEDGGLAGITEQQSGAFDRWEMIQAQELHNKLKIKQNLQQLNSDISAITTWLKKTEAELEMLKMAKPPSDIQEIELRVKRLQEILKAFDTYKALVVSVNVSSKEFLQTESPESTELQSRLRQLSLLWEAAQGAVDSWRGGLRQSLMQCQDFHQLSQNLLLWLASAKNRRQKAHVTDPKADPRALLECRRELMQLEKELVERQPQVDMLQEISNSLLIKGHGEDCIEAEEKVHVIEKKLKQLREQVSQDLMALQGTQNPASPLPSFDEVDSGDQPPATSVPAPRAKQFRAVRTTEGEEETESRVPGSTRPQRSFLSRVVRAALPLQLLLLLLLLLACLLP
NP_057204.2 1 417 0.770666187050359 E3 ubiquitin-protein ligase RLIM; LIM domain-interacting RING finger protein; RING finger LIM domain-binding protein; R-LIM; RING finger protein 12; RING-type E3 ubiquitin transferase RLIM; Renal carcinoma antigen NY-REN-43; EC 2.3.2.27 624 0 13 417 0 Homo sapiens (Human) SwissProt::Q9NVW2 1 SwissProt MENSDSNDKGSGDQSAAQRRSQMDRLDREEAFYQFVNNLSEEDYRLMRDNNLLGTPGESTEEELLRRLQQIKEGPPPQNSDENRGGDSSDDVSNGDSIIDWLNSVRQTGNTTRSGQRGNQSWRAVSRTNPNSGDFRFSLEINVNRNNGSQNSENENEPSARRSSGENVENNSQRQVENPRSESTSARPSRSERNSTEALTEVPPTRGQRRARSRSPDHRRTRARAERSRSPLHPMSEIPRRSHHSISSQTFEHPLVNETEGSSRTRHHVTLRQQISGPELLSRGLFAASGTRNASQGAGSSDTAASGESTGSGQRPPTIVLDLQVRRVRPGEYRQRDSIASRTRSRSQTPNNTVTYESERGGFRRTFSRSERAGVRTYVSTIRIPIRRILNTGLSETTSVAIQTMLRQIMTGFGELS
NP_057222.2 1 217 0.203058986175115 PF03232.13:COQ7:49:216 5-demethoxyubiquinone hydroxylase, mitochondrial; DMQ hydroxylase; Timing protein clk-1 homolog; Ubiquinone biosynthesis monooxygenase COQ7; EC 1.14.13.- 217 168 13 217 0 Homo sapiens (Human) SwissProt::Q99807 1 SwissProt MSCAGAAAAPRLWRLRPGARRSLSAYGRRTSVRFRSSGMTLDNISRAAVDRIIRVDHAGEYGANRIYAGQMAVLGRTSVGPVIQKMWDQEKDHLKKFNELMVTFRVRPTVLMPLWNVLGFALGAGTALLGKEGAMACTVAVEESIAHHYNNQIRTLMEEDPEKYEELLQLIKKFRDEELEHHDIGLDHDAELAPAYAVLKSIIQAGCRVAIYLSERL
NP_057479.2 1 362 0.102440607734807 PF04387.14:PTPLA:195:354 Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase 3; 3-hydroxyacyl-CoA dehydratase 3; HACD3; Butyrate-induced protein 1; B-ind1; hB-ind1; Protein-tyrosine phosphatase-like A domain-containing protein 1; EC 4.2.1.134 362 160 13 253 5 Homo sapiens (Human) SwissProt::Q9P035 1 SwissProt MENQVLTPHVYWAQRHRELYLRVELSDVQNPAISITENVLHFKAQGHGAKGDNVYEFHLEFLDLVKPEPVYKLTQRQVNITVQKKVSQWWERLTKQEKRPLFLAPDFDRWLDESDAEMELRAKEEERLNKLRLESEGSPETLTNLRKGYLFMYNLVQFLGFSWIFVNLTVRFCILGKESFYDTFHTVADMMYFCQMLAVVETINAAIGVTTSPVLPSLIQLLGRNFILFIIFGTMEEMQNKAVVFFVFYLWSAIEIFRYSFYMLTCIDMDWKVLTWLRYTLWIPLYPLGCLAEAVSVIQSIPIFNETGRFSFTLPYPVKIKVRFSFFLQIYLIMIFLGLYINFRHLYKQRRRRYGQKKKKIH
NP_058069.1 1 291 0.377684536082474 neuronal pentraxin-2 precursor 429 0 13 291 0 Mus musculus NP_058069.1 1 RefSeq MLALLTVGVALAVAAGRAQDSPIPGSRFVCTALPPEAARAGCPLPAMPMQGGALSPEEELRAAVLQLRETVVQQKETLGAQREAIRELTGKLARCEGLAGGKARGTGKDTMGDLPRDPGHVVEQLSRSLQTLKDRLESLELQLRTNVSNAGLPSDFREVLQRRLGELERQLLRKVAELEDEKSLLHNETSAHRQKTESTLNALLQRVTELERGNSAFKSPDAFKVSLPLRTNYLYGKIKKTLPELYAFTICLWLRSSASPGIGTPFSYAVPGQANEIVLIEWGNNPIELLI
NP_058387.1 1 357 0.16342324929972 hypothetical protein 357 0 13 357 0 Salmonella enterica subsp. enterica serovar Typhi NP_058387.1 1 RefSeq MRKSYTYGIPFGLHRETGRFLDITEVSRGSACNCICPGCRTDLIARQGEVKLWHYSHSTDLLGDCDGLMEAIRGKIIEIIQEKQLLGFPHLLAGYDGGTVPLDEVSGSGSMFGATADLFVKVNDLCVAVFLDIDRSVAGKLTFDHLHASEMVAALRIDLPDIEYEISQVQLGRRDGTYSECIEKIIIDATESREWLYHPMMQELGTEPLKVYEGREPAEAGPLLQRLSDCPLKLPDNLPQSMNVLIRMQQATIVCLCRLTVRASDIAQTDEFPLFMRYFRLHCLDTSEHVNYEKLLNWQDMITKSKEGQLLTVEERDYLQEIVRIGLYNHRLKSVCLTACEDSRVGESEGPNNYSLL
NP_058766.2 1 255 0.0252839215686275 PF02544.16:Steroid_dh:108:255,PF06966.12:DUF1295:146:223 3-oxo-5-alpha-steroid 4-dehydrogenase 1 255 148 13 174 4 Rattus norvegicus NP_058766.2 1 RefSeq MELDELCLLDMLVYLEGFMAFVSIVGLRSVGSPYGRYSPQWPGIRVPARPAWFIQELPSMAWPLYEYIRPAAARLGNLPNRVLLAMFLIHYVQRTLVFPVLIRGGKPTLLVTFVLAFLFCTFNGYVQSRYLSQFAVYAEDWVTHPCFLTGFALWLVGMVINIHSDHILRNLRKPGETGYKIPRGGLFEYVSAANYFGELVEWCGFALASWSLQGVVFALFTLSTLLTRAKQHHQWYHEKFEDYPKSRKILIPFVL
NP_060289.2 1 202 0.29970297029703 tRNA methyltransferase 10 homolog C; HBV pre-S2 trans-regulated protein 2; Mitochondrial ribonuclease P protein 1; Mitochondrial RNase P protein 1; RNA (guanine-9-)-methyltransferase domain-containing protein 1; Renal carcinoma antigen NY-REN-49; mRNA methyladenosine-N(1)-methyltransferase; tRNA (adenine(9)-N(1))-methyltransferase; tRNA (guanine(9)-N(1))-methyltransferase; EC 2.1.1.-; EC 2.1.1.218; EC 2.1.1.221 403 0 13 202 0 Homo sapiens (Human) SwissProt::Q7L0Y3 1 SwissProt MAAFLKMSVSVNFFRPFTRFLVPFTLHRKRNNLTILQRYMSSKIPAVTYPKNESTPPSEELELDKWKTTMKSSVQEECVSTISSSKDEDPLAATREFIEMWRLLGREVPEHITEEELKTLMECVSNTAKKKYLKYLYTKEKVKKARQIKKEMKAAAREEAKNIKLLETTEEDKQKNFLFLRLWDRNMDIAMGWKGAQAMQFG
NP_060309.2 1 366 0.143735792349727 PF01553.21:Acyltransferase:128:238 Lysophosphatidylcholine acyltransferase 2; LPC acyltransferase 2; LPCAT-2; LysoPC acyltransferase 2; 1-acylglycerol-3-phosphate O-acyltransferase 11; 1-AGP acyltransferase 11; 1-AGPAT 11; 1-acylglycerophosphocholine O-acyltransferase; 1-alkylglycerophosphocholine O-acetyltransferase; Acetyl-CoA:lyso-platelet-activating factor acetyltransferase; Acetyl-CoA:lyso-PAF acetyltransferase; Lyso-PAF acetyltransferase; LysoPAFAT; Acyltransferase-like 1; Lysophosphatidic acid acyltransferase alpha; LPAAT-alpha; EC 2.3.1.23; EC 2.3.1.51; EC 2.3.1.67 544 111 13 343 1 Homo sapiens (Human) SwissProt::Q7L5N7 1 SwissProt MSRCAQAAEVAATVPGAGVGNVGLRPPMVPRQASFFPPPVPNPFVQQTQIGSARRVQIVLLGIILLPIRVLLVALILLLAWPFAAISTVCCPEKLTHPITGWRRKITQTALKFLGRAMFFSMGFIVAVKGKIASPLEAPVFVAAPHSTFFDGIACVVAGLPSMVSRNENAQVPLIGRLLRAVQPVLVSRVDPDSRKNTINEIIKRTTSGGEWPQILVFPEGTCTNRSCLITFKPGAFIPGVPVQPVLLRYPNKLDTVTWTWQGYTFIQLCMLTFCQLFTKVEVEFMPVQVPNDEEKNDPVLFANKVRNLMAEALGIPVTDHTYEDCRLMISAGQLTLPMEAGLVEFTKISRKLKLDWDGVRKHLDE
NP_060345.2 1 304 0.0870138157894736 PF00153.27:Mito_carr:26:117,PF00153.27:Mito_carr:122:206,PF00153.27:Mito_carr:218:299 Mitochondrial glycine transporter; Mitochondrial glycine transporter GlyC; Solute carrier family 25 member 38 304 259 13 304 0 Homo sapiens (Human) SwissProt::Q96DW6 1 SwissProt MIQNSRPSLLQPQDVGDTVETLMLHPVIKAFLCGSISGTCSTLLFQPLDLLKTRLQTLQPSDHGSRRVGMLAVLLKVVRTESLLGLWKGMSPSIVRCVPGVGIYFGTLYSLKQYFLRGHPPTALESVMLGVGSRSVAGVCMSPITVIKTRYESGKYGYESIYAALRSIYHSEGHRGLFSGLTATLLRDAPFSGIYLMFYNQTKNIVPHDQVDATLIPITNFSCGIFAGILASLVTQPADVIKTHMQLYPLKFQWIGQAVTLIFKDYGLRGFFQGGIPRALRRTLMAAMAWTVYEEMMAKMGLKS
NP_060882.2 1 554 0.153082490974729 PF04184.12:ST7:18:553 suppression of tumorigenicity 7 isoform a 554 536 13 508 2 Homo sapiens NP_060882.2 1 RefSeq MAEAATGFLEQLKSCIVWSWTYLWTVWFFIVLFLVYILRVPLKINDNLSTVSMFLNTLTPKFYVALTGTSSLISGLILIFEWWYFRKYGTSFIEQVSVSHLRPLLGGVDNNSSNNSNSSNGDSDSNRQSVSECKVWRNPLNLFRGAEYNRYTWVTGREPLTYYDMNLSAQDHQTFFTCDSDHLRPADAIMQKAWRERNPQARISAAHEALEINECATAYILLAEEEATTIAEAEKLFKQALKAGDGCYRRSQQLQHHGSQYEAQHRRDTNVLVYIKRRLAMCARRLGRTREAVKMMRDLMKEFPLLSMFNIHENLLEALLELQAYADVQAVLAKYDDISLPKSATICYTAALLKARAVSDKFSPEAASRRGLSTAEMNAVEAIHRAVEFNPHVPKYLLEMKSLILPPEHILKRGDSEAIAYAFFHLAHWKRVEGALNLLHCTWEGTFRMIPYPLEKGHLFYPYPICTETADRELLPSFHEVSVYPKKELPFFILFTAGLCSFTAMLALLTHQFPELMGVFAKAFLSTLFAPLNFVMEKVESILPSSLWHQLTRI
NP_060887.2 470 1610 0.100698422436459 Adenylate cyclase type 10; AH-related protein; Adenylate cyclase homolog; Germ cell soluble adenylyl cyclase; hsAC; sAC; Testicular soluble adenylyl cyclase; EC 4.6.1.1 1610 0 13 1095 2 Homo sapiens (Human) SwissProt::Q96PN6 1 SwissProt MFGMACLICNRKEDYPLLGRNKEINYFMYTMKKFLISNSSQVLMYEGLPGYGKSQILMKIEYLAQGKNHRIIAISLNKISFHQTFYTIQMFMANVLGLDTCKHYKERQTNLRNKVMTLLDEKFYCLLNDIFHVQFPISREISRMSTLKKQKQLEILFMKILKLIVKEERIIFIIDEAQFVDSTSWRFMEKLIRTLPIFIIMSLCPFVNIPCAAARAVIKNRNTTYIVIGAVQPNDISNKICLDLNVSCISKELDSYLGEGSCGIPFYCEELLKNLEHHEVLVFQQTESEEKTNRTWNNLFKYSIKLTEKLNMVTLHSDKESEEVCHLTSGVRLKNLSPPTSLKEISLIQLDSMRLSHQMLVRCAAIIGLTFTTELLFEILPCWNMKMMIKTLATLVESNIFYCFRNGKELQKALKQNDPSFEVHYRSLSLKPSEGMDHGEEEQLRELENEVIECHRIRFCNPMMQKTAYELWLKDQRKAMHLKCARFLEEDAHRCDHCRGRDFIPYHHFTVNIRLNALDMDAIKKMAMSHGFKTEEKLILSNSEIPETSAFFPENRSPEEIREKILNFFDHVLTKMKTSDEDIIPLESCQCEEILEIVILPLAHHFLALGENDKALYYFLEIASAYLIFCDNYMAYMYLNEGQKLLKTLKKDKSWSQTFESATFYSLKGEVCFNMGQIVLAKKMLRKALKLLNRIFPYNLISLFLHIHVEKNRHFHYVNRQAQESPPPGKKRLAQLYRQTVCLSLLWRIYSYSYLFHCKYYAHLAVMMQMNTALETQNCFQIIKAYLDYSLYHHLAGYKGVWFKYEVMAMEHIFNLPLKGEGIEIVAYVAETLVFNKLIMGHLDLAIELGSRALQMWALLQNPNRHYQSLCRLSRCLLLNSRYPQLIQVLGRLWELSVTQEHIFSKAFFYFVCLDILLYSGFVYRTFEECLEFIHQYENNRILKFHSGLLLGLYSSVAIWYARLQEWDNFYKFSNRAKNLLPRRTMTLTYYDGISRYMEGQVLHLQKQIKEQSENAQASGEELLKNLENLVAQNTTGPVFCPRLYHLMAYVCILMGDGQKCGLFLNTALRLSETQGNILEKCWLNMNKESWYSTSELKEDQWLQTILSLPSWEKIVAGRVNIQDLQKNKFLMRANTVDNHF
NP_060899.2 397 2624 0.674197935368044 Transcription factor TFIIIB component B'' homolog; Transcription factor IIIB 150; TFIIIB150; Transcription factor-like nuclear regulator 2624 0 13 2228 0 Homo sapiens (Human) SwissProt::A6H8Y1 1 SwissProt TKPRKNVKVKKVACEGVNNDPDESMSSRISDTERSQKDAQTVEEESLTLSREDAEQVALEVDLNQKKRRRKKQDGANELGVNNLLENATVQAGPSKGEKHKNKCQAIRPELKEGECSKEQMLSCTQNIDGIVGFASTEKVEKRTDPILSLSNQQDATSVATESSESSTSDLPSFEVGIRALCEVNNAEGSCIEERNVDLKNNSLEIDQTENVKPMLRGRFQRPKPNLSRAGKKSVLSQGKTESESKNSHSKTSVEKNHVEKDKMNTLDILRMETTERENPEAETVSVLGEKNCLQEGSQLKALRPVQVRGRLQKPKPNAGKAAERKEILISQEEIGANVEKNENESCADRDTPQHMEDQSRKDFEEEDVILQPEKNDSFQNVQPDEPKVLNECLSVQENNKANKLNQVPILRTRFQKPKPNIGRGTGRREISSKEEVLEKILVSGEMAAALRETVRLDTSPKEMVPAEINTKEMQSDLKETGRRAISPREKILDVIDDTIEMETGLKAMGREICLREKTPEVIDATEEIDKDLEEAGRREISPQKNGPEEVKPLGEVETDLKATGNESSPREKTPEVTDATEEIDKNLEETGRRKISPRENGPEEVKPVDEMETDLNATGRESSPREKTPEVIDATEEIDLEETEREVSPQENGLEEVKPLGEMETDLKATGRDSFPRGKTPEVIDAIEEIEIDLEETEREISPQENGLEEVKPLGEMQTDLKATGREISPREKTPEVIDATEEIDKDLEETGRREISPEENGPEEVKPVDEMETDLKTTGREGSSREKTREVIDAAEVIETDLEETEREISPQENGPEEVKPVGKMETDLKEIREEISQREKVLAEFSAIREKEIDLKETGKRDIPIMEKVSGKMAVVEEMEADLKETGKENFRERGSEEICVTEEKVAELKQTGKTDISPRENELEETSTSRQTDTHLMQSGSNDFSAVPSLDIQNISSEVLSMMHTPVEEKRNSEKEVSSHFSHFKISSQTHESDKTEVQGIQSPDVPEQFSDINLSKSLPQEQKPLEIKPAPFVRSRFKRPKPNLARAALKRETTESEKYIYEKKSETKKMETIVMQENNEQTDTLPSQHDEASLMISREKDTLGHRNEEAVILPCTQTERNLSPSNSCEPKEESQSAPVQKNDSVVSVGTNNVNTFQQEMKESVIQTARQVRGRLQRPRPNIRKTGQRQIVDKGEAKGIIKEGRTILPKDETEKKVLTVSNSQIETEIEVPSSAVPEHRMYENQSQVVLVENLHVNKTNETIRHENKPYVPSSAQMTRRKFQKAKPNLGRAHSKKEEPVLEKVTTDQSKEGKPEDHLLQKGASNTQLLLKEKAELLTSLEVSARKDCVGSKESALAKIDAELEEVGPSRRVGEETVGDNSPSSVVEEQYLNKLTSCPQPLNETSYSKIALDGKTTISSTSEYERNRGERRSHKKFKPNVTRGRGSKRVRGKTSKKEPRASKAMLVTLRASQEEDDDADDFESDYEEESYHLAPEEVNKAPVFVPVGLRSPEPVSAQIEETMEELEITVNVPDVGCIAVVEHELPNTDVTTEEMKQEENLSVPFEMTTSEHIQDEPGTNDGSTEAAITLLTMGDLVLQSEISSEQGDVGVCIIPHVHSKDKSHIPSSLDNVNHKIVHECQELSSPVITTSPASFEENKIVLEEQSSREEISLMEKVKENATPTRNTISKVTSNLRIRSRLAKPKPNLEKTLGTNRLDDYQEVSSLCVTKGAEMETQRETEKNASKATELENKNLGPVTTAENKDQSKLACVHGIKGTSISSEVNLTERNENQEESSQEVHMLSVAPVASSETGPCTLGLDRGLGENSVEEPQIKDSKGDSVLTLPVPEYTPTSIPEVQQENIINPQDLTVNLVANVPQDGEDEQAFILTLVEIPANAVEEFTDATAQFMPNPLLPAPILVKSVNTEERGDMSICLPATSVGQDAMGLSISGRDNSKKPPDNLDLVSRKRFQCRLDKNDHIPPAKKRSLTLRDDCQEYTTEVHSKELTNVFEETGESHKGQDIFLTSGSTLTTPEPQRQQVEAAFQSRGSRSPDACMDKNVPQLPQDEMIVSDKEERTDAAPKSQQMDSRTSSSKASLSRPGRRPLGFLSLICSKNSLESDEPMQVHSKKRLKPLIPGLRKKLKRSNPFNESQEKNRESSDLLPSPSVITTQSENISSSATQVSCDQPLLKEGYKSAQKRAPQGEATTVSEYFFNDIFIEVDETE
NP_060946.3 1 125 0.6426656 PF04538.12:BEX:14:121 Protein BEX1; Brain-expressed X-linked protein 1 125 108 13 125 0 Homo sapiens (Human) SwissProt::Q9HBH7 1 SwissProt MESKEKRAVNSLSMENANQENEEKEQVANKGEPLALPLDAGEYCVPRGNRRRFRVRQPILQYRWDMMHRLGEPQARMREENMERIGEEVRQLMEKLREKQLSHSLRAVSTDPPHHDHHDEFCLMP
NP_062231.1 1 166 0.56390843373494 PF05808.11:Podoplanin:1:165 Podoplanin; E11 antigen epitope; RTI140; T1-alpha; T1A; Type I cell 40 kDa protein 166 165 13 143 1 Rattus norvegicus (Rat) SwissProt::Q64294 1 SwissProt MWTAPVLLWVLGSVWFWDSAQGGAIGALEDDLVTPGPGDDMVNPGLEDRIETTDTTGELDKSTAKAPLVPTQPPIEELPTSGTSDHDHKEHESTTTVKAVTSHSTDKKTTHPNRDNAGGETQTTDKKDGLAVVTLVGIIIGVLLAIGFIGGIIIVVMRKISGRFSP
NP_062654.2 297 655 0.647218384401114 ETS-related transcription factor Elf-4; E74-like factor 4; Myeloid Elf-1-like factor 655 0 13 359 0 Mus musculus (Mouse) SwissProt::Q9Z2U4 1 SwissProt VVIDDEEESPETPEDSSQASTSSTPSTSTIRRASSRVGTRASPEDKDNPPWEKPKVQHTGLQPSASLELGLSVDEEVPTTSTMLASPLQSQARLTKTVSSSPAPSNIHLGVAPVGPGSTVTLQTIPLTTVLTNGPPASTTAPTQLVLQSVPQVSTFKDTFTLQTSFPLNTNLQENQVATQGAPLILSGLPQLLAGANPQSNPAPSQVIGAGSAGPSSQPPGTVIAAFIRTSSGTSVPVVKEGPLRSSSYVQGVVTGAPVEGLLVPEETLRELLRDQGHLQPLPSQVLSRGSHNLSLVGNQTLSPPSHPTVGLTPVAELELSSGSGPLFVTEPSVTRSPTQAPFSPFNPTSLIKMEPQDI
NP_065138.2 1 500 0.3266652 PF01437.25:PSI:303:347 Plexin domain-containing protein 1; Tumor endothelial marker 3; Tumor endothelial marker 7 500 45 13 477 1 Homo sapiens (Human) SwissProt::Q8IUK5 1 SwissProt MRGELWLLVLVLREAARALSPQPGAGHDEGPGSGWAAKGTVRGWNRRARESPGHVSEPDRTQLSQDLGGGTLAMDTLPDNRTRVVEDNHSYYVSRLYGPSEPHSRELWVDVAEANRSQVKIHTILSNTHRQASRVVLSFDFPFYGHPLRQITIATGGFIFMGDVIHRMLTATQYVAPLMANFNPGYSDNSTVVYFDNGTVFVVQWDHVYLQGWEDKGSFTFQAALHHDGRIVFAYKEIPMSVPEISSSQHPVKTGLSDAFMILNPSPDVPESRRRSIFEYHRIELDPSKVTSMSAVEFTPLPTCLQHRSCDACMSSDLTFNCSWCHVLQRCSSGFDRYRQEWMDYGCAQEAEGRMCEDFQDEDHDSASPDTSFSPYDGDLTTTSSSLFIDSLTTEDDTKLNPYAGGDGLQNNLSPKTKGTPVHLGTIVGIVLAVLLVAAIILAGIYINGHPTSNAALFFIERRPHHWPAMKFRSHPDHSTYAEVEPSGHEKEGFMEAEQC
NP_065750.1 200 593 0.558881472081218 PF00320.27:GATA:221:254 Transcriptional repressor p66-beta; GATA zinc finger domain-containing protein 2B; p66/p68 593 34 13 394 0 Homo sapiens (Human) SwissProt::Q8WXI9 1 SwissProt TPVVQNAASIVQPSPAHVGQQGLSKLPSRPGAQGVEPQNLRTLQGHSVIRSATNTTLPHMLMSQRVIAPNPAQLQGQRGPPKPGLVRTTTPNMNPAINYQPQSSSSVPCQRTTSSAIYMNLASHIQPGTVNRVSSPLPSPSAMTDAANSQAAAKLALRKQLEKTLLEIPPPKPPAPLLHFLPSAANSEFIYMVGLEEVVQSVIDSQGKSCASLLRVEPFVCAQCRTDFTPHWKQEKNGKILCEQCMTSNQKKALKAEHTNRLKNAFVKALQQEQEIEQRLQQQAALSPTTAPAVSSVSKQETIMRHHTLRQAPQPQSSLQRGIPTSARSMLSNFAQAPQLSVPGGLLGMPGVNIAYLNTGIGGHKGPSLADRQREYLLDMIPPRSISQSISGQK
NP_068579.3 1 974 0.232933983572895 PF04048.14:Sec8_exocyst:45:143 Exocyst complex component 4; Exocyst complex component Sec8 974 99 13 974 0 Homo sapiens (Human) SwissProt::Q96A65 1 SwissProt MAAEAAGGKYRSTVSKSKDPSGLLISVIRTLSTSDDVEDRENEKGRLEEAYEKCDRDLDELIVQHYTELTTAIRTYQSITERITNSRNKIKQVKENLLSCKMLLHCKRDELRKLWIEGIEHKHVLNLLDEIENIKQVPQKLEQCMASKHYLSATDMLVSAVESLEGPLLQVEGLSDLRLELHSKKMNLHLVLIDELHRHLYIKSTSRVVQRNKEKGKISSLVKDASVPLIDVTNLPTPRKFLDTSHYSTAGSSSVREINLQDIKEDLELDPEENSTLFMGILIKGLAKLKKIPETVKAIIERLEQELKQIVKRSTTQVADSGYQRGENVTVENQPRLLLELLELLFDKFNAVAAAHSVVLGYLQDTVVTPLTQQEDIKLYDMADVWVKIQDVLQMLLTEYLDMKNTRTASEPSAQLSYASTGREFAAFFAKKKPQRPKNSLFKFESSSHAISMSAYLREQRRELYSRSGELQGGPDDNLIEGGGTKFVCKPGARNITVIFHPLLRFIQEIEHALGLGPAKQCPLREFLTVYIKNIFLNQVLAEINKEIEGVTKTSDPLKILANADTMKVLGVQRPLLQSTIIVEKTVQDLLNLMHDLSAYSDQFLNMVCVKLQEYKDTCTAAYRGIVQSEEKLVISASWAKDDDISRLLKSLPNWMNMAQPKQLRPKREEEEDFIRAAFGKESEVLIGNLGDKLIPPQDILRDVSDLKALANMHESLEWLASRTKSAFSNLSTSQMLSPAQDSHTNTDLPPVSEQIMQTLSELAKSFQDMADRCLLVLHLEVRVHCFHYLIPLAKEGNYAIVANVESMDYDPLVVKLNKDISAIEEAMSASLQQHKFQYIFEGLGHLISCILINGAQYFRRISESGIKKMCRNIFVLQQNLTNITMSREADLDFARQYYEMLYNTADELLNLVVDQGVKYTELEYIHALTLLHRSQTGVGELTTQNTRLQRLKEIICEQAAIKQATKDKKITTV
NP_071315.1 1 193 0.00844093264248705 PF00822.20:PMP22_Claudin:25:163 p53 apoptosis effector related to PMP-22; Keratinocyte-associated protein 1; KCP-1 193 139 13 101 4 Mus musculus (Mouse) SwissProt::Q9JK95 0 SwissProt MLRCGLACERCRWILPLLLLSAIAFDIIALAGRGWLQSSNHIQTSSLWWRCFDEGGGSGSYDDGCQSLMEYAWGRAAAATLFCGFIILCICFILSFFALCGPQMLVFLRVIGGLLALAAIFQIISLVIYPVKYTQTFRLHDNPAVNYIYNWAYGFGWAATIILIGCSFFFCCLPNYEDDLLGAAKPRYFYPPA
NP_071349.3 57 959 0.46922104097453 (E3-independent) E2 ubiquitin-conjugating enzyme; E2/E3 hybrid ubiquitin-protein ligase UBE2O; Ubiquitin carrier protein O; Ubiquitin-conjugating enzyme E2 O; Ubiquitin-conjugating enzyme E2 of 230 kDa; Ubiquitin-conjugating enzyme E2-230K; Ubiquitin-protein ligase O; EC 2.3.2.24 1292 0 13 903 0 Homo sapiens (Human) SwissProt::Q9C0C9 1 SwissProt QRLLFSHDLVSGRYRGSVHFGLVRLIHGEDSDSEGEEEGRGSSGCSEAGGAGHEEGRASPLRRGYVRVQWYPEGVKQHVKETKLKLEDRSVVPRDVVRHMRSTDSQCGTVIDVNIDCAVKLIGTNCIIYPVNSKDLQHIWPFMYGDYIAYDCWLGKVYDLKNQIILKLSNGARCSMNTEDGAKLYDVCPHVSDSGLFFDDSYGFYPGQVLIGPAKIFSSVQWLSGVKPVLSTKSKFRVVVEEVQVVELKVTWITKSFCPGGTDSVSPPPSVITQENLGRVKRLGCFDHAQRQLGERCLYVFPAKVEPAKIAWECPEKNCAQGEGSMAKKVKRLLKKQVVRIMSCSPDTQCSRDHSMEDPDKKGESKTKSEAESASPEETPDGSASPVEMQDEGAEEPHEAGEQLPPFLLKEGRDDRLHSAEQDADDEAADDTDDTSSVTSSASSTTSSQSGSGTSRKKSIPLSIKNLKRKHKRKKNKITRDFKPGDRVAVEVVTTMTSADVMWQDGSVECNIRSNDLFPVHHLDNNEFCPGDFVVDKRVQSCPDPAVYGVVQSGDHIGRTCMVKWFKLRPSGDDVELIGEEEDVSVYDIADHPDFRFRTTDIVIRIGNTEDGAPHKEDEPSVGQVARVDVSSKVEVVWADNSKTIILPQHLYNIESEIEESDYDSVEGSTSGASSDEWEDDSDSWETDNGLVEDEHPKIEEPPIPPLEQPVAPEDKGVVISEEAATAAVQGAVAMAAPMAGLMEKAGKDGPPKSFRELKEAIKILESLKNMTVEQLLTGSPTSPTVEPEKPTREKKFLDDIKKLQENLKKTLDNVAIVEEEKMEAVPDVERKEDKPEGQSPVKAEWPSETPVLCQQCGGKPGVTFTSAKGEVFSVLEFAPSNHSFKKIEFQPPEAKKFFSTVR
NP_071414.2 1 955 0.291822617801047 PF00028.17:Cadherin:165:253 Calsyntenin-2; Alcadein-gamma; Alc-gamma 955 89 13 932 1 Homo sapiens (Human) SwissProt::Q9H4D0 1 SwissProt MLPGRLCWVPLLLALGVGSGSGGGGDSRQRRLLAAKVNKHKPWIETSYHGVITENNDTVILDPPLVALDKDAPVPFAGEICAFKIHGQELPFEAVVLNKTSGEGRLRAKSPIDCELQKEYTFIIQAYDCGAGPHETAWKKSHKAVVHIQVKDVNEFAPTFKEPAYKAVVTEGKIYDSILQVEAIDEDCSPQYSQICNYEIVTTDVPFAIDRNGNIRNTEKLSYDKQHQYEILVTAYDCGQKPAAQDTLVQVDVKPVCKPGWQDWTKRIEYQPGSGSMPLFPSIHLETCDGAVSSLQIVTELQTNYIGKGCDRETYSEKSLQKLCGASSGIIDLLPSPSAATNWTAGLLVDSSEMIFKFDGRQGAKVPDGIVPKNLTDQFTITMWMKHGPSPGVRAEKETILCNSDKTEMNRHHYALYVHNCRLVFLLRKDFDQADTFRPAEFHWKLDQICDKEWHYYVINVEFPVVTLYMDGATYEPYLVTNDWPIHPSHIAMQLTVGACWQGGEVTKPQFAQFFHGSLASLTIRPGKMESQKVISCLQACKEGLDINSLESLGQGIKYHFNPSQSILVMEGDDIGNINRALQKVSYINSRQFPTAGVRRLKVSSKVQCFGEDVCISIPEVDAYVMVLQAIEPRITLRGTDHFWRPAAQFESARGVTLFPDIKIVSTFAKTEAPGDVKTTDPKSEVLEEMLHNLDFCDILVIGGDLDPRQECLELNHSELHQRHLDATNSTAGYSIYGVGSMSRYEQVLHHIRYRNWRPASLEARRFRIKCSELNGRYTSNEFNLEVSILHEDQVSDKEHVNHLIVQPPFLQSVHHPESRSSIQHSSVVPSIATVVIIISVCMLVFVVAMGVYRVRIAHQHFIQETEAAKESEMDWDDSALTITVNPMEKHEGPGHGEDETEGEEEEEAEEEMSSSSGSDDSEEEEEEEGMGRGRHGQNGARQAQLEWDDSTLPY
NP_071636.1 1 220 0.938913181818182 PF05466.12:BASP1:2:220 Brain acid soluble protein 1 220 219 13 220 0 Rattus norvegicus Q05175 1 SwissProt/TReMBL MGSKLSKKKKGYNVNDEKAKDKDKKAEGAGTEEEGTQKESEPQAAADATEVKESAEEKPKDAADGEAKAEEKEADKAAAKEEAPKAEPEKSEGAAEEQPEPAPAPEQEAAAPGPAAGGEAPKAGEASAESTGAADGAPQEEGEAKKTEAPAAGPEAKSDAAPAASDSKPSTEPAPSSKETPAASEAPSSAAKAPAPAAPAAEPQAEAPVASSEQSVAVKE
NP_077247.1 1 358 0.190322625698324 PF04831.13:Popeye:41:266 Blood vessel epicardial substance; mBVES; Popeye domain-containing protein 1; Popeye protein 1 358 226 13 298 3 Mus musculus (Mouse) SwissProt::Q9ES83 1 SwissProt MNSTESIPLAQSTVAGFTSELESLTPVPSNETTCENWREIHHLVFHVANVCFAVGLLIPTTLHLHMILLRVMLSLGCTLYVVWATLYRCALDVMIWNSVFLGINILHLSYLLYKKRPVKIEKELGGVYHRLFEPLRVPPDLFRRLTGQFCMIQTLKRGQVYATEDKTSVDDRLSILLKGRMKVSYRGHFLHNIYPCAFIDSPEFRSTQMHKGEKFQVTIVADDNCRFLCWSRERLTYFLESEPFLYEIFRYLIGKDITNKLYSLNDPTLNDKKVKKLEPQMSLCTQISMLEMRNSITSSSDGEDGLHHFLRGSSSTASLPMSSPQQRASAKMKPIEEGVEDDDEVFVSPDALKVHQLP
NP_079023.2 1 775 0.291067870967742 PF15702.5:HPS6:1:773 Hermansky-Pudlak syndrome 6 protein; Ruby-eye protein homolog; Ru 775 773 13 775 0 Homo sapiens (Human) SwissProt::Q86YV9 1 SwissProt MKRSGTLRLLSDLSAFGGAARLRELVAGDSAVRVRGSPDGRHLLLLRPPGAVAPQLLVASRGPGAELERAWPAGQPSPLDAFFLPWPARPALVLVWESGLAEVWGAGVGPGWRPLQSTELCPGGGARVVAVAALRGRLVWCEERQARAEGPSGSPAAAFSHCVCVRTLEPSGEASTSLGRTHVLLHHCPAFGLLASCRQLFLVPTATTWPGVAHVLLIWSPGKGKVMVAAPRLGLSYSKSLNPGRGDTWDFRTLLRGLPGLLSPREPLAVHTWAPTPQGLLLLDFGGTVSLLQSHGGTRAVGTLQEAPVGPWGSAALGTFQGTLACVLGSTLELLDMGSGQLLERKVLSTDRVHLLEPPAPGMEDEEELETRGNLRLLSALGLFCVGWEAPQGVELPSAKDLVFEEACGYYQRRSLRGAQLTPEELRHSSTFRAPQALASILQGHLPPSALLTMLRTELRDYRGLEQLKAQLVAGDDEEAGWTELAEQEVARLLRTELIGDQLAQLNTVFQALPTAAWGATLRALQLQLDGNGKLRSQAPPDVWKKVLGGITAGKEPPNGILPPFELLCQCLCQLEPRWLPPFVELAQQQGGPGWGAGGPGLPLYRRALAVLGEEGTRPEALELELLLSSGRPKAVLQAVGQLVQKEQWDRALDAGLALGPSSPLLRSEIFKLLLAEFAQHRRLDAHLPLLCRLCPPELAPAELLLLLRTYLPDEVGPPTPFPEPGAEPPLTVGLLKALLEQTGAQGWLSGPVLSPYEDILWDPSTPPPTPPRDL
NP_079285.2 145 1140 0.460295883534137 Centrosomal protein of 135 kDa; Cep135; Centrosomal protein 4 1140 0 13 996 0 Homo sapiens (Human) SwissProt::Q66GS9 1 SwissProt LQEKNLHAVVQTPGGKKRSIAFRRQRMQIDEPVPPSEVSSYPVPQPDDPYIADLLQVADNRIQELQQEVHQLQEKLAMMESGVRDYSKQIELREREIERLSVALDGGRSPDVLSLESRNKTNEKLIAHLNIQVDFLQQANKDLEKRIRELMETKETVTSEVVNLSNKNEKLCQELTEIDQLAQQLERHKEEVLETADKELGEAKKEIKRKLSEMQDLEETMAKLQLELNLCQKEKERLSDELLVKSDLETVVHQLEQEKQRLSKKVESFAVTERQLTLEVERMRLEHGIKRRDRSPSRLDTFLKGIEEERDYYKKELERLQHIIQRRSCSTSYSAREKSSIFRTPEKGDYNSEIHQITRERDELQRMLERFEKYMEDIQSNVKLLTAERDKLSVLYNEAQEELSALRKESTQTTAPHNIVSLMEKEKELALSDLRRIMAEKEALREKLEHIEEVSLFGKSELEKTIEHLTCVNHQLESEKYELKSKVLIMKETIESLENKLKVQAQKFSHVAGDSSHQKTEVNSLRIVNEQLQRSVDDYQHRLSIKRGELESAQAQIKILEEKIDELNLKMTSQDEEAHVMKKTIGVIDKEKDFLQETVDEKTEKIANLQENLANKEKAVAQMKIMISECESSVNQLKETLVNRDREINSLRRQLDAAHKELDEVGRSREIAFKENRRLQDDLATMARENQEISLELEAAVQEKEEMKSRVHKYITEVSRWESLMAAKEKENQDLLDRFQMLHNRAEDWEVKAHQAEGESSSVRLELLSIDTERRHLRERVELLEKEIQEHINAHHAYESQISSMAKAMSRLEEELRHQEDEKATVLNDLSSLRELCIKLDSGKDIMTQQLNSKNLEFERVVVELENVKSESDLLKKQLSNERHTVKNLESLLATNRDKEFHSHLTSHEKDTEIQLLKEKLTLSESKLTSQSRENTMLRAKVAQLQTDYDALKRQISTERYERERAIQEMRRHGLATPPLSSTLRSPSHSPEHRNV
NP_079374.2 1 334 0.0809847305389222 PF03982.13:DAGAT:41:333 2-acylglycerol O-acyltransferase 2; Acyl-CoA:monoacylglycerol acyltransferase 2; MGAT2; hMGAT2; Diacylglycerol O-acyltransferase candidate 5; hDC5; Diacylglycerol acyltransferase 2-like protein 5; Monoacylglycerol O-acyltransferase 2; EC 2.3.1.22 334 293 13 311 1 Homo sapiens (Human) SwissProt::Q3SYC2 1 SwissProt MVEFAPLFMPWERRLQTLAVLQFVFSFLALAEICTVGFIALLFTRFWLLTVLYAAWWYLDRDKPRQGGRHIQAIRCWTIWKYMKDYFPISLVKTAELDPSRNYIAGFHPHGVLAVGAFANLCTESTGFSSIFPGIRPHLMMLTLWFRAPFFRDYIMSAGLVTSEKESAAHILNRKGGGNLLGIIVGGAQEALDARPGSFTLLLRNRKGFVRLALTHGAPLVPIFSFGENDLFDQIPNSSGSWLRYIQNRLQKIMGISLPLFHGRGVFQYSFGLIPYRRPITTVVGKPIEVQKTLHPSEEEVNQLHQRYIKELCNLFEAHKLKFNIPADQHLEFC
NP_080488.1 1 278 0.0826320143884892 PF01553.21:Acyltransferase:78:205 1-acyl-sn-glycerol-3-phosphate acyltransferase beta; 1-acylglycerol-3-phosphate O-acyltransferase 2; 1-AGP acyltransferase 2; 1-AGPAT 2; Lysophosphatidic acid acyltransferase beta; LPAAT-beta; EC 2.3.1.51 278 128 13 217 3 Mus musculus (Mouse) SwissProt::Q8K3K7 1 SwissProt MDPWPWLTAALLLLLLLVQLSRTARFYAKVGLYCVLCLSFSAAASIVCLLRHGGRTVDNMSIISWFVRSFKYVYGLRFEVSGQKKLEVDGPCVIISNHQSILDMMGLMEILPKRCVQIAKRELMFTGPVGLIMYLGGVYFINRQQARTAMSVMADLGDLMVKENLKVWIYPEGTRNDNGDLLPFKKGAFYLAIQAQVPIIPVVYSSFSSFYNVKTKLFTSGTIKVQVLDAVPTNGLTDADVTKLVDTCYQSMRATFLQISQIPQENSAIKEPGVLPAQ
NP_081378.1 191 394 0.396504411764706 Endothelial cell-selective adhesion molecule 394 0 13 181 1 Mus musculus (Mouse) SwissProt::Q925F2 1 SwissProt ERLAPSSQVFFGPALDAVRGSLKLTNLSIAMSGVYVCKAQNRVGFAKCNVTLDVMTGSKAAVVAGAVVGTFVGLVLIAGLVLLYQRRSKTLEELANDIKEDAIAPRTLPWTKGSDTISKNGTLSSVTSARALRPPKAAPPRPGTFTPTPSVSSQALSSPRLPRVDEPPPQAVSLTPGGVSSSALSRMGAVPVMVPAQSQAGSLV
NP_082307.1 274 622 0.0157598853868195 PF01529.20:DHHC:152:283 Palmitoyltransferase ZDHHC13; Huntingtin-interacting protein 14-related protein; HIP14-related protein; Zinc finger DHHC domain-containing protein 13; DHHC-13; EC 2.3.1.225 622 132 13 219 6 Mus musculus (Mouse) SwissProt::Q9CWU2 1 SwissProt KMRANKQFRLWRWLHKCELFLLLILSMITLWAVGYILDFNSDSWLLKGCLLVALFFLTSLFPRFLVGYKNLVYLPTVFLLSSIFWIFMTWFILFFPDTAGSPLYFAFIFSIMAFLYFFYKTWATDPGFTKASEEERKVNIVTLAETGSLDFRTFCTSCLIRKPLRSLHCHVCNSCVARFDQHCFWTGRCIGFGNHHHYIFFLLSLSMVCDWIIYGSFVYWSNHCATTFKEDGLWTYLNQIVACSPWVLYIFMLAAFHFSWSTFLLINQLFQIAFLGLTSHERISLLKQSRHMKQTLSLRKTPYNLGFTQNLADFFQCGCFGLVKPCIIDWTSQYTMVFHPAKEKVLRSV
NP_083213.2 1 467 0.310808779443255 PF00010.26:HLH:205:251 Spermatogenesis- and oogenesis-specific basic helix-loop-helix-containing protein 2 467 47 13 467 0 Mus musculus (Mouse) SwissProt::Q9D489 1 SwissProt MADRISTGELGRRPGQGRVNLLLVGDATRYFLAGSMQKFFPSTAQITLTISNVKKVAVLLAANSFDIIFLKVTSTLTAEEQEAAKLIRSGKKKNTHLLFAFVIPERLKGYVSEYGADISFSEPLTLEKVNTVIHYWKTYFTNTDMENTELPPECRLYFQTSRSELGGRFSTDMFLCSELLKNDARLGLKAPLSSLDKSKQASFLHSTKEKLRRERIKSCCEQLRTLLPYVKGRKSDVASVIEATVDYVKQVRESLSPAIMAQVTEAIQNNRRFSKRQMPIELFLPFSATSQREDAMLTSAFSPVQEIQLLADRGLNVYSMTAAGGALEGAVRGQPGSVSESSIEDLYKTRVPSTARSLNSFHSVKYTSGTVSPHEAAARTNQNISTYLPPTAPSVSNFIPQHCNAMLCPARPTSPNCLCTPGHELAASSRAASASIFRGFRAASASDHQASQPPALPSPQPHDSSYF
NP_085135.1 362 1977 0.637591893564358 Putative Polycomb group protein ASXL3; Additional sex combs-like protein 3 2248 0 13 1616 0 Homo sapiens (Human) SwissProt::Q9C0F0 1 SwissProt LGMSREESVKLTTGPNNAGAQSSSSCGTSGLPVSAQTALAEQQPKSMKSPASPEPGFCATLCPMVEIPPKDIMAELESEDILIPEESVIQEEIAEEVETSICECQDENHKTIPEFSEEAESLTNSHEEPQIAPPEDNLESCVMMNDVLETLPHIEVKIEGKSESPQEEMTVVIDQLEVCDSLIPSTSSMTHVSDTEHKESETAVETSTPKIKTGSSSLEGQFPNEGIAIDMELQSDPEEQLSENACISETSFSSESPEGACTSLPSPGGETQSTSEESCTPASLETTFCSEVSSTENTDKYNQRNSTDENFHASLMSEISPISTSPEISEASLMSNLPLTSEASPVSNLPLTSETSPMSDLPLTSETSSVSSMLLTSETTFVSSLPLPSETSPISNSSINERMAHQQRKSPSVSEEPLSPQKDESSATAKPLGENLTSQQKNLSNTPEPIIMSSSSIAPEAFPSEDLHNKTLSQQTCKSHVDTEKPYPASIPELASTEMIKVKNHSVLQRTEKKVLPSPLELSVFSEGTDNKGNELPSAKLQDKQYISSVDKAPFSEGSRNKTHKQGSTQSRLETSHTSKSSEPSKSPDGIRNESRDSEISKRKTAEQHSFGICKEKRARIEDDQSTRNISSSSPPEKEQPPREEPRVPPLKIQLSKIGPPFIIKSQPVSKPESRASTSTSVSGGRNTGARTLADIKARAQQARAQREAAAAAAVAAAASIVSGAMGSPGEGGKTRTLAHIKEQTKAKLFAKHQARAHLFQTSKETRLPPPLSSKEGPPNLEVSSTPETKMEGSTGVIIVNPNCRSPSNKSAHLRETTTVLQQSLNPSKLPETATDLSVHSSDENIPVSHLSEKIVSSTSSENSSVPMLFNKNSVPVSVCSTAISGAIKEHPFVSSVDKSSVLMSVDSANTTISACNISMLKTIQGTDTPCIAIIPKCIESTPISATTEGSSISSSMDDKQLLISSSSASNLVSTQYTSVPTPSIGNNLPNLSTSSVLIPPMGINNRFPSEKIAIPGSEEQATVSMGTTVRAALSCSDSVAVTDSLVAHPTVAMFTGNMLTINSYDSPPKLSAESLDKNSGPRNRADNSGKPQQPPGGFAPAAINRSIPCKVIVDHSTTLTSSLSLTVSVESSEASLDLQGRPVRTEASVQPVACPQVSVISRPEPVANEGIDHSSTFIAASAAKQDSKTLPATCTSLRELPLVPDKLNEPTAPSHNFAEQARGPAPFKSEADTTCSNQYNPSNRICWNDDGMRSTGQPLVTHSGSSKQKEYLEQSCPKAIKTEHANYLNVSELHPRNLVTNVALPVKSELHEADKGFRMDTEDFPGPELPPPAAEGASSVQQTQNMKASTSSPMEEAISLATDALKRVPGAGSSGCRLSSVEANNPLVTQLLQGNLPLEKVLPQPRLGAKLEINRLPLPLQTTSVGKTAPERNVEIPPSSPNPDGKGYLAGTLAPLQMRKRENHPKKRVARTVGEHTQVKCEPGKLLVEPDVKGVPCVISSGISQLGHSQPFKQEWLNKHSMQNRIVHSPEVKQQKRLLPSCSFQQNLFHVDKNGGFHTDAGTSHRQQFYQMPVAARGPIPTAALLQASSKTPVGCNAFAFNRHLEQKGLGEVSL
NP_112177.2 1 105 0.517977142857143 PF15317.6:Lbh:18:104 Protein LBH; hLBH; Limb bud and heart development protein homolog 105 87 13 105 0 Homo sapiens (Human) SwissProt::Q53QV2 1 SwissProt MSIYFPIHCPDYLRSAKMTEVMMNTQPMEEIGLSPRKDGLSYQIFPDPSDFDRCCKLKDRLPSIVVEPTEGEVESGELRWPPEEFLVQEDEQDNCEETAKENKEQ
NP_112385.1 1 247 0.245119028340081 PF03298.13:Stanniocalcin:7:205 stanniocalcin-1 precursor 247 199 13 247 0 Rattus norvegicus NP_112385.1 1 RefSeq MLQNSAVILALVISAAAAHEAEQNDSVSPRKSRVAAQNSAEVVRCLNSALQVGCGAFACLENSTCDTDGMYDICKSFLYSAAKFDTQGKAFVKESLKCIANGITSKVFLAIRRCSTFQRMIAEVQEDCYSKLNVCSIAKRNPEAITEVIQLPNHFSNRYYNRLVRSLLECDEDTVSTIRDSLMEKIGPNMASLFHILQTDHCAQTHPRADFNRRRTNEPQKLKVLLRNLRGEGDSPSHIKRTSQENA
NP_112460.1 1 243 0.676825514403292 Transcription factor Sp6; Epiprofin; Krueppel-like factor 14 376 0 13 243 0 Mus musculus (Mouse) SwissProt::Q9ESX2 1 SwissProt MLTAVCGSLGSQHTDAPHASPPRLDLQPLQTYQGHTSPEAGDYPSPLQPGELQSLPLGPEVDFSQGYELPGASSRVTCEDLESDSPLAPGPFSKLLQPDMSHHYESWFRPTHPGTEDGSWWDLHPGTSWMDLPHTQGALTSPGHPGALQPALGGYVGDHQLCAPPPHPHPHHLLPAAGGQHLLGPPDGAKALEAAAQESQGLDSSLDAASRPKGSRRSVPRSSGQTVCRCPNCLEAERLGAPC
NP_112539.2 229 584 0.891278370786516 Cleavage stimulation factor subunit 2 tau variant; CF-1 64 kDa subunit tau variant; Cleavage stimulation factor 64 kDa subunit tau variant; CSTF 64 kDa subunit tau variant; TauCstF-64 632 0 13 356 0 Mus musculus (Mouse) SwissProt::Q8C7E9 1 SwissProt APGLCPGPNVMLNQQNPPAPQPQHLPRRPVKDIPPLMQTSIQGGIPAPGPIPAAVPGPGPGSLTPGGAMQPQVGMPVVGPVPLERGQMQISDPRPPMPRGPMPSGGIPPRGLLGDAPNDPRGGTLLSVTGEVEPRGYMGPPHQGPPMHHGHDNRGPASHDMRGGPLAADPRMLIGEPRGPMIDQRGLPMDGRGGRESRGMETRPMETEVLEPRGMERRMETCAMETRGMDARGLEMRGPGPSSRGPMTGGIQGPGPINMGAGGPQGPRQVPNIAGVGNPGGTMQGAGIQGGGMQGAGMQGGGMQGAGMQGGGMQGAGMQAGMQGASMQGGMQGAGMQGASKQGGGQPSSFSPGQSQ
NP_114030.2 1 290 0.557760344827586 SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 1; 60 kDa BRG-1/Brm-associated factor subunit A; BRG1-associated factor 60A; BAF60A; Protein D15KZ1; SWI/SNF complex 60 kDa subunit 515 0 13 290 0 Mus musculus (Mouse) SwissProt::Q61466 1 SwissProt MAARAGFQSVAPSGGAGASGGAGVAAALGPGGTPGPPVRMGPAPGQGLYRSPMPGAAYPRPGMLPGSRMTPQGPSMGPPGYGGNPSVRPGLAQSGMDQSRKRPAPQQIQQVQQQAVQNRNHNAKKKKMADKILPQRIRELVPESQAYMDLLAFERKLDQTIMRKRLDIQEALKRPIKQKRKLRIFISNTFNPAKSDAEDGEGTVASWELRVEGRLLEDAALSKYDATKQKRKFSSFFKSLVIELDKDLYGPDNHLVEWHRTATTQETDGFQVKRPGDVNVRCTVLLMLDY
NP_173030.1 1 1335 0.656883071161047 PF16987.5:KIX_2:20:99 Mediator of RNA polymerase II transcription subunit 15a 1335 80 13 1335 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4I171 1 SwissProt MDNNNWRPSLPNGEPAMDTGDWRTQLPPDSRQKIVNKIMETLKKHLPFSGPEGINELRRIAARFEEKIFSGALNQTDYLRKISMKMLTMETKSQNAAGSSAAIPAANNGTSIDSIPTNQGQLLPGSLSTNQSQAPQPLLSQTMQNNTASGMTGSTALPSSMPPVSSITNNNTTSVVNQNANMQNVAGMLQDSSGQHGLSSNMFSGPQRQMLGRPHAMSSQQQQQPYLYQQQLQQQLLKQNFQSGNVPNPNSLLPSHIQQQQQNVLQPNQLHSSQQPGVPTSATQPSTVNSAPLQGLHTNQQSSPQLSSQQTTQSMLRQHQSSMLRQHPQSQQASGIHQQQSSLPQQSISPLQQQPTQLMRQQAANSSGIQQKQMMGQHVVGDMQQQHQQRLLNQQNNVMNIQQQQSQQQPLQQPQQQQKQQPPAQQQLMSQQNSLQATHQNPLGTQSNVAGLQQPQQQMLNSQVGNSSLQNNQHSVHMLSQPTVGLQRTHQAGHGLYSSQGQQSQNQPSQQQMMPQLQSHHQQLGLQQQPNLLQQDVQQRLQASGQVTGSLLPPQNVVDQQRQLYQSQRTLPEMPSSSLDSTAQTESANGGDWQEEVYQKIKSMKETYLPDLNEIYQRVAAKLQQDSMPQQQRSDQLEKLRQFKTMLERMIQFLSVSKSNIMPALKDKVAYYEKQIIGFLNMHRPRKPVQQGQLPQSQMQPMQQPQSQTVQDQSHDNQTNPQMQSMSMQGAGPRAQQSSMTNMQSNVLSSRPGVSAPQQNIPSSIPASSLESGQGNTLNNGQQVAMGSMQQNTSQLVNNSSASAQSGLSTLQSNVNQPQLSSSLLQHQHLKQQQDQQMQLKQQFQQRQMQQQQLQARQQQQQQQLQARQQAAQLQQMNDMNDLTSRQGMNVSRGMFQQHSMQGQRANYPLQQLKPGAVSSPQLLQGASPQMSQHLSPQVDQKNTVNKMGTPLQPANSPFVVPSPSSTPLAPSPMQVDSEKPGSSSLSMGNIARQQATGMQGVVQSLAIGTPGISASPLLQEFTSPDGNILNSSTITSGKPSATELPIERLIRAVKSISPQALSSAVSDIGSVVSMVDRIAGSAPGNGSRASVGEDLVAMTKCRLQARNFMTQEGMMATKKMKRHTTAMPLSVASLGGSVGDNYKQFAGSETSDLESTATSDGKKARTETEHALLEEIKEINQRLIDTVVEISDDEDAADPSEVAISSIGCEGTTVRFSFIAVSLSPALKAHLSSTQMSPIQPLRLLVPCSYPNGSPSLLDKLPVETSKENEDLSSKAMARFNILLRSLSQPMSLKDIAKTWDACARAVICEYAQQFGGGTFSSKYGTWEKYVAAS
NP_174116.1 1 193 0.268588082901555 PF14368.6:LTP_2:17:116,PF00234.22:Tryp_alpha_amyl:35:116 Non-specific lipid transfer protein GPI-anchored 1; AtLTPG-1; Protein LTP-GPI-ANCHORED 1 193 100 13 147 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C7F7 1 SwissProt MKGLHLHLVLVTMTIVASIAAAAPAAPGGALADECNQDFQKVTLCLDFATGKATIPSKKCCDAVEDIKERDPKCLCFVIQQAKTGGQALKDLGVQEDKLIQLPTSCQLHNASITNCPKLLGISPSSPDAAVFTNNATTTPVAPAGKSPATPATSTDKGGSASAKDGHAVVALAVALMAVSFVLTLPRHVTLGM
NP_174433.2 1 933 0.380595176848875 PF02862.17:DDHD:669:867 Phospholipase SGR2; Protein SHOOT GRAVITROPISM 2; EC 3.1.1.- 933 199 13 933 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W5R2 1 SwissProt MEDRETHLGTREVNETSPDLLKNTPSNIARLEDVIEQCHGRQKYLAQTRSPSDGSDVRWYFCKVPLAENELAASVPRTDVVGKSEYFRFGMRDSLAIEASFLQREDELLSLWWKEYAECSEGPKLQVNSKKKSIETPSEASVSSSLYEVEEERVGVPVKGGLYEVDLVRRHCFPVYWNGDNRRVLRGHWFARKGGLDWLPIPETVSEQLEVAYRNKVWRRRSFQPSGLFAARIDLQGSSLGLHALFTGEDDTWEAWLNVDPSGFSGIVGYTGNGIKLRRGYAGSYSPKPTQEELRQQKEEEMDDYCSQVPVRHLVFMVHGIGQKGEKSNLVDDVGNFRQITAALAERHLTSHQLSTQRVLFIPCQWRKGLKLSGEAAVDKCTLDGVRRFREMLSATVHDVLYYMSPIYCQAIIDSVSKQLNRLYLKFLKRNPDYVGKISIYGHSLGSVLSYDILCHQHNLSSPFPMDSVYKKFFPDEESPPTPAKADKPCSSHPSSNFEPEKSDQLNNPEKITGQDNNTMAKEPTVLEHHDVIQEDPSLISDSVVANVGLERRGGQEDDHHDSSGAISSQDVPDGADCRTPDSPSCSQEQSWDKESVNSNNEERIKLLQDEVNSLRSKVAQLLSENARILSDEKAKTSVAPKELNNEKVQTEDADAPTSFTPFIKYQKLEFKVDTFFAVGSPLGVFLALRNIRLGIGKGKDYWEEENAIEEMPACRRMFNIFHPYDPVAYRVEPLVCKEYLPERPVIIPYHRGGKRLHIGLQDFREDFAARSQRIMNHFDSVRTRVLTICQSKSADNLDEMEETDDEKDDRSYGSLMIERLTGTRDGRIDHMLQEKTFEHPYLQAIGAHTNYWRDQDTALFIIKHLYRELPDGPNSPTESTEGDDSPKDSSRPHSWIDRREADYDDEELPLTFSDKQITRSFSAEAKKYLKKP
NP_175186.2 1 887 0.249175873731679 PF09763.9:Sec3_C:224:870,PF15277.6:Sec3-PIP2_bind:50:144,PF04129.12:Vps52:247:405 Exocyst complex component SEC3A; AtSec3a 887 742 13 887 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SX85 1 SwissProt MAKSSADDEELRRACEAAIEGTKQSIVMSIRVAKSRGVWGKSGKLGRQMAKPRVLALSVKSKGPRKKAFLRVMKYSSGGVLEPAKMYKLKHLSKVEVITNDPSGCTFTLGFDNLRSQSVAPPQWTMRNTDDRNRLLVCILNICKDVLGRLPKVVGIDIVEMALWAKDNTPVVTTQRSTEDGEPVAESVTESDLKVTVEKELVSQAEEEDMEALLGTYVMGIGEAEAFSERLKRELQALEAANVHAILESEPLVDEVLNGLEAATNIVDDMDEWLGIFNIKLRHMREDIESIETRNNKLEMQSVNNKALIEELDKVIERLRVPSEYAASLTGGSFDEADMLQNIEACEWLAKALRGLEVPNLDPIYANMRAVKEKRAELEKLKATFVRRASEFLRNYFASLVDFMVSDKSYFSQRGQLKRPDHADLRYKCRTYARLLQHLKGLDKNCLGPLRKAYCSSLNLLLRREAREFANELRASTKVSRNPTVWLEGSTGSSQNANTDTSAVSDAYAKMLTIFIPLLVDESSFFAHFMCFEVPALAPPGGAGNDKKSQSNNDDGNDDDDLGIMDIDETDKKPGKNSPDLTALNESLQDLLDGIQEDFYAVVDWAYKIDPLRCISMHGITERYLSGQKADAAGFVRLLLGDLESRVSMQFSRFVDEACHQIERNERNVRQMGVLPYIPRFAALATRMEQYIQGQSRDLVDQAYTKFVSIMFVTLEKIAQQDPKYADILLLENYAAFQNSLYDLANVVPTLAKFYHQASEAYEQACTRHISMIIYYQFERLFQFAKKIEDFMYTITPEEIPFQLGLSKVELRKMLKSSLSGVDKSIAAMYKKLQKNLASEELLPSLWDKCKKEFLDKYESFVQLVAKVYPSENVPGVTEMRGLLASM
NP_177164.1 585 1171 0.236310051107326 PF08148.12:DSHCT:419:582 DExH-box ATP-dependent RNA helicase DExH15 chloroplastic; ATP-dependent RNA helicase ISE2; Protein EMBRYO DEFECTIVE 25; Protein INCREASED SIZE EXCLUSION LIMIT 2; Protein PIGMENT DEFECTIVE 317; EC 3.6.4.13 1171 164 13 587 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::B9DFG3 1 SwissProt QTAFEGAEECCKLVFAGVKPLVSQFTASYGMVLNLVAGSKVTRKSSGTEAGKVLQAGRSLEEAKKLVEKSFGNYVSSNVTVAAKQELAEIDNKIEILSSEISDEAIDKKSRKLLSARDYKEITVLKEELREEKRKRAEQRRRMELERFLALKPLLKGMEEGNLPFICLEFKDSEGREQSVPAVYLGHIDSFQGSKLQKMMSLDESFALNLIEDELAADEPGKPNVKPSYYVALGSDNSWYLFTEKWVRTVYRTGFPNIALALGDALPREIMKNLLDKADMQWDKLAESELGSLWRLEGSLETWSWSLNVPVLSSLSDEDEVLHMSEEYDNAAQKYKEQRSKISRLKKKMSRSEGFREYKKILENANLTVEKMKRLKARSRRLINRLEQIEPSGWKDFMRISNVIHESRALDINTHLIFPLGETAAAIRGENELWLAMVLRNKALVDLKPPQLAGVCASLVSEGIKVRPWRDNNYIYEPSDTVVDMVNFLEDQRSSLIKLQEKHEVMIPCCLDVQFSGMVEAWASGLSWKEMMMECAMDEGDLARLLRRTIDLLAQIPKLPDIDPVLQRSAAAAADIMDRPPISELAG
NP_188546.1 1 196 0.568946938775511 PF02234.19:CDI:150:196 Cyclin-dependent kinase inhibitor 6; Cyclin-dependent kinase inhibitor p22ack1; Inhibitor/interactor of CDK protein 4; KIP-related protein 6 196 47 13 196 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WNX9 1 SwissProt MSERKRELAEEASSTSFSPLKKTKLNDSSDSSPDSHDVIVFAVSSSSVASSAALASDECSVTIGGEESDQSSSISSGCFTSESKEIAKNSSSFGVDLEDHQIETETETSTFITSNFRKETSPVSEGLGETTTEMESSSATKRKQPGVRKTPTAAEIEDLFSELESQDDKKKQFIEKYNFDIVNDEPLEGRYKWDRL
NP_188922.1 135 406 0.54208125 Heat stress transcription factor A-6b; AtHsfA6b; AtHsf-07 406 0 13 272 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LUH8 1 SwissProt EGFLRGQKHLLKNIRRRKTSNNSNQMQQPQSSEQQSLDNFCIEVGRYGLDGEMDSLRRDKQVLMMELVRLRQQQQSTKMYLTLIEEKLKKTESKQKQMMSFLARAMQNPDFIQQLVEQKEKRKEIEEAISKKRQRPIDQGKRNVEDYGDESGYGNDVAASSSALIGMSQEYTYGNMSEFEMSELDKLAMHIQGLGDNSSAREEVLNVEKGNDEEEVEDQQQGYHKENNEIYGEGFWEDLLNEGQNFDFEGDQENVDVLIQQLGYLGSSSHTN
NP_189215.1 1 306 0.46777614379085 PF00364.22:Biotin_lipoyl:57:127,PF02817.17:E3_binding:187:221 Dihydrolipoyllysine-residue acetyltransferase component 4 of pyruvate dehydrogenase complex, chloroplastic; Dihydrolipoamide S-acetyltransferase component 4 of pyruvate dehydrogenase complex; Pyruvate dehydrogenase complex component E2 4; PDC-E2 4; PDCE2 4; plE2; EC 2.3.1.12 480 106 13 306 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SQI8 1 SwissProt MAVSSSSFLSTASLTNSKSNISFASSVSPSLRSVVFRSTTPATSHRRSMTVRSKIREIFMPALSSTMTEGKIVSWIKTEGEKLAKGESVVVVESDKADMDVETFYDGYLAAIVVGEGETAPVGAAIGLLAETEAEIEEAKSKAASKSSSSVAEAVVPSPPPVTSSPAPAIAQPAPVTAVSDGPRKTVATPYAKKLAKQHKVDIESVAGTGPFGRITASDVETAAGIAPSKSSIAPPPPPPPPVTAKATTTNLPPLLPDSSIVPFTAMQSAVSKNMIESLSVPTFRVGYPVNTDALDALYEKVKPKG
NP_190239.1 1 420 0.230014523809524 PF02469.22:Fasciclin:39:179,PF02469.22:Fasciclin:217:353 Fasciclin-like arabinogalactan protein 4; Protein SALT OVERLY SENSITIVE 5 420 278 13 397 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SNC3 1 SwissProt MANVISISHFTLLALPYLLLLLSSTAAAINVTAVLSSFPNLSSFSNLLVSSGIAAELSGRNSLTLLAVPNSQFSSASLDLTRRLPPSALADLLRFHVLLQFLSDSDLRRIPPSGSAVTTLYEASGRTFFGSGSVNVTRDPASGSVTIGSPATKNVTVLKLLETKPPNITVLTVDSLIVPTGIDITASETLTPPPTSTSLSPPPAGINLTQILINGHNFNVALSLLVASGVITEFENDERGAGITVFVPTDSAFSDLPSNVNLQSLPAEQKAFVLKFHVLHSYYTLGSLESITNPVQPTLATEEMGAGSYTLNISRVNGSIVTINSGVVLAVVTQTAFDQNPVSVFGVSKVLLPKELFPKSGQPVATAPPQEISLSPESSSEQPSRLVSPPREIVSSGAVKRPLGFLVLWCWCIAFCYVLV
NP_190591.1 1 322 0.214120807453416 PF04669.13:Polysacc_synt_4:109:296 Protein IRREGULAR XYLEM 15; AtIRX15 322 188 13 302 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SNE5 1 SwissProt MKNGSGNTNTKLILLHPYIQKQTSTTRLWLLAFVSFFTIVFLLTLLYTRDTIPSKNTSVAAAVAAVVTGGSTPSASSPISNSNLPTSAINALLHYASRSNDSFHMSYGEMKSISDVLRRCAPPCNLLVFGLTHETLLWKSLNHNGRTVFIEENRYYAAYFEEIHPEIDVFDVQYTTKAHEAGELVTAAKEAAGNECRPVQNLLFSDCKLGLNDLPNHVYDVDWDVIFVDGPRGDAHEGPGRMSSIFTAAVLARSKKGGTPKTHVFVHDYYRDVERLCGDEFLCRENLVESNDLLAHYVLDKMDKNSTKFCNGRKKRSVSSLS
NP_192260.1 1 249 0.220225301204819 PF13923.6:zf-C3HC4_2:48:78,PF13445.6:zf-RING_UBOX:48:94,PF00097.25:zf-C3HC4:48:96,PF13920.6:zf-C3HC4_3:46:101,PF14634.6:zf-RING_5:48:97,PF13639.6:zf-RING_2:47:97 E3 ubiquitin-protein ligase RMA1; Protein RING membrane-anchor 1; RING-type E3 ubiquitin transferase RMA1; EC 2.3.2.27 249 56 13 231 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64425 1 SwissProt MALDQSFEDAALLGELYGEGAFCFKSKKPEPITVSVPSDDTDDSNFDCNICLDSVQEPVVTLCGHLFCWPCIHKWLDVQSFSTSDEYQRHRQCPVCKSKVSHSTLVPLYGRGRCTTQEEGKNSVPKRPVGPVYRLEMPNSPYASTDLRLSQRVHFNSPQEGYYPVSGVMSSNSLSYSAVLDPVMVMVGEMVATRLFGTRVMDRFAYPDTYNLAGTSGPRMRRRIMQADKSLGRIFFFFMCCVVLCLLLF
NP_193817.2 625 1380 0.291841269841269 PF12580.8:TPPII:274:456 Tripeptidyl-peptidase 2; Tripeptidyl-peptidase II; TPPII; EC 3.4.14.10 1380 183 13 756 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4JVN6 1 SwissProt FQDYPCVFYQIKVNLSGKTIPTSRGIYLREGTACRQSTEWTIQVDPKFHEGASNLKELVPFEECLELHSTDEGVVRVPDYLLLTNNGRGFNVVVDPTNLGDGVHYFEVYGIDCKAPERGPLFRIPVTIIIPKTVANQPPVISFQQMSFISGHIERRYIEVPHGATWAEATMRTSGFDTTRRFYIDTLQVCPLRRPIKWESAPTFASPSAKSFVFPVVSGQTMELAIAQFWSSGLGSREPTIVDFEIEFHGVGVDKEELLLDGSEAPIKVEAEALLASEKLVPIAVLNKIRVPYQPIDAQLKTLSTGRDRLLSGKQILALTLTYKFKLEDSAEVKPYIPLLNNRIYDTKFESQFFMISDTNKRVYAMGDVYPESSKLPKGEYKLQLYLRHENVELLEKLKQLTVFIERNMGEIRLNLHSEPDGPFTGNGAFKSSVLMPGVKEAFYLGPPTKDKLPKNTPQGSMLVGEISYGKLSFDEKEGKNPKDNPVSYPISYVVPPNKPEEDKKAASAPTCSKSVSERLEQEVRDTKIKFLGNLKQETEEERSEWRKLCTCLKSEYPDYTPLLAKILEGLLSRSDAGDKISHHEEIIEAANEVVRSVDVDELARFLLDKTEPEDDEAEKLKKKMEVTRDQLADALYQKGLAMARIENLKGEKEGEGEEESSQKDKFEENFKELTKWVDVKSSKYGTLTVLREKRLSRLGTALKVLDDLIQNENETANKKLYELKLDLLEEIGWSHLVTYEKQWMQVRFPKSLPLF
NP_194133.1 1 959 0.364212825860272 PF02042.15:RWP-RK:591:639,PF00564.24:PB1:863:944 Protein NLP7; AtNLP7; NIN-like protein 7; Nodule inception protein-like protein 7 959 131 13 959 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84TH9 1 SwissProt MCEPDDNSARNGVTTQPSRSRELLMDVDDLDLDGSWPLDQIPYLSSSNRMISPIFVSSSSEQPCSPLWAFSDGGGNGFHHATSGGDDEKISSVSGVPSFRLAEYPLFLPYSSPSAAENTTEKHNSFQFPSPLMSLVPPENTDNYCVIKERMTQALRYFKESTEQHVLAQVWAPVRKNGRDLLTTLGQPFVLNPNGNGLNQYRMISLTYMFSVDSESDVELGLPGRVFRQKLPEWTPNVQYYSSKEFSRLDHALHYNVRGTLALPVFNPSGQSCIGVVELIMTSEKIHYAPEVDKVCKALEAVNLKSSEILDHQTTQICNESRQNALAEILEVLTVVCETHNLPLAQTWVPCQHGSVLANGGGLKKNCTSFDGSCMGQICMSTTDMACYVVDAHVWGFRDACLEHHLQKGQGVAGRAFLNGGSCFCRDITKFCKTQYPLVHYALMFKLTTCFAISLQSSYTGDDSYILEFFLPSSITDDQEQDLLLGSILVTMKEHFQSLRVASGVDFGEDDDKLSFEIIQALPDKKVHSKIESIRVPFSGFKSNATETMLIPQPVVQSSDPVNEKINVATVNGVVKEKKKTEKKRGKTEKTISLDVLQQYFTGSLKDAAKSLGVCPTTMKRICRQHGISRWPSRKIKKVNRSITKLKRVIESVQGTDGGLDLTSMAVSSIPWTHGQTSAQPLNSPNGSKPPELPNTNNSPNHWSSDHSPNEPNGSPELPPSNGHKRSRTVDESAGTPTSHGSCDGNQLDEPKVPNQDPLFTVGGSPGLLFPPYSRDHDVSAASFAMPNRLLGSIDHFRGMLIEDAGSSKDLRNLCPTAAFDDKFQDTNWMNNDNNSNNNLYAPPKEEAIANVACEPSGSEMRTVTIKASYKDDIIRFRISSGSGIMELKDEVAKRLKVDAGTFDIKYLDDDNEWVLIACDADLQECLEIPRSSRTKIVRLLVHDVTTNLGSSCESTGEL
NP_194816.2 1 659 0.31861062215478 PF02732.15:ERCC4:417:537 Crossover junction endonuclease MUS81; Protein MMS AND UV SENSITIVE 81; AtMUS81; EC 3.1.22.- 659 121 13 659 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5W9E7 1 SwissProt MDDERRVLCPENRGLAAYVLQKKQEYAEKPKGLSENLERTFVKGYRSVCDAKDPINTLKDLSQIKGFGKWMVKLMKGYFDTAVESSEQEDLPDNRAGKKANGKKRYIPQRNSVGYALLITLHRRTTNGKEFMRKQELIDAADANGLSHAPVGPEKGKGKAGLGHSKREWYSGWSCMTTLIQKGLVVKSSNPAKYMLTVEGREVANECILRSGLPDSVDILSVDEMDPTPQAKKTPNQNPTCSFTMREELPYVDPRCRAQSAIPSDILEKFTPFGYSKEQVVAAFREVSDGSGDKDPSTLWLSVMCHLRQAEVYNSCPDSRNSKKDSSGPFKSQIRQVDLEGSRAKKFRSCNDGSTLNPCSSGSSHAVKACSSSLASDGTKGITNIPRLPPLQFGETFEEAYDVILILDDREKFATKGSRSRNIVENICSEFNIKIEVRRLPVGDCIWIARHKYLETEYVLDFIAERKNVDDMRSSIRDNRYRDQKLRLQRSGFKKLIYILEGDPNHSDAAESIKTACFTTEILEGFDVLRTHGLGETLRKYGYLTKSIYQYYKLRVNDNDQSKGAASCPSFDSFVKRCQDLDKMTISDVFAIQLMQVPQVTEEIAIAVLDMYPTLLSLASAYSHLEADVSAQEEMLRNRSNNVICASASKNIFKLVWGE
NP_195839.1 1 453 0.2014940397351 PF14543.6:TAXi_N:75:241,PF14541.6:TAXi_C:269:437,PF00026.23:Asp:76:440 Aspartic proteinase PCS1; Aspartic protease 38; AtASP38; Protein EMBRYO DEFECTIVE 24; Protein PROMOTION OF CELL SURVIVAL 1; EC 3.4.23.- 453 366 13 453 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZL3 1 SwissProt MFSRFHALFLLLVLSVRTYKCVSSSSSSSSSFSFSSFSSSSSSQTLVLPLKTRITPTDHRPTDKLHFHHNVTLTVTLTVGTPPQNISMVIDTGSELSWLRCNRSSNPNPVNNFDPTRSSSYSPIPCSSPTCRTRTRDFLIPASCDSDKLCHATLSYADASSSEGNLAAEIFHFGNSTNDSNLIFGCMGSVSGSDPEEDTKTTGLLGMNRGSLSFISQMGFPKFSYCISGTDDFPGFLLLGDSNFTWLTPLNYTPLIRISTPLPYFDRVAYTVQLTGIKVNGKLLPIPKSVLVPDHTGAGQTMVDSGTQFTFLLGPVYTALRSHFLNRTNGILTVYEDPDFVFQGTMDLCYRISPVRIRSGILHRLPTVSLVFEGAEIAVSGQPLLYRVPHLTVGNDSVYCFTFGNSDLMGMEAYVIGHHHQQNMWIEFDLQRSRIGLAPVECDVSGQRLGIGS
NP_196040.1 155 325 0.346464912280702 PF00656.22:Peptidase_C14:67:167 Metacaspase-9; AtMC9; EC 3.4.22.- 325 101 13 171 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FYE1 1 SwissProt KEKEQIGPSSVSSNISPAIETTNKTITSRALPFKAVLDHLSSLTGITTSDIGTHLLELFGRDAGLKFRLPAMDLMDLLETMTAREKHVDSGILMSGCQADETSADVGVGNGKAYGAFSNAIQRVLNENEGAMKNKQLVMMARDVLERLGFHQHPCLYCSDQNADATFLSQP
NP_197565.1 1 146 0.145125342465753 PF04145.15:Ctr:4:128 Copper transporter 5; AtCOPT5 146 125 13 90 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93VM8 0 SwissProt MMHMTFYWGIKATILFDFWKTDSWLSYILTLIACFVFSAFYQYLENRRIQFKSLSSSRRAPPPPRSSSGVSAPLIPKSGTRSAAKAASVLLFGVNAAIGYLLMLAAMSFNGGVFIAIVVGLTAGYAVFRSDDGGADTATDDPCPCA
NP_199774.1 1 481 0.0775573804573806 PF01490.18:Aa_trans:33:469 Amino acid permease 6; Amino acid transporter AAP6 481 437 13 258 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92934 1 SwissProt MEKKKSMFVEQSFPEHEIGDTNKNFDEDGRDKRTGTWMTGSAHIITAVIGSGVLSLAWAIAQLGWVAGPAVLMAFSFITYFTSTMLADCYRSPDPVTGKRNYTYMEVVRSYLGGRKVQLCGLAQYGNLIGITIGYTITASISMVAVKRSNCFHKNGHNVKCATSNTPFMIIFAIIQIILSQIPNFHNLSWLSILAAVMSFCYASIGVGLSIAKAAGGGEHVRTTLTGVTVGIDVSGAEKIWRTFQAIGDIAFAYAYSTVLIEIQDTLKAGPPSENKAMKRASLVGVSTTTFFYMLCGCVGYAAFGNDAPGNFLTGFGFYEPFWLIDFANVCIAVHLIGAYQVFCQPIFQFVESQSAKRWPDNKFITGEYKIHVPCCGDFSINFLRLVWRTSYVVVTAVVAMIFPFFNDFLGLIGAASFWPLTVYFPIEMHIAQKKIPKFSFTWTWLKILSWTCFIVSLVAAAGSVQGLIQSLKDFKPFQAP
NP_199915.1 1 269 0.34022156133829 PF06206.11:CpeT:52:235 Chromophore lyase CRL, chloroplastic; Protein CONSTITUTIVE ACTIVATOR OF AAA-ATPase 33; Protein CRUMPLED LEAF; EC 4.-.-.- 269 184 13 269 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FI46 1 SwissProt MGTESGSDPESSSNGWSRARGLVVKTLVLIGGALLIKRLTKSTTRRDHARVVSRSLTGEKFTREQASRDPDNYFNIRMLSCPAAEMVDGSEVLYLEQAFWRTPQKPFRQRLYMVKPCPKELKCDVEVSSYAIRDAEEYKNFCDRPKDQRPLPEEVIGDIGEHLTTIHLNCCDRGKRCLYEGSTSPGGFPNSWNGASYCTSDLAVLKNNEIHLWDRGFDENRNQVWGPKEGPYEFKPATSSSINENLSALNILYQSSIDKPIQGSLILQD
NP_200177.1 1 365 0.477988767123288 PF08879.10:WRC:109:150,PF08880.11:QLQ:59:89 Growth-regulating factor 7; AtGRF7; Transcription activator GRF7 365 73 13 365 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJB8 1 SwissProt MDFLKVSDKTTIPYRSDSLFSLNQQQYKESSFGFRDMEIHPHPTPYAGNGLLGCYYYYPFTNAQLKELERQAMIYKYMIASIPVPFDLLVSSPSSASPCNNKNIAGDLEPGRCRRTDGKKWRCAKEVVSNHKYCEKHLHRGRPRSRKHVEPPYSRPNNNGGSVKNRDLKKLPQKLSSSSIKDKTLEPMEVSSSISNYRDSRGSEKFTVLATTEQENKYLNFIDVWSDGVRSSEKQSTTSTPVSSSNGNLSLYSLDLSMGGNNLMGQDEMGLIQMGLGVIGSGSEDHHGYGPYGVTSSLEEMSSWLAPMSTTPGGPLAEILRPSTNLAISGDIESYSLMETPTPSSSPSRVMKKMTSSVSDESSQV
NP_200560.1 1 150 0.431118666666666 Zinc finger protein 2; AtZFP2 150 0 13 150 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39261 1 SwissProt MDYQPNTSLRLSLPSYKNHQLNLELVLEPSSMSSSSSSSTNSSSCLEQPRVFSCNYCQRKFYSSQALGGHQNAHKLERTLAKKSRELFRSSNTVDSDQPYPFSGRFELYGRGYQGFLESGGSRDFSARRVPESGLDQDQEKSHLDLSLRL
NP_200852.1 72 299 0.506227192982456 Agamous-like MADS-box protein AGL62 299 0 13 228 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKK2 1 SwissProt DRFINNNPLPPHQHNNMQLRETRRNSIVQDLNNHLTQVLSQLETEKKKYDELKKIREKTKALGNWWEDPVEELALSQLEGFKGNLENLKKVVTVEASRFFQANVPNFYVGSSSNNAAFGIDDGSHINPDMDLFSQRRMMDINAFNYNQNQIHPNHALPPFGNNAYGINEGFVPEYNVNFRPEYNPNQNQIQNQNQVQIQIQNQSFKRENISEYEHHHGYPPQSRSDYY
NP_201087.3 99 507 0.628086063569682 Peroxisomal membrane protein PEX14; Peroxin-14; AtPEX14; Peroxisome biogenesis protein 14; Pex14p; Protein PEROXISOME DEFECTIVE 2 507 0 13 386 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FXT6 1 SwissProt VPDPPPSSQTTVTTSQDGQQAVSTVQPQAMQPVVAAPAPLIVTPQAAFLSRFRWYHAILAVGVLAASGAGTAVFIKRSLIPRFKSWVQRIMLEEETDPLKKADAKPSLAEEAVAAAKAASAAASDVARVSQEMMITKNEERKYFEDLTHLLGVQVQEMKSLSNNIRKLEGQSNNIPKIYSADQEVYNGSVTTARKPYTNGSNVDYDTRSARSASPPAAPADSSAPPHPKSYMDIMSMIQRGEKPSNIREINDMPPNPNQPLSDPRIAPKSKPWDYGQAPQDESSNGQWWQQKNPRSTDFGYETTTAARFTANQNETSTMEPAAFQRQRSWVPPQPPPVAMAEAVEAIRRPKPQAKIDQEAAASDGQSGVSDELQKITKFSESGGDGSGGIKIAEIQEETEQQHISQEGN
NP_201121.1 1 365 0.179282739726028 PF00651.31:BTB:25:122,PF02135.16:zf-TAZ:211:303 BTB/POZ and TAZ domain-containing protein 1; BTB and TAZ domain protein 1 365 191 13 365 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMK7 1 SwissProt MAITATQNDGVSLNANKISYDLVETDVEIITSGRRSIPAHSGILASVSPVLTNIIEKPRKIHGGSSKKVIKILGVPCDAVSVFVRFLYSPSVTENEMEKYGIHLLALSHVYMVTQLKQRCTKGVGERVTAENVVDILQLARLCDAPDLCLKCMRFIHYKFKTVEQTEGWKFLQEHDPFLELDILQFIDDAESRKKRRRRHRREQNLYLQLSEAMECIEHICTEGCTLVGPSSNLDNKSTCQAKPGPCSAFSTCYGLQLLIRHFAVCKKRVDGKGCVRCKRMIQLLRLHSSICDQSESCRVPLCRQYKNRGEKDKKMVEDTKWKVLVRRVASAKAMSSLSQSKKKKSEVLFKEEAEDLIRIRNKLM
NP_201182.1 1 712 0.18249297752809 PF00609.19:DAGK_acc:492:648,PF00781.24:DAGK_cat:342:443,PF00130.22:C1_1:146:206 Diacylglycerol kinase 2; AtDGK2; DAG kinase 2; Diglyceride kinase 2; DGK 2; EC 2.7.1.107 712 320 13 692 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FFN7 1 SwissProt MMEVGFSLIQWLISSGADSPFIFGWLVTGSVGLLAVIYTFLKWQKKTSLNWVKAAAREKKKVWKRLRVPLSHHQWTDDYGYGQQPSTCCVCLYSLVPGQNVSNKASLSIPVHRCAVCGVAAHFYCSSSAAKDCKCVAQAGSDHVRHHWSERWVNMDDNADMTAFCFYCDEPCGIPFIEASPMWHCLWCQRLIHVKCHMIMSKESGDACDLGSLRRVILSPVHVKLNEANGVDGVLTTIKNELASIRGHVRRKRHRGKNGNGQSLNGKLLEDSVSDPVKTVVNGLVVKKLRRDRSIDCLKQVSDMPNAKGLQNGIGGHKRNKSAALNFMKKFSLVDLPPDARPLLVFINAKSGGQLGPFLHRRLNMLLNPVQVFELGSCQGPDAGLDLCSKVKYFRVLVCGGDGTVAWVLDAIEKRNFESPPPVAILPLGTGNDLSRVLQWGRGISVVDGQGSLRTFLQDIDHAAVTMLDRWSVKIVEESTEKFPAREGHKFMMNYLGIGCDAKVAYEFHMMRQEKPEKFCSQFVNKLRYAKEGARDIMDRACADLPWQVWLEVDGKDIEIPKDSEGLIVLNIGSYMGGVDLWQNDYEHDDNFSIQCMHDKTLEVVCVRGAWHLGKLQVGLSQARRLAQGKVIRIHVSSPFPVQIDGEPFIQQPGCLEITHHGQVFMLRRASDEPRGHAAAIMNEVLLDAECKGVINASQKKVLLQQMALHLS
NP_201522.1 1 317 0.214720504731861 PF04669.13:Polysacc_synt_4:102:289 Protein IRX15-LIKE 317 188 13 297 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FH92 1 SwissProt MKSGGNTNTKLILVHPYIQKQTSTNRLWLLAFVSFFTIAFLLTLLYTTDSIISSKNNSATVSSAVNSAVTTATISQLPTTAINAMLHYASRSNDSYHMSYGEMKSISDVLRRCSPPCNLLVFGLTHETLLWKSLNHNGRTVFIEENRYYAAYFEEIHPEIEVFDVQYTTKAREARELVSAVKEAARNECRPVQNLLFSDCKLGLNDLPNHVYDVDWDVILVDGPRGDGGDVPGRMSSIFTAAVLARSKKGGNPKTHVFVHDYYRDVERLCGDEFLCRENLVESNDLLAHYVLEKMDKNSTQFCRGRKKKRSVSSPSA
NP_207956.1 1 386 0.0107085492227979 PF07690.16:MFS_1:12:345 MFS transporter 386 334 13 126 12 Helicobacter pylori 26695 NP_207956.1 0 RefSeq MLRKNILAYYGANFLLIIAQSLPHAILTPLLLSKGLSLSEILLVQTFFSFCVLVAEYPSGVLADLMSRKNLFLVSNAFLIASFSFVLFFDSFIFMLLAWGLYGLYSACSSGTIEASLITDIKENKKDLSKFLAKNNQITYLGMIIGSSLGSFLYLKVHAMLYIVGIFLIMLCVLTIIFYFKEKEGDFKSQKSLKLLKEQVKGSLKELKDNPKLKILLVGHLITPVFFMSHFQMWQAYFLKQGVKEQYLFVFYIAFQVISILIHFLKASSYSQKIALSSLVVLLGVSPLLLSNIPYCFIGVYALMVAFFTYMSYCLNYQFSKFVSKNNISSLSSLLSSCVRVVSVLILSLSSLELRYFSPLTIITMHFALTLIILFFFLYKAKPFDE
NP_212780.1 1 327 0.144349541284404 PF12146.8:Hydrolase_4:73:286,PF00561.20:Abhydrolase_1:75:193 hypothetical protein 327 214 13 308 1 Borreliella burgdorferi B31 NP_212780.1 1 RefSeq MNIKNIIFILIFLLLLILVSPRIKFKNEFSKKLIPKNIEEIDNYLLKEELQFNLESNTKKEIIWYKEKAQKTNYSVVYIHGFGASKNEIYPVPNNIAKALNANIFFTRLKGHGINNKNAFRGITTQDWLRDIDEAINIGKLIGDKLVLIGTSNGGTASIWALANYPNEINSAVLISPNIFPYDKRTNIVYYPWGRQIAYLITGGYNKFETKEYKRKEHPTIKSHSSRVQHVDAIIAMMGLVTLLNSYNFNEIKIPLIITHTPNDHTVDPIKINEFIKNYGGEKKDIPIILLENSHAHLPIGNQSYKSAQNTSYFTKYVFDFINKINK
NP_214536.1 1 139 0.361653956834532 PF02467.16:Whib:12:83 Transcriptional regulator WhiB5 139 72 13 139 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P0DKR7 1 SwissProt MAHPCATDPELWFGYPDDDGSDGAAKARAYERSATQARIQCLRRCPLLQQRRCAQHAVEHRVEYGVWAGIKLPGGQYRKREQLAAAHDVLRRIAGGEINSRQLPDNAALLARNEGLEVTPVPGVVVHLPIAQVGPQPAA
NP_215100.1 76 240 0.240972121212121 PF07729.12:FCD:29:148 HTH-type transcriptional regulator Mce2R 240 120 13 165 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMG5 1 SwissProt DFRRHAGLDLLPRLLFRNGELDISVVRSILEARLRNFPKVAELAAERNEPELAELLQDSLRALDTEEDPIVWQRHTLDFWDHVVDSAGSIVDRLMYNAFRAAYEPTLAALTTTMTAAAKRPSDYRKLADAICSGDPTGAKKAAQDLLELANTSLMAVLVSQASRQ
NP_215400.1 1 340 0.195588235294118 PF11583.8:AurF:19:303 hypothetical protein 340 285 13 340 0 Mycobacterium tuberculosis H37Rv NP_215400.1 1 RefSeq MDRTRIVRRWRRNMDVADDAEYVEMLATLSEGSVRRNFNPYTDIDWESPEFAVTDNDPRWILPATDPLGRHPWYQAQSRERQIEIGMWRQANVAKVGLHFESILIRGLMNYTFWMPNGSPEYRYCLHESVEECNHTMMFQEMVNRVGADVPGLPRRLRWVSPLVPLVAGPLPVAFFIGVLAGEEPIDHTQKNVLREGKSLHPIMERVMSIHVAEEARHISFAHEYLRKRLPRLTRMQRFWISLYFPLTMRSLCNAIVVPPKAFWEEFDIPREVKKELFFGSPESRKWLCDMFADARMLAHDTGLMNPIARLVWRLCKIDGKPSRYRSEPQRQHLAAAPAA
NP_215544.1 236 778 0.21004014732965 PF13493.6:DUF4118:165:272,PF00512.25:HisKA:398:465,PF00582.26:Usp:20:121 Sensor protein KdpD; EC 2.7.13.3 860 278 13 474 3 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WGL3 1 SwissProt AALEKYRADKKITATWEARERVVVAVTGGPESETLVRRASRIASKSSAELMVVHVIRGDGLAGVSAPQLGRVRELATSLGATMHTVVGDDVPTALLDFAREMNATQLVVGTSRRSRWARLFDEGIGARTVQEPGGIDVHMVTHPAASRASGWSRVSPRERHIASWLAALVVPSVICAITVAWLDRFMGIGGESALFFIGVLIVALLGGVAPAALSALLSGMLLNYFLTEPRYTWTIAEPDAAVTEFVLLAMAVAVAVLVDGAASRTREARRASQEAELLALFAGSVLRGADLATLLQRVRETYSQRAVTMLRVRQGASTGETVACVGTNPCRDVDSADTAIEVGDDEFWMLMAGRKLAARDRRVLTAVATQAAGLVKQRELAEEAGQAEAIARADELRRSLLSAVSHDLRTPLAAAKVAVSSLRTEDVAFSPEDTAELLATIEESIDQLTALVANLLDSSRLAAGVIRPQLRRAYLEEAVQRALVSIGKGATGFYRSGIDRVKVDVGDAVAMADAGLLERVLANLIDNALRYAPDCVVRVNAG
NP_216479.1 83 406 0.202806790123457 PF00440.23:TetR_N:141:187 Transcriptional repressor Mce3R; TetR family transcriptional regulator 406 47 13 324 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P95251 1 SwissProt ETEPTPRPLNADLEDLIAAAVASRALTVLWQREARYLNEDDRTAVRRRINAIVAGMRDSVLLEVPDLSPQHSELRAWAVSSTLTSLGRHSLSLPGEELKKLLYQACMAAARTPPVCELPPLPAGDAARDEADVLFSRYETLLAAGARLFRAQGYPAVNTSEIGKGAGIAGPGLYRSFSSKQAILDALIRRLDEWRCLECIRALRANQQAAQRLRGLVQGHVRISLDAPDLVAVSVTELSHASVEVRDGYLRNQGDREAVWIDLIGKLVPATSVAQGRLLVAAAISFIEDVARTWHLTRYAGVADEISGLALAILTSGAGNLLRA
NP_253291.1 1 952 0.268490231092436 PF13426.7:PAS_9:605:703,PF13426.7:PAS_9:729:844,PF13426.7:PAS_9:865:952,PF00989.25:PAS:718:842,PF00989.25:PAS:857:926,PF08447.12:PAS_3:608:699,PF13188.7:PAS_8:305:349,PF13188.7:PAS_8:856:902 motility regulator 1415 368 13 909 2 Pseudomonas aeruginosa PAO1 NP_253291.1 1 RefSeq MSTPSLTPSPPPAGDPLTVPDRPAAKAGLLLLVLLMLGLLLWQMSQELRQQERFEHERAAAQLDRLNDRLSLTLELKARTALALLPGVPPSERGEIQGRLLPRISDALPQVRQLQWVDSAPQTDSPSPETTLPEQLRQHAGSGLYHYCLDPRDGESLYLTLREPGSRRDSGFWLLHLASDSLAQWSPDLPTGNLLWRLEDQYAGRVLWHTPGSTALLDDMQTLGVEPLRNSDWQLRGLYDSTRVRLGLLPGIGGELAIFLLLVGVTVYMLLRLHREQQGLRAMTLASQRSLRQAATALAAIDERVLVTRADGRLSYLNPQAERLFGISSAQARQHHLLGLLPDLEPGWLTDAGGDGETRSELLPLRVRGEPRTFTLSRHPLASYPALADQAWTLREQILQGGQVWVLRDVTEEQHALGVLEETRRRYQDIFEGVGVALCVLDLSALRQALVEQGLDSCAALRAWLAGEPGRQQALLERIRITEINDVSRRLLQIDSTEQAWQRLLDHGPLQPDSLRLQVIEALIERRPVLELEATLRCGKSTPRHLWLQLRLPENPGEWHAVTLSLSDVTSRKEVELSLIEREKFWSDAVQAVPDTLYIHDLHARRVIFSNHHLGQQLGYNQEELARMGENFWETLLHPDDQEYYWRIRNLQHVVGDGLLLDSQLRWRHRDGRWHWFDIREQAFSRDRSGRVARLIGVAKDITFTVEANNALRENGRRYRMLAENISDVIFSTDAELNASYVSPSVQHVFGYSPEWALLNGLHQTATNPRQLGRLNGLLRRVRHAIGDRQKLAELRENPGQHLFALDCLRADGRKIPIELRIVLMWDEHDRFEGLLGIARDISQQRRAERELRMAATVFEHSTAAIMVTDPAGYIVQVNDSFSRLTGYSPADVLDQQPRLLTADRQEANQLKHVLASLQHSGSWEGEILQKRKTGELYPSWVGITAVRDEEG
NP_268523.1 1 221 0.183362443438914 hypothetical protein 221 0 13 221 0 Streptococcus pyogenes M1 GAS NP_268523.1 1 RefSeq MKRCNKYLFTSLLAASMLFSSYKSVHAHDNIDEKGKVHLYWQGNYYVDNYVDYTKKLVDSDKNIEWTVTFNSAKEQWVYPDFSVFLPKGVKAPTEITYEHHYWDGTVRSETRNNTQWHYDWKSQQTNFNQEFDKFPGYTGWSPSLDKFYKLKNDGKFSHVLVDTYGRQSHTYFSHKMVWKFKTELEDNYKNKWDKLPFIAGIKQNNPLAASFPSYKGEFGE 1
NP_274963.1 1 774 0.381348320413436 PF00082.22:Peptidase_S8:129:474,PF12951.7:PATR:517:546 serotype-1-specific antigen 1082 376 13 774 0 Neisseria meningitidis MC58 NP_274963.1 1 RefSeq MRTTPTFPTKTFKPTAMALAVATTLSACLGGGGGGTSAPDFNAGGTGIGSNSRATTAKSAAVSYAGIKNEMCKDRSMLCAGRDDVAVTDRDAKINAPPPNLHTGDFPNPNDAYKNLINLKPAIEAGYTGRGVEVGIVDTGESVGSISFPELYGRKEHGYNENYKNYTAYMRKEAPEDGGGKDIEASFDDEAVIETEAKPTDIRHVKEIGHIDLVSHIIGGRSVDGRPAGGIAPDATLHIMNTNDETKNEMMVAAIRNAWVKLGERGVRIVNNSFGTTSRAGTADLFQIANSEEQYRQALLDYSGGDKTDEGIRLMQQSDYGNLSYHIRNKNMLFIFSTGNDAQAQPNTYALLPFYEKDAQKGIITVAGVDRSGEKFKREMYGEPGTEPLEYGSNHCGITAMWCLSAPYEASVRFTRTNPIQIAGTSFSAPIVTGTAALLLQKYPWMSNDNLRTTLLTTAQDIGAVGVDSKFGWGLLDAGKAMNGPASFPFGDFTADTKGTSDIAYSFRNDISGTGGLIKKGGSQLQLHGNNTYTGKTIIEGGSLVLYGNNKSDMRVETKGALIYNGAASGGSLNSDGIVYLADTDQSGANETVHIKGSLQLDGKGTLYTRLGKLLKVDGTAIIGGKLYMSARGKGAGYLNSTGRRVPFLSAAKIGQDYSFFTNIETDGGLLASLDSVEKTAGSEGDTLSYYVRRGNAARTASAAAHSAPAGLKHAVEQGGSNLENLMVELDASESSATPETVETAAADRTDMPGIRPYGATFRAAAAVQHANAA
NP_291028.3 1 140 0.371419285714286 EKC/KEOPS complex subunit TP53RK; Atypical serine/threonine protein kinase TP53RK; Nori-2; TP53-regulating kinase; p53-related protein kinase; EC 3.6.-.-; EC 2.7.11.1 253 0 13 140 0 Homo sapiens (Human) SwissProt::Q96S44 1 SwissProt MAAARATTPADGEEPAPEAEALAAARERSSRFLSGLELVKQGAEARVFRGRFQGRAAVIKHRFPKGYRHPALEARLGRRRTVQEARALLRCRRAGISAPVVFFVDYASNCLYMEEIEGSVTVRDYIQSTMETEKTPQGLS
NP_391430.1 1 271 0.270434317343173 PF05384.11:DegS:12:170,PF07730.13:HisKA_3:180:248 Signal transduction histidine-protein kinase/phosphatase DegS; EC 2.7.13.3; EC 3.1.3.- 385 228 13 271 0 Bacillus subtilis (strain 168) SwissProt::P13799 1 SwissProt MNKTKMDSKVLDSILMKMLKTVDGSKDEVFQIGEQSRQQYEQLVEELKQIKQQVYEVIELGDKLEVQTRHARNRLSEVSRNFHRFSEEEIRNAYEKAHKLQVELTMIQQREKQLRERRDDLERRLLGLQEIIERSESLVSQITVVLNYLNQDLREVGLLLADAQAKQDFGLRIIEAQEEERKRVSREIHDGPAQMLANVMMRSELIERIFRDRGAEDGFQEIKNLRQNVRNALYEVRRIIYDLRPMALDDLGLIPTLRKYLYTTEEYNGKV
NP_414662.1 1 264 0.186462878787879 PF02675.15:AdoMet_dc:33:169 S-adenosylmethionine decarboxylase proenzyme; AdoMetDC; SAMDC; EC 4.1.1.50 264 137 13 264 0 Escherichia coli (strain K12) SwissProt::P0A7F6 1 SwissProt MKKLKLHGFNNLTKSLSFCIYDICYAKTAEERDGYIAYIDELYNANRLTEILSETCSIIGANILNIARQDYEPQGASVTILVSEEPVDPKLIDKTEHPGPLPETVVAHLDKSHICVHTYPESHPEGGLCTFRADIEVSTCGVISPLKALNYLIHQLESDIVTIDYRVRGFTRDINGMKHFIDHEINSIQNFMSDDMKALYDMVDVNVYQENIFHTKMLLKEFDLKHYMFHTKPEDLTDSERQEITAALWKEMREIYYGRNMPAV
NP_414947.1 1 149 0.259669127516779 PF03477.16:ATP-cone:50:136 NrdR transcriptional repressor 149 87 13 149 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11320-MONOMER 1 ecocyc MHCPFCFAVDTKVIDSRLVGEGSSVRRRRQCLVCNERFTTFEVAELVMPRVVKSNDVREPFNEEKLRSGMLRALEKRPVSSDDVEMAINHIKSQLRATGEREVPSKMIGNLVMEQLKKLDKVAYIRFASVYRSFEDIKEFGEEIARLED
NP_416409.1 1 142 0.142903521126761 PF00582.26:Usp:3:138 universal stress protein C 142 136 13 142 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7031-MONOMER 1 ecocyc MSYSNILVAVAVTPESQQLLAKAVSIARPVKGHISLITLASDPEMYNQLAAPMLEDLRSVMHEETQSFLDKLIQDAGYPVDKTFIAYGELSEHILEVCHKHHFDLVICGNHNHSFFSRASCSAKRVIASSEVDVLLVPLTGD
NP_416465.2 1 349 0.258046418338109 PF17151.4:CHASE7:41:227 putative diguanylate cyclase DgcQ 564 187 13 326 1 Escherichia coli K-12 substr. MG1655 ecocyc::G7049-MONOMER 1 ecocyc MQHETKMENQSWLKKLARRLGPGHVVNLCFIVVLLFSTLLTWREVVVLEDAYISSQRNHLENVANALDKHLQYNVDKLIFLRNGMREALVAPLDFTSLRDAVTEFEQHRDEHAWKIELNRRRTLPVNGVSDALVSEGNLLSRENESLDNEITAALEVGYLLRLAHNSSSMVEQAMYVSRAGFYVSTQPTLFTRNVPTRYYGYVTQPWFIGHSQRENRHRAVRWFTSQPEHASNTEPQVTVSVPVDSNNYWYGVLGMSIPVRTMQQFLRNAIDKNLDGEYQLYDSKLRFLTSSNPDHPTGNIFDPRELALLAQAMEHDTRGGIRMDSRYVSWERLDHFDGVLVRVHTLSE
NP_416481.1 1 211 0.0153857819905213 PF01794.19:Ferric_reduct:48:161 periplasmic protein-L-methionine sulfoxide reductase heme binding subunit 211 114 13 87 6 Escherichia coli K-12 substr. MG1655 ecocyc::G7060-MONOMER 0 ecocyc MRLTAKQVTWLKVCLHLAGLLPFLWLVWAINHGGLGADPVKDIQHFTGRTALKFLLATLLITPLARYAKQPLLIRTRRLLGLWCFAWATLHLTSYALLELGVNNLALLGKELITRPYLTLGIISWVILLALAFTSTQAMQRKLGKHWQQLHNFVYLVAILAPIHYLWSVKIISPQPLIYAGLAVLLLALRYKKLRSLFNRLRKQVHNKLSV
NP_416631.1 90 243 0.124013636363636 DNA-binding transcriptional activator MlrA 243 0 13 131 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG12008-MONOMER 1 ecocyc TYLQSGNLHSLRTWIKERGQDYPAQTLTTHLFIPLRRRLQCQQPTLQALLAILDGVLINYIAICLASARKKQGKDALVVGWNIQDTTRLWLEGWIASQQGWRIDVLAHSLNQLRPELFEGRTLLVWCGENRTSAQQQQLTSWQEQGHDIFPLGI
NP_418167.1 1 319 0.162073040752351 PF03466.20:LysR_substrate:108:313,PF00126.27:HTH_1:10:66 putative LysR-type transcriptional regulator YidZ 319 263 13 319 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11721-MONOMER 1 ecocyc MKKSITTLDLNLLLCLQLLMQERSVTKAAKRINVTPSAVSKSLAKLRAWFDDPLFVNSPLGLSPTPLMVSMEQNLAEWMQMSNLLLDKPHHQTPRGLKFELAAESPLMMIMLNALSKQIYQRYPQATIKLRNWDYDSLDAITRGEVDIGFSGRESHPRSRELLSSLPLAIDYEVLFSDVPCVWLRKDHPALHQTWNLDTFLRYPHISICWEQSDTWALDNVLQELGRERTIAMSLPEFEQSLFMAAQPDNLLLATAPRYCQYYNQLHQLPLVALPLPFDESQQKKLEVPFTLLWHKRNSHNPKIVWLRETIKNLYASMA
NP_418595.1 1 419 0.505443198090692 PF01145.25:Band_7:99:270,PF12221.8:HflK_N:1:59 regulator of FtsH protease 419 231 13 396 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG10436-MONOMER 1 ecocyc MAWNQPGNNGQDRDPWGSSKPGGNSEGNGNKGGRDQGPPDLDDIFRKLSKKLGGLGGGKGTGSGGGSSSQGPRPQLGGRVVTIAAAAIVIIWAASGFYTIKEAERGVVTRFGKFSHLVEPGLNWKPTFIDEVKPVNVEAVRELAASGVMLTSDENVVRVEMNVQYRVTNPEKYLYSVTSPDDSLRQATDSALRGVIGKYTMDRILTEGRTVIRSDTQRELEETIRPYDMGITLLDVNFQAARPPEEVKAAFDDAIAARENEQQYIREAEAYTNEVQPRANGQAQRILEEARAYKAQTILEAQGEVARFAKLLPEYKAAPEITRERLYIETMEKVLGNTRKVLVNDKGGNLMVLPLDQMLKGGNAPAAKSDNGASNLLRLPPASSSTTSGASNTSSTSQGDIMDQRRANAQRNDYQRQGE
NP_443118.1 1 176 0.0590477272727273 PF01284.23:MARVEL:32:168 Protein MAL2 176 137 13 84 4 Homo sapiens (Human) SwissProt::Q969L2 0 SwissProt MSAGGASVPPPPNPAVSFPPPRVTLPAGPDILRTYSGAFVCLEILFGGLVWILVASSNVPLPLLQGWVMFVSVTAFFFSLLFLGMFLSGMVAQIDANWNFLDFAYHFTVFVFYFGAFLLEAAATSLHDLHCNTTITGQPLLSDNQYNINVAASIFAFMTTACYGCSLGLALRRWRP
NP_444406.2 266 536 0.756381549815498 histidine-rich glycoprotein precursor 536 0 13 271 0 Mus musculus NP_444406.2 1 RefSeq PHWGHERPLCDKHLCKLSGSRDHHHTHKTDKLGCPPPPEGKDNSDRPRLQEGALPQLPPGYPPHSGANRTHRPSYNHSCNEHPCHGHRPHGHHPHSHHPPGHHSHGHHPHGHHPHSHHSHGHHPPGHHPHGHHPHGHHPHGHHPHGHHPHGHDFLDYGPCDPPSNSQELKGQYHRGYGPPHGHSRKRGPGKGLFPFHHQQIGYVYRLPPLNIGEVLTLPEANFPSFSLPNCNRSLQPEIQPFPQTASRSCPGKFESEFPQISKFFGYTPPK
NP_444502.2 240 516 0.0893859205776174 Delta(24)-sterol reductase; 24-dehydrocholesterol reductase; 3-beta-hydroxysterol Delta-24-reductase; EC 1.3.1.72 516 0 13 277 0 Mus musculus (Mouse) SwissProt::Q8VCH6 1 SwissProt LRFEPVRGLEAICEKFTRESQRLENHFVEGLLYSLDEAVIMTGVMTDDVEPSKLNSIGSYYKPWFFKHVENYLKTNREGLEYIPLRHYYHRHTRSIFWELQDIIPFGNNPIFRYLFGWMVPPKISLLKLTQGETLRKLYEQHHVVQDMLVPMKCMSQALHTFQNDIHVYPIWLCPFILPSQPGLVHPKGDEAELYVDIGAYGEPRVKHFEARSCMRQLEKFVRSVHGFQMLYADCYMNREEFWEMFDGSLYHKLRKQLGCQDAFPEVYDKICKAARH
NP_445780.1 108 411 0.484192434210527 PF07527.13:Hairy_orange:34:72 Class E basic helix-loop-helix protein 40; bHLHe40; Class B basic helix-loop-helix protein 2; bHLHb2; Enhancer-of-split and hairy-related protein 2; SHARP-2 411 39 13 304 0 Rattus norvegicus (Rat) SwissProt::O35780 1 SwissProt TNLIDQQQQKIMALQSGLQAGDLSGKNIEAGQEMFCSGFQTCAREVLQYLAKHENTRDLKSSQLVTHLHRVVSELLQGSASRKPLDSAPKPVDFKEKPSFLAKGSEGPGKNCVPVIQRTFAPSGGEQSGSDTDTDSGYGGELEKGDLRSEQPYFKSDHGRRFTVGERVSTIKQESEEPPTKKSRMQLSDEEGHFVGSDLMGSPFLGPHPHQPPFCLPFYLIPPSATAYLPMLEKCWYPTSVPLLYPGLNTSAAALSSFMNPDKIPTPLLLPQRLPSPLAHSSLDSSALLQALKQIPPLNLETKD
NP_445889.1 1 498 0.129184738955823 PF03062.19:MBOAT:169:484 diacylglycerol O-acyltransferase 1 498 316 13 363 6 Rattus norvegicus NP_445889.1 1 RefSeq MGDRGGAGSSRRRRTGSRVSVQGGSGPKVEEDEVREAAVSPDLGAGGDAPAPAPAPAHTRDKDRQTSVGDGHWELRCHRLQDSLFSSDSGFSNYRGILNWCVVMLILSNARLSLENLIKYGILVDPIQVVSLFLKDPYSWPAPCLIIASNIFIVATFQIEKRLSVGALTEQMGLLLHVVNLATIICFPAAVALLVESITPVGSLFALASYSIIFLKLSSYRDVNLWCRQRRVKAKAVSAGKKVSGAAAQNTVSYPDNLTYRDLYYFIFAPTLCYELNFPRSPRIRKRFLLRRVLEMLFFTQLQVGLIQQWMVPTIQNSMKPFKDMDYSRIIERLLKLAVPNHLIWLIFFYWLFHSCLNAVAELLQFGDREFYRDWWNAESVTYFWQNWNIPVHKWCIRHFYKPMLRLGSNKWMARTGVFWASAFFHEYLVSIPLRMFRLWAFTAMMAQVPLAWIVNRFFQGNYGNAAVWVTLIIGQPVAVLMYVHDYYVLNYDAPVGA
NP_445893.1 1 716 0.0961210893854749 PF03137.20:OATP:44:642,PF07690.16:MFS_1:187:448,PF07648.15:Kazal_2:481:527,PF00050.21:Kazal_1:483:521 Solute carrier organic anion transporter family member 1C1; BBB-specific anion transporter type 1; Blood-brain barrier-specific anion transporter 1; Solute carrier family 21 member 14; Thyroxine transporter 716 599 13 493 10 Rattus norvegicus (Rat) SwissProt::Q9EPZ7 1 SwissProt MDTSSKENAHLFHKNSAQPAGGPSFKAGYPSTEEARPCCGKLKVFLGALSFVYFAKALTEGYLKSTITQIERRFDIPSSLVGIIDGSFEIGNLLVITFVSYFGAKLHRPKIIGAGCLVMGFGTMLIAVPQFFMEKYSYEKYERYSPSSNLTPNISPCYLESSSPSPRSIVGKSQNKINDECEVDTSSSMWVYVFLGNLLRGLGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGFLSLLAAVPFWCLPKTLPRSQSREDSGSSSEKSKFITDDPVNYQMAPREESMKIMEMARDFLPSLKSLFRNPVYILYLCASTVQFNSLFGMVTYKPKYIEQQYGQSSSKANFVIGLINIPAVALGIFSGGIVMKKFRLGICEATKLYLGSSVFGYLLFLSLFALGCENSSVAGLTVSYQGTKPVSYHERALFSDCNSRCKCSDSKWEPMCGDNGITYASACLAGCQSSSRSGKNIIFSNCTCVGFAAPKSGNWSGMMGRCQKDNGCSQMFLYFLVISVITSYTLSLGGIPGYILLLRCIQPQLKSFALGIYTLAVRVLAGIPAPVYFGVLIDTSCLKWGFKKCGSRGSCRLYDSHAFRHIYLGLTTLLGTVSVFLSTAVLLVLKKKYVSKRSSFITAREKIVMSSSVKKETCAARDHGLQPKYWPGKETRL
NP_446149.1 314 872 0.589548300536672 PF05454.11:DAG1:292:559 dystroglycan precursor 893 268 13 536 1 Rattus norvegicus NP_446149.1 1 RefSeq ATPTPVTAIGPPTTAIQEPPSRIVPTPTSPAIAPPTETMAPPVRDPVPGKPTVTIRTRGAIIQTPTLGPIPPTRVSEAGTTVPGQIRPTLTIPGYVEPTAVVTPPTTTTKKPRVSTPKPATPSTDSSTTTTRRPTKKPRTPRPVPRVTTKAPITRLETASPPTRIRTTTSAVPRGGEANQRPELKNHIDRVDAWVGTYFEVKIPSDTFYDNEDTTTDKLKLTLKLREQQLVGEKSWVQFNSNSQLMYGLPDSSHVGKHEYFMHATDKGGLSAVDAFEIHVHKRPQGDKAPARFKAKLAGDPAPVVNDIHKKIALVKKLAFAFGDRNCSSITLQNITRGSIVVEWTNNTLPLEPCPKEQIVGLSRRIADENGKPRPAFSNALEPDFKALSVAVAGSGSCRHLQFIPVAPPSPGTSAAPATEVPDRDPEKSSEDDVYLHTVIPAVVVAAILLIAGIIAMICYRKKRKGKLTLEDQATFIKKGVPIIFADELDDSKPPPSSSMPLILQEEKAPLPPPEYPNQSVPETTPLNQDTVGEYTPLRDEDPNAPPYQPPPPFTAPME
NP_476803.1 1 345 0.578788985507246 PF00010.26:HLH:102:163 Achaete-scute complex protein T4; Protein scute 345 62 13 345 0 Drosophila melanogaster (Fruit fly) SwissProt::P10084 1 SwissProt MKNNNNTTKSTTMSSSVLSTNETFPTTINSATKIFRYQHIMPAPSPLIPGGNQNQPAGTMPIKTRKYTPRGMALTRCSESVSSLSPGSSPAPYNVDQSQSVQRRNARERNRVKQVNNSFARLRQHIPQSIITDLTKGGGRGPHKKISKVDTLRIAVEYIRRLQDLVDDLNGGSNIGANNAVTQLQLCLDESSSHSSSSSTCSSSGHNTYYQNTISVSPLQQQQQLQRQQFNHQPLTALSLNTNLVGTSVPGGDAGCVSTSKNQQTCHSPTSSFNSSMSFDSGTYEGVPQQISTHLDRLDHLDNELHTHSQLQLKFEPYEHFQLDEEDCTPDDEEILDYISLWQEQ
NP_476923.1 102 435 0.514891317365269 PF07527.13:Hairy_orange:14:53 Protein deadpan 435 40 13 334 0 Drosophila melanogaster (Fruit fly) SwissProt::Q26263 1 SwissProt LNMAIQSDPSVVQKFKTGFVECAEEVNRYVSQMDGIDTGVRQRLSAHLNQCANSLEQIGSMSNFSNGYRGGLFPATAVTAAPTPLFPSLPQDLNNNSRTESSAPAIQMGGLQLIPSRLPSGEFALIMPNTGSAAPPPGPFAWPGSAAGVAAGTASAALASIANPTHLNDYTQSFRMSAFSKPVNTSVPANLPENLIHTLPGQTQLPVKNSTSPPLSPISSISSHCEESRAASPTVDVMSKHSFAGVFSTPPPTSAETSFNTSGSLNLSAGSHDSSGCSRPLAHLQQQQVSSTSGIAKRDREAEAESSDCSLDEPSSKKFLAGAIEKSSSAWRPW
NP_476972.2 1 273 0.566781318681319 Protein Wnt-4; dWnt-4 539 0 13 273 0 Drosophila melanogaster (Fruit fly) SwissProt::P40589 1 SwissProt MPSPTGVFVLMILTHLSFGLGQVRNEDQLLMVGQNGDLDSSNPAIHHQQHQQHQQHQQHQQHQSNHNLNNGNMNSTILNTLMGNNAGQVVNSSPGGGGSMINQLGSSTSSVPSVIGGGVGSVGNPWHSAVGLGVPGNGMGLPSSHGLGGNMGSHPHGHALAGLAKLGIIVPGGQGLPGNLGYGGTMLNGGGVGGAAGMGLGIGSNTNNMDMQQGLYNEHFISEHTVMAVFTSQGQVGGPCRYMPATRRQNHQCRKETGLPGTLSEARRLATTH
NP_498128.1 1 187 0.241702139037433 PF03232.13:COQ7:19:187 5-demethoxyubiquinone hydroxylase, mitochondrial; DMQ hydroxylase; Clock abnormal protein 1; Protein clk-1; Ubiquinone biosynthesis monooxygenase COQ7; EC 1.14.13.- 187 169 13 187 0 Caenorhabditis elegans SwissProt::P48376 1 SwissProt MFRVITRGAHTAASRQALIEKIIRVDHAGELGADRIYAGQLAVLQGSSVGSVIKKMWDEEKEHLDTMERLAAKHNVPHTVFSPVFSVAAYALGVGSALLGKEGAMACTIAVEELIGQHYNDQLKELLADDPETHKELLKILTRLRDEELHHHDTGVEHDGMKAPAYSALKWIIQTGCKGAIAIAEKI
NP_498811.1 1 1010 0.534305346534654 Kinetochore null protein 1 1010 0 13 1010 0 Caenorhabditis elegans SwissProt::P34278 1 SwissProt MSMEPRKKRNSILKVRQAVETIEETVMNSGPSSTTTNRRVSFHNVKHVKQYDRDHGKILDATPVKEKITDTIGSDGILTPRGGNMDISESPACTSSFQVFGGGNLDKTMDMSLETTINENNETARLFETTRDPTLLYEKIVETTTKVTERIVSMPLDDTLAMFNTTNQEDKDMSVDRSVLFTIPKVPKHNATMNRTIPMDLDESKAAGGQCDETMNVFNFTNLEAAEMDTSKLDENNTMNAIRIPINSNVMPVDMDITEHHTLIEEKKNDTFGPSQLMDISAPQVQVNDTLAIFNSPRDICNKGLGVPQNLINIASNVVPVDMDITDQAVLNAEKKNDQFETSQLMDISIPKVLVNDTMAMFNSPKHVSKSSMDLEKTIEAADKSTKYPSIADEVEDLDMDMDITEQQPCEAGNQQNDGLQLQKEDLMDISVIRDSPAVNDTMAVFQSPARVKIGANNSIIDSQKSIVFGDEMSIDETQNDGTLTLPKSNVEVTTTNDVYTSLERQEENASENVSMINESSVHSEIDKKSFMLIEEERAFMHSSMIDVAQKLEDDGSSKTPVILASQSASLATKEPSALHNSSATLNNSMELDNNTLLKTMQITTCEDISMVHESIAVELNSNKEQEQFGDETLQKNDTSNTGANFTFQGHNETSQIMNNVDSEAVNTSKISTYSAFNLSINQSISKRRRSLLNSARESPRRVALENSIMSMNGQTMEALTEYRQNKTMQTSQDSMPSMSLNDSGRDILAMNTSVRSPHLNSSKTAAPGTPSLMSQNVQLPPPSPQFEMPDFDPAVVNVVYLTSEDPSTEQHPEALKFQRIVENEKMKVQHEIDSLNSTNQLSAEKIDMLKTKELLKFSHDEREAIMIARKDAEIKFLELRLKFALEKKIESDQEIAELEQGNSKMAEQLRGLDKMAVVQKELEKLRSLPPSREESGKIRKEWMEMKQWEFDQKMKALRNVRSNMIALRSEKNALEMKVAEEHEKFAQRNDLKKSRMLVFSKAVKKIVNF 2
NP_498848.4 132 799 0.297643413173653 Histone-lysine N-methyltransferase met-2; EC 2.1.1.43 1304 0 13 668 0 Caenorhabditis elegans SwissProt::P34544 1 SwissProt IIEDSIVKTDEEKQAVRRLKINEFLSWFTRLLPEQFKNFEFTNPNYLTESISDSPVVNVDKCKEIVKSFKESESLEGLSQKYELIDEDVLVAAICIGVLDTNNEEDVDFNVLCDDRIDDWSIEKCVTFLDYPNTGLNSKNGPLRFMQFTVTSPASAILMLTLIRLREEGHPCRLDFDSNPTDDLLLNFDQVEFSNNIIDTAVKYWDDQKENGAQDKIGRELNDFFHEIESTSAEFKQHFENAVGSRNEIIQLVNEKIPDFDGTEAAVNESFTSDQRTEIINSRAIMETLKAEMKLAIAEAQKVYDTKTDFEKFFVLTVGDFCLARANPSDDAELTYAIVQDRVDAMTYKVKFIDTSQIRECNIRDLAMTTQGMYDPSLNTFGDVGLRVACRQVISSSQFGKKTIWLTGTAAGRRRAHRSDFLIFFDNGTDAYVSAPTMPGEPGYEVASEKKSVFSLKEMIAKMNAAQIAIMVGQPVGKEGNLDYFLTFHWIRQSHRSAYIRDFMKEFPEWPLLKMPVGMRICLYNSLVDRRKKMVTVIGTDRAFAIVRHEAPNPLAPGNRCTDFPCNDRNHQHIDEKIYRGSHRLEGAAHKKHMISTNNNLSQRRKDQLQSQFEPTDMIRSMPERNHQQVVKKKTTGTNQNVASTNDAKSKREIEIRKKNQFLFNKII
NP_509768.1 1 648 0.562026697530864 Liprin-alpha; LAR-interacting protein alpha; Synapse defective protein 2 1139 0 13 648 0 Caenorhabditis elegans SwissProt::Q21049 1 SwissProt MSYSNGNINCDIMPTISEDGVDNGGPIDEPSDRDNIEQLMMNMLEDRDKLQEQLENYKVQLENAGLRTKEVEKERDMMKRQFEVHTQNLPQELQTMTRELCLLKEQLLEKDEEIVELKAERNNTRLLLEHLECLVSRHERSLRMTVMKRQAQNHAGVSSEVEVLKALKSLFEHHKALDEKVRERLRVAMERVATLEEELSTKGDENSSLKARIATYAAEAEEAMASNAPINGSISSESANRLIEMQEALERMKTELANSLKQSTEITTRNAELEDQLTEDAREKHAAQESIVRLKNQICELDAQRTDQETRITTFESRFLTAQRESTCIRDLNDKLEHQLANKDAAVRLNEEKVHSLQERLELAEKQLAQSLKKAESLPSVEAELQQRMEALTAAEQKSVSAEERIQRLDRNIQELSAELERAVQRERMNEEHSQRLSSTVDKLLSESNDRLQLHLKERMQALDDKNRLTQQLDGTKKIYDQAERIKDRLQRDNESLRQEIEALRQQLYNARTAQFQSRMHAIPFTHAQNIVQQQPQASIAQQSAYQMYKQQPAQQYQTVGMRRPNKGRISALQDDPNKVQTLNEQEWDRLQQAHVLANVQQAFSSSPSLADVGQSTLPRPNTAVQHQQDDMMNSGMGMPSGMQGGMQ
NP_523957.1 164 352 0.644630158730159 PF00098.23:zf-CCHC:6:21 RNA-binding protein lark 352 16 13 189 0 Drosophila melanogaster (Fruit fly) SwissProt::Q94901 1 SwissProt MGDPEQCYRCGRSGHWSKECPRLYGSAGGGREPPSPLSAGGYRDRMYGRDPYPPPPPPPPFLRDRIMDGFRDYDYYDRRFEDSRDLYERRYQTSRMRDFPPPPISRREPMPLPPTLSGSLRSCSVSRGYDTMFSRRSPPPPRSSNGMSRYGSPTPHGYEDFSRDAFDERMISSRGMRGPSPPGRRYAPY
NP_523971.3 1 527 0.120326944971537 PF08395.12:7tm_7:18:219,PF08395.12:7tm_7:313:480 Gustatory receptor for bitter taste 66a 527 370 13 392 6 Drosophila melanogaster (Fruit fly) SwissProt::Q9VSH2 1 SwissProt MAQAEDAVQPLLQQFQQLFFISKIAGILPQDLEKFRSRNLLEKSRNGMIYMLSTLILYVVLYNILIYSFGEEDRSLKASQSTLTFVIGLFLTYIGLIMMVSDQLTALRNQGRIGELYERIRLVDERLYKEGCVMDNSTIGRRIRIMLIMTVIFELSILVSTYVKLVDYSQWMSLLWIVSAIPTFINTLDKIWFAVSLYALKERFEAINATLEELVDTHEKHKLWLRGNQEVPPPLDSSQPPQYDSNLEYLYKELGGMDIGSIGKSSVSGSGKNKVAPVAHSMNSFGEAIDAASRKPPPPPLATNMVHESELGNAAKVEEKLNNLCQVHDEICEIGKALNELWSYPILSLMAYGFLIFTAQLYFLYCATQYQSIPSLFRSAKNPFITVIVLSYTSGKCVYLIYLSWKTSQASKRTGISLHKCGVVADDNLLYEIVNHLSLKLLNHSVDFSACGFFTLDMETLYGVSGGITSYLIILIQFNLAAQQAKEAIQTFNSLNDTAGLVGAATDMDNISSTLRDFVTTTMTPAV
NP_524187.1 84 429 0.572127167630058 Zygotic gap protein knirps; Nuclear receptor subfamily 0 group A member 1 429 0 13 346 0 Drosophila melanogaster (Fruit fly) SwissProt::P10734 1 SwissProt WFKIHCLLQEHEQAAAAAGKAPPLAGGVSVGGAPSASSPVGSPHTPGFGDMAAHLHHHHQQQQQQQVPRHPHMPLLGYPSYLSDPSAALPFFSMMGGVPHQSPFQLPPHLLFPGYHASAAAAAASAADAAYRQEMYKHRQSVDSVESQNRFSPASQPPVVQPTSSARQSPIDVCLEEDVHSVHSHQSSASLLHPIAIRATPTTPTSSSPLSFAAKMQSLSPVSVCSIGGETTSVVPVHPPTVSAQEGPMDLSMKTSRSSVHSFNDSGSEDQEVEVAPRRKFYQLEAECLTTSSSSSSHSAAHSPNTTTAHAEVKRQKLGGAEATHFGGFAVAHNAASAMRGIFVCV
NP_566163.1 1 319 0.546346081504702 PF16135.5:Jas:238:311,PF07897.11:EAR:58:97 Ninja-family protein AFP4; ABI five-binding protein 4; ABI5-binding protein 4; Protein TWO OR MORE ABRES-CONTAINING GENE 2 319 114 13 319 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7Z2 1 SwissProt MEMIRGRGIPNGVVQVPNLSANNLLQKFFIASNHFCQRDSEHGENQQEAKKREDEAEEDEKDVELTLGLSLNGQFGTDPRSRKRRHFELGRSSSIPEGFVFDEQRSGGGNGGDMRRIVGRGGSDMFQLDRTRSLPVVTEMDIEKERKVSEKTRAFMESPVTNRGAYLTKDKNRGQAVETEKPRAFLEFKIPPTKEGKKEKDRLVVTGPVNGKGKNGNTAKKQKNNVENSGMEKARNILEDMPCVSTRDVGADGKRVEGFLYWYGGNKEEVKIVCVCHGSFLSPAEFVRHGGGTVSDDDGGDVMINPLRHIVVKLPSSSI
NP_566206.1 1 538 0.348275092936803 PF04185.14:Phosphoesterase:14:381 Non-specific phospholipase C4; EC 3.1.4.3 538 368 13 538 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRQ7 1 SwissProt MIETTKGGSGSYPIKTIVVLVQENRSFDHTLGWFKELNREIDGVTKSDPKSNTVSSSDTNSLRVVFGDQSQYVNPDPGHSIQDIYEQVFGKPWDSGKPDPNPGHPNMSGFAQNAERNKKGMSSAVMNGFKPNALPVYKELVQNFAICDRWFASVPASTQPNRLYVHSATSHGATSNDKKLLLEGFPQKTIFESLDEAGFSFGIYYQFPPSTLFYRNLRKLKYLTHFHQYGIQFKKDCKEGKLPNYVVVEQRWFDLLSTPANDDHPSHDVSEGQKLVKEVYEALRSSPQWNEILFIITYDEHGGFYDHVPTPVDGVPNPDGILGPPPYNFEFNRLGVRVPTFFISPWIEPGTVIHGPNGPYPRSQYEHSSIPATVKTIFKLKDFLSKRDSWAGTFESVITRDSPRQDCPETLSTPIKLRGTMAKENAQLSEFQEDLVIMAAGLKGDYKNEELIHKLCKETCVADASKYVTNAFEKFLEESRKARDRGCDENDIVYCVDDDDDHVVIPPQSHSEASNAAAQPKTQTSFFNKLFSCFIRHD
NP_566527.1 1 301 0.1377073089701 Phosphatidylcholine:diacylglycerol cholinephosphotransferase 1; AtPDCT1; Protein REDUCED OLEATE DESATURATION 1; EC 2.7.8.- 301 0 13 209 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVZ7 1 SwissProt MSAAAAETDVSLRRRSNSLNGNHTNGVAIDGTLDNNNRRVGDTNTHMDISAKKTDNGYANGVGGGGWRSKASFTTWTARDIVYVVRYHWIPCMFAAGLLFFMGVEYTLQMIPARSEPFDLGFVVTRSLNRVLASSPDLNTVLAALNTVFVGMQTTYIVWTWLVEGRARATIAALFMFTCRGILGYSTQLPLPQDFLGSGVDFPVGNVSFFLFFSGHVAGSMIASLDMRRMQRLRLAMVFDILNVLQSIRLLGTRGHYTIDLAVGVGAGILFDSLAGKYEEMMSKRHLGTGFSLISKDSLVN
NP_566886.2 1 510 0.408461372549019 PF13460.6:NAD_binding_10:98:310,PF05368.13:NmrA:94:207 Protein PLASTID TRANSCRIPTIONALLY ACTIVE 16, chloroplastic; pTAC16 510 217 13 510 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9STF2 1 SwissProt MASSSTSFPLTTAPPQGVRFNRRKPRLTVWAKQTAFQLGKTKGDDDSEGKQKGKNPFQFDFGKLPDMKSLIPVVTNPSTGLVFGNNRKKDPGTIFVAGATGQAGIRIAQTLLQRGFSVRAGVPDLGAAQDLARVAATYKILSNDEVKRLNAVQSPFQDAESIAKAIGNATKVVVTVGATENGPDAQVSTSDALLVVQAAELAGVSHVAIVYDGTISGSTYNVLDGITSFFGNLFAKSQPLTISDLIEKVAQTDVAYTLIKTSLTEDFSPEKAYNVVVSAEGSNSGSGSSSSEAYKVPKLKIASLVADIFANTAVAENKVVEVSTDPSAPSRPVDELFSVIPEDGRRKVYADAIARERAEEEAKVAADKAREAAEAAKEFEKQMQKLSEKEAEAASLAEDAQQKADAVGVTVDGLFNKAKDISSGLSWNKLGSQFATAIQNASETPKVQVATVRGQAKARNLPPKKAVVKQRPSSPFASKPKEERPKKPEKEVRKVFGGLFKQETIYIDDD
NP_567018.5 297 784 0.357614549180328 mitogen-activated protein kinase phosphatase 1 784 0 13 488 0 Arabidopsis thaliana NP_567018.5 1 RefSeq TSLLRMYKMSPHSPYDPLHLVPKLLNDPCPGSLDSRGAFIIQLPSAIYIWVGRQCETIMEKDAKAAVCQIARYEKVEAPIMVVREGDEPVYYWDAFASILPMIGGSVIKVQPGDRKVDAYNLDFEIFQKAIEGGFVPTLASSNNEHETHLPARENSWSSLKCKFASRFDKGFRYVSKTPLSRVYSDSMMIVHSSGSPSSTTSSSSTASPPFLSPDSVCSTNSGNSLKSFSQSSGRSSLRPSIPPSLTLPKFSSLSLLPSQTSPKESRGVNTFLQPSPNRKASPSLAERRGSLKGSLKLPGLADSNRGTPAFTLHPDDSNDIVFNLEGIRNGDLYPPSDCKGTSVDSDLPEKEIISLISCSKSDRHKSGGDTDSSGQPLACRWPSMEMITKLSRAYLDSESVIAIPLPSDAVGETGSRNLYIWIGKSFSLDNNCSLVDSNKAADTVENVDWVQIGESILCQMDLPKDTPIKIVRESEDQTELLALLSAL
NP_568295.2 202 418 0.116089861751152 Myzus persicae-induced lipase 1 418 0 13 217 0 Arabidopsis thaliana NP_568295.2 1 RefSeq EKGLVDQVRSAAMLSPVAYLSHMTTVIGDIAAKTFLAEATSILGWPEFNPKSGLVGDFIKAICLKAGIDCYDLVSVITGKNCCLNASTIDLFLANEPQSTSTKNMIHLAQTVRDKELRKYNYGSSDRNIKHYGQAIPPAYNISAIPHELPLFFSYGGLDSLADVKDVEFLLDQFKYHDIDKMNVQFVKDYAHADFIMGVTAKDVVYNQVATFFKRQA
NP_568508.2 1 314 0.611612101910828 PF12498.8:bZIP_C:220:268,PF12498.8:bZIP_C:280:309,PF00170.21:bZIP_1:152:199,PF07716.15:bZIP_2:153:197 Basic leucine zipper 63; AtbZIP63; bZIP protein 63; Basic leucine zipper OPAQUE 2 homolog 3; Basic leucine zipper O2 homolog 3 314 127 13 314 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::B9DGI8 1 SwissProt MEKVFSDEEISGNHHWSVNGMTSLNRSASEWAFNRFIQESSAAADDGESTTACGVSVSSPPNVPVDSEEYRAFLKSKLNLACAAVAMKRGTFIKPQDTSGRSDNGGANESEQASLASSKATPMMSSAITSGSELSGDEEEADGETNMNPTNVKRVKRMLSNRESARRSRRRKQAHLSELETQVSQLRVENSKLMKGLTDVTQTFNDASVENRVLKANIETLRAKVKMAEETVKRLTGFNPMFHNMPQIVSTVSLPSETSNSPDTTSSQVTTPEIISSGNKGKALIGCKMNRTASMRRVESLEHLQKRIRSVGDQ
NP_568941.1 1 415 0.151126024096386 PF03016.15:Exostosin:48:343 Probable beta-1,4-xylosyltransferase IRX10L; Glucuronoxylan glucuronosyltransferase 1; AtGUT1; Glucuronoxylan glucuronosyltransferase 2; AtGUT2; Protein IRREGULAR XYLEM 10-like; Xylan xylosyltransferase IRX10L; EC 2.4.2.- 415 296 13 415 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q940Q8 1 SwissProt MKLSSCVLIFLLCNTFSSISAFRLSRSQPTERISGSAGDVLEDDPVGRLKVFVYELPSKYNKKILQKDPRCLNHMFAAEIYMQRFLLSSPVRTLNPEEADWFYVPVYTTCDLTPNGLPLPFKSPRMMRSAIQLIASNWPYWNRTEGADHFFVVPHDFGACFHYQEEKAIGRGILPLLQRATLVQTFGQRNHVCLKEGSITVPPYAPPQKMQSHLIPEKTPRSIFVYFRGLFYDVGNDPEGGYYARGARAAVWENFKDNPLFDISTEHPTTYYEDMQRAIFCLCPLGWAPWSPRLVEAVIFGCIPVIIADDIVLPFADAIPWEDIGVFVDEKDVPYLDTILTSIPPEVILRKQRLLANPSMKQAMLFPQPAQPGDAFHQVLNGLARKLPHERSVYLRPGEKLLNWTAGPVADLKPW
NP_571048.2 1 940 0.26739414893617 PF07452.12:CHRD:283:393,PF07452.12:CHRD:403:514,PF07452.12:CHRD:532:647,PF00093.18:VWC:44:117,PF00093.18:VWC:691:747,PF00093.18:VWC:774:835,PF00093.18:VWC:857:918 chordin precursor 940 594 13 940 0 Danio rerio NP_571048.2 1 RefSeq MMEGLLWILLSVIIASVHGSRLKTPALPIQPEREPMISKGLSGCSFGGRFYSLEDTWHPDLGEPFGVMHCVMCHCEPQRSRRGKVFGKVSCRNMKQDCPDPTCDDPVLLPGHCCKTCPKGDSGRKEVESLFDFFQEKDDDLHKSYNDRSYISSEDTSTRDSTTTDFVALLTGVTDSWLPSSSGVARARFTLSRTSLTFSITFQRINRPSLIAFLDTDGNTAFEFRVPQADNDMICGIWKNVPKPHMRQLEAEQLHVSMTTADNRKEELQGRIIKHRALFAETFSAILTSDEVHSGMGGIAMLTLSDTENNLHFILIMQGLVPPGSSKVPVRVKLQYRQHLLREIRANITADDSDFAEVLADLNSRELFWLSRGQLQISVQTEGQTPRHISGFISGRRSCDTLQSVLSSGAALTAGQTGGVGSAVFTLHPNGSLDYQLLVAGLSSAVLSVSIEMKPRRRNKRSVLYELSAVFTDQRAAGSCGRVEARHTHMLLQNELFINIATALQPDGELRGQIRLLPYNGLDARRNELPVPLAGVLVSPPVRTGAAGHAWVSVDPQCHLHYEIIVNGLSKSEDASISAHLHGLAEIGEMDDSSTNHKRLLTGFYGQQAQGVLKDISVELLRHLNEGTAYLQVSTKMNPRGEIRGRIHVPNHCESPAPRAEFLEEPEFEDLLFTREPTELRKDTHTHVHSCFFEGEQHTHGSQWTPQYNTCFTCTCQKKTVICDPVMCPTLSCTHTVQPEDQCCPICEEKKESKETAAVEKVEENPEGCYFEGDQKMHAPGTTWHPFVPPFGYIKCAVCTCKGSTGEVHCEKVTCPPLTCSRPIRRNPSDCCKECPPEETPPLEDEEMMQADGTRLCKFGKNYYQNSEHWHPSVPLVGEMKCITCWCDHGVTKCQRKQCPLLSCRNPIRTEGKCCPECIEDFMEKEEMAKMAEKKKSWRH
NP_571718.1 198 462 0.71852037735849 transcription factor SOX-9 462 0 13 265 0 Danio rerio NP_571718.1 1 RefSeq THISPNAIFKALQQADSPASSMGEVHSPSEHSGQSQGPPTPPTTPKTDTQPGKADLKREARPLQENTGRPLSINFQDVDIGELSSDVIETFDVNEFDQYLPPNGHQNAPYAGGYAAWMTKPQNGSPQSSQLTPLNPAEPDQPRTTHIKTEQLSPSHYNEQQGSPQHISYGSFNVQHLQHYSTSFPSITRAQYDYSDSHQGGASSYYTHAGGQSSGLYSTFSYMSSSQRPMYTPIADSTGVPSIPQSNHSPQHWDQQPVYTQLSRP
NP_573555.1 1 190 0.522317368421053 GS homeobox 2; Genetic-screened homeobox 2; Homeobox protein GSH-2 305 0 13 190 0 Mus musculus (Mouse) SwissProt::P31316 1 SwissProt MSRSFYVDSLIIKDSSRPAPSLPESHPGPDFFIPLGMPSPLVMSVSGPGCPSRKSGAFCVCPLCVTSHLHSSRPPAGAGGGATGTAGAAVAGGGVAGGTGALPLLKSQFSPAPGDAQFCPRVSHAHHHHHPPQHHHHHHQPQQPGSAAAAAAAAAAAAAAAAALGHPQHHAPVCAATTYNMSDPRRFHCL
NP_579821.1 290 592 0.646155115511551 protein numb homolog 592 0 13 303 0 Rattus norvegicus NP_579821.1 1 RefSeq PSTMQRKTDFPIKNTVPEVEGEAESISSLCSQITSAFSTPCEDPFSSAPMTKPVTLVAPQSPVLQGTEWGQSSGAASPGLFQAGHRRTPSEADRWLEEVSKSVRAQQPQASAAPLQPVLQPPPPAAIAPPAPPFQGHAFLTSQPVPVGVVPPLQPAFVSTQSYPVANGMPYPASNVPVVGITPSQMVANVFGTAGHPQATHPHQSPSLAKQQTFPQYETSSATTSPFFKPSAQHLNGSAAFNGVDNSGLVSGNRPAQVPPGTCPVDPFEAQWAALESKPKQRTNPSPTNPFSSDAQKAFEIEL
NP_593414.1 1 1564 0.0602001918158568 PF10487.9:Nup188:50:972,PF18378.1:Nup188_C:1278:1505 Nucleoporin nup184; Nuclear pore protein nup184 1564 1151 13 1564 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9P7M8 1 SwissProt MGDYLLSWSWILDAFETSDENLSQNKFEELLDARIAAFQQIESPVTGTLNSNKTTEGEEAKLSIYDSSHSISKSQLESVKKISDITGYNEAQVAYVLLVHQYELNTQYFSQLDNDSVLAQEFQRRYYAEIISCWKVLAFLLQACTDADSKWHKMATRLIVSIFQTAQRSGENAQSTTPSIFCVRIIDYLSKMTSQAAPASLTFNGEEAISQWYFFHFNLQLQLLRVIFLSTYSLVVCNSEMAISWFNCMKKTRYLHDQEFMHLDIDTGFSMCKEITNVAIIISINFISLEKQVLSFKDNPSFFMLSGNTIISLHDMITQLSNDSIGAAVSLTWGIALHLLSNSPDNIPLIQNSSVVSSKILQNPQNSFQALIIAALKYDPFTLIHRIISSLEDDPYIDGYSKIMATLFSSAVSYVKFSDSTMLCATTLFKTPQVYQLFENNDSVTRLLNFARARFPFEYSQFVLLLIPTFACLTSKQLVSSELLHMTTFTQSLPSGFKAYEIIPEPNVTGNALIELQESLHLDSYGFFFPNAERSLPKGTRGRIVSVDTYPPVVMWDLNYSLWEAVGISLNYIVRNGLINSHKSFVLTVLSSSVPLFQTDVSGACELVHLASEGLDGELDFINVICDLLDYFLSLSVIEDADYQICVSSLRLLREFTRFAATDVWAYVTRSLVCVGSEKGISLEDVIFDYESINGVYDFTLAFFDLYEILLDNCISTSVVPDDFSIRLKTDFVKRAMRFLCEVFANYLDWKYARIIQQYQIGHRFASLITKLLNVTFGIEYFNPKTTVNKKTLPLRELSHYIVQRFLVQQDSNRYLHPLLSVMDLINLLYTDIFSTISSPRAKAAKMWLISSFCAMKTLICLRGFLNLKPSELERELFSRSPDLFNCLPRLLCCIAPILQLLSALILAPWPSETPSLLAYMINSTDIVGRVCIQILTNPIQSTNIEGSVWKFLSSIMKGQQQGLAVLLFSGKKFPLDRMKSLNHNVDVQLTSKSLISLAEKRLDSFSINDILSQVPVFEFIFLSRNFWTASLGNLQQEANFWNRIVDAIKLPLTVKLDGLSSVAQADLYILAAHATRITAIQLHMSKLNKSNSSKKIIIDPLKDSMKDLVQHAFTITAYDSNIHNALTRAFKHENGDLHISDLRNTGLFPLRYGDNYFYNIKLAKNMLLNTEDTSFKISMMMSANENLSLLDAQAALLRSWSIFICAFVEFVKEDATLSILELKIMKWVLKSLAEDTIDVNVVQELSAERAALVFRISQQTLAIPISNEVKEHLQSILLLTWKAITTTKFSIYEDSNGEMAYYRPLLHVLYNTLNRLLSEEKENLSLSVGFVSGLLQLCHRKLSQLFEKAVINPTIEVYGDIVLLNSLHKCIVNSHLIRGLQSLYISYINDSFSVDNCLRLFSWSHSLLVDGQPYFADAALSFLLICSSSPAGAEQIVMNGFFYSIMESPLSTALSTGGLGLDGSSIQYKIWIRGILPLLFNIVKFLGNRIMNDMREFVLLAFPQIQYALLNWCQPPSSISLASIDESFMIVLLFDLLQQFNPALLQEIRLAELKIEMLEASTI
NP_596392.1 205 601 0.333708564231738 Kinetochore protein ndc80; NMS complex subunit ndc80 624 0 13 397 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10198 1 SwissProt SLIQCTEKAVAMVYTVEQNSLDDHLVDKVLFDYLVRTYHLYLDESPEESEPEKELKATFNQQNQDLYNQTEALKSTNEELINQIKSAEELDSAIQVLEERYRTMQRDEVKFQSAMSGMKSKMESRTNLMKQLQVNIEEKESQLQLLKEKRDSLKYQVENQDISISEFEKMVSEREQLDRNLNMIGSKISELRKEVFDTDLLIQASIDSLEKKVQKFNSLAYRIGIVPIAAIRSANNDFELEINPEGPNYINLDLKNKVRPFINEVRRSITLEFHEEQNKSLKLQEHVDTVNDLIAELQDELRGIESRLTSVLSECNMLRETASEEKNAFDAESDKLERELQQLKLSSHNSMLQLDQRIQSINIEADQIAHACMEYKNNIYKEVAFVLGEIIHFKLHV
NP_598499.1 219 487 0.355597026022305 PF05586.11:Ant_C:176:266,PF05587.13:Anth_Ig:1:99 anthrax toxin receptor 2 precursor 487 190 13 246 1 Mus musculus NP_598499.1 1 RefSeq TEILELSPSSVCVGEKFQVVLTGRAVTSISHDGSVLCTFTANSTYTKSEKPVSIQPSSILCPAPVLNKDGETLEVSISYNDGKSAVSRSLTITATECTNGIAAIVAILVLLLLLGAALMWWFWPLCCKVVIKDPPPPPSAPMEEEEEDPLPNKKWPTVDASYYGGRGVGGIKRMEVRWGDKGSTEEGARLEKAKNAVVMVPEEEIPIPSRPPRPRPTHQAPQTKWYTPIKGRLDALWALIMKQYDRVSLMRPQEGDEGRCINFSRVPHQ
NP_610529.1 1 487 0.536865297741273 uncharacterized protein 487 0 13 487 0 Drosophila melanogaster NP_610529.1 1 RefSeq MDAESDSDVEIIETELMNHHHHHRQTEPKRHPAKMYPQIPSAIPSPPFSPTDPTDMPLVKEILARSEHINVPEGTVLCVPCYLCKQPFNDIESFKEHLTQHAAEINAWNNTRAQEQTPPPTITPFVQSMNKPFVHPTDQHLFHSIDHGDMDHHHNAHYRNRMEFGCPPPMDFYSPPLEPEIPFQMPAVHQHPIQIPPMYMTAQHTAYEPPVQFLGHRPLELRQKLPMSPQSPLEPSGHPMASAIPSNQHTSLEMQNLCVPEGILTRVEEPPVLENPRPQAQDPIQDAGAGKSRSAVLIEPKPPNAKSLAFNQGQFECNWCGKRLSSRQSLKYHESHFHGNKELAVNRLEKNLTKQHKCLTCKKRYKRRTFLLMHMKVKHGIAFPGRVNADPEYPKSVDSPVSAKVPVSPMSSPNEAPKKEIWSTRIFNAVAAAKYQPASERADKYLVAPRQQTEQLESGFTITSKRTYPLRSPYFNPDLWLDCDSYL
NP_619588.1 1 350 0.0464537142857143 PF03798.16:TRAM_LAG1_CLN8:100:303 Ceramide synthase 1; CerS1; Longevity assurance gene 1 protein homolog 1; Protein UOG-1; EC 2.3.1.- 350 204 13 235 5 Mus musculus (Mouse) SwissProt::P27545 1 SwissProt MAAAAATPRLEAPEPMPSYAQMLQRSWASALAAAQGCGDCGWGLARRGLAEHAHLAAPELLLAVLCALGWTALRWAATTHIFRPLAKRCRLQPRDAARLPESAWKLLFYLACWSYCAYLLLGTSYPFFHDPPSVFYDWRSGMAVPWDIAVAYLLQGSFYCHSIYATVYMDSWRKDSVVMLVHHVVTLLLIASSYAFRYHNVGLLVFFLHDVSDVQLEFTKLNIYFKARGGAYHRLHGLVANLGCLSFCFCWFWFRLYWFPLKVLYATCHCSLQSVPDIPYYFFFNILLLLLMVMNIYWFLYIVAFAAKVLTGQMRELEDLREYDTLEAQTAKPCKAEKPLRNGLVKDKLF
NP_620092.1 1 251 0.818064940239044 PF15313.6:HEXIM:161:251 Protein HEXIM1; Cardiac lineage protein 1 356 91 13 251 0 Mus musculus (Mouse) SwissProt::Q8R409 1 SwissProt MAEPLLTEHQHQPQTSNCTGAAVVHEEHTSERPPSAEERVPKEDSRWQSRASLQSGSRPGQEGEGGLKHQLPPLQTNACPELSSLEKGEKGQNGEDLSTGGASPSAEGEPMSESLVQPGHDSEATKQEAPAAGGEEPWGQQQRQLGKKKHRRRPSKKKRHWKPYYKLTWEEKKKFDEKQSLRASRVRAEMFAKGQPVAPYNTTQFLMDDHDQEEPDLKTGLYPKRAAAKSDDTSDEDFVEEAGEEDGGSDG
NP_630366.1 1 314 0.325115286624204 PF03756.13:AfsA:28:163,PF03756.13:AfsA:196:302 2-oxo-3-(phosphooxy)propyl 3-oxoalkanoate synthase (EC 2.3.1.277) 314 243 13 314 0 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) metacyc::SCO6266-MONOMER 1 metacyc MPEAVVLINSASDANSIEQTALPVPMALVHRTRVQDAFPVSWIPKGGDRFSVTAVLPHDHPFFAPVHGDRHDPLLIAETLRQAAMLVFHAGYGVPVGYHFLMATLDYTCHLDHLGVSGEVAELEVEVACSQLKFRGGQPVQGQVDWAVRRAGRLAATGTATTRFTSPQVYRRMRGDFATPTASVPGTAPVPAARAGRTRDEDVVLSASSQQDTWRLRVDTSHPTLFQRPNDHVPGMLLLEAARQAACLVTGPAPFVPSIGGTRFVRYAEFDSPCWIQATVRPGPAAGLTTVRVTGHQDGSLVFLTTLSGPAFSG
NP_630756.1 1 930 0.33021129032258 PF00069.25:Pkinase:267:457 Probable SapB synthase; EC 2.7.-.- 930 191 13 930 0 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::O88037 1 SwissProt MTAATVRGGTPRDSVVSVSNRWEGAGVNKGYAVYCDADPYFYDAPHRTADRTGAARSRYAAASSPVPEGWQRHESGDWLALRPADADLPAQGWKIHVSACLDNAESVLDRVWRHCVDGGTAFKFVPSRYLLHQRNAKYADRAGSGKFVTVYPADEAEFERLVGELSELLAGEPGPHILSDLRIGDGPVHVRYGGFTRRDCYDADGELRPAVSGPDGVLVPDLRGPVFRIPEWVDPPAFLRPHLDARSAVTVTGMPYTVESALHFSNGGGVYLARDTRTGARVVLKEARPHAGLAADGADAVTRLHRERRALERLSGLACTPEVLDHRTVGEHHFLVLEHIDGKPLNTFFARRHPLIEADPGERRLAEYTDWALDVHARVERAVAEVHARGVVFNDLHLFNIMVRDDDSVALLDFEAAHHVDEAGRQIVANPGFVAPPDRRGVAVDRYALACLRIVLFLPLTSLLAVDRHKAAHLAEVVAEQFPVDRAFLDAAVEEITRVDGSTRVDGSTRADETTRADETTRLDVTTRVHGAPDAARRPAGPVAPVRPDDWPRSRDSMAAAIRASATPSRTDRLFPGDIAQFATAGGGLAFAHGAAGVLYALAESGAGRDEDGEQWLLERTKRPPSGMPLGFHDGLAGLAWTLERLGHRDRALDLAELLLDQPLDHLGPDLHGGTAGLGLALESLAATTGQAALHSAALHCAELAADGLPGGSVPADRVSRGRARAGLLYGGAGRALLFLRLFERTRDSALLDLARDALRQDLARCVRGAGGALQVDEGWRTMPYLGAGSVGIGMVLDDYLAHRADEEFARAANEIVAAAQAMFYAQPGLYRGVAGMVLHLGRTTATAPGTGPRAVRRQLDALSWHAMSYRDRLAFPGEQMMRLSMDLSTGTAGCLLAVASVLGDAPAGLPFLPPPRRSGGPLTRPHQEP
NP_648429.2 1 193 0.0874031088082901 uncharacterized protein 193 0 13 173 1 Drosophila melanogaster NP_648429.2 1 RefSeq MMHTPRLSNIKRASVLLVTALLLYVFVFSSGYQKYQIEGIIKQSQPEKVWEYVADFNKMRLLNPTILNFKILADHGHAHDWRYTVQYTERLSHWPYWLNTATAKYVVTKSLPGVSPVAYAIKSTHQTCFFAGFYCLQSLSEFTFRNSNGDTFAQENIQYQCPPLLGGMCRRELEFQRQAVMHNLTIIFGKQRG
NP_648537.2 1 1025 0.375236195121951 PF17681.1:GCP_N_terminal:272:537 Grip163 1351 266 13 1025 0 Drosophila melanogaster NP_648537.2 1 RefSeq MATVAECNHSVLDLVTKMATMLVADEMKPKKQTAVLVAKKRSKIYESLLHSETMSSQDKLEMLHQVTSEVAKNPDRMDMLLRFQNLLEGQDKEESEITQDQDEENQSEVVIKRIKAGPLQLIETIEIVEEALHPKAFPDINQMNRALKPLQLQPYSDILTTSNLNINFPPEKLIPKIIPSTVIRMGLSESITRMRNEMEKPMHPPPRLPVLAKKVVAPLEDERPFVAQPGPEKHFVSDKLLTNELKILRNKNLVLNYLDEATLIDHLKKAACGIESLTFPISSADKLELRVRPNTTLPTMLPEVLADFANHFIRAGCAFLRLSSRTKWNNIAEMRLERPLNRAMRETIMDYLSTTRQFLLSLQADSLFQLLNNTSTAIQLLCQLDRMFENEPRLNLNTGITGSFLLSSIWLAIDTCGNKDFLHLLIYFLRCISRNYFIQLQRWIYHGELDESVNEIFISRCLNTSPSFTNQCSKEFFDKSYQVDNEAIPEFLVGCEEEILQCGKYNLVLRAYNAQHPVFDVQYPDIVVCLTEQQLKNMRRNLADKYAIIYKRFGWCSMQSIFEDRMATKRVFANLMVKRTQAHLDAWAQKQRELQIKANAQKKLQNDQLNAEQERIQQNHLEKRRQDIVNELAFQRECERMEDKLLEREKQELQKKVVQLAAVLSSSPDRSTVSDLSFASCIEEPDCLAESSSDKDDANTENSEDEATKQLDKTLNVPLEQPEAEYQADVDVDQPRGKECSLPNVFQYQRSHSDVINSNEHPTTQAEFNRNRQHGLSSDQFQQCHATVHLQESDLLKTAASTSSGLHAEIPQDINANLNCPDTKELTELQRNRKRNEHHDGFFSFNSTEDEHTHRLRSLLNSNTERGRNRLRVMETEFGLGYKNTDIETKATPCLPLEIDKLHVEIPLAVLTPMSTTSDVDFGDPSPRELPEIADTANNNNVSGSIESLSPELPKPVLAIAKPTSLLPKLDFGMKDERETEQDRDKVSTLPEACNPFMARRCLQLSVMAPLNAYYGLLRNEVLRI
NP_650324.1 1 892 0.229641143497758 PF00567.24:TUDOR:110:225,PF00567.24:TUDOR:461:573,PF00567.24:TUDOR:718:843,PF01753.18:zf-MYND:9:44 uncharacterized protein 892 391 13 892 0 Drosophila melanogaster NP_650324.1 1 RefSeq MEKSEEKTCVVCGTPTRLMCQRCGEPYCNEKCQKLDWQRHRQVCIIMPPLVECRPLQPALNPIQSVENQVALAKTRTKSPIPCVEPTVAVPNKPDLSENWRKHLLPSGMEFFKCRVTFMENDGPIWVVHVANVEAIERMTVNMQRCMQNKKMIRMEGVREDTLVAISVGDKVHRGHVLTVCQKKQEANVRMIDHGQIVATPFRDIYTIVPKMAESKAFAFRVQLPTNTGVQDIKNLTLRMLGTKTSDGAYHVHLKPKMIIPLSLPLEMLQLNPEVKVIRVFKANPKHNEPQLALLQINVMGHVNADLNNRIAEKPRQPFTLPFPEQKSIFFAATRTKNGYRRAFLLDHIMKPRPTYLVYEMDEGRVSIATDLSRIPSELLGLPSRVFAAQLEDSVPEELETQGAELTVKFKLDNKPPKEKLRAANAALNSKGEQICMARLITFLGQISYLGHKYWREPIVHDSIVFISHLVSFKEVYISTPDAKQYAEIFKRLEYKCATITKSSDVSVGSIVLVVSKQMGHFRGEILSKDSGLFEVMNVDTGATQKVELAEIRSSCRFLENLPVCLMRVQLQNVCNIPDAAVPVNNAAIQMLHKLCAQKELLKLNMVDATTSTVDLLFSSGDSRSLTTQMLPLIFTPVQEKAEVAPPKAASTPSQLPVVTHKQQKVSPQSLLLLDLPPLPPSPPESPFPADVTSTKSASHVLPIKRFLFDALPKNLAPLGDKVNLILMNADGLPQTGYITAAYFKDEKAAKEFEKILSLTSSQGACDHNVVPGYVPNVGELCLAIYSEDKNWYRGVCQEVKDNMVKILFCDFGNTEYVAVRHVKPISQDLLIAVNVTKCYINGFDKSKNFAALEQFLVRKIRFLCGVKKGPEPDTRLITIPDMETILNTPVA
NP_651624.2 1 1065 0.461608356807512 uncharacterized protein 2119 0 13 1065 0 Drosophila melanogaster NP_651624.2 1 RefSeq MSKHTDNDNLRNDIYENLPCQAMYNDSVRKMQQQKSSTQTVSSNHNPNANSIGNCDSTSVINKNHPPPVNNMPKEMLYATPLRKSDRYKSGDRTRHVATGNAETKLFNFRDSNRLASARNGNPVQVTDLDADEDDAQGDGVDGCGSTSALVGGSKYSSQPSAGTANQHLEDRRILNFLKDTTQLQKKLEITGRDCPNASFSNLAREEHFANLVQQNFPQDVAHHANGETNGGSAPEEDGQEAAGGLKTQPTEDTDGNLEALMQRKVSPDKEVNGLVHSAGVEPNNRIVSTVYIHREWVLKKIALCLEQRTAGKKSGPVALGGAASSSYVSARAKAAAISSSSYNGCLVLGSNGSGKTSICQAIMKGNSGTKGILNRKLLACYLIESQNPECHSLSLFMRKIVLQLLSHASLISRDESQRIIDEGFSFLRDEAQQQEFKLDEAMNNISLSENAEELLIEELKRGASECDTKELDNFQSQRTSTVNKAARTTLTRQQSEPAPLQAMDAVNSDEKTGSNYGTHPPKRASRERGDTEQDKDMGSEKEQKQSQEPKETPKSSPAKSKSKIPVKRGRSGSGVLSPSKLATISNGGQSIQQGGGEDIADLNTDQEKHEIEAAIKDDKVEEPQANNEDKKEDKPEADKELNDQLKEELDKSSQEPKEEETKEEATADSSAEPLIDFTESNVNGKQEEPQLKPPVPGEKPRISTNTLPPPLPKTKSCRTIIADGYYELLLSNPEILECLSVDNIEKNPDECFKKAFLFPLLELTPPKTALLLLIDSIDENYINEGNLISTLKGGRGTVTNHKSRNVAELLSNHIHLFPKWLFLVCTTKKQTKQITKMFTGFKKITLDDLRKSHVVKDVQEYIINRLNSDFKDSIMLTKEIIESLHQLYIKSNGCILYLEKVLHGIKDNFFSFREIKLIPCTLNGLYLYICQKSFNKKQYMKIRPLLNVLLASSGYVDKLFLFNCLRTHNYTIDCQEFEKRLQLMRNILAYDSNAQRLKIFHNSFADWLVDVKFATKKFICDVNEGHVMISMYYLLVADTLCANTVRRFAYHLIRSGEYLTSRHV
NP_652230.1 1 80 0.08676625 PF07648.15:Kazal_2:30:79 uncharacterized protein 80 50 13 80 0 Drosophila melanogaster NP_652230.1 1 RefSeq MKLSAVLLAIALLALSLVQCLGLPDPSTKCVMECDTQEYRSICAADDKGSTKTYRNLCVMKTENCLQNANFQKISDKECP
NP_665839.1 1 237 0.291386919831224 Regulator of G-protein signaling 9-binding protein; RGS9-anchoring protein 237 0 13 214 1 Mus musculus (Mouse) SwissProt::Q148R9 1 SwissProt MAREECKALLDALNKTTACYHHLVLTVGGSADTQDLREELQKTRQKARELAVATGARLTVALRDRSLATEERAEFERLWVAFSGCLDLLEADMQRALALGATFPLHAPRRPLVRTGVTGGSSAVAARALSARSLRHEAESDFDVADLPQLEREVLQVGEMIDDMEMKVNVPRWTVQARQAAGAELLSGASAGASSAGGISVEERAGPCDPSKALAATVFSAVLLVAVALALCVAKLS
NP_666032.1 1 1220 0.353014590163934 PF12297.8:EVC2_like:147:570 Limbin 1220 424 13 1197 1 Mus musculus (Mouse) SwissProt::Q8K1G2 1 SwissProt MGATGPTGAGGRATWVLAGNILAAALVLGSGPRALPPSFPALGPGSPSRPGPAGPWASSQYSDISREARGPFENGVIFQKCSLVSGQSESQTMHVQLSVNNTRTPTSVNLSNLLVLDEITGLAVKESPGNNTQDGIQTFRKSFLQVGECYSVSYTASLDPTALGTGESLDLPARLIFQSPSQNRTQLKAPFTITVEEKIMVLPNHGLHAAGFIAAFLISLLLTVAALFFLARGRCLQGGMLSRCRIQHPENKLEPSPFTSANGVSQDLSLNDQVVAILTSEEPGSMLQALEELEIATLNQADADLEACRNQISKDIIALLMKNLVSGGHLSPQTERKMAAAFKKQFLLLENEIQEEYERKMLALTAECDLEMRKKTENQYQREMVAMEEAEEVLKRVSERSAAECSSLLRTLHGLEQEDMQRSLTLDQAEDFAQAHRQLAVFQRNELHSIVYTQIQSAVSKGELRPEVAKMMLQDYSKTQESVEELMDFFQATKRYHLSKRFGHREYLVQRLQAMETRVQGLLNTAATQLTSLIHKHERAGYLDEDQMETLLERAQTETFSIKQKLDNDLKQEKKRLHQRLITRRRRELLQKHKEQQKEQVSLGEASSTAEDAVQYLHQWRSVMAEHTAALEELQERLDQAALDDLRVLTVSLSEKATEELRRLQSTAMTQELLKRSAPWLFLQQILEEHSRESAARTTQLEAEERERGQELVQGVRQRLQQDALEAYTEEQAELRHWEHLVFMKLCCAAISLSEEDLLRVRQEAQGCFSQLDRSLALPRVRARVLQQQAQMAWREAEFRKLDQALAAPELQSKARKLRSKGRGKADLLKKNLEDKIRLFEERAPVELADQVRGELLQERVQRLEAQEAHFAESLVALQFQKVARAAETLSVYTALLSIQDLLLGELSESETLTKSACVQILESHRPELQELQELERKLEDQLVQQEEAEQQRVLESWQRWAADGPGLSEPEEMDPERQVSAILRQALNKGQKLLEQHQQRVREEWQNGAVLEDSLESIEADTMASLCSQGLRLVSYLSRMTMVPGSTLLRLLSVVLPAASQPQLLALLDAVSEKHSDHTAENESSGEQAQAEQSKRRKHQVWWKVLDSRFRADLVSQGLERMLWARQKKERILKKIYVPVQERVMFPGKGSWPHLSLEPIGELAPIPITGADAMDILNTGEKIFVFRSPREPEISLRVPPRRKKNFLNAKKANRALGLD
NP_683589.1 1 74 0.323514864864865 CLAVATA3/ESR (CLE)-related protein 19; AtLLP1; Protein EMBRYO SURROUNDING REGION 19 74 0 13 74 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W261 1 SwissProt MKIKGLMILASSLLILAFIHQSESASMRSLLMNNGSYEEEEQVLKYDSMGTIANSSALDSKRVIPTGPNPLHNR
NP_689885.4 1 340 0.0513191176470589 PF03619.16:Solute_trans_a:56:321 Organic solute transporter subunit alpha; OST-alpha; Solute carrier family 51 subunit alpha 340 266 13 182 7 Homo sapiens (Human) SwissProt::Q86UW1 0 SwissProt MEPGRTQIKLDPRYTADLLEVLKTNYGIPSACFSQPPTAAQLLRALGPVELALTSILTLLALGSIAIFLEDAVYLYKNTLCPIKRRTLLWKSSAPTVVSVLCCFGLWIPRSLVLVEMTITSFYAVCFYLLMLVMVEGFGGKEAVLRTLRDTPMMVHTGPCCCCCPCCPRLLLTRKKLQLLMLGPFQYAFLKITLTLVGLFLVPDGIYDPADISEGSTALWINTFLGVSTLLALWTLGIISRQARLHLGEQNMGAKFALFQVLLILTALQPSIFSVLANGGQIACSPPYSSKTRSQVMNCHLLILETFLMTVLTRMYYRRKDHKVGYETFSSPDLDLNLKA
NP_729681.1 1 562 0.114941814946619 PF06664.12:MIG-14_Wnt-bd:185:507 wntless, isoform B 562 323 13 384 8 Drosophila melanogaster NP_729681.1 1 RefSeq MSGTILENLSGRKLSILVATLLLCQVLCFLLGGLYAPLPAGHVTVLGSLCREDHARQNDTSFLLYSRGAGACIPVTREEVEQDSTKMANELVHVFQMPLPRDLRDLDYSRWQQNLIGVLQVEFGYDSSSELREPPRELQLTIDMRLAYRNKGDPDNGWKLYAHGVEHRYLDCVTSHVGPTETLYSCDMIPLFELGALHHSFYLLNLRFPLDTPSQMNLQFGHMHDLTLTAIHQNGGFTQIWLLLKTMLFPFVVGIMIWFWRRVHLLQRSPALLEYMLIYLGAALTFLNLPLEYLSLVYEMPYMLLLSDIRQGIFYAMLLTFWLVFAGEHMLIQDAPNKSTIRSRYWKHLSAVVVGCISLFVFDICERGVQLRNPFYSIWTTPLGAKVAMTFIVLAGVSAAIYFLFLCYMIWKVFRNIGDKRTSLPSMSQARRLHYEGLIYRFKFLMLATLVCAALTVAGFIMGQMAEGQWDWNDNVAIQPTSAFLTGVYGMWNIYIFALLILYAPSHKQWPTMHHSDETTQSNENIVASAASEEIEFSHLPSDSNPSEISSLTSFTRKVAFD
NP_733336.1 1 666 0.600565465465466 PF08833.10:Axin_b-cat_bind:494:543,PF00615.19:RGS:55:168 Axin; Axis inhibition protein; d-Axin; dAxin 745 164 13 666 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9V407 1 SwissProt MSGHPSGIRKHDDNECSGPRPPVPGEESRVKKMTEGVADTSKNSSPSYLNWARTLNHLLEDRDGVELFKKYVEEEAPAYNDHLNFYFACEGLKQQTDPEKIKQIIGAIYRFLRKSQLSISDDLRAQIKAIKTNPEIPLSPHIFDPMQRHVEVTIRDNIYPTFLCSEMYILYIQQMSAQQERCTSSGATGSGSAGSSGSGGSSLAGACALPPTTASGKQQLPQLVPPGAFINLPVSSVSGPPAGTCSASGSVYGPSTSASSSGSISATDTLPRSSTLPTLHEDSVLSLCDDFEKVQMQEGGGSLGSGSVGAGARAPDYPIRLTRDLLIATQKRRLEIRPPGAHGYVYNPSTTNTSYVPNSRVDSERASVSSGGRTDSDTMSISSCSMDGRPYIQRRHSSTESKAIRQSAMANKETNTFQVIPRTQRLHSNEHRPLKEEELVSLLIPKLEEVKRKRDLEERARERNPGAALLTNERSSASDRAFAEAIREKFALDEDNDQDILDQHVSRVWKDQTPHRSPGTMSPCPPIPSRRRTATHDSGMVSDGAMSLSGHSMKHSKSMPDHSSCSRKLTNKWPSMNTDSGISMFSADTVTKYKDASSRSGSSTASKLEEAKRRLEDEPRRSRRYAQPPMQHLSQQPLASFSSSSSGGSISLPHQPPPLPAKPPET
NP_775831.2 199 928 0.334282054794521 DNA-binding protein RFX6; Regulatory factor X 6; Regulatory factor X domain-containing protein 1 928 0 13 730 0 Homo sapiens (Human) SwissProt::Q8HWS3 1 SwissProt ESSAYYHSVYSGKGLTRFSGSKLKNEGGFTRKYSLSSKTGTLLPEFPSAQHLVYQGCISKDKVDTLIMMYKTHCQCILDNAINGNFEEIQHFLLHFWQGMPDHLLPLLENPVIIDIFCVCDSILYKVLTDVLIPATMQEMPESLLADIRNFAKNWEQWVVSSLENLPEALTDKKIPIVRRFVSSLKRQTSFLHLAQIARPALFDQHVVNSMVSDIERVDLNSIGSQALLTISGSTDTESGIYTEHDSITVFQELKDLLKKNATVEAFIEWLDTVVEQRVIKTSKQNGRSLKKRAQDFLLKWSFFGARVMHNLTLNNASSFGSFHLIRMLLDEYILLAMETQFNNDKEQELQNLLDKYMKNSDASKAAFTASPSSCFLANRNKGSMVSSDAVKNESHVETTYLPLPSSQPGGLGPALHQFPAGNTDNMPLTGQMELSQIAGHLMTPPISPAMASRGSVINQGPMAGRPPSVGPVLSAPSHCSTYPEPIYPTLPQANHDFYSTSSNYQTVFRAQPHSTSGLYPHHTEHGRCMAWTEQQLSRDFFSGSCAGSPYNSRPPSSYGPSLQAQDSHNMQFLNTGSFNFLSNTGAASCQGATLPPNSPNGYYGSNINYPESHRLGSMVNQHVSVISSIRSLPPYSDIHDPLNILDDSGRKQTSSFYTDTSSPVACRTPVLASSLQTPIPSSSSQCMYGTSNQYPAQETLDSHGTSSREMVSSLPPINTVFMGTAAGGT
NP_780314.1 1 271 0.0201645756457564 PF00230.20:MIP:77:253 aquaporin-11 271 177 13 162 5 Mus musculus NP_780314.1 1 RefSeq MSALLGLRPEVQDTCISLGLMLLFVLFVGLARVIARQQLHRPVVHAFVLEFLATFQLCCCTHELQVLSEQDSAHPTWTLTLIYFFSLVHGLTLVGTASNPCGVMMQMILGGMSPEMGAVRLLAQLVSALCSRYCISALWSLSLTKYHYDERILACRNPIHTDMSKAIIIEAICSFIFHSALLHFQEVRTKLRIHLLAALITFLAYAGGSLTGALFNPALALSLHFPCFDELFYKFFVVYWLAPSVGVLMMILMFSFFLPWLHNNQMTNKKE
NP_849264.1 1 128 0.422015625 PF15048.6:OSTbeta:2:122 Organic solute transporter subunit beta; OST-beta; Solute carrier family 51 subunit beta 128 121 13 105 1 Mus musculus (Mouse) SwissProt::Q80WK2 1 SwissProt MDHSAEKAAANAEVPQELLEEMLWYFRAEDAAPWNYSILVLAVLVVMTSMFLLRRSILANRNRKKQPQDKETPEDLHLDDSIMKENNSQVFLRETLISEKPDLAPGEPELKEKDSSLVFLPDPQETES
NP_849953.2 1 1923 0.139051222048882 PF02364.15:Glucan_synthase:1040:1732,PF14288.6:FKS1_dom1:325:436,PF04652.16:Vta1:44:175 Callose synthase 5; 1,3-beta-glucan synthase; Protein GLUCAN SYNTHASE-LIKE 2; Protein LESS ADHERENT POLLEN 1; EC 2.4.1.34 1923 937 13 1634 13 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q3B724 1 SwissProt MAQSSTSHDSGPQGLMRRPSRSAATTVSIEVFDHEVVPASLGTIAPILRVAAEIEHERPRVAYLCRFYAFEKAHRLDPSSGGRGVRQFKTLLFQRLERDNASSLASRVKKTDGREVESFYQQYYEHYVRALDQGDQADRAQLGKAYQTAGVLFEVLMAVNKSEKVEAVAPEIIAAARDVQEKNEIYAPYNILPLDSAGASQSVMQLEEVKAAVAALGNTRGLNWPSGFEQHRKKTGNLDLLDWLRAMFGFQRDNVRNQREHLVCLFADNHIRLTPKPEPLNKLDDRAVDTVMSKLFKNYKNWCKFLGRKHSLRLPQAAQDIQQRKILYMGLYLLIWGEAANIRFMPECLCYIFHNMAYELHGLLAGNVSIVTGENIKPSYGGDDEAFLRKVITPIYRVVQTEANKNANGKAAHSDWSNYDDLNEYFWTPDCFSLGWPMRDDGDLFKSTRDTTQGKKGSFRKAGRTGKSNFTETRTFWHIYHSFDRLWTFYLLALQAMIILAFERVELREILRKDVLYALSSIFITAAFLRFLQSVLDVILNFPGFHRWKFTDVLRNILKIVVSLAWCVVLPLCYAQSVSFAPGKLKQWLSFLPQVKGVPPLYIMAVALYLLPNVLAAIMFIFPMLRRWIENSDWHIFRLLLWWSQPRIYVGRGMHESQIALIKYTIFWLLLFCCKFAFSYFLQVKLLVKPTNAIMSIRHVKYKWHEFFPNAEHNYGAVVSLWLPVILVYFMDTQIWYAIFSTICGGVIGAFDRLGEIRTLGMLRSRFQSLPGAFNTYLVPSDKTRRRGFSLSKRFAEVTAARRTEAAKFSQLWNEIISSFREEDLISDREMDLLLVPYTSDPSLKLIQWPPFLLASKIPIALDMAAQFRTRDSDLWKRICADEYMKCAVIECYESFKHVLHTLVIGENEKRIIGIIIKEVESNISKNSFLSNFRMAPLPALCSKFVELVGILKNADPAKRDTVVLLLQDMLEVVTRDMMQNENRELVELGHTNKESGRQLFAGTDAKPAILFPPVATAQWHEQISRLHLLLTVKESAMDVPTNLEAQRRIAFFTNSLFMDMPRAPRVRNMLSFSVLTPYYSEETVYSKNDLEMENEDGVSVVYYLQKIFPDEWTNFLERLDCKDETSVLESEENILQLRHWVSLRGQTLFRTVRGMMYYRRALKLQAFLDMANETEILAGYKAISEPTEEDKKSQRSLYTQLEAVADLKFTYVATCQNYGNQKRSGDRRATDILNLMVNNPSLRVAYIDEVEEREGGKVQKVFYSVLIKAVDNLDQEIYRIKLPGPAKIGEGKPENQNHALIFTRGEALQAIDMNQDHYLEEALKMRNLLEEFNEDHGVRAPTILGFREHIFTGSVSSLAWFMSNQETSFVTIGQRVLASPLKVRFHYGHPDVFDRIFHITRGGISKASRGINLSEDIFAGFNSTLRRGNVTHHEYIQVGKGRDVGLNQISLFEAKVACGNGEQTLSRDLYRLGHRFDFFRMMSCYFTTVGFYISSMIVVLTVYAFLYGRLYLSLSGVEEAIVKFAAAKGDSSLKAAMASQSVVQLGLLMTLPMVMEIGLERGFRTALSDLIIMQLQLAPVFFTFSLGTKVHYYGRTILHGGSKYRATGRGFVVKHEKFAENYRMYSRSHFVKGMELMVLLICYRIYGKAAEDSVGYALVMGSTWFLVGSWLFAPFFFNPSGFEWQKIVDDWDDWNKWISSRGGIGVPANKSWESWWEEEQEHLLHSGFFGKFWEIFLSLRYFIYQYGIVYQLNLTKESRMGKQHSIIVYGLSWLVIVAVMIVLKIVSMGRKKFSADFQLMFRLLKLFLFIGSVVIVGMLFHFLKLTVGDIMQSLLAFLPTGWALLQISQVARPLMKTVGMWGSVKALARGYEYIMGVVIFMPVTVLAWFPFVSEFQTRLLFNQAFSRGLQIQRILAGGKKQK
NP_850221.1 1 587 0.521373594548552 PF00642.24:zf-CCCH:101:121 Protein FRIGIDA-ESSENTIAL 1; Zinc finger CCCH domain-containing protein 27; AtC3H27 587 21 13 587 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84VG7 1 SwissProt MSDSDMDIDDDEVEQKVQVHTIVRESELFDKPPIQASNSHNDVKRHSVTTPLDEQSKIIKEQAFAQDNGTLPRFPAPGIPPRSFFTGGGGNEPEQKRAALPCKFFAKGWCFNGVSCKFLHVKENSNCTSQQLAENSMAGNGGIRSDLERRILDSREGVRVSQLSENGVTSLPTREDISFMNPQRVFSSMSFVNPPGSQRVFPFNNEMRFMPSFENIRRESLKQTYGADFTDNRSLVINNANSFALRSSFVHEHRPSISSYLKTDMGSAGPAWTGSLSSSVPMNDRASTVGDFENGNSLSGSGSLPTLQGVAVSSDKGAEANTTSTKKKVSSDDWEPSEPFKASFTIPPYILPSSDALYDPFTDIENLGDRPLNDSLSSKGEHARKSSCQQKDGDSASGPQARDCKNDDKSSSCSQNQHQETVARSLEAHGVVEGVATSVVDQNDTATPSKEISSATAAENRVVLKRIKPAGHDSWHRSDGSSYKKTKKSDEIDGEVRSDAGMKVMRLFRTAVVETIKEMLKPLWREGRLTKDVHNMIVKKAAEKVVGAAVQFHQVPTDTESVDQYLGLSGTRIVKLVEGYVEKYGKP
NP_850478.1 1 265 0.424687169811321 PF13639.6:zf-RING_2:37:83,PF17123.5:zf-RING_11:38:67,PF00097.25:zf-C3HC4:38:82,PF13445.6:zf-RING_UBOX:38:80 E3 ubiquitin-protein ligase RFI2; Protein RED AND FAR-RED INSENSITIVE 2; EC 2.3.2.27 358 47 13 265 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82239 1 SwissProt MAGAKDSGCDDDLRIAGGCDPGKRGNPEDSSSPVEVSCSICLESVLDDGTRSKAKLQCGHQFHLDCIGSAFNMKGAMQCPNCRNVEKGQWLYANGSTRPFPEFSMEDWIPEEDLYGLSYPEMQYRVHWCPFGELSQAAASFEELEPATTTYHTEFHGHHAAAVNHSYLAYVGPGPAATPRTSDNNSTDDHPWNSHSNDHFHQLPVAPQYHHHSPSFSLPAAHVVDGEVDSSAARGLPYAHPFLFSHRSNQRSSPAINSYQGSSTQ
NP_851121.1 1 246 0.552156910569106 Cold-regulated protein 27 246 0 13 246 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L8T7 1 SwissProt MVGDYRGRFSSRRFSDDSDDSSDDASSVEGETTSSMYSAGKEYMETEWTNEKHSLYLKSMEASFVDQLYNSLGALGKNENVSESTRFGSGRKPSQEQFKVLHDGFWQKINVKQPEHRINGRHGGNSHEFLRSPWIKHYKPLVKTQIPVTDEPENQVVSSSNGKKGICSSGSASSLKQLSSHSRDHDQISVGEAEVSDQNFVNEGIKGENGSSKKMKTVMMSESSSTDQVVPLNKLLQHDVNLKSVS
NP_851163.1 1 286 0.531321328671329 PF00010.26:HLH:146:193 basic helix-loop-helix (bHLH) DNA-binding superfamily protein 286 48 13 286 0 Arabidopsis thaliana NP_851163.1 1 RefSeq MATFSYFQNYPHSLLDPLLFPTPHSSINLTSFIDQNHLYPLPNISTVEDISFLEYNVDKTENSGSEKLANTTKTATTGSSSCDQLSHGPSAITNTGKTRGRKARNSNNSKEGVEGRKSKKQKRGSKEEPPTDYIHVRARRGQATDSHSLAERVRREKISERMRTLQNLVPGCDKVTGKALMLDEIINYVQTLQTQVEFLSMKLTSISPVVYDFGSDLDGLILQSEMGSPEVGTSFTNAMPTTTPIFPSLLDNSVVPTHAQVQEEGEERENFVDRSGFNNNNFCSFP
NP_863279.1 1 222 0.238960810810811 putative transposase 222 0 13 222 0 Staphylococcus aureus NP_863279.1 1 RefSeq MKNKEKYLTNFSEAKRKKATQKYNIIKPFILGEQSLSSISKSKGIALSTLYRWNKSYKEQGLKGLIYATRADKGTRKIEPKIIDEIERLALMNKRNSIATIHRKITNYCKENNFDIPSYKQIYSVIKAMPKSVIDFSHQGEKYYQNKYDLIQIRESSRPNEIWQADHTLLDIFILDQKGNINRPWLTIIMDDYSRAIAGYFLSFDAPQCSKHSINTTPSNME
NP_937766.1 1 118 0.253444915254237 PF02083.15:Urotensin_II:109:118 Urotensin-2B; Urotensin II-related peptide; Urotensin IIB; U-IIB; UIIB; Urotensin-2 domain-containing protein 118 10 13 118 0 Rattus norvegicus (Rat) SwissProt::Q765I2 1 SwissProt MKFFSTSLCFGLLALLSVTTLLHSVRGRPHLSSGHELFPAEEHTTQEKLPLGLLIRNPGFQRPAHAGVDLPSKVEELRQLKKLREWFMEAKSAEPSNALDKLSSSHPIKRACFWKYCV
NP_937823.1 1 136 0.335586764705882 PF11109.8:RFamide_26RFa:5:135 Orexigenic neuropeptide QRFP; P518 136 131 13 136 0 Homo sapiens (Human) SwissProt::P83859 1 SwissProt MVRPYPLIYFLFLPLGACFPLLDRREPTDAMGGLGAGERWADLAMGPRPHSVWGSSRWLRASQPQALLVIARGLQTSGREHAGCRFRFGRQDEGSEATGFLPAAGEKTSGPLGNLAEELNGYSRKKGGFSFRFGRR
NP_937882.2 1 208 0.112075480769231 PF00219.18:IGFBP:48:100 Cellular communication network factor 6; CCN family member 6; WNT1-inducible-signaling pathway protein 3; WISP-3 354 53 13 208 0 Homo sapiens (Human) SwissProt::O95389 1 SwissProt MQGLLFSTLLLAGLAQFCCRVQGTGPLDTTPEGRPGEVSDAPQRKQFCHWPCKCPQQKPRCPPGVSLVRDGCGCCKICAKQPGEICNEADLCDPHKGLYCDYSVDRPRYETGVCAYLVAVGCEFNQVHYHNGQVFQPNPLFSCLCVSGAIGCTPLFIPKLAGSHCSGAKGGKKSDQSNCSLEPLLQQLSTSYKTMPAYRNLPLIWKKK
NP_954980.1 1 159 0.516730188679245 PF15549.6:PGC7_Stella:1:145 Developmental pluripotency-associated protein 3; Stella-related protein 159 145 13 159 0 Homo sapiens (Human) SwissProt::Q6W0C5 1 SwissProt MDPSQFNPTYIPGSPQMLTEENSRDDSGASQISSETLIKNLSNLTINASSESVSPLSEALLRRESVGAAVLREIEDEWLYSRRGVRTLLSVQREKMARLRYMLLGGVRTHERRPTNKEPKGVKKESRPFKCPCSFCVSNGWDPSENARIGNQDTKPLQP
NP_974661.1 1 365 0.199709589041096 PF04862.12:DUF642:25:180,PF04862.12:DUF642:193:359 choice-of-anchor C domain protein, putative (Protein of unknown function, DUF642) 365 323 13 342 1 Arabidopsis thaliana NP_974661.1 1 RefSeq MKEMGVIVLLLLHSFFYVAFCFNDGLLPNGDFELGPRHSDMKGTQVINITAIPNWELSGFVEYIPSGHKQGDMILVVPKGAFAVRLGNEASIKQKISVKKGSYYSITFSAARTCAQDERLNVSVAPHHAVMPIQTVYSSSGWDLYSWAFKAQSDYADIVIHNPGVEEDPACGPLIDGVAMRALFPPRPTNKNILKNGGFEEGPWVLPNISSGVLIPPNSIDDHSPLPGWMVESLKAVKYIDSDHFSVPQGRRAVELVAGKESAVAQVVRTIPGKTYVLSFSVGDASNACAGSMIVEAFAGKDTIKVPYESKGKGGFKRSSLRFVAVSSRTRVMFYSTFYAMRNDDFSSLCGPVIDDVKLLSARRP
NP_976080.1 1 161 0.0221627329192546 PF07884.14:VKOR:12:149 Vitamin K epoxide reductase complex subunit 1; Vitamin K1 2,3-epoxide reductase subunit 1; EC 1.17.4.4 161 138 13 95 3 Rattus norvegicus (Rat) SwissProt::Q6TEK4 1 SwissProt MGTTWRSPGRLRLALCLAGLALSLYALHVKAARARNEDYRALCDVGTAISCSRVFSSRWGRGFGLVEHVLGADSILNQSNSIFGCMFYTIQLLLGCLRGRWASILLILSSLVSVAGSLYLAWILFFVLYDFCIVCITTYAINAGLMLLSFQKVPEHKVKKP
NP_997098.2 1884 4483 0.386211961538461 PF08239.11:SH3_3:590:649,PF00630.19:Filamin:391:546,PF03256.16:ANAPC10:1944:2042 E3 ubiquitin-protein ligase MYCBP2 4746 315 13 2600 0 Mus musculus NP_997098.2 1 RefSeq FDGDLQSQLLSKANEEDKNCSRALSVVSTVVRAAKDLLHRALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAILNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSNHYAVIESEHPYKPACVMHYKVTFPECVRWMTIEFDPQCGTAQSEDVIRLLIPVRTIQNSGYGAKLTSVHENLNSWVELKKYSGSSGWPTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELANLGGVCAAALMKKDLALPVGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEALEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGWPTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKTSLQQDQGKKCQRIPGSPSAAASSADMTFGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTVHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKADPQPNKIRKFVAKDSAGLRIRSHPSLQSEQIGIVRVNGTITFIDEIHNDDGVWLRLNEETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDNIFNASQGVRDLDVFSWTSKAFFPQEPKTNTDDFFKDMNSCGPQEATMQERDHPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVLGNKVKAVGEVTNSEGAWVQLDKNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQVLLDQNSQPPPPSPFSVQAFNKGASCSAQGFDYGLGNNKGDQLSAILNSIQSRPNLPAPSIFDQAAKPPSSLVHSPFVFGQPLSFQQRQLQSDRGTISTSSRPVSTSGKSELPSKHSRSVKPDGHVSRTPADQKKPRGTEGLSASESLMLKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRTSSGFRAESPGPGSRSSSPKPKPLPTPRSSPSGASSPRSSSPQDKNLPQKSTAPAKTKLDPPRERSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKPVPKDPKDSPGSENRAPSPHVVQENLHSEVVEVCTSSTLKTNGVTDSTCDDSGDLKSVDEGSNKVHFSIGKAPLKDEQEMRASPKISRKCANRHTRPKKEKSNFLFKGDGTKSLEPAKQAMSPSVAECARAVFASFLWHEGIVHDAMACSSFLKFNPDLSKEHAPIRSSLNSQPPTEEKEIKLKNRHSLEISSALNMFNIAPHGPDISKMGSINKNKVLSMLKEPPLHEKCEDGKSEATFEMSMHHTMKSKSPLPLTLQHLVAFWEDISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKTKKEKKKKEKTEIRPRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGDGGMGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPIVKEGVSEDLPVKMPCLYLQTLARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTVGSSLLRHPSPELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTSLHDILWHFVAALTPSPVEAEEDEDEDNKSNKENAEQEKDTRVCEHPLSDIVIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKSDDGDSEESFSISVQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEELCRIKQVDLDSRHIGWVTSELPGGDNQIIKIELKGPENTLRVRQVKVLGWKDGESTKIAGQISASVAQQRSCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKATSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPSDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPNRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIRLIKDMAAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNICGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVRNEEHCLPCLHGCDK
O04616 1 164 0.164664024390244 PF14159.6:CAAD:80:162 Protein CURVATURE THYLAKOID 1A, chloroplastic 164 83 13 121 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O04616 1 SwissProt MAISVAASSSMAVMVPRVPAVSTRCSAVPYLPPRSFGRSSFTVPLKLVSGNGLQKVELLKTRASSEETSSIDTNELITDLKEKWDGLENKSTVLIYGGGAIVAVWLSSIVVGAINSVPLLPKVMELVGLGYTGWFVYRYLLFKSSRKELAEDIESLKKKIAGSE
O15120 1 278 0.126390647482014 PF01553.21:Acyltransferase:78:205 1-acyl-sn-glycerol-3-phosphate acyltransferase beta; 1-acylglycerol-3-phosphate O-acyltransferase 2; 1-AGP acyltransferase 2; 1-AGPAT 2; Lysophosphatidic acid acyltransferase beta; LPAAT-beta; EC 2.3.1.51 278 128 13 195 4 Homo sapiens (Human) SwissProt::O15120 1 SwissProt MELWPCLAAALLLLLLLVQLSRAAEFYAKVALYCALCFTVSAVASLVCLLRHGGRTVENMSIIGWFVRSFKYFYGLRFEVRDPRRLQEARPCVIVSNHQSILDMMGLMEVLPERCVQIAKRELLFLGPVGLIMYLGGVFFINRQRSSTAMTVMADLGERMVRENLKVWIYPEGTRNDNGDLLPFKKGAFYLAVQAQVPIVPVVYSSFSSFYNTKKKFFTSGTVTVQVLEAIPTSGLTAADVPALVDTCHRAMRTTFLHISKTPQENGATAGSGVQPAQ
O35488 245 620 0.140794946808511 PF00501.28:AMP-binding:10:243,PF13193.6:AMP-binding_C:252:328 Very long-chain acyl-CoA synthetase; VLACS; VLCS; Arachidonate--CoA ligase; Fatty acid transport protein 2; FATP-2; Fatty-acid-coenzyme A ligase, very long-chain 1; Long-chain-fatty-acid--CoA ligase; Phytanate--CoA ligase; Solute carrier family 27 member 2; THCA-CoA ligase; Very long-chain-fatty-acid-CoA ligase; EC 6.2.1.-; EC 6.2.1.15; EC 6.2.1.3; EC 6.2.1.24; EC 6.2.1.7 620 311 13 353 1 Mus musculus (Mouse) SwissProt::O35488 1 SwissProt GTGLAMSSGITAQDVIYTTMPLYHSAALMIGLHGCIVVGATLALRSKFSASQFWDDCRKYNVTVIQYIGELLRYLCNTPQKPNDRDHKVKKALGNGLRGDVWREFIKRFGDIHVYEFYASTEGNIGFVNYPRKIGAVGRANYLQRKVARYELIKYDVEKDEPVRDANGYCIKVPKGEVGLLVCKITQLTPFIGYAGGKTQTEKKKLRDVFKKGDIYFNSGDLLMIDRENFVYFHDRVGDTFRWKGENVATTEVADIVGLVDFVEEVNVYGVPVPGHEGRIGMASLKIKENYEFNGKKLFQHIAEYLPSYARPRFLRIQDTIEITGTFKHRKVTLMEEGFNPTVIKDTLYFMDDAEKTFVPMTENIYNAIIDKTLKL
O43521 1 139 0.777584892086331 PF06773.11:Bim_N:4:40 Bcl-2-like protein 11; Bcl2-L-11; Bcl2-interacting mediator of cell death 198 37 13 139 0 Homo sapiens (Human) SwissProt::O43521 1 SwissProt MAKQPSDVSSECDREGRQLQPAERPPQLRPGAPTSLQTEPQGNPEGNHGGEGDSCPHGSPQGPLAPPASPGPFATRSPLFIFMRRSSLLSRSSSGYFSFDTDRSPAPMSCDKSTQTPSPPCQAFNHYLSAMASMRQAEP
O53203 1 1624 0.220362192118227 PF05088.12:Bac_GDH:96:1612 NAD-specific glutamate dehydrogenase; NAD-GDH; NAD(+)-dependent glutamate dehydrogenase; EC 1.4.1.2 1624 1517 13 1624 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O53203 1 SwissProt MTIDPGAKQDVEAWTTFTASADIPDWISKAYIDSYRGPRDDSSEATKAAEASWLPASLLTPAMLGAHYRLGRHRAAGESCVAVYRADDPAGFGPALQVVAEHGGMLMDSVTVLLHRLGIAYAAILTPVFDVHRSPTGELLRIEPKAEGTSPHLGEAWMHVALSPAVDHKGLAEVERLLPKVLADVQRVATDATALIATLSELAGEVESNAGGRFSAPDRQDVGELLRWLGDGNFLLLGYQRCRVADGMVYGEGSSGMGVLRGRTGSRPRLTDDDKLLVLAQARVGSYLRYGAYPYAIAVREYVDGSVVEHRFVGLFSVAAMNADVLEIPTISRRVREALAMAESDPSHPGQLLLDVIQTVPRPELFTLSAQRLLTMARAVVDLGSQRQALLFLRADRLQYFVSCLVYMPRDRYTTAVRMQFEDILVREFGGTRLEFTARVSESPWALMHFMVRLPEVGVAGEGAAAPPVDVSEANRIRIQGLLTEAARTWADRLIGAAAAAGSVGQADAMHYAAAFSEAYKQAVTPADAIGDIAVITELTDDSVKLVFSERDEQGVAQLTWFLGGRTASLSQLLPMLQSMGVVVLEERPFSVTRPDGLPVWIYQFKISPHPTIPLAPTVAERAATAHRFAEAVTAIWHGRVEIDRFNELVMRAGLTWQQVVLLRAYAKYLRQAGFPYSQSYIESVLNEHPATVRSLVDLFEALFVPVPSGSASNRDAQAAAAAVAADIDALVSLDTDRILRAFASLVQATLRTNYFVTRQGSARCRDVLALKLNAQLIDELPLPRPRYEIFVYSPRVEGVHLRFGPVARGGLRWSDRRDDFRTEILGLVKAQAVKNAVIVPVGAKGGFVVKRPPLPTGDPAADRDATRAEGVACYQLFISGLLDVTDNVDHATASVNPPPEVVRRDGDDAYLVVAADKGTATFSDIANDVAKSYGFWLGDAFASGGSVGYDHKAMGITARGAWEAVKRHFREIGIDTQTQDFTVVGIGDMSGDVFGNGMLLSKHIRLIAAFDHRHIFLDPNPDAAVSWAERRRMFELPRSSWSDYDRSLISEGGGVYSREQKAIPLSAQVRAVLGIDGSVDGGAAEMAPPNLIRAILRAPVDLLFNGGIGTYIKAESESDADVGDRANDPVRVNANQVRAKVIGEGGNLGVTALGRVEFDLSGGRINTDALDNSAGVDCSDHEVNIKILIDSLVSAGTVKADERTQLLESMTDEVAQLVLADNEDQNDLMGTSRANAASLLPVHAMQIKYLVAERGVNRELEALPSEKEIARRSEAGIGLTSPELATLMAHVKLGLKEEVLATELPDQDVFASRLPRYFPTALRERFTPEIRSHQLRREIVTTMLINDLVDTAGITYAFRIAEDVGVTPIDAVRTYVATDAIFGVGHIWRRIRAANLPIALSDRLTLDTRRLIDRAGRWLLNYRPQPLAVGAEINRFAAMVKALTPRMSEWLRGDDKAIVEKTAAEFASQGVPEDLAYRVSTGLYRYSLLDIIDIADIADIDAAEVADTYFALMDRLGTDGLLTAVSQLPRHDRWHSLARLAIRDDIYGALRSLCFDVLAVGEPGESSEQKIAEWEHLSASRVARARRTLDDIRASGQKDLATLSVAARQIRRMTRTSGRGISG
O75143 201 517 0.544167507886435 Autophagy-related protein 13 517 0 13 317 0 Homo sapiens (Human) SwissProt::O75143 1 SwissProt FERTPPIMGIIIDHFVDRPYPSSSPMHPCNYRTAGEDTGVIYPSVEDSQEVCTTSFSTSPPSQLSSSRLSYQPAALGVGSADLAYPVVFAAGLNATHPHQLMVPGKEGGVPLAPNQPVHGTQADQERLATCTPSDRTHCAATPSSSEDTETVSNSSEGRASPHDVLETIFVRKVGAFVNKPINQVTLTSLDIPFAMFAPKNLELEDTDPMVNPPDSPETESPLQGSLHSDGSSGGSSGNTHDDFVMIDFKPAFSKDDILPMDLGTFYREFQNPPQLSSLSIDIGAQSMAEDLDSLPEKLAVHEKNVREFDAFVETLQ
O75410 1 724 0.588274723756906 PF05010.14:TACC_C:599:724 Transforming acidic coiled-coil-containing protein 1; Gastric cancer antigen Ga55; Taxin-1 805 126 13 724 0 Homo sapiens (Human) SwissProt::O75410 1 SwissProt MAFSPWQILSPVQWAKWTWSAVRGGAAGEDEAGGPEGDPEEEDSQAETKSLSFSSDSEGNFETPEAETPIRSPFKESCDPSLGLAGPGAKSQESQEADEQLVAEVVEKCSSKTCSKPSENEVPQQAIDSHSVKNFREEPEHDFSKISIVRPFSIETKDSTDISAVLGTKAAHGCVTAVSGKALPSSPPDALQDEAMTEGSMGVTLEASAEADLKAGNSCPELVPSRRSKLRKPKPVPLRKKAIGGEFSDTNAAVEGTPLPKASYHFSPEELDENTSPLLGDARFQKSPPDLKETPGTLSSDTNDSGVELGEESRSSPLKLEFDFTEDTGNIEARKALPRKLGRKLGSTLTPKIQKDGISKSAGLEQPTDPVARDGPLSQTSSKPDPSQWESPSFNPFGSHSVLQNSPPLSSEGSYHFDPDNFDESMDPFKPTTTLTSSDFCSPTGNHVNEILESPKKAKSRLITSGCKVKKHETQSLALDACSRDEGAVISQISDISNRDGHATDEEKLASTSCGQKSAGAEVKGEPEEDLEYFECSNVPVSTINHAFSSSEAGIEKETCQKMEEDGSTVLGLLESSAEKAPVSVSCGGESPLDGICLSESDKTAVLTLIREEIITKEIEANEWKKKYEETRQEVLEMRKIVAEYEKTIAQMIEDEQRTSMTSQKSFQQLTMEKEQALADLNSVERSLSDLFRRYENLKGVLEGFKKNEEALKKCAQDYLARVK
O75955 171 427 0.4071953307393 PF15975.5:Flot:140:218 Flotillin-1 427 79 13 257 0 Homo sapiens (Human) SwissProt::O75955 1 SwissProt QVQKDARIGEAEAKRDAGIREAKAKQEKVSAQYLSEIEMAKAQRDYELKKAAYDIEVNTRRAQADLAYQLQVAKTKQQIEEQRVQVQVVERAQQVAVQEQEIARREKELEARVRKPAEAERYKLERLAEAEKSQLIMQAEAEAASVRMRGEAEAFAIGARARAEAEQMAKKAEAFQLYQEAAQLDMLLEKLPQVAEEISGPLTSANKITLVSSGSGTMGAAKVTGEVLDILTRLPESVERLTGVSISQVNHKPLRTA
O88904 539 1210 0.482582589285714 Homeodomain-interacting protein kinase 1; Nuclear body-associated kinase 2; Protein kinase Myak; EC 2.7.11.1 1210 0 13 672 0 Mus musculus (Mouse) SwissProt::O88904 1 SwissProt MEICKRRVHMYDTVSQIKSPFTTHVAPNTSTNLTMSFSNQLNTVHNQASVLASSSTAAAATLSLANSDVSLLNYQSALYPSSAAPVPGVAQQGVSLQPGTTQICTQTDPFQQTFIVCPPAFQTGLQATTKHSGFPVRMDNAVPIVPQAPAAQPLQIQSGVLTQGSCTPLMVATLHPQVATITPQYAVPFTLSCAAGRPALVEQTAAVLQAWPGGTQQILLPSAWQQLPGVALHNSVQPAAVIPEAMGSSQQLADWRNAHSHGNQYSTIMQQPSLLTNHVTLATAQPLNVGVAHVVRQQQSSSLPSKKNKQSAPVSSKSSLEVLPSQVYSLVGSSPLRTTSSYNSLVPVQDQHQPIIIPDTPSPPVSVITIRSDTDEEEDNKYKPNSSSLKARSNVISYVTVNDSPDSDSSLSSPHPTDTLSALRGNSGTLLEGPGRPAADGIGTRTIIVPPLKTQLGDCTVATQASGLLSSKTKPVASVSGQSSGCCITPTGYRAQRGGASAVQPLNLSQNQQSSSASTSQERSSNPAPRRQQAFVAPLSQAPYAFQHGSPLHSTGHPHLAPAPAHLPSQPHLYTYAAPTSAAALGSTSSIAHLFSPQGSSRHAAAYTTHPSTLVHQVPVSVGPSLLTSASVAPAQYQHQFATQSYIGSSRGSTIYTGYPLSPTKISQYSYL
O94986 61 1258 0.437092070116862 Centrosomal protein of 152 kDa; Cep152 1710 0 13 1198 0 Homo sapiens (Human) SwissProt::O94986 1 SwissProt TDGQPHHPEQLEMSWNEQMLPKSQSVNGYNEIQSLYAGEKCGNVWEENRSKTEDRHPVYHPEEGGDEGGSGYSPPSKCEQTDLYHLPENFRPYTNGQKQEFNNQATNVIKFSDPQWNHFQGPSCQGLEPYNKVTYKPYQSSAQNNGSPAQEITGSDTFEGLQQQFLGANENSAENMQIIQLQVLNKAKERQLENLIEKLNESERQIRYLNHQLVIIKDEKDGLTLSLRESQKLFQNGKEREIQLEAQIKALETQIQALKVNEEQMIKKSRTTEMALESLKQQLVDLHHSESLQRAREQHESIVMGLTKKYEEQVLSLQKNLDATVTALKEQEDICSRLKDHVKQLERNQEAIKLEKTEIINKLTRSLEESQKQCAHLLQSGSVQEVAQLQFQLQQAQKAHAMSANMNKALQEELTELKDEISLYESAAKLGIHPSDSEGELNIELTESYVDLGIKKVNWKKSKVTSIVQEEDPNEELSKDEFILKLKAEVQRLLGSNSMKRHLVSQLQNDLKDCHKKIEDLHQVKKDEKSIEVETKTDTSEKPKNQLWPESSTSDVVRDDILLLKNEIQVLQQQNQELKETEGKLRNTNQDLCNQMRQMVQDFDHDKQEAVDRCERTYQQHHEAMKTQIRESLLAKHALEKQQLFEAYERTHLQLRSELDKLNKEVTAVQECYLEVCREKDNLELTLRKTTEKEQQTQEKIKEKLIQQLEKEWQSKLDQTIKAMKKKTLDCGSQTDQVTTSDVISKKEMAIMIEEQKCTIQQNLEQEKDIAIKGAMKKLEIELELKHCENITKQVEIAVQNAHQRWLGELPELAEYQALVKAEQKKWEEQHEVSVNKRISFAVSEAKEKWKSELENMRKNILPGKELEEKIHSLQKELELKNEEVPVVIRAELAKARSEWNKEKQEEIHRIQEQNEQDYRQFLDDHRNKINEVLAAAKEDFMKQKTELLLQKETELQTCLDQSRREWTMQEAKRIQLEIYQYEEDILTVLGVLLSDTQKEHISDSEDKQLLEIMSTCSSKWMSVQYFEKLKGCIQKAFQDTLPLLVENADPEWKKRNMAELSKDSASQGTGQGDPGPAAGHHAQPLALQATEAEADKKKVLEIKDLCCGHCFQELEKAKQECQDLKGKLEKCCRHLQHLERKHKAVVEKIGEENNKVVEELIEENNDMKNKLEELQTLCKTPPRSLSAGAIENACLPC
P12980 1 147 0.713199319727891 Protein lyl-1 280 0 13 147 0 Homo sapiens P12980 1 SwissProt/TReMBL MCPPQAQAEVGPTMTEKAEMVCAPSPAPAPPPKPASPGPPQVEEVGHRGGSSPPRLPPGVPVISLGHSRPPGVAMPTTELGTLRPPLLQLSTLGTAPPTLALHYHPHPFLNSVYIGPAGPFSIFPSSRLKRRPSHCELDLAEGHQPQ
P13033 1 419 0.197278281622912 PF00890.24:FAD_binding_2:4:399 anaerobic glycerol-3-phosphate dehydrogenase subunit B (EC 1.1.5.3) 419 396 13 419 0 Escherichia coli K-12 substr. MG1655 ecocyc::ANGLYC3PDEHYDROGSUBUNITB-MONOMER 1 ecocyc MRFDTVIMGGGLAGLLCGLQLQKHGLRCAIVTRGQSALHFSSGSLDLLSHLPDGQPVTDIHSGLESLRQQAPAHPYSLLEPQRVLDLACQAQALIAESGAQLQGSVELAHQRVTPLGTLRSTWLSSPEVPVWPLPAKKICVVGISGLMDFQAHLAAASLRELGLAVETAEIELPELDVLRNNATEFRAVNIARFLDNEENWPLLLDALIPVANTCEMILMPACFGLADDKLWRWLNEKLPCSLMLLPTLPPSVLGIRLQNQLQRQFVRQGGVWMPGDEVKKVTCKNGVVNEIWTRNHADIPLRPRFAVLASGSFFSGGLVAERNGIREPILGLDVLQTATRGEWYKGDFFAPQPWQQFGVTTDETLRPSQAGQTIENLFAIGSVLGGFDPIAQGCGGGVCAVSALHAAQQIAQRAGGQQ
P15336 57 353 0.70702962962963 Cyclic AMP-dependent transcription factor ATF-2; cAMP-dependent transcription factor ATF-2; Activating transcription factor 2; Cyclic AMP-responsive element-binding protein 2; CREB-2; cAMP-responsive element-binding protein 2; HB16; Histone acetyltransferase ATF2; cAMP response element-binding protein CRE-BP1; EC 2.3.1.48 505 0 13 297 0 Homo sapiens (Human) SwissProt::P15336 1 SwissProt PARNDSVIVADQTPTPTRFLKNCEEVGLFNELASPFENEFKKASEDDIKKMPLDLSPLATPIIRSKIEEPSVVETTHQDSPLPHPESTTSDEKEVPLAQTAQPTSAIVRPASLQVPNVLLTSSDSSVIIQQAVPSPTSSTVITQAPSSNRPIVPVPGPFPLLLHLPNGQTMPVAIPASITSSNVHVPAAVPLVRPVTMVPSVPGIPGPSSPQPVQSEAKMRLKAALTQQHPPVTNGDTVKGHGSGLVRTQSEESRPQSLQQPATSTTETPASPAHTTPQTQSTSGRRRRAANEDPDE
P16462 1 719 0.243719193324061 PF02382.15:RTX:290:598 Leukotoxin; Lkt 1055 309 13 673 2 Aggregatibacter actinomycetemcomitans (Actinobacillus actinomycetemcomitans) (Haemophilus actinomycetemcomitans) SwissProt::P16462 1 SwissProt MATTTLPNTKQQAAQFANSVADRAKENIDAAKEQLQKALDKLGKTGKKLTLYIPKNYKKGNGLTALIKAAQKLGIEVYHEGKDGPALTNGILNTGKKLLGLTERGLTLFAPELDKWIQGNKHLSNSVGSTGNLTKAIDKVQSVLGTLQAFLNTAFSGMDLDALIKARQNGKNVTDVQLAKASLNLINELIGTISSITNNVDTFSKQLNKLGEALGQVKHFGSFGDKLKNLPKLGNLGKGLGALSGVLSAISAALLLANKDADTATKAAAAAELTNKVLGNIGKAITQYLIAQRAAAGLSTTGPVAGLIASVVSLAISPLSFLGIAKQFDRARMLEEYSKRFKKFGYNGDSLLGQFYKNTGIADAAITTINTVLSAIAAGVGAASAGSLVGAPIGLLVSAITSLISGILDASKQAVFEHIANQLADKIKAWENKYGKNYFENGYDARHSAFLEDSLKLFNELREKYKTENILSITQQGWDQRIGELAGITRNGDRIQSGKAYVDYLKKGEELAKHSDKFTKQILDPIKGNIDLSGIKGSTTLTFLNPLLTAGKEERKTRQSGKYEFITELKVKGRTDWKVKGVPNSNGVYDFSNLIQHAVTRDNKVLEARLIANLGAKDDYVFVGSGSTIVNAGDGYDVVDYSKGRTGALTIDGRNATKAGQYKVERDLSGTQVLQETVSKQETKRGKVTDLLEYRNYKLDYYYTNKGFKAHDELNSVEE
P19893 1 466 0.660078326180257 PF07340.11:Herpes_IE1:1:87,PF03361.14:Herpes_IE2_3:383:466 Viral transcription factor IE2; IE2; Protein UL122 580 171 13 466 0 Human cytomegalovirus (strain AD169) (HHV-5) (Human herpesvirus 5) SwissProt::P19893 1 SwissProt MESSAKRKMDPDNPDEGPSSKVPRPETPVTKATTFLQTMLRKEVNSQLSLGDPLFPELAEESLKTFEQVTEDCNENPEKDVLAELGDILAQAVNHAGIDSSSTGPTLTTHSCSVSSAPLNKPTPTSVAVTNTPLPGASATPELSPRKKPRKTTRPFKVIIKPPVPPAPIMLPLIKQEDIKPEPDFTIQYRNKIIDTAGCIVISDSEEEQGEEVETRGATASSPSTGSGTPRVTSPTHPLSQMNHPPLPDPLGRPDEDSSSSSSSSCSSASDSESESEEMKCSSGGGASVTSSHHGRGGFGGAASSSLLSCGHQSSGGASTGPRKKKSKRISELDNEKVRNIMKDKNTPFCTPNVQTRRGRVKIDEVSRMFRNTNRSLEYKNLPFTIPSMHQVLDEAIKACKTMQVNNKGIQIIYTRNHEVKSEVDAVRCRLGTMCNLALSTPFLMEHTMPVTHPPEVAQRTADACN
P21212 1 58 0.300631034482759 Uncharacterized protein in lcrE 5'region (Fragment) 58 0 13 58 0 Yersinia enterocolitica P21212 1 SwissProt/TReMBL MHQVGVGEHLLGQVLDGLGQPFDGGHLPEPAAWYPVYQDAPAPMSRKLITTPLSLGIL
P26406 1 277 0.0355837545126354 PF13727.6:CoA_binding_3:86:232 undecaprenyl-phosphate galactose phosphotransferase (EC 2.7.8.6) 476 147 13 196 4 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) metacyc::MONOMER-16196 1 metacyc MDNIDNKYNPQLCKIFLAISDLIFFNLALWFSLGCVYFIFDQVQRFIPQDQLDTRVITHFILSVVCVGWFWIRLRHYTYRKPFWYELKEIFRTIVIFAIFDLALIAFTKWQFSRYVWVFCWTFALILVPFFRALTKHLLNKLGIWKKKTIILGSGQNARGAYSALQSEEMMGFDVIAFFDTDASDAEINMLPVIKDTEIIWDLNRTGDVHYILAYEYTELEKTHFWLRELSKHHCRSVTVVPSFRGLPLYNTDMSFIFSHEVMLLRIQNNLAKRSSR
P27128 1 339 0.0748882005899705 PF01501.20:Glyco_transf_8:31:277,PF08437.10:Glyco_transf_8C:280:336 UDP-D-glucose:(glucosyl)LPS α-1,3-glucosyltransferase (EC 2.4.1.73) 339 304 13 339 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11352-MONOMER 1 ecocyc MQQVFFQETEFLNSVIDYDHKVETENLCLDIAYGTDKNFLFGCGISIASILKYNEGSRLCFHIFTDYFGDDDRKYFDALALQYKTRIKIYLINGDRLRSLPSTKNWTHAIYFRFVIADYFINKAPKVLYLDADIICQGTIEPLINFSFPDDKVAMVVTEGQADWWEKRAHSLGVAGIAKGYFNSGFLLINTAQWAAQQVSARAIAMLNEPEIIKKITHPDQDVLNMLLADKLIFADIKYNTQFSLNYQLKESFINPVTNDTIFIHYIGPTKPWHDWAWDYPVSQAFMEAKNASPWKNTALLKPNNSNQLRYSAKHMLKKHRYLKGFSNYLFYFIEKIKH
P30305 1 369 0.625721680216802 PF06617.13:M-inducer_phosp:113:368 M-phase inducer phosphatase 2; Dual specificity phosphatase Cdc25B; EC 3.1.3.48 580 256 13 369 0 Homo sapiens (Human) SwissProt::P30305 1 SwissProt MEVPQPEPAPGSALSPAGVCGGAQRPGHLPGLLLGSHGLLGSPVRAAASSPVTTLTQTMHDLAGLGSETPKSQVGTLLFRSRSRLTHLSLSRRASESSLSSESSESSDAGLCMDSPSPMDPHMAEQTFEQAIQAASRIIRNEQFAIRRFQSMPVRLLGHSPVLRNITNSQAPDGRRKSEAGSGAASSSGEDKENDGFVFKMPWKPTHPSSTHALAEWASRREAFAQRPSSAPDLMCLSPDRKMEVEELSPLALGRFSLTPAEGDTEEDDGFVDILESDLKDDDAVPPGMESLISAPLVKTLEKEEEKDLVMYSKCQRLFRSPSMPCSVIRPILKRLERPQDRDTPVQNKRRRSVTPPEEQQEAEEPKAR
P40200 142 585 0.360105630630631 T-cell surface protein tactile; Cell surface antigen CD96; T cell-activated increased late expression protein; CD96 antigen 585 0 13 421 1 Homo sapiens (Human) SwissProt::P40200 1 SwissProt ADEWNSNHTIEIEINQTLEIPCFQNSSSKISSEFTYAWSVENSSTDSWVLLSKGIKEDNGTQETLISQNHLISNSTLLKDRVKLGTDYRLHLSPVQIFDDGRKFSCHIRVGPNKILRSSTTVKVFAKPEIPVIVENNSTDVLVERRFTCLLKNVFPKANITWFIDGSFLHDEKEGIYITNEERKGKDGFLELKSVLTRVHSNKPAQSDNLTIWCMALSPVPGNKVWNISSEKITFLLGSEISSTDPPLSVTESTLDTQPSPASSVSPARYPATSSVTLVDVSALRPNTTPQPSNSSMTTRGFNYPWTSSGTDTKKSVSRIPSETYSSSPSGAGSTLHDNVFTSTARAFSEVPTTANGSTKTNHVHITGIVVNKPKDGMSWPVIVAALLFCCMILFGLGVRKWCQYQKEIMERPPPFKPPPPPIKYTCIQEPNESDLPYHEMETL
P40238 1 635 0.283332440944882 PF09067.10:EpoR_lig-bind:25:128,PF00041.21:fn3:394:475 Thrombopoietin receptor; TPO-R; Myeloproliferative leukemia protein; Proto-oncogene c-Mpl; CD110 antigen 635 186 13 612 1 Homo sapiens (Human) SwissProt::P40238 1 SwissProt MPSWALFMVTSCLLLAPQNLAQVSSQDVSLLASDSEPLKCFSRTFEDLTCFWDEEEAAPSGTYQLLYAYPREKPRACPLSSQSMPHFGTRYVCQFPDQEEVRLFFPLHLWVKNVFLNQTRTQRVLFVDSVGLPAPPSIIKAMGGSQPGELQISWEEPAPEISDFLRYELRYGPRDPKNSTGPTVIQLIATETCCPALQRPHSASALDQSPCAQPTMPWQDGPKQTSPSREASALTAEGGSCLISGLQPGNSYWLQLRSEPDGISLGGSWGSWSLPVTVDLPGDAVALGLQCFTLDLKNVTCQWQQQDHASSQGFFYHSRARCCPRDRYPIWENCEEEEKTNPGLQTPQFSRCHFKSRNDSIIHILVEVTTAPGTVHSYLGSPFWIHQAVRLPTPNLHWREISSGHLELEWQHPSSWAAQETCYQLRYTGEGHQDWKVLEPPLGARGGTLELRPRSRYRLQLRARLNGPTYQGPWSSWSDPTRVETATETAWISLVTALHLVLGLSAVLGLLLLRWQFPAHYRRLRHALWPSLPDLHRVLGQYLRDTAALSPPKATVSDTCEEVEPSLLEILPKSSERTPLPLCSSQAQMDYRRLQPSCLGTMPLSVCPPMAESGSCCTTHIANHSYLPLSYWQQP
P48651 1 473 0.0879264270613108 PF03034.15:PSS:96:372 Phosphatidylserine synthase 1; PSS-1; PtdSer synthase 1; Serine-exchange enzyme I; EC 2.7.8.29 473 277 13 279 9 Homo sapiens (Human) SwissProt::P48651 1 SwissProt MASCVGSRTLSKDDVNYKMHFRMINEQQVEDITIDFFYRPHTITLLSFTIVSLMYFAFTRDDSVPEDNIWRGILSVIFFFLIISVLAFPNGPFTRPHPALWRMVFGLSVLYFLFLVFLLFLNFEQVKSLMYWLDPNLRYATREADVMEYAVNCHVITWERIISHFDIFAFGHFWGWAMKALLIRSYGLCWTISITWELTELFFMHLLPNFAECWWDQVILDILLCNGGGIWLGMVVCRFLEMRTYHWASFKDIHTTTGKIKRAVLQFTPASWTYVRWFDPKSSFQRVAGVYLFMIIWQLTELNTFFLKHIFVFQASHPLSWGRILFIGGITAPTVRQYYAYLTDTQCKRVGTQCWVFGVIGFLEAIVCIKFGQDLFSKTQILYVVLWLLCVAFTTFLCLYGMIWYAEHYGHREKTYSECEDGTYSPEISWHHRKGTKGSEDSPPKHAGNNESHSSRRRNRHSKSKVTNGVGKK
P49006 1 195 0.914767179487179 PF02063.17:MARCKS:3:43,PF02063.17:MARCKS:56:193 MARCKS-related protein; MARCKS-like protein 1; Macrophage myristoylated alanine-rich C kinase substrate; Mac-MARCKS; MacMARCKS 195 179 13 195 0 Homo sapiens (Human) SwissProt::P49006 1 SwissProt MGSQSSKAPRGDVTAEEAAGASPAKANGQENGHVKSNGDLSPKGEGESPPVNGTDEAAGATGDAIEPAPPSQGAEAKGEVPPKETPKKKKKFSFKKPFKLSGLSFKRNRKEGGGDSSASSPTEEEQEQGEIGACSDEGTAQEGKAAATPESQEPQAKGAEASAASEEEAGPQATEPSTPSGPESGPTPASAEQNE
P50945 1 234 0.155082905982906 MICOS complex subunit MIC27; Altered inheritance of mitochondria protein 37; Mitochondrial contact site complex 27 kDa subunit 234 0 13 234 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50945 1 SwissProt MVNFYDDVDESKSHGEFPLIPVVLQNSSELSVRTIPTGNEIIESVHLTKWLRKYRNALASQLDRYEKGWQSKIANFRLQVQHVINYSRKNIFNVDSENKHTVVPGSLIALGAFFAGSIAVNRSNWGAKRLIFGHKSSILEKLCTSLPSRILLPWVLAAATFKYWAPQTSQNLVNATENDLLPADFVKSYHNTWKRIYEEGYVAKKCDLKRQIDQTLQKNIRYAREQLYEKLEQA
P52824 109 942 0.27811175059952 PF00609.19:DAGK_acc:633:785,PF00788.23:RA:288:385,PF00781.24:DAGK_cat:480:585,PF00130.22:C1_1:76:127 Diacylglycerol kinase theta; DAG kinase theta; Diglyceride kinase theta; DGK-theta; EC 2.7.1.107 942 409 13 834 0 Homo sapiens (Human) SwissProt::P52824 1 SwissProt TSVAPSLVRVPVAHCFGPRGLHKRKFCAVCRKVLEAPALHCEVCELHLHPDCVPFACSDCRQCHQDGHQDHDTHHHHWREGNLPSGARCEVCRKTCGSSDVLAGVRCEWCGVQAHSLCSAALAPECGFGRLRSLVLPPACVRLLPGGFSKTQSFRIVEAAEPGEGGDGADGSAAVGPGRETQATPESGKQTLKIFDGDDAVRRSQFRLVTVSRLAGAEEVLEAALRAHHIPEDPGHLELCRLPPSSQACDAWAGGKAGSAVISEEGRSPGSGEATPEAWVIRALPRAQEVLKIYPGWLKVGVAYVSVRVTPKSTARSVVLEVLPLLGRQAESPESFQLVEVAMGCRHVQRTMLMDEQPLLDRLQDIRQMSVRQVSQTRFYVAESRDVAPHVSLFVGGLPPGLSPEEYSSLLHEAGATKATVVSVSHIYSSQGAVVLDVACFAEAERLYMLLKDMAVRGRLLTALVLPDLLHAKLPPDSCPLLVFVNPKSGGLKGRDLLCSFRKLLNPHQVFDLTNGGPLPGLHLFSQVPCFRVLVCGGDGTVGWVLGALEETRYRLACPEPSVAILPLGTGNDLGRVLRWGAGYSGEDPFSVLLSVDEADAVLMDRWTILLDAHEAGSAENDTADAEPPKIVQMSNYCGIGIDAELSLDFHQAREEEPGKFTSRLHNKGVYVRVGLQKISHSRSLHKQIRLQVERQEVELPSIEGLIFINIPSWGSGADLWGSDSDTRFEKPRMDDGLLEVVGVTGVVHMGQVQGGLRSGIRIAQGSYFRVTLLKATPVQVDGEPWVQAPGHMIISAAGPKVHMLRKAKQKPRRAGTTRDARADAAPAPESDPR
P53059 1 558 0.0941136200716845 PF11051.8:Mannosyl_trans3:174:450 Alpha-1,3-mannosyltransferase MNT2; EC 2.4.1.- 558 277 13 539 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53059 1 SwissProt MRRKNRLFILVVLLGIVLVVYYSQLNSLDLVEPVQSSSSGNGGCWSYYEGLTPGWLNDFYDVNQITPNPAKDVIELVTRIKIFFNCLQQVDGHNIQRLRDIEKKLFPYINFEKLETDESAFWHTTTRWNGEVYHASMLEFDPKNHQFLRSKPINFDTGLSFWENWLHTVTQSGSKGIVISASDVQLNETIRLLKVLRFIKNDYPIQIVHNADLSQDSMKSIIKYARSLDTAEYPAQELWFLNVHSLLNPKYSKKFTTYSNKWLALTFSSFEIPILMDSDTVPFVSIEKFYELEEFQKTGVLFFKDRVISDDLFESSELKILREIVYGCIGLDLEDESKIHEQVEDPVVAQVLENMFIKKYKHHLESGLVILHKGKHLFSMLTSIALQFSPIAEYFHGDKDFFWLGELLSNNRFTFHPVDASNIGQLGNVVSKESTGEFYQICSVQLSHTDRDGSLLWLNGGLNICKKTSWEYDYEHRQRLNDMFQNADELREYYASPVKLEGIIIPDTSISGWINSGECFLFNYCTLFKEGEFGKLIKFKEDEKLRLSQIVDIWNKDI
P82348 1 291 0.281245017182131 PF04790.13:Sarcoglycan_1:25:277 Gamma-sarcoglycan; Gamma-SG; 35 kDa dystrophin-associated glycoprotein; 35DAG 291 253 13 268 1 Mus musculus (Mouse) SwissProt::P82348 1 SwissProt MVREQYTTVTEGTHIERPENQHIYKIGIYGWRKRCLYLFVLLLLAILVVNLALTIWILKVMWFSPIGMGHLHVTADGLRLEGESEFLFPLYAKEIRSRVDSSLLLQSTQNVTVSARNSEGEVTGRVKVGAQMVEVQSQHFQINSEDGKPLFSAEEQDVVVGTGRLRVTGPEGALFEHSVETPLVRADPFQDLRLESPTRSLSMDAPRGVHVKANAGKLEALSQMDIILQSSEGVLVLDAETVGLTKLKQGTQGPAGSSNGFYEICACPDGKLYLSMAGEVTTCEEHSHVCL
Q02794 1 444 0.430265315315316 PF17235.2:STD1:135:344 Protein STD1; Glucose repression modulator MSN3; Suppressor of Tbp deletion protein 1; Suppressor of fluoride sensitivity 3 444 210 13 444 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02794 1 SwissProt MFVSPPPATARNQVLGKRKSKRHDENPKNVQPNADTEMTNSVPSIGFNSNLPHNNQEINTPNHYNLSSNSGNVRSNNNFVTTPPEYADRARIEIIKRLLPTAGTKPMEVNSNTAENANIQHINTPDSQSFVSDHSSSYESSIFSQPSTALTDITTGSSLIDTKTPKFVTEVTLEDALPKTFYDMYSPEVLMSDPANILYNGRPKFTKRELLDWDLNDIRSLLIVEQLRPEWGSQLPTVVTSGINLPQFRLQLLPLSSSDEFIIATLVNSDLYIEANLDRNFKLTSAKYTVASARKRHEEMTGSKEPIMRLSKPEWRNIIENYLLNVAVEAQCRYDFKQKRSEYKRWKLLNSNLKRPDMPPPSLIPHGFKIHDCTNSGSLLKKALMKNLQLKNYKNDAKTLGAGTQKNVVNKVSLTSEERAAIWFQCQTQVYQRLGLDWKPDGMS
Q02978 1 314 0.141284713375796 PF00153.27:Mito_carr:23:107,PF00153.27:Mito_carr:118:211,PF00153.27:Mito_carr:218:308 Mitochondrial 2-oxoglutarate/malate carrier protein; OGCP; Solute carrier family 25 member 11 314 270 13 314 0 Homo sapiens (Human) SwissProt::Q02978 1 SwissProt MAATASAGAGGIDGKPRTSPKSVKFLFGGLAGMGATVFVQPLDLVKNRMQLSGEGAKTREYKTSFHALTSILKAEGLRGIYTGLSAGLLRQATYTTTRLGIYTVLFERLTGADGTPPGFLLKAVIGMTAGATGAFVGTPAEVALIRMTADGRLPADQRRGYKNVFNALIRITREEGVLTLWRGCIPTMARAVVVNAAQLASYSQSKQFLLDSGYFSDNILCHFCASMISGLVTTAASMPVDIAKTRIQNMRMIDGKPEYKNGLDVLFKVVRYEGFFSLWKGFTPYYARLGPHTVLTFIFLEQMNKAYKRLFLSG
Q03714 1 838 0.234609904534606 U1 SNP1-associating protein 1 838 0 13 795 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03714 1 SwissProt MSEYLAQTPCKFTIWSSEIDLIRTNLLVNAHPLSTVGRLLQYIHYQIYKQLRAIYQPEEQCTNSEIPHTPLNSINTYFLSYEGRELSATCLLKDITSSSHPDSNHFIRLQLEKRTSPSGSAFDLEYDMEGEFNSMNIQFEINTLSSQRIFNSMEPNLPIGTTLARLEKLALERIKDFEKSAGNLCGIKEDHSVSDLQGFIIKGKQTPMFLNYGSDSDYYKDLNLVDLIGIDFAPAHNSFFTFLFKMNHEQNSHIANDEERFVLEFISDATLSITQMNVKPDTTVKQVKDFICSVYTHSLNLRRNDIKLIYKGQLLHENNFAGNSSKISEYIKEPHEVKVHVQINQEYTESGPGFWNEVFNNPNIFQFMPPDTRSQSPVSFAPTQGRSPAAIRGEERGIPYVTESGNDIVPTDELYRKCIINGDEVVFIPVSELNPQSSYLSVIKGDYGEIKIPISSNDYRINGDNILLSPSAIEQLESALNFKIERPRDSTLLHPSGEHVRAADNTSSANDNNTVENDESAWNRRVVRPLRNSFPLLLVLIRTFYLIGYNSLVPFFIILEFGSFLPWKYIILLSLLFIFRTVWNTQEVWNLWRDYLHLNEIDEVKFSQIKEFINSNSLTLNFYKKCKDTQSAIDLLMIPNLHEQRLSVYSKYDIEYDTNTPDVGQLNLLFIKVLSGEIPKDALDELFKEFFELYETTRNMNTLYPQDSLNELLLMIWKESQKKDINTLPKYRRWFQTLCSQIAEHNVLDVVLRYIIPDPVNDRVITAVIKNFVLFWVTLLPYVKEKLDDIVAQRARDREQPAPSAQQQENEDEALIIPDEEEPTATGAQPHLYIPDED
Q03899 1 556 0.101122122302158 F-box protein YDR131C 556 0 13 556 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03899 1 SwissProt MFDKLPYEIFKQIAWRIPQEDKISLTYVCKRSYESIIPFIYQNLFLNETYHINGDYDNSFGTCYWSVLNFHYIDEDDSNTKNDMSNRRLAKVKFSYFERTLAESPKRLCPLINRIRCTWHLNEDVMTNVLKLLSEYGSNLKFVDQFVRSSVNKGLEPLSKQLKTLTLTPPTLMPTHNSVSGSYLNKIDRLLLKCDLSRLEKLSIHINALKYFKNTGSPMKIKALVLNLRPDTLNLAEYDASDDFLKELEYIDIFDASTLRQLEILSWYSRDDFPSGEEGGFDRLYVKWGLEGFWKFPNIEKLSLASLVYSEFFLMNCLAVFHNLKILKLDYMGKFDFDVSLINFLSKQVCGKKLQRFDIHCQLNHRLFFPMTDNPLTRLNFDGFCPCSTCKNTIHEVILKKIFPETRSKLLKNPNKFQAHNFFYQMFFENKIMPYTNIIDNESPAMGWDSVPIETFVRKFNENLQSTIENTENITVNKITREDAISLYHLYLHYLKDVFKVFEQSLPNLEYLTINGIPTKIIQVDELQRCAVPLFYNNGYKSNSVYELVDAEALFS
Q06188 1 304 0.407871381578947 PF00855.17:PWWP:6:95 PWWP domain-containing protein YLR455W 304 90 13 304 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06188 1 SwissProt MTKDIRTGDLVLCKVGSFPPWPAVVFPQRLLRNDVYRKRKSNCVAVCFFNDPTYYWEQPSRLKELDQDSIHNFILEHSKNANQRELVNAYKEAKNFDDFNVFLQEKFEEENRLSDLKAFEKSEGSKIVAGEDPFVGRTKVVNKRKKNSISIKEDPEDNQKSNEEESKPNIKPSKKKRPTANSGGKSNSGNKKKVKLDYSRRVEISQLFRRRIQRNLIQRETPPTEHEIKETHELLNRIYENSDTKRPFFDLKALRESKLHKLLKAIVNDPDLGEFHPLCKEILLSWADLITELKKEKLQALPTP
Q08905 1 711 0.0969151898734176 PF08030.12:NAD_binding_6:537:690,PF08022.12:FAD_binding_8:431:532,PF01794.19:Ferric_reduct:281:395 Ferric reductase transmembrane component 3; Ferric-chelate reductase 3; EC 1.16.1.9 711 371 13 559 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08905 1 SwissProt MYWVLLCGSILLCCLSGASASPAKTKMYGKLPLVLTDACMGVLGEVTWEYSSDDLYSSPACTYEPALQSMLYCIYESLNEKGYSNRTFEKTFAAIKEDCAYYTDNLQNMTNADFYNMLNNGTTYIIQYSEGSANLTYPIEMDAQVRENYYYSYHGFYANYDIGHTYGGIICAYFVGVMILASILHYLSYTPFKTALFKQRLVRYVRRYLTIPTIWGKHASSFSYLKIFTGFLPTRSEGVIILGYLVLHTVFLAYGYQYDPYNLIFDSRREQIARYVADRSGVLAFAHFPLIALFAGRNNFLEFISGVKYTSFIMFHKWLGRMMFLDAVIHGAAYTSYSVFYKDWAASKEETYWQFGVAALCIVGVMVFFSLAMFRKFFYEAFLFLHIVLGALFFYTCWEHVVELSGIEWIYAAIAIWTIDRLIRIVRVSYFGFPKASLQLVGDDIIRVTVKRPVRLWKAKPGQYVFVSFLHHLYFWQSHPFTVLDSIIKDGELTIILKEKKGVTKLVKKYVCCNGGKASMRLAIEGPYGSSSPVNNYDNVLLLTGGTGLPGPIAHAIKLGKTSAATGKQFIKLVIAVRGFNVLEAYKPELMCLEDLNVQLHIYNTMEVPALTPNDSLEISQQDEKADGKGVVMATTLEQSPNPVEFDGTVFHHGRPNVEKLLHEVGDLNGSLAVVCCGPPVFVDEVRDQTANLVLEKPAKAIEYFEEYQSW
Q16585 1 318 0.312977044025157 PF04790.13:Sarcoglycan_1:54:302 Beta-sarcoglycan; Beta-SG; 43 kDa dystrophin-associated glycoprotein; 43DAG; A3b 318 249 13 295 1 Homo sapiens (Human) SwissProt::Q16585 1 SwissProt MAAAAAAAAEQQSSNGPVKKSMREKAVERRSVNKEHNSNFKAGYIPIDEDRLHKTGLRGRKGNLAICVIILLFILAVINLIITLVIWAVIRIGPNGCDSMEFHESGLLRFKQVSDMGVIHPLYKSTVGGRRNENLVITGNNQPIVFQQGTTKLSVENNKTSITSDIGMQFFDPRTQNILFSTDYETHEFHLPSGVKSLNVQKASTERITSNATSDLNIKVDGRAIVRGNEGVFIMGKTIEFHMGGNMELKAENSIILNGSVMVSTTRLPSSSSGDQLGSGDWVRYKLCMCADGTLFKVQVTSQNMGCQISDNPCGNTH
Q38828 1 212 0.434599056603774 PF02309.16:AUX_IAA:16:208 Auxin-responsive protein IAA10; Indoleacetic acid-induced protein 10 261 193 13 212 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38828 1 SwissProt MNGLQEVCSSSGSVMIGLPAEEDENAAHSSEDSSCPDESVSETELDLALGLSIGRRKVRSSLSSSSSSLTRESGTKRSADSSPAAASNATRQVAVGWPPLRTYRINSLVNQAKSLATEGGLSSGIQKETTKSVVVAAKNDDACFIKSSRTSMLVKVTMDGVIIGRKVDLNALDSYAALEKTLDLMFFQIPSPVTRSNTQGYKTIKETCTSKL
Q39208 1 281 0.0368875444839857 PF04116.13:FA_hydroxylase:133:262 Delta(7)-sterol-C5(6)-desaturase 1; Delta(7)-sterol-C5-desaturase 1; Delta-7-C-5 sterol desaturase 1; Protein DWARF 7; Protein STEROL 1; EC 1.14.19.20 281 130 13 212 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39208 1 SwissProt MAADNAYLMQFVDETSFYNRIVLSHLLPANLWEPLPHFLQTWLRNYLAGTLLYFISGFLWCFYIYYLKINVYLPKDAIPTIKAMRLQMFVAMKAMPWYTLLPTVSESMIERGWTKCFASIGEFGWILYFVYIAIYLVFVEFGIYWMHRELHDIKPLYKYLHATHHIYNKQNTLSPFAGLAFHPVDGILQAVPHVIALFIVPIHFTTHIGLLFMEAIWTANIHDCIHGNIWPVMGAGYHTIHHTTYKHNYGHYTIWMDWMFGSLRDPLLEEDDNKDSFKKAE
Q3E790 1 80 0.08120375 Serine palmitoyltransferase-regulating protein TSC3; Temperature-sensitive CSG2-mutant suppressor protein 3 80 0 13 57 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E790 1 SwissProt MTQHKSSMVYIPTTKEAKRRNGKSEGILNTIEEVVEKLYWTYYIHLPFYLMASFDSFFLHVFFLTIFSLSFFGILKYCFL
Q8H174 1 120 0.37208 PF02309.16:AUX_IAA:47:113 Auxin-responsive protein IAA31; Indoleacetic acid-induced protein 31 158 67 13 120 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H174 1 SwissProt MEVSNSCSSFSSSSVDSTKPSPSESSVNLSLSLTFPSTSPQREARQDWPPIKSRLRDTLKGRRLLRRGDDTSLFVKVYMEGVPIGRKLDLCVFSGYESLLENLSHMFDTSIICGNRDRKH
Q96282 307 779 0.086924312896406 PF00654.20:Voltage_CLC:26:261,PF00571.28:CBS:409:457 Chloride channel protein CLC-c; AtCLC-c; CBS domain-containing protein CBSCLC4 779 285 13 358 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q96282 1 SwissProt IEFCRSGRCGLFGKGGLIMFDVNSGPVLYSTPDLLAIVFLGVIGGVLGSLYNYLVDKVLRTYSIINEKGPRFKIMLVMAVSILSSCCAFGLPWLSQCTPCPIGIEEGKCPSVGRSSIYKSFQCPPNHYNDLSSLLLNTNDDAIRNLFTSRSENEFHISTLAIFFVAVYCLGIITYGIAIPSGLFIPVILAGASYGRLVGRLLGPVSQLDVGLFSLLGAASFLGGTMRMTVSLCVILLELTNNLLMLPLVMLVLLISKTVADCFNRGVYDQIVTMKGLPYMEDHAEPYMRNLVAKDVVSGALISFSRVEKVGVIWQALKMTRHNGFPVIDEPPFTEASELCGIALRSHLLVLLQGKKFSKQRTTFGSQILRSCKARDFGKAGLGKGLKIEDLDLSEEEMEMYVDLHPITNTSPYTVLETLSLAKAAILFRQLGLRHLCVVPKTPGRPPIVGILTRHDFMPEHVLGLYPHIDPLK
Q99697 151 317 0.346195808383233 PF03826.17:OAR:125:142 Pituitary homeobox 2; ALL1-responsive protein ARP1; Homeobox protein PITX2; Paired-like homeodomain transcription factor 2; RIEG bicoid-related homeobox transcription factor; Solurshin 317 18 13 167 0 Homo sapiens (Human) SwissProt::Q99697 1 SwissProt LCKNGFGPQFNGLMQPYDDMYPGYSYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQSMFSPPNSISSMSMSSSMVPSAVTGVPGSSLNSLNNLNNLSSPSLNSAVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSSFGYASVQNPASNLSACQYAVDRPV
SwissProt::M1W5Z7 1 344 0.170240697674419 PF10017.9:Methyltransf_33:19:341 4-dimethylallyltryptophan N-methyltransferase easF; 4-dimethylallyltryptophan methyltransferase; Ergot alkaloid synthesis protein F; EC 2.1.1.261 344 323 13 344 0 Claviceps purpurea (strain 20.1) (Ergot fungus) (Sphacelia segetum) SwissProt::M1W5Z7 1 SwissProt MPALPVIDIRSNHVEDSLPEQIIKGLTSQPKTLPPLLFYSNEGLEHWNHHSRQPDFYPRRQEIEILKQGGNDIARSIAPSSVILDLGSANLEKVGYLLEALEAQEKDVLYFALDISAPQLATTLKEIPSSNFRHVRFAGLHGTFEDGLRWINETPEIRDLPHCVLLLGLTIGNFSRQNAAAFLQNIANHALTGASKNKSSILLSLDSCKVPTKVTRAYTSDGVVPFALQALTYAKALLCDRIDNGIDEKVLSCNLRPEHWHYLSEWNFALGRHEASLIPRFGDVCLGSMLQDIIVKKEEKVRFACSYKYDAKERQKLFLDSGVDQGMVWTNEGCDVAIYELKLA
SwissProt::O13317 1 293 0.241715699658703 PF00067.22:p450:56:287 Trichothecene C-15 hydroxylase; Core trichothecene cluster (CTC) protein 11; Cytochrome P450 monooxygenase TRI11; EC 1.-.-.- 492 232 13 270 1 Fusarium sporotrichioides SwissProt::O13317 1 SwissProt MFQYSLWPLLALSGGTGLAYLVVVVVYNLFFHPLRNFPGPWLNSITQVPHTLLMLCGLPHKKHLALHMKYGPVVRIGPNMLSFNHPDAMKDVRGHRKSGEPEHGKDPISVQSNGDNIVGSDRENHTRFRRALAYGFSAQAMLEQEPTFKAYVNQLFQRLHEQSSGGTKPVDISKWYTFTTFDMIGDLAFGESFSCLDNSTYHPWVSLAFESLKSLAFLAEIGRYPRIAPYLGLLVPRGLLTKFAENKELASMKVRKRLDTETDRPDFVGKITQGLKSKGTSMEFNELASNASV
SwissProt::O15121 1 323 0.0523130030959752 PF00487.24:FA_desaturase:68:291,PF08557.10:Lipid_DES:6:42 Sphingolipid delta(4)-desaturase DES1; Cell migration-inducing gene 15 protein; Degenerative spermatocyte homolog 1; Membrane lipid desaturase; EC 1.14.19.17 323 261 13 197 6 Homo sapiens (Human) SwissProt::O15121 1 SwissProt MGSRVSREDFEWVYTDQPHADRRREILAKYPEIKSLMKPDPNLIWIIIMMVLTQLGAFYIVKDLDWKWVIFGAYAFGSCINHSMTLAIHEIAHNAAFGNCKAMWNRWFGMFANLPIGIPYSISFKRYHMDHHRYLGADGVDVDIPTDFEGWFFCTAFRKFIWVILQPLFYAFRPLFINPKPITYLEVINTVAQVTFDILIYYFLGIKSLVYMLAASLLGLGLHPISGHFIAEHYMFLKGHETYSYYGPLNLLTFNVGYHNEHHDFPNIPGKSLPLVRKIAAEYYDNLPHYNSWIKVLYDFVMDDTISPYSRMKRHQKGEMVLE
SwissProt::O23530 191 1437 0.127912109061748 PF00931.22:NB-ARC:6:240,PF07725.12:LRR_3:410:428,PF07725.12:LRR_3:571:589 Protein SUPPRESSOR OF npr1-1, CONSTITUTIVE 1; AtSNC1; Disease resistance RPP5-like protein 1437 273 13 1247 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23530 1 SwissProt DLVGIENHIEAIKSVLCLESKEARIMVGIWGQSGIGKSTIGRALYSKLSIQFHHRAFITYKSTSGSDVSGMKLRWEKELLSEILGQKDIKIEHFGVVEQRLKQQKVLILLDDVDSLEFLKTLVGKAEWFGSGSRIIVITQDRQLLKAHEIDLIYEVEFPSEHLALTMLCRSAFGKDSPPDDFKELAFEVAKLAGNLPLGLSVLGSSLKGRTKEWWMEMMPRLRNGLNGDIMKTLRVSYDRLHQKDQDMFLYIACLFNGFEVSYVKDLLKDNVGFTMLTEKSLIRITPDGYIEMHNLLEKLGREIDRAKSKGNPGKRRFLTNFEDIHEVVTEKTGTETLLGIRLPFEEYFSTRPLLIDKESFKGMRNLQYLEIGYYGDLPQSLVYLPLKLRLLDWDDCPLKSLPSTFKAEYLVNLIMKYSKLEKLWEGTLPLGSLKEMNLRYSNNLKEIPDLSLAINLEELDLVGCKSLVTLPSSIQNATKLIYLDMSDCKKLESFPTDLNLESLEYLNLTGCPNLRNFPAIKMGCSDVDFPEGRNEIVVEDCFWNKNLPAGLDYLDCLTRCMPCEFRPEQLAFLNVRGYKHEKLWEGIQSLGSLEGMDLSESENLTEIPDLSKATKLESLILNNCKSLVTLPSTIGNLHRLVRLEMKECTGLEVLPTDVNLSSLETLDLSGCSSLRSFPLISTNIVWLYLENTAIEEIPSTIGNLHRLVRLEMKKCTGLEVLPTDVNLSSLETLDLSGCSSLRSFPLISESIKWLYLENTAIEEIPDLSKATNLKNLKLNNCKSLVTLPTTIGNLQKLVSFEMKECTGLEVLPIDVNLSSLMILDLSGCSSLRTFPLISTNIVWLYLENTAIEEIPSTIGNLHRLVKLEMKECTGLEVLPTDVNLSSLMILDLSGCSSLRTFPLISTRIECLYLQNTAIEEVPCCIEDFTRLTVLMMYCCQRLKTISPNIFRLTRLELADFTDCRGVIKALSDATVVATMEDHVSCVPLSENIEYIWDKLYRVAYLQEHFSFRNCFKLDRDARELILRSCFKPVALPGEEIPKYFTYRAYGDSLTVIVPQSSLSQNFLRFKACVVVEPLSKGKGFYPFLKVNVGFNGKQYQKSFSKDAELELCKTDHLFFCSFKFRSEDLPSKLNFNDVEFKFCCSNRIKECGVRLMYVSQEENNQQTTRSEKRMRMTSGTSEEDINLPYGLIVADTGLAALNMELSLGQGEPSSSTSLEGEALCVDYMITEEQDKGIPILFPVSGN
SwissProt::O75444 1 195 0.540914871794872 PF08383.11:Maf_N:87:119 Transcription factor Maf; Proto-oncogene c-Maf; V-maf musculoaponeurotic fibrosarcoma oncogene homolog 373 33 13 195 0 Homo sapiens (Human) SwissProt::O75444 1 SwissProt MASELAMSNSDLPTSPLAMEYVNDFDLMKFEVKKEPVETDRIISQCGRLIAGGSLSSTPMSTPCSSVPPSPSFSAPSPGSGSEQKAHLEDYYWMTGYPQQLNPEALGFSPEDAVEALISNSHQLQGGFDGYARGAQQLAAAAGAGAGASLGGSGEEMGPAAAVVSAVIAAAAAQSGAGPHYHHHHHHAAGHHHHP
SwissProt::P04862 1 108 0.587712962962963 PF01692.18:Paramyxo_C:12:108 C' protein 215 97 13 108 0 Sendai virus (strain Z) (SeV) (Sendai virus (strain HVJ)) SwissProt::P04862 1 SwissProt MASATLTAWIKMPSFLKKILKLRGRRQEDESRSRMLSDSSMLSCRVNQLTSEGTEAGSTTPSTLPKDQALLIEPKVRAKEKSQHRRPKIIDQVRRVESLGEQASQRQK
SwissProt::P15391 278 556 0.694855913978494 B-lymphocyte antigen CD19; B-lymphocyte surface antigen B4; Differentiation antigen CD19; T-cell surface antigen Leu-12; CD19 antigen 556 0 13 256 1 Homo sapiens (Human) SwissProt::P15391 1 SwissProt PVLWHWLLRTGGWKVSAVTLAYLIFCLCSLVGILHLQRALVLRRKRKRMTDPTRRFFKVTPPPGSGPQNQYGNVLSLPTPTSGLGRAQRWAAGLGGTAPSYGNPSSDVQADGALGSRSPPGVGPEEEEGEGYEEPDSEEDSEFYENDSNLGQDQLSQDGSGYENPEDEPLGPEDEDSFSNAESYENEDEELTQPVARTMDFLSPHGSAWDPSREATSLGSQSYEDMRGILYAAPQLRSIRGQPGPNHEEDADSYENMDNPDGPDPAWGGGGRMGTWSTR
SwissProt::P32389 1 672 0.650922619047619 Transcriptional activator of sulfur metabolism MET4; Methionine-requiring protein 4 672 0 13 672 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32389 1 SwissProt MKQEQSHEGDSYSTEFINLFGKDTATHPSSNNGANNNGMGSTNSLDQFVATASSSSSLVTSSENRRPLIGDVTNRGNTNLYDHAVTPEILLEQLAYVDNFIPSLDNEFSNVDWNVNTTHNNANNNGADTFSSINANPFDLDEQLAIELSAFADDSFIFPDEDKPSNNNNNSNNGNDDHSNHDVLHEDPSTNNRQRNPHFLTQRRNTFLTSQYDQSKSRFSSKNKRNGNNGETNNFGDNMQNNHPFEPNFMGSPSQFPADATNMTSIDHGGFTNVDITSTENNTTGDNGVDALSNLLHRTTHTPNRSSPLSNVTSAQNSSSQQRKHSESKVDSNSDNNSSNKAPNITVPDYSIIPTSVLVTLLPRVNVPNGAYNSLISAGFDNDQIDAIAAIMAYHHQKKIRENNSNNNKNINTNDSQEAPILKNINELLSVLIPPSPAETRGPTTLSTSPSFNEHGVVAEASFLSSILELGIKHPKSNNIHNQRQPSRNDHKISRESDGNNGNDNVHHNNAVIKSSTTRGDEIAKIRSEPTLNASSSDHKENSLKRSHSGDLKNKKVPVDRKYSDNEDDEYDDADLHGFEKKQLIKKELGDDDEDLLIQSKKSHQKKKLKEKELESSIHELTEIAASLQKRIHTLETENKLLKNLVLSSGETEGIKKAESLKKQIFEKVQKE
SwissProt::P38435 1 758 0.186758839050132 PF05090.14:VKG_Carbox:66:504 Vitamin K-dependent gamma-carboxylase; Gamma-glutamyl carboxylase; Peptidyl-glutamate 4-carboxylase; Vitamin K gamma glutamyl carboxylase; EC 4.1.1.90 758 439 13 646 5 Homo sapiens (Human) SwissProt::P38435 1 SwissProt MAVSAGSARTSPSSDKVQKDKAELISGPRQDSRIGKLLGFEWTDLSSWRRLVTLLNRPTDPASLAVFRFLFGFLMVLDIPQERGLSSLDRKYLDGLDVCRFPLLDALRPLPLDWMYLVYTIMFLGALGMMLGLCYRISCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFMDANHYWSVDGLLNAHRRNAHVPLWNYAVLRGQIFIVYFIAGVKKLDADWVEGYSMEYLSRHWLFSPFKLLLSEELTSLLVVHWGGLLLDLSAGFLLFFDVSRSIGLFFVSYFHCMNSQLFSIGMFSYVMLASSPLFCSPEWPRKLVSYCPRRLQQLLPLKAAPQPSVSCVYKRSRGKSGQKPGLRHQLGAAFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGRTGELGYLNPGVFTQSRRWKDHADMLKQYATCLSRLLPKYNVTEPQIYFDIWVSINDRFQQRIFDPRVDIVQAAWSPFQRTSWVQPLLMDLSPWRAKLQEIKSSLDNHTEVVFIADFPGLHLENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLREGEKMQLPAGEYHKVYTTSPSPSCYMYVYVNTTELALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFLRRQQRLQEIERRRNTPFHERFFRFLLRKLYVFRRSFLMTCISLRNLILGRPSLEQLAQEVTYANLRPFEAVGELNPSNTDSSHSNPPESNPDPVHSEF
SwissProt::Q0D1P3 65 536 0.324442161016949 PF00394.22:Cu-oxidase:15:174,PF07731.14:Cu-oxidase_2:290:424 Multicopper oxidase terE; Terrein biosynthesis cluster protein terE; EC 1.-.-.- 536 295 13 472 0 Aspergillus terreus (strain NIH 2624 / FGSC A1156) SwissProt::Q0D1P3 1 SwissProt EEKHGKSPPFPYDEERILFISELYNKTDSMTETELLRPYDVVRCFPGMSANETDTPEPWVMPDPSLVESCGPEVIQVDPDKTYRMRVIGGPALNLVTMGFEDHQELSIMAADGKYTKLAKTERIQIASGQRFDFLLHTKTEDELRRLGKSAFWIQMESRYRPMNVSSYALLSYNTPSDLAFNQTTDLVPPEKQPLTLPNKVYDWLEYVLEPLEPNGFPTADKVNRTVVLTSLQLIAKEGVYAAVSNRTWTETNQHRNNTPFWKREHQAGTPYLVDIFRRGDEAIPDYETTVQKHGGWDPDLNVYVAKVGEVIDIIMVNQPNGLDIGFDLHPWHIHGGHIYDLGSGPGSYNATANEEKLKGYNPVIRDTTMLYKYTPGQYVGENKNFTDQGWRAWRLHVQDPGVWMVHCHTLQHMIMGMQTVWMMGNASEITRGVSPESLEGYLNYGGDAYGNASYDPIVQHHFDYGLQQILQ
SwissProt::Q12612 1 308 0.149088636363637 PF00067.22:p450:65:211 Cytochrome P450 monooxygenase TRI4; Core trichothecene cluster (CTC) protein 4; EC 1.-.-.- 520 147 13 285 1 Fusarium sporotrichioides SwissProt::Q12612 1 SwissProt MVDQDWIKALVNIPISHAVGVVAASTVIYFLSSCFYNLYLHPLRKIPGPKLAAIGPYLEFYHEVIRDGQYLWEIAKMHDKYGPIVRVNDKEVHIRDPSYYSTIYTAGARKTNKDPATVGAFDVPTATAATVDHDHHRARRGYLNPYFSKRSITNLEPFIHERVTKLLSRFQEHLDNDQVLSLDGAFCALTADVITSRFYGKHYNYLDLPDFHFVVRDGFLGLTKVYHLARFIPVLVTVLKRLPYSCLRLIAPSVSDLLQMRNEIHERGGDEFLSSKTSEAKSSILFGALADTHIPPVERTVERMLDEG
SwissProt::Q2W8R5 1 133 0.266791729323308 Magnetite biomineralisation protein Mms6; Magnetosome protein Mms6 133 0 13 110 1 Magnetospirillum magneticum (strain AMB-1 / ATCC 700264) SwissProt::Q2W8R5 1 SwissProt MGEMEREGAAAKAGAAKTGAAKTGTVAKTGIAAKTGVATAVAAPAAPANVAAAQGAGTKVALGAGKAAAGAKVVGGTIWTGKGLGLGLGLGLGAWGPIILGVVGAGAVYAYMKSRDIESAQSDEEVELRDALA
SwissProt::Q59SF7 1 385 0.499170389610389 Cell wall mannoprotein PIR1; Protein with internal repeats 1 385 0 13 385 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59SF7 1 SwissProt MKYSTLVSIAAFISTSLAATVPDEHYSTLSPSAKIPSGASTDFSGTFGIQVVTVESASALSTDTATSTLTRNDNKKEATPVAQITDGQVQHQTTGGVSAIKQISDGQVQHQTNAAQPIAQISDGQIQHQTTAKATATPVQQINDGQIQHQTTVQPVAQISDGQIQHQTAKATATPVQQIGDGQIQHQTTVQPVAQISDGQIQHQTVKASATPVQQIGDGQIQHQTTAAAATTASAVKQINDGQIQHQTTTAENVAKAQSDGQAIATGSPSSNSTLSDDDDLSSTIPKACSSANNLEMTLHDSVLKDTHERWGAIVANHQFQFDGPIPQAGTIYSAGWSIKDGYLYLGDSNIFYQCLSGDFYNLYDENVAKQCSAVKLSVIEFVNC
SwissProt::Q59X49 1 212 0.790575471698114 Stress protein DDR48; DNA damage-responsive protein 48; DDRP 48 212 0 13 212 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59X49 1 SwissProt MVFGFGKDDDKDKNDRRDNDSYGSSNRRNNDDSYGSSSFGSSNDDDNSYGSSNKRSNDSYGSSNTDSYGSSNRRDNDSYGSSNNDSYGSSKTDSYGSSNRSGNDSYGSSNNDSYGSSNRKGNDSYGSSNTDSYGSSNTDSYGSSNTDSYGSSNKRGNDSYGSSNDDSYGSSNDDSYGSSNRRGNDSYGSSNDDSYGSSNRRGNSDSYGSSDY 3
SwissProt::Q5A5U4 1 204 0.262393137254902 Cell wall protein RHD3; LDG family protein 7; Predicted GPI-anchored protein 29; Repressed during hyphae development protein 3 204 0 13 204 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5A5U4 1 SwissProt MKFLAILSLSSSALATISSIQLFAKSDDSKVDGLGLYSKHEGAAIDYLFLGKNGADLKYDDEKKQIFQELKTSSITVRQSFTLGGDVYELGATDNFIPVTINKDGTLSFTGDDKVYASKNVNDPYRYSESEYAVSNKKTDDSAPITIVAKFSDDKAAETSGVAQAASSSAGPAQASVSNFEGAAGQNKLSYGVGMAAVVAGLVM
SwissProt::Q6UEG8 69 444 0.445925 PF08493.10:AflR:25:285 Aflatoxin biosynthesis regulatory protein; Aflatoxin biosynthesis protein R 444 261 13 376 0 Aspergillus parasiticus (strain ATCC 56775 / NRRL 5862 / SRRC 143 / SU-1) SwissProt::Q6UEG8 1 SwissProt RAPSPLDSTRRPSESLPSAGSEQGLPAHNTYSTPHAHTQAHTHAHSHPQPHPQSHPQSNQPPHALPTPNGSSSVSAIFSHQSPPPLVETQGLGGDLAGQAQSTLSSLTVDSEFGGSLQSMEHGNHADFLAESTGSLFDAFLEVGTPMIDPFLESAPLPPFQARYCCFSLALQTLTCLFPHAPLGCQLRLTDGEDSSCNLMTTDMVISGNKKATDAVRKILGCSCAQDGYLLSMVVLIVLKVLGWYAAAAGTQCTSTAAGGETNSGSCSNSPATVSSGCLTEERVLHHPSMVGEDCVDEEDQPRVAAQLVLSELHRVQSLANLLAKRLQEGGDDAAGIPAHHPASPFSLLGFSGLEANLRHRLRAVSSDIIDYLHRE
SwissProt::Q6ZUT3 328 714 0.48817622739018 FERM domain-containing protein 7 714 0 13 387 0 Homo sapiens (Human) SwissProt::Q6ZUT3 1 SwissProt YPSQYHERQCRSSPDLLSDVSKQVEDLRLAYGGGYYQNVNGVHASEPVLESRRRNSALEVTFATELEHSKPEADPTLLHQSQSSSSFPFIYMDPVFNTEPNPNPDPRDIFSERSSLSSFQTSCKFSGNHMSIYSGLTSKVRPAKQLTYTDVPYIPCTGQQVGIMPPQVFFYVDKPPQVPRWSPIRAEERTSPHSYVEPTAMKPAERSPRNIRMKSFQQDLQVLQEAIARTSGRSNINVGLEEEDPNLEDAFVCNIQEQTPKRSQSQSDMKTIRFPFGSEFRPLGPCPALSHKADLFTDMFAEQELPAVLMDQSTAERYVASESSDSESEILKPDYYALYGKEIRSPMARIRLSSGSLQLDEEDEDAYFNTPTAEDRTSLKPCNYFLA
SwissProt::Q7TPH6 1884 4486 0.384953860929697 PF08239.11:SH3_3:590:649,PF00630.19:Filamin:391:546,PF03256.16:ANAPC10:1944:2042 E3 ubiquitin-protein ligase MYCBP2; Myc-binding protein 2; Pam/highwire/rpm-1 protein; Protein Magellan; Protein associated with Myc; EC 2.3.2.- 4749 315 13 2603 0 Mus musculus (Mouse) SwissProt::Q7TPH6 1 SwissProt FDGDLQSQLLSKANEEDKNCSRALSVVSTVVRAAKDLLHRALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAILNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSNHYAVIESEHPYKPACVMHYKVTFPECVRWMTIEFDPQCGTAQSEDVIRLLIPVRTIQNSGYGAKLTSVHENLNSWVELKKYSGSSGWPTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELANLGGVCAAALMKKDLALPVGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEALEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGWPTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKTSLQQDQGKKCQRIPGSPSAAASSADMTFGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTVHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKADPQPNKIRKFVAKDSAGLRIRSHPSLQSEQIGIVRVNGTITFIDEIHNDDGVWLRLNEETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDNIFNASQGVRDLDVFSWTSKAFFPQEPKTNTDDFFKDMNSCGPQEATMQERDHPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVLGNKVKAVGEVTNSEGAWVQLDKNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQVLLDQNSQPPPPSPFSVQAFNKGASCSAQGFDYGLGNNKGDQLSAILNSIQSRPNLPAPSIFDQAAKPPSSLVHSPFVFGQPLSFQQRQLQSDRGTISTSSRPVSTSGKSELPSKHSRSVKPDGHVSRTPADQKKPRGTEGLSASESLMLKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRTSSGFRAESPGPGSRSSSPKPKPLPTPRSSPSGASSPRSSSPQDKNLPQKSTAPAKTKLDPPRERSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKPVPKDPKDSPGSENRAPSPHVVQENLHSEVVEVCTSSTLKTNGVTDSTCDDSGDLKSVDEGSNKVHFSIGKAPLKDEQEMRASPKISRKCANRHTRPKKEKSNFLFKGDGTKSLEPAKQAMSPSVAECARAVFASFLWHEGIVHDAMACSSFLKFNPDLSKEHAPIRSSLNSQPPTEEKEIKLKNRHSLEISSALNMFNIAPHGPDISKMGSINKNKVLSMLKEPPLHEKCEDGKSEATFEMSMHHTMKSKSPLPLTLQHLVAFWEDISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKTKKEKKKKEKTEIRPRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGDGGMGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPIVKEGVSEDLPVKMPCLYLQTLARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTVGSSLLRHPSPELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTSLHDILWHFVAALTPSPVEAEEDEDEDNKSNKENAEQEKDTRVCEHPLSDIVIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKSDDGDSEESFSISVQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEELCRIKQVDLDSRHIGWVTSELPGGDNQIIKIELKGPENTLRVRQVKVLGWKDGESTKIAGQISASVAQQRSCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKVYNATSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPSDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPNRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIRLIKDMAAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNICGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVRNEEHCLPCLHGCDK
SwissProt::Q8BJS4 1 406 0.368367733990148 SUN domain-containing protein 2; Protein unc-84 homolog B; Sad1/unc-84 protein-like 2 731 0 13 360 2 Mus musculus (Mouse) SwissProt::Q8BJS4 1 SwissProt MSRRSQRLTRYSQDDNDGGSSSSGASSVAGSQGTVFKDSPLRTLKRKSSNMKHLSPAPQLGPSSDSHTSYYSESVVRESYIGSPRAVSLARSALLDDHLHSEPYWSGDLRGRRRRGTGGSESSKANGLTAESKASEDFFGSSSGYSSEDDLAGYTDSDQHSSGSRLRSAASRAGSFVWTLVTFPGRLFGLLYWWIGTTWYRLTTAASLLDVFVLTRSRHFSLNLKSFLWFLLLLLLLTGLTYGAWHFYPLGLQTLQPAVVSWWAAKESRKQPEVWESRDASQHFQAEQRVLSRVHSLERRLEALAADFSSNWQKEAIRLERLELRQGAAGHGGGSSLSHEDALSLLEGLVSRREATLKEDLRRDTVAHIQEELATLRAEHHQDSEDLFKKIVQASQESEARVQQLK
SwissProt::Q8J127 1 542 0.226389483394834 PF00067.22:p450:167:502 Cytochrome P450 monooxygenase TRI1; Trichothecene biosynthesis protein 1; EC 1.-.-.- 542 336 13 524 1 Fusarium sporotrichioides SwissProt::Q8J127 1 SwissProt MSKVDKTGYAQWVYLLPERSSMMSFIDSMEDFRFDMLIYFLCFVVLGRAVQWFLRPKPNAPLLNPRRFFEFSDSRAVSEILYSTRQVLEDWFSKYPTKPMRIIADLGQITILPPSMADEIKNDPRLSFIKASTESAFHITIPGFEPFREGAKNEAGLIKNVLHKHLNKTLNHITTPLAEETCLAVQEYFGSDQGWHKVPLRDTLVPLVTRISTRIFLGQDLCRNQEWLRIAASYSSTSAEVANHLRRWPKPLRYLVSLLSPECQNLAKQVRNARALINPILERRRVEEGQEKGTSYNDSLEWFERYAREAYDPAATQLFLSVVSIHTTTDLLCQALEDISSHPEIIKPLQHEIREVLKQEGWNTKALYKMKLLDSVLKESQRLKPVQHATMLRLALEDITLEDGTFIPKGHQISVSCHAMRDNEIYENASSWDGYRYYRQREQSANEHKAQLSSTSPEHMGFGYGIHVCPGRFFAANEVKVIMIYLLLQYEWRTPPGSQPKPLSWCTTWATDPTFELEVRRKGSDDIPVELSHNTFSRESES
SwissProt::Q8K3Y6 228 776 0.479828597449909 PF02825.20:WWE:456:531 Zinc finger CCCH-type antiviral protein 1; ADP-ribosyltransferase diphtheria toxin-like 13; ARTD13; Inactive Poly [ADP-ribose] polymerase 13; PARP13; Zinc finger antiviral protein; ZAP; rZAP 776 76 13 549 0 Rattus norvegicus (Rat) SwissProt::Q8K3Y6 1 SwissProt PPGTRAAHPHRRGGAHRDRSKSRDRFLHNSLEFLSPVVSPLGSGPPSPDVTSCKDSLEDVSVDVTQKFKYLGTHDRAQLSPVSSKAAGVQGPSQMRASQEFSEDGNLDDIFSRNRSDSSSSRASAAKVAQRNEAVAMKMGMEVKGKKEAPDIDRVPFLNSYIDGVTMEKASVSGIPGKKFTANDLENLLLLNDTWKNVAKPQDLQTTGRITDSGQDKAFLQNKYGGNPVWASASTHNAPNGSSQIMDETPNVSKSSTSGFAIKPAIAGGKEAVYSGVQSPRSQVLAVPGEATTPVQSNRLPQSPLSSSSHRAAASGSPGKNSTHTSVSPAIESSRMTSDPDEYLLRYILNPLFRMDNHGPKEICQDHLYKGCQQSHCDRSHFHLPYRWQMFVYTTWRDFQDMESIEQAYCDPHVELILIENHQINFQKMTCDSYPIRRLSTPSYEEKPLSAVFATKWIWYWKNEFNEYIQYGNESPGHTSSDINSAYLESFFQSCPRGVLPFQAGSQKYELSFQGMIQTNIASKTQRHVVRRPVFVSSNDVEQKRRGPE
SwissProt::Q8WXW3 1 757 0.424443328929986 Progesterone-induced-blocking factor 1; PIBF; Centrosomal protein of 90 kDa; CEP90 757 0 13 757 0 Homo sapiens (Human) SwissProt::Q8WXW3 1 SwissProt MSRKISKESKKVNISSSLESEDISLETTVPTDDISSSEEREGKVRITRQLIERKELLHNIQLLKIELSQKTMMIDNLKVDYLTKIEELEEKLNDALHQKQLLTLRLDNQLAFQQKDASKYQELMKQEMETILLRQKQLEETNLQLREKAGDVRRNLRDFELTEEQYIKLKAFPEDQLSIPEYVSVRFYELVNPLRKEICELQVKKNILAEELSTNKNQLKQLTETYEEDRKNYSEVQIRCQRLALELADTKQLIQQGDYRQENYDKVKSERDALEQEVIELRRKHEILEASHMIQTKERSELSKEVVTLEQTVTLLQKDKEYLNRQNMELSVRCAHEEDRLERLQAQLEESKKAREEMYEKYVASRDHYKTEYENKLHDELEQIRLKTNQEIDQLRNASREMYERENRNLREARDNAVAEKERAVMAEKDALEKHDQLLDRYRELQLSTESKVTEFLHQSKLKSFESERVQLLQEETARNLTQCQLECEKYQKKLEVLTKEFYSLQASSEKRITELQAQNSEHQARLDIYEKLEKELDEIIMQTAEIENEDEAERVLFSYGYGANVPTTAKRRLKQSVHLARRVLQLEKQNSLILKDLEHRKDQVTQLSQELDRANSLLNQTQQPYRYLIESVRQRDSKIDSLTESIAQLEKDVSNLNKEKSALLQTKNQMALDLEQLLNHREELAAMKQILVKMHSKHSENSLLLTKTEPKHVTENQKSKTLNVPKEHEDNIFTPKPTLFTKKEAPEWSKKQKMKT
SwissProt::Q90Z12 96 281 0.446865053763441 PF07527.13:Hairy_orange:14:52 Transcription factor HES-4-A; Hairy and enhancer of split 4-A; Protein hairy-2; Xhairy2; Protein hairy-2a; Xhairy2a 281 39 13 186 0 Xenopus laevis (African clawed frog) SwissProt::Q90Z12 1 SwissProt MTAALTSDPSVLGKYRAGFNECTNEVTRFLSTCEGVNTEVRTRLLGHLSSCLGQIVAMNYQQPPSSQQPLHVQLPSSTPAPMPISCKVNPAEAISPKVFQGGFQLVPATDGQFAFLIPNPAYTSSPGPVIPLYANANVTSPGGRQSQSPVQGLTTFGHKMPHMAQAVSPLGGSTGADSAESVWRPW
SwissProt::Q91572 1 295 0.432643050847458 PF16368.5:CEBP1_N:1:295 Cytoplasmic polyadenylation element-binding protein 1-A; CPE-BP1-A; CPE-binding protein 1-A; CPEB-1-A; 58 kDa CPE-binding protein 568 295 13 295 0 Xenopus laevis (African clawed frog) SwissProt::Q91572 1 SwissProt MAFPLKDDLGRAKDCWGCPSDTPALSTCSNADIFRRINAMLDNSLDFTGVCTTPNTKGKCEHLQDYQDTEGPAASRMLFSTSHEPLPRGLPDTNDLCLGLQSLSLTGWDRPWSTQDSEAGGHSSTPTAAQSVFSMLNSPMGKPSPLGFLTFDPIGSDLMEKYPTPLLRSSRLDSRSILDSRSSSPSDSDTSGFSSGSDHLSDLISSLRISPPLPFLPLGGGVSRDPLKLGIGSRLDQDHAALAAATVSPLGITKGWPSTSVWPSWDLLDSAEDPFSIEREARLHRQAAAVNEATC
SwissProt::Q96SN8 1 1893 0.453066825145274 PF07989.11:Cnn_1N:60:130 CDK5 regulatory subunit-associated protein 2; CDK5 activator-binding protein C48; Centrosome-associated protein 215 1893 71 13 1893 0 Homo sapiens (Human) SwissProt::Q96SN8 1 SwissProt MMDLVLEEDVTVPGTLSGCSGLVPSVPDDLDGINPNAGLGNGLLPNVSEETVSPTRARNMKDFENQITELKKENFNLKLRIYFLEERMQQEFHGPTEHIYKTNIELKVEVESLKRELQEREQLLIKASKAVESLAEAGGSEIQRVKEDARKKVQQVEDLLTKRILLLEKDVTAAQAELEKAFAGTETEKALRLRLESKLSEMKKMHEGDLAMALVLDEKDRLIEELKLSLKSKEALIQCLKEEKSQMACPDENVSSGELRGLCAAPREEKERETEAAQMEHQKERNSFEERIQALEEDLREKEREIATEKKNSLKRDKAIQGLTMALKSKEKKVEELNSEIEKLSAAFAKAREALQKAQTQEFQGSEDYETALSGKEALSAALRSQNLTKSTENHRLRRSIKKITQELSDLQQERERLEKDLEEAHREKSKGDCTIRDLRNEVEKLRNEVNEREKAMENRYKSLLSESNKKLHNQEQVIKHLTESTNQKDVLLQKFNEKDLEVIQQNCYLMAAEDLELRSEGLITEKCSSQQPPGSKTIFSKEKKQSSDYEELIQVLKKEQDIYTHLVKSLQESDSINNLQAELNKIFALRKQLEQDVLSYQNLRKTLEEQISEIRRREEESFSLYSDQTSYLSICLEENNRFQVEHFSQEELKKKVSDLIQLVKELYTDNQHLKKTIFDLSCMGFQGNGFPDRLASTEQTELLASKEDEDTIKIGEDDEINFLSDQHLQQSNEIMKDLSKGGCKNGYLRHTESKISDCDGAHAPGCLEEGAFINLLAPLFNEKATLLLESRPDLLKVVRELLLGQLFLTEQEVSGEHLDGKTEKTPKQKGELVHFVQTNSFSKPHDELKLSCEAQLVKAGEVPKVGLKDASVQTVATEGDLLRFKHEATREAWEEKPINTALSAEHRPENLHGVPGWQAALLSLPGITNREAKKSRLPILIKPSRSLGNMYRLPATQEVVTQLQSQILELQGELKEFKTCNKQLHQKLILAEAVMEGRPTPDKTLLNAQPPVGAAYQDSPGEQKGIKTTSSVWRDKEMDSDQQRSYEIDSEICPPDDLASLPSCKENPEDVLSPTSVATYLSSKSQPSAKVSVMGTDQSESINTSNETEYLKQKIHDLETELEGYQNFIFQLQKHSQCSEAIITVLCGTEGAQDGLSKPKNGSDGEEMTFSSLHQVRYVKHVKILGPLAPEMIDSRVLENLKQQLEEQEYKLQKEQNLNMQLFSEIHNLQNKFRDLSPPRYDSLVQSQARELSLQRQQIKDGHGICVISRQHMNTMIKAFEELLQASDVDYCVAEGFQEQLNQCAELLEKLEKLFLNGKSVGVEMNTQNELMERIEEDNLTYQHLLPESPEPSASHALSDYETSEKSFFSRDQKQDNETEKTSVMVNSFSQDLLMEHIQEIRTLRKRLEESIKTNEKLRKQLERQGSEFVQGSTSIFASGSELHSSLTSEIHFLRKQNQALNAMLIKGSRDKQKENDKLRESLSRKTVSLEHLQREYASVKEENERLQKEGSEKERHNQQLIQEVRCSGQELSRVQEEVKLRQQLLSQNDKLLQSLRVELKAYEKLDEEHRRLREASGEGWKGQDPFRDLHSLLMEIQALRLQLERSIETSSTLQSRLKEQLARGAEKAQEGALTLAVQAVSIPEVPLQPDKHDGDKYPMESDNSFDLFDSSQAVTPKSVSETPPLSGNDTDSLSCDSGSSATSTPCVSRLVTGHHLWASKNGRHVLGLIEDYEALLKQISQGQRLLAEMDIQTQEAPSSTSQELGTKGPHPAPLSKFVSSVSTAKLTLEEAYRRLKLLWRVSLPEDGQCPLHCEQIGEMKAEVTKLHKKLFEQEKKLQNTMKLLQLSKRQEKVIFDQLVVTHKILRKARGNLELRPGGAHPGTCSPSRPGS
SwissProt::Q9C1B8 1 441 0.0312820861678004 PF13813.6:MBOAT_2:251:338 Acetyltransferase TRI7; Core trichothecene cluster (CTC) protein 7; EC 2.3.1.- 441 88 13 311 6 Fusarium sporotrichioides SwissProt::Q9C1B8 1 SwissProt MDIASKVEGFPTLGILYYTSTLLAVCTYAALIIISIPKTGPASLVRYSSPAIVLTVGKQLFHASYGVSGSLAHRSLTLALTALFILQCCNFLVLTRLDAKDLAKKNIFQDSDHMIYKAYRVVCLIFNVRGIGTPWQAKHLCGFPRFYQRGKGRGPTPIWFILRQSLIVAWQCLLLDIIYTTSMSTPKEDTLKLFGEGTEYMYLDANAEQWTGRFIAGIIAWVIPGRVSIDLPHRVLSIISVFLGFSSPQQWPPLFGSMLDAYTIRGFWSTFWHSYCRWTLTTISSFICRDFLRLPRPSIVERYLNIAFVFLGSAVVHMAIDSFCWGPPMKTKLPTLAFFGSLVVGIIIEDTIQALCRRITGEKRRDGDDGVPVWHKLVGYIWVSFWFMMTSPWYLYHNSRLPPDDTWLVPVSFVDTFGLDTATMLLFGSGVILKFAIGIEV
SwissProt::Q9C1B9 95 338 0.171419262295082 PF03583.14:LIP:50:239 Trichothecene C-3 esterase; Core trichothecene cluster (CTC) protein 8; EC 3.1.1.- 447 190 13 244 0 Fusarium sporotrichioides SwissProt::Q9C1B9 1 SwissProt ELGPDSLAQQKFQQSALLSFQVPYDSADVDASPSYSMYSASNDSSAPYTAALGSGLFVSVPDYEGPLAAFTAGIISGYATLDSIRAVLSLGLGLNITNSPRAALWGYSGGAFATEWASELAVQYAPDLVAGPVVGAAMGAPLANITTFMHSVNGQATSGLVPNTLLGLTSQYPDVRKYLVSKLNDDSEYNRTGFLAAEGFTVTESGVAFAGIDINKYFQNGTDILNDPKILALVNREGIMGYHG
SwissProt::Q9C1I4 1 386 0.266089119170985 PF00067.22:p450:43:381 Cytochrome P450 monooxygenase TRI13; Core trichothecene cluster (CTC) protein 13; EC 1.-.-.- 589 339 13 386 0 Fusarium sporotrichioides SwissProt::Q9C1I4 1 SwissProt MFLSLCLMVLALYLLYKWALPKPISSIPYNPPALQSLFGDIPAMIQGTKANNQTHMDWIIQQMKNHESPIIQLFLSPLQRPTVILADFRETQDIMLRRKDFDRSTNIRGLLEDVIPDHHIYEQTNSVFRTHRKLVQDVMLPSFIQKVAGPAFHSNIMRLVRVWDLKAQIADGSPFLATQDIQGAVLDAVYSFAFGSYYKSSTTLPKIEKLEKWNGNAENSSRNAPQSDKPFDFPDVAFDDLINATIDLAKAPQGLQGSPIAKLQAKVTMNMPHFRRVRKIRDDFLRGSLKSAVSKLPSEGGKSDSQAVTSAVEQMVLRETALAQTENRSPNYFSTMMQGELFGLILGGFDTTSTTTLWGLKFLTDNASVQKRLRQALQSSFTKAKA
SwissProt::Q9HET8 160 318 0.71375534591195 Core trichothecene cluster (CTC) protein 15 318 0 13 159 0 Fusarium sporotrichioides SwissProt::Q9HET8 1 SwissProt DGSSKDPDRKPLQVDQDSMRLPSGRLISKKSSAQAEPSLFQARRRLRTTVPQIESVPTGSDDEVDTSNEISTPDTQVLTRREKRQRATAAHQLANMSAGDRAALMHLSSSEQRSLITTNHKFTEKVKKEEARSQRRLDKKGNKNLYAYWNTENPVYPCG
SwissProt::Q9HEU0 1 373 0.220309651474531 Core trichothecene cluster (CTC) protein 14 373 0 13 373 0 Fusarium sporotrichioides SwissProt::Q9HEU0 1 SwissProt MLPQVILNHLGSIGEAASTWFSENKYFGSVGQCPPLPKGDLNYDIYMGYPEMFAWDKKRCVAYVSNLYNATVSTWDPYKSVVLDTIHFPGLSHAGNSASPNPLHASGIILRPDAYHAETLEVVIDNGDAFYSDGFNVSGPDHLMSIDLKTKEVTSQLRLNNGLYAGYADASLGPDGNTYVLGTYSSNILRVTPDKEISTFYVAEPLGPPRLYGFTGIAHVGDAMIVPDNIIGQLIRFDVRDKVGTPVTIKQTPYHEFKTANVLHFPERYNDTILLVAENMTPDYPYGGVSVYQDKTKQFNEVEFLGFLPSRLTNALTTSARQMADRIYVVALPTDGANITVAGESSRFPFQDITEELDLMILPEIKDEARDEI
SwissProt::Q9P0U3 1 413 0.506747215496368 Sentrin-specific protease 1; Sentrin/SUMO-specific protease SENP1; EC 3.4.22.- 644 0 13 413 0 Homo sapiens (Human) SwissProt::Q9P0U3 1 SwissProt MDDIADRMRMDAGEVTLVNHNSVFKTHLLPQTGFPEDQLSLSDQQILSSRQGHLDRSFTCSTRSAAYNPSYYSDNPSSDSFLGSGDLRTFGQSANGQWRNSTPSSSSSLQKSRNSRSLYLETRKTSSGLSNSFAGKSNHHCHVSAYEKSFPIKPVPSPSWSGSCRRSLLSPKKTQRRHVSTAEETVQEEEREIYRQLLQMVTGKQFTIAKPTTHFPLHLSRCLSSSKNTLKDSLFKNGNSCASQIIGSDTSSSGSASILTNQEQLSHSVYSLSSYTPDVAFGSKDSGTLHHPHHHHSVPHQPDNLAASNTQSEGSDSVILLKVKDSQTPTPSSTFFQAELWIKELTSVYDSRARERLRQIEEQKALALQLQNQRLQEREHSVHDSVELHLRVPLEKEIPVTVVQETQKKGHKL
SwissProt::Q9QX74 354 1404 0.715016841103711 SH3 and multiple ankyrin repeat domains protein 2; Shank2; Cortactin-binding protein 1; CortBP1; GKAP/SAPAP-interacting protein; Proline-rich synapse-associated protein 1; ProSAP1; SPANK-3 1474 0 13 1051 0 Rattus norvegicus (Rat) SwissProt::Q9QX74 1 SwissProt KAPPPPKRAPTTALTLRSKSMTAELEELGLSLVDKASVRKKKDKPEEIVPASKPSRTAENVAIESRVATIKQRPTSRCFPAASDVNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTMRRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTTYNCPRSPTPRVYGTIKPAFNQNPVAKVPPATRSDTVATMMREKGMFYRRELDRFSLDSEDVYSRSPAPQAAFRTKRGQMPENPYSEVGKIASKAVYVPAKPARRKGMLVKQSNVEDSPEKTCSIPIPTIIVKEPSTSSSGKSSQGSSMEIDPQATEPGQLRPDDSLTVSSPFAAAIAGAVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRMQPSKFPEEGGFGDEDETEQPLLPTPGAAPRELENHFLGGGEAGAQGEAGGPLSSTSKAKGPESGPAAALKSSSPASPENYVHPLTGRLLDPSSPLALALSARDRAMQESQQGHKGEAPKADLNKPLYIDTKMRPSVESGFPPVTRQNTRGPLRRQETENKYETDLSKDRRADDKKNMLINIVDTAQQKSAGLLMVHTVDIPVAGPPLEEEEDREDGDTKPDHSPSTVPEGVPKTEGALQISAAPEPAAAPGRTIVAAGSVEEAVILPFRIPPPPLASVDLDEDFLFTEPLPPPLEFANSFDIPDDRAASVPALADLVKQKKSDTPQPPSLNSSQPANSTDSKKPAGISNCLPSSFLPPPESFDAVTDSGIEEVDSRSSSDHHLETTSTISTVSSISTLSSEGGESMDTCTVYADGQAFVVDKPPVPPKPKMKPIVHKSNALYQDTLPEEDTDGFVIPPPAPPPPPGSAQAGVAKVIQPRTSKLWGDVTEVKSPILSGPKANVISELNSILQQMNRGKSVKPGEGLELPVGAKSANLAPRSPEVMSTVSGTRSTTVTFTVRPGTSQPITLQSRPPDYESRTSGPRRAPSPVVSPTELSKEILPTPPSAAAASPSPTLSDVFSLPSQSPAGDLFGLNPAGRSRSPSPSILQQPISNKPFT
VIMSS10078314 1 177 0.517735593220339 Squamosa promoter-binding-like protein 8 333 0 13 177 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GXL3 1 SwissProt MLDYEWDNPSSIVLSGDERNPDSDPTRSSFSFFDPISHYNNDHRHITISPPLLSSFSNQQQQHHLTLYGQTNSNNQFLHHHHHHHSLYGSTTTTTPYGASDPIYHPHSSAPPASLFSYDQTGPGSGSGSSYNFLIPKTEVDFTSNRIGLNLGGRTYFSAADDDFVSRLYRRSRPGES
VIMSS10078429 1 2953 0.213714155096512 PF14844.6:PH_BEACH:2877:2926 Protein SPIRRIG; BEACH domain-containing protein A1; BEACH-domain homolog A1 3601 50 13 2953 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HZB2 1 SwissProt MKWATLLKDIKEKVGLAQSSDSDPFPVDLTAPPSSSSSSSSPSFTYPSSSSLHHFNFSPSSRDNHELELDFKRLWEEFRSSSSEKEKEAALNLTVDIFCRLVKRHANVDQLVTMLVETHIFSFVIGRAFVTDIEKLKIGSKTRSLNVEKVLRFFSDVTKEGFSPGANLLTAVEVLVSGPIDKQSLLDSGIFCCLIHVLIALLAYDELSKSKITGDLEVVSAEKDAGYIVLQTRRLEVEGSVVHIMKALASNPSAAQSLIEDDSLESLFNMVANGSITVFSQYKEGLVPLHNIQLHRHAMQILGLLLVNDNGSTARYIRKHHLIKVLLMAVKEFDPSCGDSAYTMGIVDLLLECVELSYRPEAGGVRLREDIRNAHGYHFLVQFALVLSSLPKNPIFVSSNHDSGSDDPEVFHDGENTNSTENADFSSQNFAPSLSRLLDVLVTLAQTGPAEPSVGRASRSSQTKPTGHSRSRTSSVDSIYDETWEQGSGKVKDLEAVQMLQDIFLKAENKDLQAEVLNRMFKIFSSHVENYRLCQELRTVPLLVLNMAGFPSSLQDIILKILEYAVTVVNCVPEQELLSLCCLLQQPITSQLKHTILSFFVKLISFDQQYKKVLREVGVLEVLQDDLKQHKLLIGPDQYSGVSSHSDRKPSSGSFRKNLDTKDAIISSPKLMESGSGKLPVFEVDNTITVGWDCLISLLKKAEANQSSFRAANGVAIILPFLISDAHRSGVLRILSCLITEDTKQVHHDELGAVVDLLKSGMVTGISGHQYKLHDDAKCDTMGALWRIVGVNGSAQRVFGEATGFSLLLTTLHTFQGKREHMDESDLTVYIKLFKYLFRLMTAAVCENAVNRMKLHAVITSQTFFELLAESGLLCVELERQVIQLLLELALEVVVPPFLTSESTALATIPENENTTFVVTTPSGQFNPDKERIYNAGAVRVLIRSLLLFSPKMQLEFLRLLESLARASPFNQENLTSIGCVELLLEIIYPFLAGSSPFLSYALKIVEILGAYRLSPSELRMLFRYVLQMRIMNSGHAIVGMMEKLILMEDTALEHLSLAPFVELDMSKTGHASVQVSLGERSWPPAAGYSFVCWFQFRNFLTTQGKESEASKAGGSSKTRMTSAQQHEQNIFRMFSVGAVSNESPFYAELYFQEDGILTLATSNSHSLSFSGLEIEEGRWHHLAVVHSKPNALAGLFQASVAYVYLDGKLRHTGKLGYSPSPVGKSLQVTVGTPATCARVSDLTWKTRSCYLFEEVLTSGCIGFMYILGRGYKGLFQDADLLRFVPNQACGGGSMAILDSLDTDMTSSSNGQKFDGSNRQGDSKADGSGIVWDLERLGNLAFQLPGKKLIFAFDGTCSEFIRASGNFSLLNLVDPLSAAASPIGGIPRFGRLVGNVSICRQSVIGDTIRPVGGMTVVLALVEAAESRNMLHMALSLLACALHQNPQNVKDMQTIRGYHLLALFLRPKMTLFDMQSLEIFFQIAACEALFSEPKKLESVQSNITMPPTETIFENSYEDLSLSRFRYDSSSVGSHGDMDDFSVPKDSFSHLSELETDIPVETSNCIVLSNADMVEHVLLDWTLWVTSPVSIQIALLGFLENLVSMHWYRNHNLTILRRINLVEHLLVTLQRGDVEVPVLEKLVVLLGCILEDGFLTSELENVVRFVIMTFNPPEVKSRSSLLRESMGKHVIVRNMLLEMLIDLQVTIKAEDLLELWHKIVSSKLITYFLDEAVHPTSMRWIMTLLGVCLASSPNFSLKFRTSGGYQGLLRVLQNFYDSPDIYYILFCLIFGKPVYPRLPEVRMLDFHALVPNDGSYVELKFIELLDSVVAMAKSTYDRLIMQSMLAHQSGNLSQVSASLVAELIEGAEMTGELQGEALMHKTYAARLMGGEASAPAAATSVLRFMVDLAKMCPQFSTACRRAEFVENCADLYFSCVRAAYAVKMAKQLSVKAEEKHINDADDSGSQGSLPHDQDQSTKTSISVGSFPQGQVSLGSEDMSLPANYVVNDKMENILPPPTQDTSKSLQGVEDVKKQDDHHVGPSASSERDFQDFTGNPVQVQATDSQSSASFPMIESPLLSEKSSLKVSFTPSPSPVVALASWLGSNYNESKSSTLGSPSLESYVSVNEVDASSERKSGSQGSSAANAFFTVSPKLLLETDETGYGGGPCSAGASAVLDFMAEALADLVTEQIKAVPVLESILEMVPFYVDPESVLVFQGLCLSRVMNYLERRLLRDDEEDEKKLDKAKWSVNLDAFCWMIVDRVYMGAFSQPAGVLRALEFLLSMLQLANKDGRVEEVTPSGKGLLSLGRATRQLDAYVHSILKNTNRMVLYCFLPSFLITIGEEDLLSQLGLLVESKKRPSPNPATDESGIDISTVLQLLVANRRIIFCPSNLDTDLNCCLCVNLISLLLDQRKSVQNMSLDIVKYLLVHRRSALEDLLVTKPNQGQNFDVLHGGFDKLLTGNLPEFFKWLESSDKIINKVLEQCAAIMWVQYIAGSAKFPGVRIKGMEGRRKREMGRKSRDMSKLDLKHWDQLNERRYALEVLRDAMSTELRVVRQNKYGWILHAESEWQTHLQQLVHERGIFPMRKSKGTEDPEWQLCPIEGPYRMRKKLERCKLKIDSIQNVLDGKLELGEIELPKVKNEDGPVISDTDSEPPFLLSELYDESFLKESDDFKDVASARNGWNDDRASSTNEASLHSALDFGGKSSIASVPITDTTHVKSETGSPRHSSSAKMDETNGREEKSEKELNDDGEYLIRPYLEHLEKIRFRYNCERVVDLDKHDGIFLIGEFCLYVIENFYIDEDGCICEKECEDELSVIDQALGVKKDVSGSSDFHSKSSTSWTTTVKTGAVGGRAWAYGGGAWGKEKMCMTGNLPHPWRMWKLNNVHEILKRDYQLRPVAIEIFSMDGCNDLLVFHKKEREEVFKNLVAMNLPRNSMLDTTISGSAKQESNEGG
VIMSS10078679 1 360 0.102529444444444 PF02535.22:Zip:48:357 Zinc transporter 5; ZRT/IRT-like protein 5 360 310 13 165 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23039 1 SwissProt MRITQNVKLLLFFFFFISFLFIAVSAGESKCECSHEDDEANKAGAKKYKIAAIPSVLAAGVIGVMFPLLGKFFPSLKPETTFFFVTKAFAAGVILATGFMHVLPEGYEKLTSPCLKGEAWEFPFTGFIAMVAAILTLSVDSFATSYFHKAHFKTSKRIGDGEEQDAGGGGGGGDELGLHVHAHGHTHGIVGVESGESQVQLHRTRVVAQVLEVGIIVHSVVIGISLGASQSPDTAKALFAALMFHQCFEGLGLGGCIAQGNFNCMSITIMSIFFSVTTPVGIAVGMAISSSYDDSSPTALIVQGVLNAASAGILIYMSLVDFLAADFMHPKMQSNTRLQIMAHISLLVGAGVMSLLAKWA
VIMSS10078950 1 345 0.157274492753623 PF08392.12:FAE1_CUT1_RppA:25:313,PF08545.10:ACP_syn_III:166:230 3-ketoacyl-CoA synthase 3; KCS-3; Very long-chain fatty acid condensing enzyme 3; VLCFA condensing enzyme 3; EC 2.3.1.199 478 289 13 345 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQP8 1 SwissProt MDLLVMLLSLLVSYLIFKIWKRIDSKRDQNCYILDYQCHKPSDDRMVNTQFSGDIILRNKHLRLNEYKFLLKAIVSSGIGEQTYAPRLFFEGREQRPTLQDGLSEMEEFYIDTIEKVLKRNKISPSEIDILVVNVSMLNSTPSLSARIINHYKMREDIKVFNLTAMGCSASVISIDIVKNIFKTYKNKLALVVTSESLSPNWYSGNNRSMILANCLFRSGGCAVLLTNKRSLSRRAMFKLRCLVRTHHGARDDSFNACVQKEDELGHIGVHLDKTLPKAATRAFIDNLKVITPKILPVTELLRFMLCLLLKKLRSSPSKGSTNVTQAAPKAGVKAGINFKTGIDH
VIMSS10079366 1 573 0.212939790575916 PF03094.15:Mlo:7:499 Seven transmembrane MLO family protein 573 493 13 412 7 Arabidopsis thaliana NP_172598.1 1 RefSeq MADQVKERTLEETSTWAVAVVCFVLLFISIVLEHSIHKIGTWFKKKHKQALFEALEKVKAELMLLGFISLLLTIGQTPISNICISQKVASTMHPCSAAEEAKKYGKKDAGKKDDGDGDKPGRRLLLELAESYIHRRSLATKGYDKCAEKGKVAFVSAYGIHQLHIFIFVLAVVHVVYCIVTYAFGKIKMRTWKSWEEETKTIEYQYSNDPERFRFARDTSFGRRHLNFWSKTRVTLWIVCFFRQFFGSVTKVDYLALRHGFIMAHFAPGNESRFDFRKYIQRSLEKDFKTVVEISPVIWFVAVLFLLTNSYGLRSYLWLPFIPLVVILIVGTKLEVIITKLGLRIQEKGDVVRGAPVVQPGDDLFWFGKPRFILFLIHLVLFTNAFQLAFFAWSTYEFNLNNCFHESTADVVIRLVVGAVVQILCSYVTLPLYALVTQMGSKMKPTVFNDRVATALKKWHHTAKNETKHGRHSGSNTPFSSRPTTPTHGSSPIHLLHNFNNRSVENYPSSPSPRYSGHGHHEHQFWDPESQHQEAETSTHHSLAHESSEPVLASVELPPIRTSKSLRDFSFKK
VIMSS10079487 1 894 0.126132326621924 PF00931.22:NB-ARC:160:401,PF13855.6:LRR_8:539:598 Disease resistance protein SUMM2; Disease resistance protein At1g12280; Protein SUPPRESSOR OF MKK1 MKK2 2 894 302 13 894 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P60838 1 SwissProt MGACLTLSFSCDEVVNQISQGLCINVGYICELSKNVVAMKKDMEVLKKKRDDVKRRVDIEEFTRRRERLSQVQGWLTNVSTVENKFNELLTTNDAELQRLCLFGFCSKNVKMSYLYGKRVVLMLKEIESLSSQGDFDTVTLATPIARIEEMPIQPTIVGQETMLERVWTRLTEDGDEIVGLYGMGGVGKTTLLTRINNKFSEKCSGFGVVIWVVVSKSPDIHRIQGDIGKRLDLGGEEWDNVNENQRALDIYNVLGKQKFVLLLDDIWEKVNLEVLGVPYPSRQNGCKVVFTTRSRDVCGRMRVDDPMEVSCLEPNEAWELFQMKVGENTLKGHPDIPELARKVAGKCCGLPLALNVIGETMACKRMVQEWRNAIDVLSSYAAEFPGMEQILPILKYSYDNLNKEQVKPCFLYCSLFPEDYRMEKERLIDYWICEGFIDENESRERALSQGYEIIGILVRACLLLEEAINKEQVKMHDVVREMALWIASDLGEHKERCIVQVGVGLREVPKVKNWSSVRRMSLMENEIEILSGSPECLELTTLFLQKNDSLLHISDEFFRCIPMLVVLDLSGNSSLRKLPNQISKLVSLRYLDLSWTYIKRLPVGLQELKKLRYLRLDYMKRLKSISGISNISSLRKLQLLQSKMSLDMSLVEELQLLEHLEVLNISIKSSLVVEKLLNAPRLVKCLQILVLRGVQEESSGVLTLPDMDNLNKVIIRKCGMCEIKIERKTLSLSSNRSPKTQFLHNLSTVHISSCDGLKDLTWLLFAPNLTSLEVLDSELVEGIINQEKAMTMSGIIPFQKLESLRLHNLAMLRSIYWQPLSFPCLKTIHITKCPELRKLPLDSEIAIRDEELVIKYQEEEWLERVEWDNEATRLRFLPFFKFFGPEWQVSYVR
VIMSS10079488 1 884 0.1101342760181 PF00931.22:NB-ARC:159:401,PF13855.6:LRR_8:540:599 disease resistance protein (CC-NBS-LRR class), putative (RefSeq) 884 303 13 884 0 Arabidopsis thaliana VIMSS10079488 1 MicrobesOnline MGGCVSVQVSCDQLLNHLGRCFCRKLYYIQNIKENLTSLEEAMEDLKALRDDLLRKVQTAEEGGLQRLHQIKVWLKRVKTIESQFNDLDSSRTVELQRLCCCGVGSRNLRLSYDYGRRVFLMLNIVEDLKSKGIFEEVAHPATRAVGEERPLQPTIVGQETILEKAWDHLMDDGTKIMGLYGMGGVGKTTLLTQINNRFCDTDDGVEIVIWVVVSGDLQIHKIQKEIGEKIGFIGVEWNQKSENQKAVDILNFLSKKRFVLLLDDIWKRVELTEIGIPNPTSENGCKIAFTTRCQSVCASMGVHDPMEVRCLGADDAWDLFKKKVGDITLSSHPDIPEIARKVAQACCGLPLALNVIGETMACKKTTQEWDRAVDVSTTYAANFGAVKERILPILKYSYDNLESESVKTCFLYCSLFPEDDLIEKERLIDYWICEGFIDGDENKKGAVGEGYEILGTLVCASLLVEGGKFNNKSYVKMHDVVREMALWIASDLRKHKDNCIVRAGFRLNEIPKVKDWKVVSRMSLVNNRIKEIHGSPECPKLTTLFLQDNRHLVNISGEFFRSMPRLVVLDLSWNVNLSGLPDQISELVSLRYLDLSYSSIGRLPVGLLKLKKLMHLNLESMLCLESVSGIDHLSNLKTVRLLNLRMWLTISLLEELERLENLEVLTIEIISSSALEQLLCSHRLVRCLQKVSVKYLDEESVRILTLPSIGDLREVFIGGCGMRDIIIERNTSLTSPCFPNLSKVLITGCNGLKDLTWLLFAPNLTHLNVWNSRQIEEIISQEKASTADIVPFRKLEYLHLWDLPELKSIYWNPLPFPCLNQINVQNKCRKLTKLPLDSQSCIVAGEELVIQYGDEEWKERVEWEDKATRLRFLPSCKLVLCNR
VIMSS10079807 1 185 0.205955135135135 PF02309.16:AUX_IAA:88:182 Auxin-responsive protein IAA34; Indoleacetic acid-induced protein 34 185 95 13 185 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5X0 1 SwissProt MYCSDPPHPLHLVASDKQQKDHKLILSWKKPTMDSDPLGVFPNSPKYHPYYSQTTEFGGVIDLGLSLRTIQHEIYHSSGQRYCSNEGYRRKWGYVKVTMDGLVVGRKVCVLDHGSYSTLAHQLEDMFGMQSVSGLRLFQMESEFCLVYRDEEGLWRNAGDVPWNEFIESVERLRITRRNDAVLPF
VIMSS10079988 1 487 0.363279876796715 PF13460.6:NAD_binding_10:169:249,PF05368.13:NmrA:165:237,PF08547.12:CIA30:309:412,PF01370.21:Epimerase:165:265 Protein HIGH CHLOROPHYLL FLUORESCENCE PHENOTYPE 173, chloroplastic 598 205 13 487 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W4D6 1 SwissProt MVGSIVGSNMAATDARFLSSNFGNSFSINTRIHRFHDRSQIVIPRAQSSSSPSPSPPSDKKKTKTRPGTITTKESEETVAKKLDVAPPSPQSPPSPPTLKLDDVNPVGLGRRSRQIFDEVWRKFSGLGQMSRTTRPDEQETLDSLLIREGPMCEFAVPGAQNVTVLVVGATSRIGRIVVRKLMLRGYTVKALVRKQDEEVMSMLPRSVDIVVGDVGEPSTLKSAVESCSKIIYCATARSTITADLTRVDHLGVYNLTKAFQDYNNRLAQLRAGKSSKSKLLLAKFKSAESLDGWEIRQGTYFQDTTASKYDGGMDAKFEFTETERAEFSGYVFTRGGYVELSKKLSLPLGTTLDRYEGLVLSVGGNGRSYVVILEAGPSSDMSQSKQYFARISTKAGFCRVRVPFSAFRPVNPEDPPLDPFLVHTLTIRFEPKRQRPVDGLAGAQQDLRSFSLVFEYIKALPAGQETDFILVSCTGSGVEANRREQV
VIMSS10080124 95 687 0.257280438448567 PF01852.19:START:122:343 Homeobox-leucine zipper protein HDG12; HD-ZIP protein HDG12; Homeodomain GLABRA 2-like protein 12; Homeodomain transcription factor HDG12; Protein HOMEODOMAIN GLABROUS 12 687 222 13 593 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LMT8 1 SwissProt IRCENIAIREAIKHAICPSCGDSPVNEDSYFDEQKLRIENAQLRDELERVSSIAAKFLGRPISHLPPLLNPMHVSPLELFHTGPSLDFDLLPGSCSSMSVPSLPSQPNLVLSEMDKSLMTNIAVTAMEELLRLLQTNEPLWIKTDGCRDVLNLENYENMFTRSSTSGGKKNNLGMEASRSSGVVFTNAITLVDMLMNSVKLTELFPSIVASSKTLAVISSGLRGNHGDALHLMIEELQVLSPLVTTREFCVLRYCQQIEHGTWAIVNVSYEFPQFISQSRSYRFPSGCLIQDMSNGYSKVTWVEHGEFEEQEPIHEMFKDIVHKGLAFGAERWIATLQRMCERFTNLLEPATSSLDLGGVIPSPEGKRSIMRLAHRMVSNFCLSVGTSNNTRSTVVSGLDEFGIRVTSHKSRHEPNGMVLCAATSFWLPISPQNVFNFLKDERTRPQWDVLSNGNSVQEVAHITNGSNPGNCISVLRGFNASSSQNNMLILQESCIDSSSAALVIYTPVDLPALNIAMSGQDTSYIPILPSGFAISPDGSSKGGGSLITVGFQIMVSGLQPAKLNMESMETVNNLINTTVHQIKTTLNCPSTA
VIMSS10080442 1 801 0.192464669163546 PF04564.15:U-box:25:98,PF00514.23:Arm:672:699 U-box domain-containing protein 44; Plant U-box protein 44; Protein SENESCENCE-ASSOCIATED E3 UBIQUITIN LIGASE 1; RING-type E3 ubiquitin transferase PUB44; EC 2.3.2.27 801 102 13 801 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LM76 1 SwissProt MVGSSDGDQSDDSSHFERGVDHIYEAFICPLTKEVMHDPVTLENGRTFEREAIEKWFKECRDSGRPPSCPLTSQELTSTDVSASIALRNTIEEWRSRNDAAKLDIARQSLFLGNAETDILQALMHVRQICRTIRSNRHGVRNSQLIHMIIDMLKSTSHRVRYKALQTLQVVVEGDDESKAIVAEGDTVRTLVKFLSHEPSKGREAAVSLLFELSKSEALCEKIGSIHGALILLVGLTSSNSENVSIVEKADRTLENMERSEEIVRQMASYGRLQPLLGKLLEGSPETKLSMASFLGELPLNNDVKVLVAQTVGSSLVDLMRSGDMPQREAALKALNKISSFEGSAKVLISKGILPPLIKDLFYVGPNNLPIRLKEVSATILANIVNIGYDFDKATLVSENRVENLLHLISNTGPAIQCKLLEVLVGLTSCPKTVPKVVYAIKTSGAIISLVQFIEVRENDDLRLASIKLLHNLSPFMSEELAKALCGTAGQLGSLVAIISEKTPITEEQAAAAGLLAELPDRDLGLTQEMLEVGAFEKIISKVFGIRQGDIKGMRFVNPFLEGLVRILARITFVFNKEARAINFCREHDVASLFLHLLQSNGQDNIQMVSAMALENLSLESIKLTRMPDPPPVNYCGSIFSCVRKPHVVNGLCKIHQGICSLRETFCLVEGGAVEKLVALLDHENVKVVEAALAALSSLLEDGLDVEKGVKILDEADGIRHILNVLRENRTERLTRRAVWMVERILRIEDIAREVAEEQSLSAALVDAFQNADFRTRQIAENALKHIDKIPNFSSIFPNIA
VIMSS10081373 1 135 0.168640740740741 PF02519.14:Auxin_inducible:12:99 auxin-responsive protein, putative (RefSeq) 135 88 13 135 0 Arabidopsis thaliana VIMSS10081373 1 MicrobesOnline MMNTKKLIKMFRKWQQRAALHRKRISFQRPSTRSTTVEKGCFVVYTADNTRFAFPISYLSNSVFQEILEISEEEFGLPTGGPITLPFDSVFLEYLIKLIKRRMDGDTEKALLMSISSARCSLQKQEQSTQQLLVF
VIMSS10081770 136 528 0.499719592875318 NAC domain-containing protein 13; ANAC013; Protein NTM1-like 1 528 0 13 370 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IED2 1 SwissProt HKEELKRCGGEDVKDAYVLYKIYKKSGSGPKNGEQYGAPFIEEEWAEDDDDDVDEPANQLVVSASVDNSLWGKGLNQSELDDNDIEELMSQVRDQSGPTLQQNGVSGLNSHVDTYNLENLEEDMYLEINDLMEPEPEPTSVEVMENNWNEDGSGLLNDDDFVGADSYFLDLGVTNPQLDFVSGDLKNGFAQSLQVNTSLMTYQANNNQFQQQSGKNQASNWPLRNSYTRQINNGSSWVQELNNDGLTVTRFGEAPGTGDSSEFLNPVPSGISTTNEDDPSKDESSKFASSVWTFLESIPAKPAYASENPFVKLNLVRMSTSGGRFRFTSKSTGNNVVVMDSDSAVKRNKSGGNNDKKKKKNKGFFCLSIIGALCALFWVIIGTMGGSGRPLLW
VIMSS10081865 82 184 0.492043689320388 Integrase-type DNA-binding superfamily protein 184 0 13 103 0 Arabidopsis thaliana NP_174636.1 1 RefSeq FPRPESSSSEHIQAAAQDAALMFKPGRLSEPALESGQGLSRVGLSPDQIQAINESPLDSPRMGWMQDLEVADYEELYGQFFGQHDRDEFFEMQQFQSIWNSNN
VIMSS10082046 1 133 0.722705263157895 Classical arabinogalactan protein 5 133 0 13 87 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LCE4 1 SwissProt MASKSVVVFLFLALVASSVVAQAPGPAPTISPLPATPTPSQSPRATAPAPSPSANPPPSAPTTAPPVSQPPTESPPAPPTSTSPSGAPGTNVPSGEAGPAQSPLSGSPNAAAVSRVSLVGTFAGVAVIAALLL
VIMSS10082540 1 933 0.155857663451233 PF01094.28:ANF_receptor:47:405,PF00060.26:Lig_chan:589:840,PF00497.20:SBP_bac_3:485:807,PF13458.6:Peripla_BP_6:42:401,PF10613.9:Lig_chan-Glu_bd:482:568 Glutamate receptor 3.3; Ligand-gated ion channel 3.3 933 723 13 867 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C8E7 1 SwissProt MKQLWTFFFLSFLCSGLFRRTHSEKPKVVKIGSIFSFDSVIGKVAKIAIDEAVKDVNSNPDILSGTKFSVSMQNSNCSGFMGMVEALRFMEKDIVGIIGPQCSVVAHMISHMANELRVPLLSFAVTDPVMSPLQFPYFIRTTQSDLYQMDAIASIVDFYGWKEVIAVFVDDDFGRNGVAALNDKLASRRLRITYKAGLHPDTAVNKNEIMNMLIKIMLLQPRIVVIHVYSELGFAVFKEAKYLGMMGNGYVWIATDWLSTNLDSSSPLPAERLETIQGVLVLRPHTPDSDFKREFFKRWRKMSGASLALNTYGLYAYDSVMLLARGLDKFFKDGGNISFSNHSMLNTLGKSGNLNLEAMTVFDGGEALLKDILGTRMVGLTGQLQFTPDRSRTRPAYDIINVAGTGVRQIGYWSNHSGLSTVLPELLYTKEKPNMSTSPKLKHVIWPGETFTKPRGWVFSNNGKELKIGVPLRVSYKEFVSQIRGTENMFKGFCIDVFTAAVNLLPYAVPVKFIPYGNGKENPSYTHMVEMITTGNFDGVVGDVAIVTNRTKIVDFTQPYAASGLVVVAPFKKLNSGAWAFLRPFNRLMWAVTGCCFLFVGIVVWILEHRTNDEFRGPPKRQCVTILWFSFSTMFFAHRENTVSTLGRLVLIIWLFVVLIINSSYTASLTSILTVQQLSSPIKGIESLRERDDPIGYQVGSFAESYLRNELNISESRLVPLGTPEAYAKALKDGPSKGGVAAIVDERPYVELFLSSNCAYRIVGQEFTKSGWGFAFPRDSPLAIDLSTAILELAENGDLQRIHDKWLMKNACTLENAELESDRLHLKSFWGLFLICGVACLLALFLYFVQIIRQLYKKPTDDAIARDQQQNHDSSSMRSTRLQRFLSLMDEKEESKHESKKRKIDGSMNDTSGSTRSRGFDRERSFNSVNPLD
VIMSS10082980 1 285 0.389755789473684 hypothetical protein (RefSeq) 285 0 13 285 0 Arabidopsis thaliana VIMSS10082980 1 MicrobesOnline MEKEKKALKKKAKVLKSLSKNLDMFSSIGFGLDPEAGLVGEIQTKTISEATEILVKQLEQLKAEEKILKKQRKEEKAKAKAMKKMTEMDSESSSSSESSDSDCDKGKVVDMSSLRNKAKPVLEPLQPEATVATLPRIQEDAISCKNTSEALQIALQTSTIFPSMANPGQTLKTVEAVSVVGLPLNRVEVCMGGKCKRSGGALLLDEFQRAMTGFEGSAVACKCMGKCRDGPNVRVVKETDAVMTDSVRTPSKTLCVGVGLQDVETIVTSFFDEECSREGLGSVSY
VIMSS10083532 93 185 0.452008602150537 Ethylene-responsive transcription factor 8; AtERF8; Ethylene-responsive element-binding factor 8; EREBP-8 185 0 13 93 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MAI5 1 SwissProt SPTQSSTVVDSPTAARFITPPHLELSLGGGGACRRKIPLVHPVYYYNMATYPKMTTCGVQSESETSSVVDFEGGAGKISPPLDLDLNLAPPAE
VIMSS10083570 1 193 0.346090155440414 hypothetical protein (RefSeq) 193 0 13 193 0 Arabidopsis thaliana VIMSS10083570 1 MicrobesOnline MNSTQQLDSDLRFFRILETNRFINLPDSRLTQTLEIPAAAPPSLWLNQRVLSRRRAEIVLSDGGSLVDLQWGTMTLDDGSRSGGFLRQSLRGGTSPPMNLLGSGGSVLRRYRGRRIVVFELGCEDPIDPGQIYMIQGVLAMLPSTTPDGELMRNGGEKKTIWIVEEEGKLSNKASTSRNFCLSPVDPVEYQSD
VIMSS10083640 1 372 0.174380107526882 PF00657.22:Lipase_GDSL:34:330 myrosinase-associated protein, putative (RefSeq) 372 297 13 349 1 Arabidopsis thaliana VIMSS10083640 1 MicrobesOnline MECSSVSVLGILLVFPLLHNLVTISGQNLPAVGLFTFGDSNFDAGNKKFLTSAPLPQNFWPYGKSRDDPKGKFSDGKIVPDFIAKFMGIPHDLPPALKPGTDVSRGASFAVGSASILGSPKDSLALNQQVRKFNQMISNWKVDYIQKSVFMISIGMEDYYNFTKNNPNAEVSAQQAFVTSVTNRFKSDINLLYSSGASKFVVHLLAPLGCLPIARQEFKTGNNCYEKLNDLAKQHNAKIGPILNEMAETKPDFQFTVFDFYNVILRRTQRNMNYRFSVTNISCCGVGTHYAYGCGLPNVHSKLCEYQRSYLYFDARHNTEKAQEAFAHLIFGADPNVIQPMNVRELMVYPVNEPMREFWEDPMDEKLSLVQY
VIMSS10083680 202 521 0.1424871875 PF00999.21:Na_H_Exchanger:8:236 Sodium/hydrogen exchanger 5; Na(+)/H(+) exchanger 5; NHE-5 521 229 13 190 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S396 1 SwissProt TMSLVNRQSSSGEHFFMVVIRFFETFAGSMSAGVGVGFTSALLFKYAGLDTENLQNLECCLFVLFPYFSYMLAEGVGLSGIVSILFTGIVMKRYTFSNLSEASQSFVSSFFHLISSLAETFTFIYMGFDIAMEQHSWSHVGFILFSILFIGVARAVNVFGCAYLVNLFRQENQKIPMKHQKALWYSGLRGAMAFALALQSLHDLPEGHGQIIFTATTTIVVVTVLLIGGSTGKMLEALEVVGDDLDDSMSEGFEESDHQYVPPPFSIGASSDEDTSSSGSRFKMKLKEFHKTTTSFTALDKNFLTPFFTTNSGDGDGDGE
VIMSS10083840 1 754 0.201458222811671 PF05691.12:Raffinose_syn:7:738 Probable galactinol--sucrose galactosyltransferase 1; Protein SEED IMBIBITION 1; Raffinose synthase 1; EC 2.4.1.82 754 732 13 754 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84VX0 1 SwissProt MTVGAGISVTDSDLVVLGHRVLHGVPENVLVTPASGNALIDGAFIGVTSDQTGSHRVFSLGKLEDLRFMCVFRFKLWWMTQRMGTNGKEIPCETQFLIVEANQGSDLGGRDQSSSYVVFLPILEGDFRAVLQGNEANELEICLESGDPTVDQFEGSHLVFVAAGSDPFDVITKAVKAVEQHLQTFSHRERKKMPDMLNWFGWCTWDAFYTNVTAKDVKQGLESLKAGGVTPKFVIIDDGWQSVGMDETSVEFNADNAANFANRLTHIKENHKFQKDGKEGHRVDDPSLSLGHVITDIKSNNSLKYVYVWHAITGYWGGVKPGVSGMEHYESKVAYPVSSPGVMSSENCGCLESITKNGLGLVNPEKVFSFYNDLHSYLASVGVDGVKVDVQNILETLGAGHGGRVKLAKKYHQALEASISRNFPDNGIISCMSHNTDGLYSAKKTAVIRASDDFWPRDPASHTIHIASVAYNTLFLGEFMQPDWDMFHSLHPMAEYHAAARAVGGCAIYVSDKPGQHDFNLLRKLVLRDGSILRAKLPGRPTSDCFFSDPVRDNKSLLKIWNLNEFTGVIGVFNCQGAGWCKNEKRYLIHDQEPGTISGCVRTNDVHYLHKVAAFEWTGDSIVYSHLRGELVYLPKDTSLPVTLMPREYEVFTVVPVKEFSDGSKFAPVGLMEMFNSGGAIVSLRYDDEGTKFVVRMKLRGSGLVGVYSSVRRPRSVTVDSDDVEYRYEPESGLVTFTLGVPEKELYLWDVVIQ
VIMSS10083888 1 110 0.217477272727273 PF02519.14:Auxin_inducible:7:101 Auxin-responsive protein SAUR71; Protein SMALL AUXIN UP RNA 71 110 95 13 110 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGU2 1 SwissProt MKQLIRRLSRVADSTQYSLLRSESQRGRTKKEKHKSWVPEGHVPVYVGHEMERFVVNAELLNHPVFVALLKQSAQEYGYEQQGVLRIPCHVLVFERILESLRLGLADRVT
VIMSS10084667 189 1131 0.224610392364793 PF00931.22:NB-ARC:7:231,PF07725.12:LRR_3:420:439 ATP binding / nucleoside-triphosphatase/ nucleotide binding / protein binding / transmembrane receptor (RefSeq) 1131 245 13 921 1 Arabidopsis thaliana VIMSS10084667 1 MicrobesOnline EDMMGLEAHLKKIQSLLRLDYKDEALIIGISGPAGIGKSTIARALESRLSDRFQLTCFMDLRGSENNGLHDYGQQLRLQEQLLAKVLNQDGTRICHLGVLQQRLSDLRVLIILDDVSDIKQLKALAKETTWFGPGSRIIVTTENKDLLQQRGIDSTYHVGFPSREEALEIFCKFAFEQSSPPHAFEKLAARITHLCGNLPLGLCVMGSSLFGKKQDEWEFVVHRLETNPGQEIDDVLRVGYERLHENDQMLFLHIAIFFNYRDRDLVEAMLADDGNLDVGNWLKFLINKSLIEIYRTGQIVMHKLLQQVGRQAIRRQEPWKRQILINANEICDLLRYEKGTSCNVSGISFDTSGISEVTICDGAFKRLHDLRFLHVYKSRDDGNNRVHIPEKVEFPPRLRLLHWAAYPSKSLPPTFNLECLVELNMRESLVEKLWEGTQHLKNLKYMDLTESKNLKELPDLSNATNLEYFYLDNCESLVEIPSSFAHLHKLEWLEMNNCINLQVIPAHMNLTSVKQVNMKGCSRLRKFPVISRHIEALDISDNTELEDMPASIASWCHLVYLDMSHNEKLQGLTQLPTSLRHLNLSYTDIESIPDCIKALHQLEELCLSGCTRLASLPDLPCSIKALEAEDCESLESVSSPLYTPSARLSFTNCFKLGGEAREAIIRRSSDSTGSVLLPGREVPAEFDHRAQGNSLSILLPLGGNSQFMVCVVISPRHDITKMSNESELLCRINGESCSYDEEFDIVDVSNCRREHLFIFHSGLLRMGRSEAGTEMVFEFSSALQEDFDIIECGVKIWTPQSIRRGYLAFGEDDDGTDGVICDTEDVDQMTDETKGGNNGGSSDEEDGKIHMYSHESGETSESESESEKEDESEPRETSEADADGDYESVSRKRAKKTTSASTDLKLVSIDYLRISNLLKYIFLILVLLLILVVLMSMRLFLA
VIMSS10084997 1 491 0.0700993890020368 PF13347.6:MFS_2:38:290 Sucrose transport protein SUC7; Sucrose permease 7; Sucrose-proton symporter 7 491 253 13 227 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q67YF8 0 SwissProt MSDLQANKDETTVDRQSSSSVDLDGPSPLRKMISVASIAAGIQFGWALQLSLLTPYVQLLGVPHKWPSFIWLCGPVSGLLVQPSVGYFSDRCTSRFGRRRPFIATGALLVAVSVVLIGYAADFGHSMGDKIDKPVKMRAVVIFALGFWILDVANNTLQGPCRAFLGDLAAGDAQKTRTANAFFSFFMAVGNVLGYAAGSYTNLYKIFPFTMTKACDIYCANLKSCFFLSITLLLVVTIIALWYVEDKQWSPKADSDNEKTPFFGEIFGAFKVMKRPMWMLLIVTALNWIAWFPFLLYDTDWMGREVYGGDSKGDDKMKKLYNQGIHVGALGLMLNSIVLGVMSLGIEGISRKMGGAKRLWGAVNIILAVCLAMTVLVTKKAEEHRRIAGPMALPTDGIRAGALTLFALLGIPLAITFSIPFALASIISSSSGAGQRLSLGVLNMAIVIPQMIVSFGVGPIDALFGDGNLPGFVVGAIAAAVSSIVAFTVLP
VIMSS10085180 197 419 0.687522869955157 Protein indeterminate-domain 14; AtIDD14; Transcriptional regulator of starch metabolism IDD14 419 0 13 223 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9X7 1 SwissProt TVRRSQPSNHRLHEQQQHTTNATQTASTAENNENGDLSIGPILPGHPLQRRQSPPSEQQPSTLLYPFVTNGSIELQLLPSRNCADETSLSLSIGTMDQKTMSEVEKKSYEKGETSLEREEARRETKRQIEIAELEFAEAKRIRQHARAELHKAHLFREEASRRISATMMQITCHNCKQHFQAPAALVPPPPQTHCTDESTSLAVSYMSSATTEGEKASDRASS
VIMSS10085388 1 286 0.262258041958042 PF01145.25:Band_7:9:182 Hypersensitive-induced response protein 2; AtHIR2 286 174 13 286 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAR7 1 SwissProt MGQALGCIQVDQSNVAIKETFGKFDEVLEPGCHCLPWCLGSQVAGHLSLRVQQLDVRCETKTKDNVFVTVVASIQYRALAESAQDAFYKLSNTRNQIQAYVFDVIRASVPKLDLDSTFEQKNDIAKTVETELEKAMSHYGYEIVQTLIVDIEPDVHVKRAMNEINAASRMREAASEKAEAEKILQIKRAEGEAESKYLSGMGIARQRQAIVDGLRNSVLAFSESVPGTSSKDVMDMVLVTQYFDTLKEIGASSKSNSVFIPHGPGAVRDIASQIRDGLLQGNSAAE
VIMSS10085921 1 265 0.505858490566038 PF00249.31:Myb_DNA-binding:97:141 myb family transcription factor (RefSeq) 265 45 13 265 0 Arabidopsis thaliana VIMSS10085921 1 MicrobesOnline MADGSTSSSESTTACAGSGTRREIMLFGVRVVLDPMRKCVSLNNLSDYEQTAETPKIDGEDRDEQDMNKTPAGYASADEALPMSSSNGKIERKRGVPWTEEEHKLFLLGLQRVGKGDWKGISRNFVKTRTSTQVASHAQKYFLRRSNLNRRRRRSSLFDMTTDTVIPMEEDHQVLIQENTSQSSSPVPEINNFSIHPVMQVFPEFPVPTGNQSYGQLTSSNLINLVPLTFQSSPAPLSLNLSLASSNLNEPSPSMHPAFNTIGVA
VIMSS10086060 1 252 0.320902777777778 PF01388.21:ARID:43:125 High mobility group B protein 9; Nucleosome/chromatin assembly factor group D 09; Nucleosome/chromatin assembly factor group D 9; Protein NUCLEAR FUSION DEFECTIVE 9 338 83 13 252 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGS2 1 SwissProt MSSDNESTPSQATVEMMATSPAKIKEYPEPLALHEVVVKDSSVFWDTLRRFHSIMSTKFMIPVIGGKELDLHVLYVEVTRRGGYEKVVVEKKWREVGGVFRFSATTTSASFVLRKHYLNLLFHYEQVHLFTARGPLLHPIATFHANPSTSKEMALVEYTPPSIRYHNTHPPSQGSSSFTAIGTIEGKFDCGYLVKVKLGSEILNGVLYHSAQPGPSSSPTAVLNNAVVPYVETGRRRRRLGKRRRSRRREDP
VIMSS10086104 1 390 0.07356 PF03547.18:Mem_trans:11:381 Protein PIN-LIKES 3; Auxin efflux carrier-like protein 3 390 371 13 166 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9K5 1 SwissProt MVKLLELFITSSKPVVEILLITSVGFYMALDGVNLLGHDARKYLNNIVFYVFSPSLIGSRLADSVTYESLVKMWFMPVNVLLTFIIGSLLGWIVIVITKPPSHLRGLILGCCAAGNLGNMPLIIIPAVCKEKGGPFGDPESCQKYGMGYVALSMAMGSIYIWTYVYNLMRVLSNSPVETPPSVESNYDSYKVPLISSKEEENNQKAGRWEKVKRRLVSLSQKVNLKTIFAPSTIAAMIALVIGLITPLRKLIIGTEAPLRVLQDSVTLVGDGAVPAMTMIIGGNLLKGLRSSGMKMSSIIGVLVARYVLLPMSGVLIVRGAYKLDLVTSEPLYQFVLLLQYAVPPAMNLGTITQLFGTGESECSVIMLWTYSLASIALTVWPTFFMWLVA
VIMSS10086418 1 2093 0.558449498327762 PF07926.12:TPR_MLP1_2:1045:1171 Nuclear-pore anchor; Protein TRANSLOCATED PROMOTER REGION; AtTPR 2093 127 13 2093 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A4GSN8 1 SwissProt MPLFMPDEELARLSSDAASVVAERADEYIRKIYAELDSVRAKADAASITAEQTCSLLEQKYLSLSQDFSSLESQNAKLQSDFDDRLAELAQSQAQKHQLHLQSIEKDGEVERMSTEMSELHKSKRQLMELLEQKDAEISEKNSTIKSYLDKIVKLTDTSSEKEARLAEATAELARSQAMCSRLSQEKELTERHAKWLDEELTAKVDSYAELRRRHSDLESEMSAKLVDVEKNYIECSSSLNWHKERLRELETKIGSLQEDLSSCKDAATTTEEQYTAELFTANKLVDLYKESSEEWSRKAGELEGVIKALEARLSQVESSYKERLDKEVSTKQLLEKENGDLKQKLEKCEAEIEKTRKTDELNLIPFSNFTRRVDNSGTSNMIEESQAVISKVPAGVSGTALAASLLRDGWSLAKIYEKYQEAVDAMRHEQLGRKEAEMILQRVLSELEEKAGFIQEERGEYERVVEAYCLVNQKLQDSVSEQSNMEKFIMELKADLRRRERENTLLQKDISDLQKQVTILLKECRDVQLRCGAARDDDEDDYPLLSDVEMEMESEADKIISEHLLKFKDINGLVEQNVKLRNLVRSLSEQIESRETELKETFEVDLKNKTDEASAKVATVLKRAEEQGQMIESLHTSVAMYKRLYEEEQKLHSSDSRSSDLSPAVVPGRKNFLHLLEDSEEATKRAQEKAFERIRILEEDFAKARSEVIAIRSERDKLAMEANFAREKLEGIMKESERKREEMNSVLARNIEFSQLIIDHQRKLRESSESLHAAEEISRKLSMEVSVLKQEKELLSNAEKRASDEVSALSQRVYRLQATLDTVQSTEEVREETRAAERRKQEEHIKQLQREWAEAKKELQEERSNARDFTSDRNQTLNNAVMQVEEMGKELANALKAVSVAESRASVAEARLSDLEKKIRSSDPKTLDMDSGGIVSLSDKEMSIELRTAKEEIEKLRGEVESSKSHMLQYKSIAQVNETALKQMESAHENFRLEAEKRQRSLEAELVSLRERVSELENDCIQKSEQLATAAAGKEDALLSASAEIASLREENLVKKSQIEAMNIQMSTLKNDLETEHEKWRVAQRNYERQVILLSETIQELTKTSQALAALQEEASELRKLADARGIENSELNAKWSEEKLMLEQQKNLAEKKYHELNEQNKLLHSRLEAKHLNSAEKNSRSGTISSGSTDSDHLEDSGLQRVVHYLRRTKEIAETEISLMRQEKLRLQSQLESALKMAESARGSLTAERASTRASLLTDDGIKSLQLQVSEMNLLRESNMQLREENKHNFEKCQEMREVAQKARMESENFENLLKTKQTELDLCMKEMEKLRMETDLHKKRVDELRETYRNIDIADYNRLKDEVRQLEEKLKAKDAHAEDCKKVLLEKQNKISLLEKELTNCKKDLSEREKRLDDAQQAQATMQSEFNKQKQELEKNKKIHYTLNMTKRKYEKEKDELSKQNQSLAKQLEEAKEEAGKRTTTDAVVEQSVKEREEKEKRIQILDKYVHQLKDEVRKKTEDLKKKDEELTKERSERKSVEKEVGDSLTKIKKEKTKVDEELAKLERYQTALTHLSEELEKLKHADGNLPEGTSAVQVLSGSILNDQAAAYVSAVEYFERVARSIASNSQVSTKPTDMVTEPSSGIPAAEPSTMTRVPSSTPLIKSPVATTQQLPKVASDNKEKRLISQKPSTEFRRPSGRRIVRPQLVKPEESPKVDVDMPEAEGTGDEGKQPAAHEPESQVTTSVRPVQTLVRKRQADSLVSEPQQDSLTQGETSSEIAPPASKKAKGSESHPDTSEGENLAKEPAIDELMDATTTTDGDNEETEAENAEEKTEEYVEAQQDNEADEPVEESPTETETIPTEEESRDQTEEENQEPLTDMESDKEEGELDLDTLEDLEEGTDVASMMRSPEKEEVQPETLATPTQSPSRMETAMEEAETTIETPVEDDKTDEGGDAAEEAADIPNNANDQQEAPETDIKPETSAATTSPVSTAPTTSSTLASAITSSGAPETEDPKRAPSPGGGSSTIVTLADRAQMKRRERIANIVVSRAPNPATRGARGRTVNLRGGGRLLPRGGRAPRGGRGQSPSPP
VIMSS10086509 1 167 0.21174251497006 PF00903.25:Glyoxalase:14:132 lactoylglutathione lyase family protein / glyoxalase I family protein (RefSeq) 167 119 13 167 0 Arabidopsis thaliana VIMSS10086509 1 MicrobesOnline MKDETGNPLHIKSLNHISLLCRSVEESISFYQNVLGFLPIRRPDSFDFDGAWLFGHGIGIHLLQSPEPEKLLKKTEINPKDNHISFQCESMEAVEKKLKEMEIEYVRAVVEEGGIQVDQLFFHDPDAFMIEICNCDSLPVIPLAGEMARSCSRLNIRQLVQPTQIHP
VIMSS10088569 1 248 0.279239919354839 PF03107.16:C1_2:10:56,PF03107.16:C1_2:66:114 DC1 domain-containing protein (RefSeq) 248 96 13 248 0 Arabidopsis thaliana VIMSS10088569 1 MicrobesOnline MASRPSVRHPSHNHPLRGHKAQVEDEIICSGCDLDLLGAYFKCTKSECDYFLHKSCFDLPREIRHKSHPDHPLILLYSPQNNNSTYTCDACGEYGSGFTYNCSICQYDVHVGCVSVPETMKHDEHVHPLALIYKAPCPKDHIFTCDVCDETMPHNLWLYYCQKCDYGAHLHSCVAEEEEKSKKGGRGRGGEGGSGGNGVNRGRSSANSELAAMLKAQREMEQMQIALHLEMQRAKIDKKSRKHMLKMI
VIMSS10088572 1 156 0.576960897435897 ATBZIP27; transcription factor (RefSeq) 156 0 13 156 0 Arabidopsis thaliana VIMSS10088572 1 MicrobesOnline MEEVWKEINLGSLHYHRQLNIGHEPMLKNQNPNNSIFQDFLNMPLNQPPPPPPPPSSSTIVTALYGSLPLPPPATVLSLNSGVGFEFLDTTENLLASNPRSFEESAKFGCLGKKRGQDSDDTRGDRRYKRMIKNRESAARSRARKQECVSPHSSTF
VIMSS10088573 1 416 0.223555048076923 PF04749.17:PLAC8:292:389 Protein MID1-COMPLEMENTING ACTIVITY 2 416 98 13 416 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q3EBY6 1 SwissProt MANSWDQLGEIASVAQLTGIDALKLIGMIVNAANTARMHKKNCRQFAHHLKLIRNLLEQIKNSEMNQRSEILEPLQGLDDALRRSYILVKSCQEKSYLYLLAMGWNIVNQFEKAQNEIDLFLKIVPLINMADNARIRERLEAIERDQREYTLDEEDRKVQDVILKQESTREAATSVLKKTLSRSYPNMGFCEALKTEEEKLQLELQRSRARYDADQCEVIQRLIDVTQTAATVEPNLEKVLTKKEELTSSKKRDDLYDTDSSSIRADSRSTSYVSSGHELLSGRSLQHRGNWHADLLDCCSEPCLCLKTLFFPCGTLAKISTVATSRQISSTEVCKNLIVYSLILSCCCYTCCIRKKLRKTLNITGGCIDDFLSHLMCCCCALVQELREVEIHRASYGTEKSNKEMSPPTPQFMEE
VIMSS10088607 158 365 0.41706875 NAC domain-containing protein 37; ANAC037; Protein VASCULAR RELATED NAC-DOMAIN 1 365 0 13 208 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SL41 1 SwissProt KRATGQAKNTETWSSSYFYDEVAPNGVNSVMDPIDYISKQQHNIFGKGLMCKQELEGMVDGINYIQSNQFIQLPQLQSPSLPLMKRPSSSMSITSMDNNYNYKLPLADEESFESFIRGEDRRKKKKQVMMTGNWRELDKFVASQLMSQEDNGTSSFAGHHIVNEDKNNNDVEMDSSMFLSEREEENRFVSEFLSTNSDYDIGICVFDN
VIMSS10088984 1 104 0.199028846153846 PF02519.14:Auxin_inducible:15:103 auxin-responsive protein, putative (RefSeq) 104 89 13 104 0 Arabidopsis thaliana VIMSS10088984 1 MicrobesOnline MAVKRSSKLTQTAMLKQILKRCSSLAKNQCYDEDGLPVDVPKGHFPVYVGEKRSRYIVPISFLTHPKFKSLLQQAEEEFGFNHDMGLTIPCEEVVFRSLTSMIG
VIMSS10089209 1 185 0.744867027027027 Lysine-rich arabinogalactan protein 17; Lys-rich AGP 17 185 0 13 139 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22194 1 SwissProt MTRNILLTVTLICIVFITVGGQSPATAPIHSPSTSPHKPKPTSPAISPAAPTPESTEAPAKTPVEAPVEAPPSPTPASTPQISPPAPSPEADTPSAPEIAPSADVPAPALTKHKKKTKKHKTAPAPGPASELLSPPAPPGEAPGPGPSDAFSPAADDQSGAQRISVVIQMVGAAAIAWSLLVLAF
VIMSS10089337 1 350 0.599738 PF00010.26:HLH:149:194 Transcription factor bHLH66; Basic helix-loop-helix protein 66; AtbHLH66; bHLH 66; Transcription factor EN 95; bHLH transcription factor bHLH066 350 46 13 350 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUG9 1 SwissProt MMNSSLLTPSSSSSSHIQTPSTTFDHEDFLDQIFSSAPWPSVVDDAHPLPSDGFHGHDVDSRNQPIMMMPLNDGSSVHALYNGFSVAGSLPNFQIPQGSGGGLMNQQGQTQTQTQPQASASTATGGTVAAPPQSRTKIRARRGQATDPHSIAERLRRERIAERMKALQELVPNGNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAASVSSQISEAGGSHGNASSAMVGGSQTAGNSNDSVTMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATAISTATCHSRNPLIPGAVADVGGPSPPNLSGMTIQSTSTKMGSGNGKLNGNGVTERSSSIAVKEAVSVSKA
VIMSS10089502 510 1065 0.100321043165468 PF03552.14:Cellulose_synt:1:550,PF13632.6:Glyco_trans_2_3:248:362 Probable cellulose synthase A catalytic subunit 10 [UDP-forming]; AtCesA10; AtCesA13; EC 2.4.1.12 1065 550 13 421 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SKJ5 1 SwissProt YVSREKRPGFQHHKKAGAMNALIRVSAVLTNGAYLLNVDCDHYFNNSKAIKEAMCFMMDPAIGKKCCYVQFPQRFDGIDLHDRYANRNTVFFDINLKGLDGIQGPVYVGTGCCFNRQALYGYDPVLTEEDLEPNIIVKSCFGSRKKGKSRKIPNYEDNRSIKRSDSNVPLFNMEDIDEDVEGYEDEMSLLVSQKRLEKRFGQSPVFIAATFMEQGGLPSTTNPLTLLKEAIHVISCGYEAKTDWGKEIGWIYGSVTEDILTGFKMHARGWISIYCVPSRPAFKGSAPINLSDRLNQVLRWALGSIEILLSRHCPIWYGYNGRLKLLERIAYINTIVYPITSIPLLAYCMLPAFCLITNTFIIPEISNLASLCFMLLFASIYASAILELKWSDVALEDWWRNEQFWVIGGTSAHLFAVFQGLLKVFAGIDTNFTVTSKASDEDGDFAELYVFKWTSLLIPPTTILLVNLVGIVAGVSYAINSGYQSWGPLMGKLLFAFWVVAHLYPFLKGLLGRQNRTPTIVIVWSALLASIFSLLWVRINPFVSTTGVMSNSFMGE
VIMSS10090069 94 420 0.533811009174312 E3 ubiquitin protein ligase DRIP2; DREB2A-interacting protein 2; RING-type E3 ubiquitin transferase DRIP2; EC 2.3.2.27 420 0 13 327 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94AY3 1 SwissProt RAPEVVSSISLPAKRKERSISSLVVSTPRVSAQAGTTGKRTKAATRKDVRGSGSFTKRTVKKEEEFGDDHVESASSPETLKKFTQNKRQSSYANPNQSLSNRRNKDVDEPWDSKLHLWKPLNFLVDVANGTKDPKSELGNASHNDVQGSKTKTKDHKRKCKLEEEISNNGDPTTSETATLKRTRRTRRKRSSTFGDSRIPLLPGAASLKQERRNGHVWFSLVASSNQEGEASLPQIPANYLRIRDGNIPVSFIQKYLMRKLDLKSEDEVEITCMGEPVIPTLQLHSLVDLWLETTSKHQRVAASIGSSAKEFVMVLVYSRKLPECNN
VIMSS10090132 1 81 0.305125925925926 CLAVATA3/ESR (CLE)-related protein 6 81 0 13 61 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S8N3 1 SwissProt MANLILKQSLIILLIIYSTPILSSQARILRTYRPTTMGDMDSQVLLRELGIDLSKFKGQDERRFLVDSERVSPGGPDPQHH
VIMSS10090236 1 86 0.271741860465116 PHYTOCYSTATIN 2 147 0 13 63 1 Arabidopsis thaliana NP_180758.1 1 RefSeq MATMLKVSLVLSLLGFLVIAVVTPSAANPFRKSVVLGGKSGVPNIRTNREIQQLGRYCVEQFNQQAQNEQGNIGSIAKTDTAISNP
VIMSS10090269 1 339 0.0714014749262536 PF02535.22:Zip:53:336 Zinc transporter 3; ZRT/IRT-like protein 3 339 284 13 141 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SLG3 0 SwissProt MKTKNVKLLFFFFSVSLLLIAVVNAAEGHSHGGPKCECSHEDDHENKAGARKYKIAAIPTVLIAGIIGVLFPLLGKVFPSLRPETCFFFVTKAFAAGVILATGFMHVLPEAYEMLNSPCLTSEAWEFPFTGFIAMIAAILTLSVDTFATSSFYKSHCKASKRVSDGETGESSVDSEKVQILRTRVIAQVLELGIIVHSVVIGISLGASQSPDAAKALFIALMFHQCFEGLGLGGCIAQGKFKCLSVTIMSTFFAITTPIGIVVGMGIANSYDESSPTALIVQGVLNAASAGILIYMSLVDLLAADFTHPKMQSNTGLQIMAHIALLLGAGLMSLLAKWA
VIMSS10090935 1 115 0.114089565217391 PF14368.6:LTP_2:20:109,PF00234.22:Tryp_alpha_amyl:32:104 Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein 115 90 13 115 0 Arabidopsis thaliana NP_565872.1 1 RefSeq MKCCKFVAVALMSLLISLASVEAAGECGRMPINQAAASLSPCLPATKNPRGKVPPVCCAKVGALIRTNPRCLCAVMLSPLAKKAGINPGIAIGVPKRCNIRNRPAGKRCGRYIVP
VIMSS10091442 1 359 0.525883844011142 PF00010.26:HLH:290:336 basic helix-loop-helix (bHLH) family protein (RefSeq) 359 47 13 359 0 Arabidopsis thaliana VIMSS10091442 1 MicrobesOnline MDSNNHLYDPNPTGSGLLRFRSAPSSVLAAFVDDDKIGFDSDRLLSRFVTSNGVNGDLGSPKFEDKSPVSLTNTSVSYAATLPPPPQLEPSSFLGLPPHYPRQSKGIMNSVGLDQFLGINNHHTKPVESNLLRQSSSPAGMFTNLSDQNGYGSMRNLMNYEEDEESPSNSNGLRRHCSLSSRPPSSLGMLSQIPEIAPETNFPYSHWNDPSSFIDNLSSLKREAEDDGKLFLGAQNGESGNRMQLLSHHLSLPKSSSTASDMVSVDKYLQLQDSVPCKIRAKRGCATHPRSIAERVRRTRISERMRKLQELVPNMDKQTNTSDMLDLAVDYIKDLQRQYKILNDNRANCKCMNKEKKSI
VIMSS10091514 1 257 0.441803112840467 PF03479.15:DUF296:84:193 AT-hook motif nuclear-localized protein 16; Protein TRANSPOSABLE ELEMENT SILENCING VIA AT-HOOK; Protein TEK 257 110 13 211 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJG4 1 SwissProt MAGGTALTPTSVGSKSVPMRNHEATERGNTNNNLRALPKAVQPVSSIEGEMAKRPRGRPAGSKNKPKPPIIVTHDSPNSLRANAVEISSGCDICETLSDFARRKQRGLCILSANGCVTNVTLRQPASSGAIVTLHGRYEILSLLGSILPPPAPLGITGLTIYLAGPQGQVVGGGVVGGLIASGPVVLMAASFMNAVFDRLPMDDDEAASMQNQQYYQNGRSRPLDDIHGLPQNLLTNGNSASDIYSWGPAQRVMSKP
VIMSS10091529 1 156 0.387820512820513 Transcription factor IBH1; AtIBH1; BHLH transcription factor zeta; bHLH zeta; Basic helix-loop-helix protein 158; AtbHLH158; bHLH 158; Protein ILI1-BINDING BHLH 1; bHLH transcription factor bHLH158 156 0 13 156 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SKX1 1 SwissProt MASADKLINTDVPEKDVFAFHFLQSLSNLRKQNPFDTPDQKNYRVRKIKKAAYVSMARAAGGSSRLWSRALLRRADKDDNKIVRFSRRKWKISSKRRRSNQRAPVVEEAAERLRNLVPGGGGMETSKLMEETAHYIKCLSMQVKVMQCLVDGLSPK
VIMSS10091649 1 135 0.199499259259259 ARGOS-like protein 135 0 13 89 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RXL7 1 SwissProt MIREFSSLQNDIINIQEHYSLNNNMDVRGDHNRKNTSFRGSAPAPIMGKQELFRTLSSQNSPRRLISASYFSLESMVVLVGLTASLLILPLILPPLPPPPFMLLLIPIGIMVLLMVLAFMPSSNSKHVSSSSTFM
VIMSS10091964 149 310 0.458228395061728 B3 domain-containing transcription factor NGA1; Protein NGATHA 1 310 0 13 162 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82799 1 SwissProt FAAGAMFPRFYSFPSTNYSLYNHQQQRHHHSGGGYNYHQIPREFGYGYFVRSVDQRNNPAAAVADPLVIESVPVMMHGRANQELVGTAGKRLRLFGVDMECGESGMTNSTEEESSSSGGSLPRGGGGGASSSSFFQLRLGSSSEDDHFTKKGKSSLSFDLDQ
VIMSS10092229 113 361 0.235110040160643 PF03009.17:GDPD:5:205 Glycerophosphodiester phosphodiesterase GDPD1, chloroplastic; Glycerophosphodiester phosphodiesterase 1; AtGDPD1; Protein SENESCENCE-RELATED GENE 3; EC 3.1.4.46 361 201 13 249 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGA2 1 SwissProt CLSEFMSYGPQRDTGKTGKPLLRKSKEGKIHKWSVATDDSFCTLQEAFEKVENPNLGFNIELKLDDNVFYSSDHLSRLLLPILQVVSDIGNDRTIIFSSFHPDAALLVRKLQTTYPVFFLTNGGTEMYHDTRRNSLEEAIKVCLEGGLQGIVSEVKGVFRNPALVNKIKESKLSLMTYGKLNNVAEAVYMQHLMGIEGVIVDHVEEITEAVREMMKPSNRDADGTKPKPNFSDRELSFLLKLIPELIQH
VIMSS10093118 1 431 0.540276102088167 PF13837.6:Myb_DNA-bind_4:103:238 sequence-specific DNA binding transcription factor 431 136 13 431 0 Arabidopsis thaliana NP_187615.2 1 RefSeq MESNVMFSGFSPRMLSLEMPQNPPNPQNSIQFQHPHPYTTSGDQQTQPPIKSLYPYASKPKQMSPISGGGCDDEDRGSGSGSGCNPEDSAGTDGKRKLSQWHRMKWTDTMVRLLIMAVFYIGDEAGLNDPVDAKKKTGGGGGGGGGGGMLQKKGKWKSVSRAMVEKGFSVSPQQCEDKFNDLNKRYKRVNDILGKGIACRVVENQGLLESMDHLTPKLKDEVKKLLNSKHLFFREMCAYHNSCGHLGGHDQQPPQQNPISIPIPSQQQNCFHAAEAGKMARIAERVEVEEEVESDMAEDSESEMEESEEEETRKKRRISTAVKRLREEAASVVEDVGKSVWEKKEWIRRKMLEIEEKKIGYEWEGVEMEKQRVKWMRYRSKKEREMEKAKLDNQRRRLETERMILMLRRSEIELNELQSSGTRVDPSSAKG
VIMSS10093171 181 451 0.779473062730627 NAC domain containing protein 52; ANAC052; NAC domain containing protein 51; ANAC051; Protein POLLEN DEVELOPMENT DEFECTIVE 1; Protein SUPPRESSOR OF GENE SILENCING 1 451 0 13 271 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SQY0 1 SwissProt IGPPSGNRYAPFMEEEWADDEGALIPGIDVKLRLEPPPVANGNDQMDQEIQSASKSLININEPPRETAPLDIESDQQNHHENDLKPEEHNNNNNYDENEETLKREQMEEEERPPRPVCVLNKEAPLPLLQYKRRRQSESNNNSSRNTQDHCSSTTTTVDNTTTLISSSAAATNTAISALLEFSLMGISDKKEKPQQPLRPHKEPLPPQTPLASPEEKVNDLQKEIHQMSVERETFKLEMMSAEAMISILQSRIDALRQENEELKKNNANGQ
VIMSS10093541 1 60 0.0701966666666667 Arabinogalactan protein 12; AtAGP12; Arabinogalactan peptide 12; AG-peptide 12 60 0 13 21 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJD9 0 SwissProt MESMKMKLIVVLMVAIVAFSAVGNVAAQTEAPAPSPTSDAAMFVPALFASVAALASGFLF
VIMSS10093813 1 176 0.423298863636364 PF01215.19:COX5B:75:161,PF10276.9:zf-CHCC:118:153 cytochrome c oxidase family protein (RefSeq) 176 87 13 176 0 Arabidopsis thaliana VIMSS10093813 1 MicrobesOnline MWRRIVSSQLKTLAADVVAASPRRSIAATTRPVGFYLAANRSAISASSFVIPRRFSSDSVETPATKKVEDVMPIATGHEKEELEAELEGRRLDDIDFPEGPFGTKEAPAIVKSYYDKRIVGCPGGEGEDEHDVVWFWLEKGKSFECPVCTQYFELEVVGPGGPPDGHGDEDDEHHH
VIMSS10094146 207 433 0.582320704845815 PF03634.13:TCP:25:102 Transcription factor TCP18; Protein BRANCHED 1; Protein TEOSINTE BRANCHED 1-LIKE 1 433 78 13 227 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A1YKT1 1 SwissProt ATTLSHHGCFSSGDESHIRPVLGSMDTSSDLCELASMWTVDDRGSNTNTTETRGNKVDGRSMRGKRKRPEPRTPILKKLSKEERAKARERAKGRTMEKMMMKMKGRSQLVKVVEEDAHDHGEIIKNNNRSQVNRSSFEMTHCEDKIEELCKNDRFAVCNEFIMNKKDHISNESYDLVNYKPNSSFPVINHHRSQGAANSIEQHQFTDLHYSFGAKPRDLMHNYQNMY
VIMSS10094625 1 262 0.522923664122137 PF04927.12:SMP:14:68,PF04927.12:SMP:136:192,PF04927.12:SMP:201:260 Late embryogenesis abundant protein 31; LEA 31; Protein RESPONSIVE TO ABSCISIC ACID 28; AtRAB28 262 172 13 262 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJ97 1 SwissProt MSQEEQPKRPQEPVTYGDVFEVSGELADKPIAPEDANMMQAAETRVFGHTQKGGAAAVMQSAATANKRGGFVHPGDTTDLAAERGVTVAQTDVPGARVTTEFVGGQVVGQYVEPRPVATAAAMEAEVVGLSLQSAITIGEALEATVQTAGNKPVDQSDAAAIQAAEVRACGTNVIAPGGIAASAQSAANHNATIDRDEDKIKLIDVLAGATGKLAADKAVTRQDAEGVVSAELRNNPNLSTHPGGVAASITAAARLNERADI
VIMSS10094849 1 751 0.181149667110519 PF01624.20:MutS_I:129:215 DNA mismatch repair protein MSH1, mitochondrial; AtMSH1; MutS protein homolog 1; Protein CHLOROPLAST MUTATOR 1118 87 13 751 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84LK0 1 SwissProt MHWIATRNAVVSFPKWRFFFRSSYRTYSSLKPSSPILLNRRYSEGISCLRDGKSLKRITTASKKVKTSSDVLTDKDLSHLVWWKERLQTCKKPSTLQLIERLMYTNLLGLDPSLRNGSLKDGNLNWEMLQFKSRFPREVLLCRVGEFYEAIGIDACILVEYAGLNPFGGLRSDSIPKAGCPIMNLRQTLDDLTRNGYSVCIVEEVQGPTPARSRKGRFISGHAHPGSPYVYGLVGVDHDLDFPDPMPVVGISRSARGYCMISIFETMKAYSLDDGLTEEALVTKLRTRRCHHLFLHASLRHNASGTCRWGEFGEGGLLWGECSSRNFEWFEGDTLSELLSRVKDVYGLDDEVSFRNVNVPSKNRPRPLHLGTATQIGALPTEGIPCLLKVLLPSTCSGLPSLYVRDLLLNPPAYDIALKIQETCKLMSTVTCSIPEFTCVSSAKLVKLLEQREANYIEFCRIKNVLDDVLHMHRHAELVEILKLLMDPTWVATGLKIDFDTFVNECHWASDTIGEMISLDENESHQNVSKCDNVPNEFFYDMESSWRGRVKGIHIEEEITQVEKSAEALSLAVAEDFHPIISRIKATTASLGGPKGEIAYAREHESVWFKGKRFTPSIWAGTAGEDQIKQLKPALDSKGKKVGEEWFTTPKVEIALVRYHEASENAKARVLELLRELSVKLQTKINVLVFASMLLVISKALFSHACEGRRRKWVFPTLVGFSLDEGAKPLDGASRMKLTGLSPYWFDVSSG
VIMSS10094863 1 602 0.323627740863787 PF07227.11:PHD_Oberon:49:168,PF00041.21:fn3:246:327 VIN3-like protein 1; Protein VERNALIZATION 5 602 202 13 602 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LHF5 1 SwissProt MDSSSTKSKISHSRKTNKKSNKKHESNGKQQQQQDVDGGGGCLRSSWICKNASCRANVPKEDSFCKRCSCCVCHNFDENKDPSLWLVCEPEKSDDVEFCGLSCHIECAFREVKVGVIALGNLMKLDGCFCCYSCGKVSQILGCWKKQLVAAKEARRRDGLCYRIDLGYRLLNGTSRFSELHEIVRAAKSMLEDEVGPLDGPTARTDRGIVSRLPVAANVQELCTSAIKKAGELSANAGRDLVPAACRFHFEDIAPKQVTLRLIELPSAVEYDVKGYKLWYFKKGEMPEDDLFVDCSRTERRMVISDLEPCTEYTFRVVSYTEAGIFGHSNAMCFTKSVEILKPVDGKEKRTIDLVGNAQPSDREEKSSISSRFQIGQLGKYVQLAEAQEEGLLEAFYNVDTEKICEPPEEELPPRRPHGFDLNVVSVPDLNEEFTPPDSSGGEDNGVPLNSLAEADGGDHDDNCDDAVSNGRRKNNNDCLVISDGSGDDTGFDFLMTRKRKAISDSNDSENHECDSSSIDDTLEKCVKVIRWLEREGHIKTTFRVRFLTWFSMSSTAQEQSVVSTFVQTLEDDPGSLAGQLVDAFTDVVSTKRPNNGVMTSH
VIMSS10097224 1 94 0.337268085106383 Protein RESPONSE TO LOW SULFUR 1 94 0 13 94 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SCK1 1 SwissProt MANRGGCVTVAAEEMDELRRRNIELSREVAEMKTEMIKLWQRTVVAEEAEEQLCSQLAELEVESLEQARDYHDRMLFLMDQISRLSSSSVVSSS
VIMSS10097270 1 247 0.308861133603239 PF03195.14:LOB:2:101 LOB domain-containing protein 38; ASYMMETRIC LEAVES 2-like protein 40; AS2-like protein 40 247 100 13 247 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SN23 1 SwissProt MSCNGCRVLRKGCSENCILRPCIQWIESPEAQGHATVFVAKFFGRAGLMSFISAVPESQCPALFQSLLYEACGRTVNPVNGAVGLLWTGNWNVCQAAVETVLRGGSLKPIPELLNGGGFAGFPSPTSDEASEICTEMLNLRKADDSGDRNIYHHCRFSSSRSRSRSTASPPKRKRLSSEQQPSSELDLSLIPIYPIKTLPFKEDTPSMYSEESVTTVSFQNNNAGDRYVRCGGGGGGATTKLLNLFA
VIMSS10097331 1 200 0.1935355 PF05659.11:RPW8:11:147 RPW8-like protein 4; AtHR4 200 137 13 177 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SCS6 1 SwissProt MPIAELAVIKTVGGPLIAAALGVGAQVIYDGFRKGKDTSIINRLGRTMESISPVRDRIGKLSNVEGKPFREVHESLTRLLEDAKSIIEKYWKLRWSRHVCRKYRYIKKLESIELELVRVAREIQVHQWTDIKEMKAIQVHQWTDIKEMKAIQVDQWTDIKEMKAIQVDQWIDIKEMKAIQVDQWTDIKEMKAQISEKHNK
VIMSS10097347 1 209 0.485198564593302 PF02234.19:CDI:156:207 Cyclin-dependent kinase inhibitor 2; Inhibitor/interactor of CDK protein 2; KIP-related protein 2 209 52 13 209 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SCR2 1 SwissProt MAAVRRRERDVVEENGVTTTTVKRRKMEEEVDLVESRIILSPCVQATNRGGIVARNSAGASETSVVIVRRRDSPPVEEQCQIEEEDSSVSCCSTSEEKSKRRIEFVDLEENNGDDRETETSWIYDDLNKSEESMNMDSSSVAVEDVESRRRLRKSLHETVKEAELEDFFQVAEKDLRNKLLECSMKYNFDFEKDEPLGGGRYEWVKLNP
VIMSS10097647 1 813 0.178256703567036 UPL7; ubiquitin-protein ligase (RefSeq) 1142 0 13 813 0 Arabidopsis thaliana VIMSS10097647 1 MicrobesOnline MDLNRKHKVSLRGASSGEISRDALLAKVSQERELRSYARRANAASLFIQRVWRSYIVRKKAAIEIQEEWENLLSCHSVTLTKSWVSSRVLRPFLFFVRSLSVQHQKIQAREIHCMQTCFKILLESINSNDQGYNFCSLAVGTSEDSKTWACQTRRMVSLCSFLLTECNYSQERIKDVIGVNALLLRILIVLTDPKSWKIITNENFEDAETAKKIIIQFIGSCKSGYYSAVRRYIKTLTKHTDERLVITTSAVTLALRPFHVKQPAFVDDNQPDTNLAVEEYVSLILTIPRLVCYLPSALIRALKHKSILMPSFHTILLLKDKILNIISEMENSEKQSCTMEIPSVGWVIGNIISLATVSETDFMDPQESNPEMFYVLYVHVIVTLAENLLSQVESVGIQDIHLDIEATSNETEKGNSVKISFVEMLRPVCQQWHLAKLLAASGKEIRVIADKDASTSSKKGSETLGLLDIARLYSCMLRIFCVMNPVLGPLPVLNMLSFCPGYIVSLWNSLESVLLPENGCTADDASHGSAKTSWNTRSPSEKKLKHLKNDSVNKWVNVLNKFSGKSPGPREHVECTSDQPGSGQVNESTNDVWDVETLRGGPVGISKEVSCLLHLFCATYAHLLVVLDDIQFYEKQVPFMLEKQQRIASMLNTLVYYGLLRGTGPESRQLMDSAIRCLHLLYERDCRHPFCASALWLSPGRTSRPPIAFAARTHEVLPTSDVLTTPSMGSVITITPHVFPFEERVHVFREFISKDKASRKMAGEVDAPGARSIEIVVRRGHVVEDGFQQLNSIGSRLKSSIHVSFVNESGLP
VIMSS10097887 297 784 0.357614549180328 Protein-tyrosine-phosphatase MKP1; Mitogen-activated protein kinase phosphatase 1; AtMKP1; EC 3.1.3.48 784 0 13 488 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5S1 1 SwissProt TSLLRMYKMSPHSPYDPLHLVPKLLNDPCPGSLDSRGAFIIQLPSAIYIWVGRQCETIMEKDAKAAVCQIARYEKVEAPIMVVREGDEPVYYWDAFASILPMIGGSVIKVQPGDRKVDAYNLDFEIFQKAIEGGFVPTLASSNNEHETHLPARENSWSSLKCKFASRFDKGFRYVSKTPLSRVYSDSMMIVHSSGSPSSTTSSSSTASPPFLSPDSVCSTNSGNSLKSFSQSSGRSSLRPSIPPSLTLPKFSSLSLLPSQTSPKESRGVNTFLQPSPNRKASPSLAERRGSLKGSLKLPGLADSNRGTPAFTLHPDDSNDIVFNLEGIRNGDLYPPSDCKGTSVDSDLPEKEIISLISCSKSDRHKSGGDTDSSGQPLACRWPSMEMITKLSRAYLDSESVIAIPLPSDAVGETGSRNLYIWIGKSFSLDNNCSLVDSNKAADTVENVDWVQIGESILCQMDLPKDTPIKIVRESEDQTELLALLSAL
VIMSS10098011 1 233 0.370700858369099 hypothetical protein (RefSeq) 233 0 13 233 0 Arabidopsis thaliana VIMSS10098011 1 MicrobesOnline MATSARICCGGGSACAVRCDRRTLNLNSRSSCVVPVTNRRNMCAIGKISMSMEDLSPPSAAVKIERIGGRKRGGSVVSREKLDVWLRDSVVEIVKNLRESPLLMHLYAEANGGLTTTATNPKAEDWTEMEGKWGRGEERTPEGVILVEKLADGDIADDDDHDGGACGEDTSAWGIVAQGRGSDTGPVCYLLKTTRVRSGMGTVCTHFCLVKVKSFRETAMSQLNNSWLVQTGQ
VIMSS10098898 216 580 0.349818630136986 basic helix-loop-helix (bHLH) DNA-binding superfamily protein 580 0 13 365 0 Arabidopsis thaliana NP_001154194.1 1 RefSeq CLMEISAHQDNDDEKKMEIKISEEKHQLPLGISDEDLHYKRTISTVLNYSADRSGKNDKNIRHRQPNIVTSEPGSSFLRWKQCEQQVSGFVQKKKSQNVLRKILHDVPLMHTKRMFPSQNSGLNQDDPSDRRKENEKFSVLRTMVPTVNEVDKESILNNTIKYLQELEARVEELESCMGSVNFVERQRKTTENLNDSVLIEETSGNYDDSTKIDDNSGETEQVTVFRDKTHLRVKLKETEVVIEVRCSYRDYIVADIMETLSNLHMDAFSVRSHTLNKFLTLNLKAKFRGAAVASVGMIKRELRRVIDFREPICDVPLSLHQVFRVFVCKVCQSLVGIFDNVVSSSSTKPRSILIHNSWAICIFH
VIMSS10100405 1 90 0.284738888888889 PF12609.8:DUF3774:10:89 wound-responsive family protein (RefSeq) 90 80 13 90 0 Arabidopsis thaliana VIMSS10100405 1 MicrobesOnline MSSTSKAWTVAVSIGAVEALKDQLGLCRWNYILRSVNQHLRNNVRSVSQGKRFSSSSVSAAVTSSGESEKAKKAEESLRTVMYLSCWGPN
VIMSS10100655 117 286 0.450905294117647 MYB42 (myb domain protein 42); transcription factor (RefSeq) 286 0 13 170 0 Arabidopsis thaliana VIMSS10100655 1 MicrobesOnline LKMEIDPSTHQPLNKVFTDTNLVDKSETSSKADNVNDNKIVEIDGTTTNTIDDSIITHQNSSNDDYELLGDIIHNYGDLFNILWTNDEPPLVDDASWSNHNVGIGGTAAVAASDKNNTAAEEDFPERSFEKQNGESWMFLDYCQEFGVEDFGFECYHGFGQSSMKTGHKD
VIMSS10100978 1 313 0.521096166134185 PF06200.14:tify:156:184,PF09425.10:CCT_2:232:254 Protein TIFY 4A; Protein PEAPOD 1 313 52 13 313 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q7XA73 1 SwissProt MDVGVSPAKSILAKPLKLLTEEDISQLTREDCRKFLKDKGMRRPSWNKSQAIQQVLSLKALYEPGDDSGAGIFRKILVSQPVNPPRVTTTLIEPSNELEACGRVSYPEDNGACHRMDSPRSAEFSGGSGHFVSEKDGHKTTISPRSPAETSELVGQMTIFYSGKVNVYDGIPPEKARSIMHFAANPIDLPENGIFASSRMISKLISKEKMMELPQKGLEKANSSRDSGMEGQANRKVSLQRYREKRKDRKFSKAKKCPGVASSSLEMFLNCQPRMKAAYSQNLGCTGSPLHSQSPESQTKSPNLSVDLNSEGI
VIMSS10101237 426 867 0.449615610859728 PF00012.20:HSP70:109:307 heat shock protein 70 (Hsp 70) family protein 867 199 13 442 0 Arabidopsis thaliana NP_567510.1 1 RefSeq RRLGIVDGSPYGFLVELEGPNVKKDESTKQQLVPRMKKLPSKMFRSFVLDKDFDVSLAYESEGILPPGTTSPVFAQYSVSGLADASEKYSSRNLSAPIKANLHFSLSRSGILSLDRGDAVIEITEWVDVPKKNVTIDSNTTTSTGNATDENSQENKEDLQTDAENSTASNTTAEEPAVASLGTEKKLKKRTFRIPLKVVEKTVGPGAPFSKESLAEAKIKLEALDKKDRERRRTAELKNNLESYIYATKEKLETPEFEKISTQEERKAFVEKLDEVQDWLYMDGEDANATEFEKRLDSLKAIGSPISFRSEELTARPVAIEYARKYLTELKEIIKEWETNKTWLPKEKIDEVSKEAEKVKSWLDKNVAEQEKTSLWSKPVFTSTEVYAKVFTLQDKVTKVNKIPKPKPKIEKVTKTENTTKEEEQSKSSDEAAKEEESHDEL
VIMSS10101437 300 697 0.326178894472362 PF11834.8:KHA:329:392,PF00027.29:cNMP_binding:98:182 Potassium channel KAT2 697 149 13 398 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38849 1 SwissProt VHWTSRTRNFRDTVRAASEFASRNQLPPNIQDQMLSHICLKFKTEGLKQQEALNGLPKAIRSSIANYLFFPIVQNVYLFHGVSRNFLFQLVSDIDAEYFPPREDVILQNEAPTDLYILVSGAVDFTVYVGEEDQVQGKAVVGDAFGEIGVLCYTPQPFTVRTTELSQILRISKKSLMSAMRAHVEDGRVIMNNLFMKLRGQQSIAIDDPNSEPESLLKEWLVGGSKTGEGNASDQGHGHKYLQLHDSENIDMGSTEWRDSRRSGYGETKRVREHTIEIEEGEKPNKEFDGKGCSDADLTSFEFHSQEAYPYCRSNIQIKQHEAAKPKDKRVTIHLKSRDKDLSKLIILPASIEELLRLAGEKFGYSFTKVTNAENAEIDDEDVIRDGDHLYILINENS
VIMSS10101627 1 246 0.247599593495935 PF14299.6:PP2:93:244 Protein PHLOEM PROTEIN 2-LIKE A1; AtPP2-A1 246 152 13 246 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81865 1 SwissProt MSKKHCSELLPNKMFRNQDSKYLIPVQKEAPPVTTLPMKASTVKSPHNCEAILRDADPPISLSSVNLSEQLRSGVFLKPKKQIKYWVDERNSNCFMLFAKNLSITWSDDVNYWTWFTEKESPNENVEAVGLKNVCWLDITGKFDTRNLTPGIVYEVVFKVKLEDPAYGWDTPVNLKLVLPNGKEKPQEKKVSLRELPRYKWVDVRVGEFVPEKSAAGEITFSMYEHAAGVWKKGLSLKGVAIRPKQ
VIMSS10101883 1 282 0.708871276595744 WRKY DNA-binding protein 31 538 0 13 282 0 Arabidopsis thaliana NP_567644.1 1 RefSeq MFRFPVSLGGSRDEDRHDQITPLDDHRVVVDEVDFFSEKRDRVSRENINDDDDEGNKVLIKMEGSRVEENDRSRDVNIGLNLLTANTGSDESTVDDGLSMDMEDKRAKIENAQLQEELKKMKIENQRLRDMLSQATTNFNALQMQLVAVMRQQEQRNSSQDHLLAQESKAEGRKRQELQIMVPRQFMDLGPSSGAAEHGAEVSSEERTTVRSGSPPSLLESSNPRENGKRLLGREESSEESESNAWGNPNKVPKHNPSSSNSNGNRNGNVIDQSAAEATMRK
VIMSS10102173 208 421 0.158433177570093 Protein ECERIFERUM 2 421 0 13 214 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39048 1 SwissProt DGEAISIEKIDSVGEYWLLTNKCKMGRHIFNFSLNHIDSLMAKYTTRDQPFSEVDILYALIWKSLLNIRGETNTNVITICDRKKSSTCWNEDLVISVVEKNDEMVGISELAALIAGEKREENGAIKRMIEQDKGSSDFFTYGANLTFVNLDEIDMYELEINGGKPDFVNYTIHGVGDKGVVLVFPKQNFARIVSVVMPEEDLAKLKEEVTNMII
VIMSS10102407 1 275 0.345700363636364 PF02657.15:SufE:92:211 SufE-like protein 1, chloroplastic/mitochondrial; Chloroplastic SufE; CpSufE; Protein EMBRYO DEFECTIVE 1374; Protein SULFUR E; AtSUFE; Protein SULFUR E 1; AtSUFE1 371 120 13 275 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84W65 1 SwissProt MAAAMSSSCCASSLRLIPFKRTLFSSIHYPAKTLLLRPLKPSEVPSFRRTIITFQKISTGIVPPPSASSSPSSYGDLQPIEELPPKLQEIVKLFQSVQEPKAKYEQLMFYGKNLTPLDSQFKTRENKVEGCVSQVWVRAFFDEERNVVYEADSDSVLTKGLAALLVKGLSGRPVPEILRITPDFAVLLGLQQSLSPSRNNGLLNMLKLMQKKALHLEVKGEEDSSSGESSESSFVSIPETKDEANVPEVDLESKPDLVEDLGTEKIDDSESGSNV
VIMSS10102516 1 612 0.548875326797385 Proton pump-interactor 1 612 0 13 589 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23144 1 SwissProt MGVEVVNSGGFEVAPAPFEGKPEKNGKLDQGKGDDAPINFGSVGELPKNAEENNNKVVNSDAPKNAAEEWPVAKQIHSFYLVKYRSYADPKIKAKLDLADKELEKLNKARTGVLDKLRAKRAERSELFDLLDPLKSERKGFNTMFDEKRKEMEPLQQALGKLRSNDGGSARGPAICSSEEELNSMIYSYQYRIQHESIPLTEEKQILKEIRLLEGTRDKVIANAAMRAKIKESMGQKDDIQGQVKLMGAGLDGVKKERQAISARINELSEKLKATKDEITVLENELKTVSEKRDKAYSNIHDLRRQRDETNSEYYQNRTVLNKARDLAAQKNISELEALANAEVEKFISLWCSKKNFREDYEKRILQSLDSRQLSRDGRMRNPDEKPLIAPEAAPSKATPSETEVVPKAKAKPQPKEEPVSAPKPDATVAQNTEKAKDAVKVKNVADDDDDEVYGLGKPQKEEKPVDAATAKEMRKQEEIAKAKQAMERKKKLAEKAAAKAAIRAQKEAEKKEKKEQEKKAKKKTGGNTETETEEVPEASEEEIEAPVQEEKPQKEKVFKEKPIRNRTRGRGPETIPRAILKRKKSTNYWVYAAPAALVVLLLLVLGYYYVL
VIMSS10102782 1 329 0.200594832826748 hypothetical protein (RefSeq) 329 0 13 329 0 Arabidopsis thaliana VIMSS10102782 1 MicrobesOnline MMAISEKGVMAISEKGVMATKIDKNGVLRELRRHFTEFSLRDVDLCLRSSSQMESLLECFAITDGKCHPDCLKANNEQEDYDACQSAALVAVSLISSARVIFKIDSKYTEYSPQYLVDNVGKEEVEGEMDQPSCQYTVGNLLSYLVENVWTKKEVRQREMDQQRREFTVKDCFEFAFKKGLPRNGHWAHVGCIFPVPPFACQIPRVPMKGEVIEAANVSEALKLGMQQPAAARLHLFSPEFDLVGEGIYDGPSGNETRYVGLRDVLMVEAEKIKGETVFTVQICYKKKTSFVKVSTRSMILPLNGDDESQVTEPACLLVDFCIPRFSIN
VIMSS10102783 1 540 0.324077037037037 PF13359.6:DDE_Tnp_4:327:485 hypothetical protein (RefSeq) 540 159 13 540 0 Arabidopsis thaliana VIMSS10102783 1 MicrobesOnline MEISSFPFPYLQDDECSHFLGLFQDMDSSPSTFGLEGFNSNDNNTNQKKRPRKDDEGGGGGGGGTEVLGAVNGNNKAAFGDILATLLLLDEEAKQQQEQWDFEFIKEKSLLEANHKKKVKTMDGYYNQMQDHYSAAGETDGSRSKRARKTAVAAVVSAVASGADTTGLAAPVPTADIASGSGSGPSHRRLWVKERTTDWWDRVSRPDFPEDEFRREFRMSKSTFNLICEELDTTVTKKNTMLRDAIPAPKRVGVCVWRLATGAPLRHVSERFGLGISTCHKLVIEVCRAIYDVLMPKYLLWPSDSEINSTKAKFESVHKIPNVVGSIYTTHIPIIAPKVHVAAYFNKRHTERNQKTSYSITVQGVVNADGIFTDVCIGNPGSLTDDQILEKSSLSRQRAARGMLRDSWIVGNSGFPLTDYLLVPYTRQNLTWTQHAFNESIGEIQGIATAAFERLKGRWACLQKRTEVKLQDLPYVLGACCVLHNICEMRKEEMLPELKFEVFDDVAVPENNIRSASAVNTRDHISHNLLHRGLAGTRTL
VIMSS10103041 1 302 0.422645695364239 PF03791.13:KNOX2:171:221,PF03790.13:KNOX1:118:159,PF03789.13:ELK:281:302 Homeobox protein knotted-1-like 5; Homeodomain-containing protein 1; Protein KNAT5 383 115 13 302 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48002 1 SwissProt MSFNSSHLLPPQEDLPLRHFTDQSQQPPPQRHFSETPSLVTASFLNLPTTLTTADSDLAPPHRNGDNSVADTNPRWLSFHSEMQNTGEVRSEVIDGVNADGETILGVVGGEDWRSASYKAAILRHPMYEQLLAAHVACLRVATPVDQIPRIDAQLSQLHTVAAKYSTLGVVVDNKELDHFMSHYVVLLCSFKEQLQHHVCVHAMEAITACWEIEQSLQSLTGVSPSESNGKTMSDDEDDNQVESEVNMFDGSLDGSDCLMGFGPLVPTERERSLMERVKKELKHELKQGFKEKIVDIREEIM
VIMSS10103159 1 344 0.134031395348837 PF02535.22:Zip:1:341 Zinc transporter 9; ZRT/IRT-like protein 9 344 341 13 214 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82643 1 SwissProt MASILISGAAGVSIPLVGTLLPLNGGLMRGAKAFAAGVILATGFVHMLSGGSKALSDPCLPEFPWKMFPFPEFFAMVAALLTLLADFMITGYYERKQEKMMNQSVESLGTQVSVMSDPGLESGFLRDQEDGGALHIVGMRAHAEHHRHSLSMGAEGFEALSKRSGVSGHGHGHSHGHGDVGLDSGVRHVVVSQILEMGIVSHSIIIGISLGVSHSPCTIRPLLLALSFHQFFEGFALGGCVAEARLTPRGSAMMAFFFAITTPIGVAVGTAIASSYNSYSVAALVAEGVLDSLSAGILVYMALVDLIAADFLSKKMSVDFRVQVVSYCFLFLGAGMMSALAIWA
VIMSS10103215 1 115 0.0861008695652174 PF14368.6:LTP_2:27:107,PF00234.22:Tryp_alpha_amyl:39:107 lipid binding (RefSeq) 115 81 13 115 0 Arabidopsis thaliana VIMSS10103215 1 MicrobesOnline MGSGMIRTLVILAIALFMIGSDNVHVAKAQVCGANLSGLMNECQRYVSNAGPNSQPPSRSCCALIRPIDVPCACRYVSRDVTNYIDMDKVVYVARSCGKKIPSGYKCGSYTIPAA
VIMSS10103387 1 261 0.482210344827586 PF07716.15:bZIP_2:91:144,PF00170.21:bZIP_1:91:148 Basic leucine zipper 19; AtbZIP19; bZIP protein 19 261 58 13 261 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VY76 1 SwissProt MEDGELDFSNQEVFSSSEMGELPPSNCSMDSFFDGLLMDTNAACTHTHTCNPTGPENTHTHTCFHVHTKILPDESDEKVSTDDTAESCGKKGEKRPLGNREAVRKYREKKKAKAASLEDEVARLRAVNQQLVKRLQNQATLEAEVSRLKCLLVDLRGRIDGEIGSFPYQKPMAANIPSFSHMMNPCNVQCDDEVYCPQNVFGVNSQEGASINDQGLSGCDFDQLQCMANQNLNGNGNGSFSNVNTSVSNKRKGGHRASRAV
VIMSS10103508 1 104 0.138638461538461 PF02519.14:Auxin_inducible:18:102 auxin-responsive protein, putative (RefSeq) 104 85 13 104 0 Arabidopsis thaliana VIMSS10103508 1 MicrobesOnline MAIKKSNKAALSQAASLKQILKRCSSLGKKNQGNCYFNDVPKGHFPVYVGQHRSRYVVPISWLDHHEFQSLLQLAEEEFGFEHEMGLTIPCDEVVFRSLISMFR
VIMSS10103807 1 105 0.149504761904762 PF02519.14:Auxin_inducible:15:104 auxin-responsive protein, putative (RefSeq) 105 90 13 105 0 Arabidopsis thaliana VIMSS10103807 1 MicrobesOnline MAVKRSSKLTQTAMLKQILKRCSSLGKKQCYDEEGLPLDVPKGHFPVYVGEKRTRYIVPISFLTHPEFLILLQQAEEEFGFRHDMGGLTIPCEEVVFLSLTSMIR
VIMSS10103983 1 359 0.214091364902507 PF13439.6:Glyco_transf_4:123:286,PF13579.6:Glyco_trans_4_4:119:274,PF13477.6:Glyco_trans_4_2:126:252 Sulfoquinovosyl transferase SQD2; Protein SULFOQUINOVOSYLDIACYLGLYCEROL 2; Sulfolipid synthase SQD2; UDP-sulfoquinovose: diacylglycerol alpha-sulfoquinovosyltransferase SQD2; EC 2.4.1.- 510 168 13 359 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S4F6 1 SwissProt MTTLSSINLSIPPHLLPSTTNTCSSSSATSCSPPRSSSFVLHSPLSFGHRRLPISKKSKLRFCGVITKEAVSGSNDMTITQVREDDESEIDAPLLDPESLSKPRRIALFVEPSPFAYVSGYKNRFQNFIRYLREMGDEVIVVTTHEGVPEEFYGARVIGSRSFPCPYYQKVPLSLALSPRIISEIARFKPDIIHASSPGVMVFGALAIAKMLSVPIVMSYHTHVPVYIPRYTFSWLVKPMWSIIRFLHRAADLTLVPSAAIGKDLIAAGATAANQLRLWNKGVDSESFNPRFRSQEMRIRLSNGEPEKPLVIHVGRIGVEKSLELLKSVMDKLPEARIAFIGDGPYKEDLEKLFTGMPA
VIMSS10104017 1 142 0.174354225352113 E3 ubiquitin-protein ligase AIRP2; Protein ABA INSENSITIVE RING PROTEIN 2; AtAIRP2; RING-type E3 ubiquitin transferase AIRP2; EC 2.3.2.27 242 0 13 142 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M022 1 SwissProt MRKSFKDSLKALEADIQFANTLASEYPEEYDGGYVQMRLSYSPAAHLFLFLLQWTDCHFAGALGLLRILIYKAYVDGKTTMSLHERKTSIREFYDVLFPSLLQLHGGITDVEERKQKEICDKRYRKKDRTDKGKMSEIDLER
VIMSS10104106 189 2006 0.204495379537954 E3 ubiquitin-protein ligase PRT6; Protein GREENING AFTER EXTENDED DARKNESS 1; Protein PROTEOLYSIS 6; RING-type E3 ubiquitin transferase PRT6; EC 2.3.2.27 2006 0 13 1818 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4KCC2 1 SwissProt GSEQIRPLSENLANSVGPILDALFTCWNNKLLSAESSGQKGARSNDTLVILQKMSNELTFIVVEMLLEFSMSSESLLSFVSRRIISSSGLLSILLKAERFLDQDVMKKLHDLFLKLIGDPVFKCEFAKAFVSYYPVVISEVVKQGTDNAFKKYPLLSTFSVQILTVPTLTPFLVKEMNLLAMLLGCLSDIFVSCSGEDGLLQATKLERLCETSERVIGDLKFVMSHAIVSKYATHEHRELSRSWLTLLTFAQGMNPLKRETGIPIDEENDYMHLFFVLGHSIAVIHSLLVNGTYSAASDEEIENDRNAKEEFDKCDGDGERYAKVGRLSHEDSVCTAIVSSSSFDSSMASEVHKIDPFHALLPSSAIYLIRECLKVLETCLGNDEGISKFLCKLSSSSGRNIPESKMSWPRRDLLNVETGGSVSSNLASSSRDPSTGLSPLCGDIQTNLSLDNVCGPYGVVQTDVTADSKRVSCNSADLTKNASGLRILGLCDWPDIHYDVSSQAISVHLPLHRLLSLLIQKALRICYGESASYNGVSISHEIPHADFFSSVIGDFHPCGFSALVMEHVLQIRVFCAQVIAGMWKKNGDSALVSCEWYRSVRWSEQGLELDLFLLQCCAALAPADSYVDKLLSRFGLSSYLSLNPDITNEYEPVLVQEMLGLLIQILQERRFCGLSTAESLRREIIFKLATGDFTHSQLVKSLPRDLSKSDELQEVLDDVSVYCNPSGMNQGKYSLQSSCWKELDLYHPRWQSRDLQSAEERFSRYCGVSALTTQLPRWRMIYPPLKGLARIGTCKATFQIISSALYYALQSGTSVKSRAPDGVLITALQLLSLSLDICTQQRQSNSQDCCLENSIPILELAGLEIIGIAQGTEKESLLSLLVSLMKTRMGDGRHQFPEPGSCNISSWIGNLLKKFSAIDSVCMNLLQSLAPEVVGQSGFDKVMSGSTSDEKRKAKAKERQAAIMAKMKAEQSKFLSTLSSSMDDDDPRSEFETSDSVMEHDSEIAVREVCSLCHDPDSKDPVSFLIFLQKSKLLSFVDRGPPSWDQCPQSEKKISVDGAPDLLRMNASSDSLRISSPLMLQLSDDTISESANMIESIKARLIGNGQTEKRSSDGRGKDESNMESLEIAMYQTVRNKIENMINQSLTRVDHQPHEAENCSEKNSVGGPSTLQGRFPDIRSRQTSRRPDAGSDGFHPIDCDGVYLSSCGHAVHQSCLERYLKSLKERSGRRTVFEGAHIVDLKKKEFLCPVCRRLANSVLPECPGDLCSVSKLQDSPRTKLRRKDALQPSLWLSEALCLLRSAAEVIEDGDRGKTVTPQGDGPRRKDLKSVSKMLWDFYFPKPEDKTLKRLWLPPQSIVMWDTLKYSLISMEIGTRFAKNSMLPVYCIDSLYEELKTSKGTILSVLLRVVQSSRTKNTIHVRQRFVGMKHLAESICYGVSSSSSSSIFGSEGTTGSLKNIDLLWNRASDPVLAHDPFSSLMWALFCLPFPFLTCEESLLSLVHIFHSVSLVQTVIAYCACRPSELSELNFGENLLNDISNALRESGGWEYFRSNNMDLSCDIKDTIRKYSLPFLRRCALLWKLLKSTPRKLHEESDMFDLPSDPTTDNMDFIYSPQSELNHVQELEKMFNIPPIDIILNDELLRSSTQIWLQHFQREYRVNRVKRSLCITPVVPFQLMKLPNLYQDLLQRCIKKRCVNCTKVIEEPVLCLLCGSLCSPIWSPCCRESGCPNHAITCGAGTGVFLLIRRTTILLQRFARQSPWPSPYLDTFGEEDIDMIRGKRLYLNEERYAALTYLVGSHGLDRSSKVLGQTTIGAVLH
VIMSS10104440 1 239 0.308540585774059 hypothetical protein (RefSeq) 239 0 13 239 0 Arabidopsis thaliana VIMSS10104440 1 MicrobesOnline MAYAKIGYGGSYLVQRGILEYPCGSDHNARLISSSFPINLGGNRRSSIGTISASIGEAVTEIEKQRGGRRSGGRSRGDRWEVEAEREKLDRWMKESVTEIVKNLSEAPLLVHLYTGDKEEGTVVVMKAEEWAAVKGRWERGEAEMPEGIVFVEQLGAAEESCGCGFDGGDGTRAWGLVVQGRGVECGPVCYLLKTTRVGSGSGSGSGLGMRCTHFCLAKVSSFRETSESQLRNCWLVGN
VIMSS10104694 1 512 0.5451888671875 PF01277.17:Oleosin:64:148 glycine rich protein 17 512 85 13 443 3 Arabidopsis thaliana NP_001119185.1 1 RefSeq MSEELSQKPSSAQSLSLREGRNRFPFLSLSQREGRFFPSLSLSERDGRKFSFLSMFSFLMPLLEVIKIIIASVASVIFVGFACVTLAGSAAALVVSTPVFIIFSPVLVPATIATVVLATGFTAGGSFGATALGLIMWLVKRRMGVKPKDNPPPAGLPPNSGAGAGGAQSLIKKSKAKSKGGLKAWCKKMLKSKFGGKKGKSGGGKSKFGGKGGKSEGEEGMSSGDEGMSGSEGGMSGGEGGKSKSGKGKLKAKLEKKKGMSGGSESEEGMSGSEGGMSGGGGSKSKSKKSKLKAKLGKKKGMSGGMSGSEEGMSGSEGGMSSGGGSKSKSKKSKLKAKLGKKKSMSGGMSGSEEGMSGSEGGMSGGGGGKSKSRKSKLKANLGKKKCMSGGMSGSEGGMSRSEGGISGGGMSGGSGSKHKIGGGKHGGLGGKFGKKRGMSGSGGGMSGSEGGVSGSEGSMSGGGMSGVEGVNTKSEEVNTNLEEVNTEVEVATWRSKEQWSSCSNIKQIIVH
VIMSS10104879 1 218 0.55350504587156 Protein PELPK1; Proline-rich protein 10; Protein Pro-Glu-Leu|Ile|Val-Pro-Lys 1 370 0 13 198 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXB8 1 SwissProt MALMKKSLSAALLSSPLLIICLIALLADPFSVGARRLLEDPKPEIPKLPELPKFEVPKLPEFPKPELPKLPEFPKPELPKIPEIPKPELPKVPEIPKPEETKLPDIPKLELPKFPEIPKPELPKMPEIPKPELPKVPEIQKPELPKMPEIPKPELPKFPEIPKPDLPKFPENSKPEVPKLMETEKPEAPKVPEIPKPELPKLPEVPKLEAPKVPEIQK
VIMSS10105056 1 307 0.505501628664496 PF03791.13:KNOX2:182:231,PF03790.13:KNOX1:124:164,PF03789.13:ELK:286:307 Homeobox protein knotted-1-like 4; Protein KNAT4 393 113 13 307 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48001 1 SwissProt MAFHNNHFNHFTDQQQHQPPPPPQQQQQQHFQESAPPNWLLRSDNNFLNLHTAASAAATSSDSPSSAAANQWLSRSSSFLQRGNTANNNNNETSGDVIEDVPGGEESMIGEKKEAERWQNARHKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQNVVAKYSTLEAAQGLLAGDDKELDHFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSFTGVSPGEGTGATMSEDEDEQVESDAHLFDGSLDGLGFGPLVPTESERSLMERVRQELKHELKQGYKEKIVDIREEIL
VIMSS10105116 108 236 0.364857364341085 TINY2 (TINY2); DNA binding / transcription factor (RefSeq) 236 0 13 129 0 Arabidopsis thaliana VIMSS10105116 1 MicrobesOnline ELADSFPRPVSLSPRDIQTAALKAAHMEPTTSFSSSTSSSSSLSSTSSLESLVLVMDLSRTESEELGEIVELPSLGASYDVDSANLGNEFVFYDSVDYCLYPPPWGQSSEDNYGHGISPNFGHGLSWDL
VIMSS10105247 1 272 0.410691176470589 PF02893.20:GRAM:144:254 GRAM domain-containing protein / ABA-responsive protein-related (RefSeq) 272 111 13 272 0 Arabidopsis thaliana VIMSS10105247 1 MicrobesOnline MTGSQEDQPKIIIDQEQPKTLETEHQPEPSSSSPDQKKWGTHVMGAPAAPVAHPDNQQAAAWVAGDNQQTQYQPYVIYSPVEHPTTNNPLEPVIGMFHTWSRKAETVARNLWHNLKTGPSMSETAWGKVNLTAKAITKGGFESLFRQIFGTEPNETLKKTFACYLSTTTGPVAGTVYLSNARVAFCSDRPLYFTAPSGQESWSYYRVVVPLANVATVNPVVVKETPPEKYIQLTTVDGHDFWFMGFVNYEKATHHLLTSVSDFQTAHGSVSG
VIMSS10105306 1 486 0.0569010288065844 PF07690.16:MFS_1:42:436,PF00083.24:Sugar_tr:63:476 Protein ZINC INDUCED FACILITATOR 1 486 435 13 248 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWN2 1 SwissProt MAEEYKEALLEKQNYHDGCPGCKVEQMKQLRRGYPYLELSFVWIIVLSTSLPISSLYPFLYYMIEDFGVAKTEKDIGFYAGFVGCSFMLGRALTSVFWGIVADRYGRKPIILLGTISIAIFNALFGLSSNFWMAIGTRFLLGSFNCLLGTMKAYASEIFRDEYQATAMSAVSTAWGIGLIIGPALGGFLAQPADKYPNVFSQESLFGRFRYALPCFTISAFALLVTVLCCFIPETLHNHKLDSLSHDDSYDILEAASHESSPSTGKAGKNERKASQSLLKNWPLMSSIIVYCVLCLHDTAYSEIFALWANSPRKYGGLSYSTNEVGTVLAISGLGLFSFQVFVYPLAEKLLGPVLVTRYAGALMIPIQMSYPFIAGLSGLSLSLMLNCASILINVLSVSAITGLLILQNRAVDQSQRGAANGIAMTAMSLFKTVGPAGAGILFSWSERRLNAAFLPGSHMVFFVLNVIVVVGVALTFKPFLTTSRR
VIMSS10105593 1 470 0.322773191489362 PF07899.11:Frigida:95:367 FRIGIDA-like protein 1; AtFRIL1; Protein SUPPRESSOR OF FRI 8 470 273 13 470 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FFF1 1 SwissProt MTASETIATAINQIDEKKEKLKKAFDDLQAHRSLLSPSFSLSWSEIDSHFSSLQSSLASRFRLLHSTSPLEHDSYRIDASDAGKSSSSEEVSEQPVVEPELRALCEKIDGIGLIKYLIRIWDDETPLNQEVSAAIRYSPDTASMVLDAIEGSNYTPSSSGRSFDVRRVFVLLMEVLIEINANITVDTRNRAKKLAYHWKSKVGVKPFEALVFLHLVAAFELGSEFDTEELSDYVFMIAKYKQATLVCNKIGVDRKRVGKLIKTLLDSGKPILAVKFMYECGMTDEFEPIPVLKSYIKDCREAALRVCVEDNYSLKSQNEASDKEVSALKPLIKIIKDQNLESEFTQEKVEERVEELEKNKALRKRNTTNPPKQEPQQKGKKRTRDCKNGSQVPVPSQQLLSRPEALLMPEHSHHGLQLNPYGLMTSAFSGVVVNPLTGLFGSGATPQSLYYAQQTGYVLPPQYHPPYYSQ
VIMSS10105649 115 481 0.598040054495912 Heat stress transcription factor A-1b; AtHsfA1b; AtHsf-18; Heat shock factor protein 3; HSF 3; Heat shock transcription factor 3; HSTF 3 481 0 13 367 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81821 1 SwissProt VRRKPSHVQQNQQQTQVQSSSVGACVEVGKFGIEEEVERLKRDKNVLMQELVRLRQQQQATENQLQNVGQKVQVMEQRQQQMMSFLAKAVQSPGFLNQLVQQNNNDGNRQIPGSNKKRRLPVDEQENRGDNVANGLNRQIVRYQPSINEAAQNMLRQFLNTSTSPRYESVSNNPDSFLLGDVPSSTSVDNGNPSSRVSGVTLAEFSPNTVQSATNQVPEASLAHHPQAGLVQPNIGQSPAQGAAPADSWSPEFDLVGCETDSGECFDPIMAVLDESEGDAISPEGEGKMNELLEGVPKLPGIQDPFWEQFFSVELPAIADTDDILSGSVENNDLVLEQEPNEWTRNEQQMKYLTEQMGLLSSEAQRK
VIMSS10105687 1 271 0.271414391143912 rubredoxin family protein 271 0 13 248 1 Arabidopsis thaliana NP_568342.1 1 RefSeq MATSGAISGATVSSFFTKTTTTSNPSPKLHSSASLLSQKTVFQGVSLEDSKKSVSEIFAVSERKIGGLNGLRRFEIKARAAASKTIEVEVDKPLGLTLGQKQGGGVVITGVDGGGNAAKAGLKSGDQVVYTSSFFGDELWPADKLGFTKTAIQAKPDSVYFVVSRGAEVDVKKLNKRPAPPRFGRKLTETQKARATHICLDCGFIYTLPKSFDEQPDTYVCPQCIAPKKRFAKYDVNTGKAIGGGLPPIGVIVGLLAGLGAVGALLVYGLQ
VIMSS10105779 1 91 0.194578021978022 PF02519.14:Auxin_inducible:16:87 Auxin-responsive protein SAUR20; Protein SMALL AUXIN UP RNA 20 91 72 13 91 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJG0 1 SwissProt MAFVRSLLGAKKILSRSTTAASAAPKGFLAVYVGESQKKRYLVPISYLNQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFINVTSRFQR
VIMSS10105943 273 1059 0.397201778907243 PF10373.9:EST1_DNA_bind:35:260 Protein SMG7; SMG7 homolog 1059 226 13 787 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A9QM73 1 SwissProt FVPSKDSSKRLTGKGRGKGADISLKDATLVAGPEKDKVTIANEMLKAFSIRFVHLNGILFTRTSLETFFDVLASTSSSLREVISLGSAKELTLGIDTSDSALFIVRVVTMLIFSVHNSKKETEGQSYAEIVQRVEPARNSLTASFELLGLVIEKCVQLGDPSSSYFLPGVLVFVEWLACCPDIALGSDPDDRQTAVRNSFWNQFVVFFNQVLSLGPTFIDDVEDETCFSNMSLYDERETENRLALWEDYELRGFLPLLPAQTILNFSRKHSFGTEGPKEKKARIKRIFAAGKALTSVIKVDQNHVYFDSKKKKFLVGVKPADDFLDSHSSPPKACNALQDNQVMIDHNSPIMQLDQQIYMGEEDDDDEVIVFKPLVTEKRKEASDQIYVPSGGFRKSDQVTTMGDFKALSGSDVAFHENQILQARGNASIQVPASVGANLLGPLQPSTQSQAMHMQQVQTQVQVPASVGANLLGLLLTSTQSQAMHMQQVQTQAVNPQPAQSLAASRLQPIQSQVAQPLPSRVVHFQQTQAQVSHVSPAHSQSTSFGGGSKWSPEEAASLASSLSGFAQLGNGHVMRNEMQGNHGVSYYPAHSLPVHQSYNGNGMGGMPYSQSRTPEAVFPPKIDPVLSSGVVADGLGVQSSLAKKNPISRAFRHLGPPPGFNSVPAKLQKEPAPGSELSGNNHLPVDDYSWLDGYQAQSSRGVGLNSSLNYATSGKPEHLGSTGNGLNGPANFPFPGKQVPTSQVQADFPYFQNPQKDNFVDKNHQSTQLPEQYQGQSTWSSRHFV
VIMSS10106138 1 230 0.449824782608695 PF16561.5:AMPK1_CBM:137:217 5'-AMP-activated protein kinase beta-2 subunit, putative (RefSeq) 320 81 13 230 0 Arabidopsis thaliana VIMSS10106138 1 MicrobesOnline MGNANGKDEDAAAGSGGADVTSSSARSNGGDPSARSRHRRPSSDSMSSSPPGSPARSPSPFLFAPQPTLLFHVTSYNICSPMRHLFHCLGLTCVPNGCISCRMVPVAPLQRANAPPPNNIQWNQSQRVFDNPPEQGIPTIITWNQGGNDVAVEGSWDNWRSRKKLQKSGKDHSILFVLPSGIYHYKVIVDGESKYIPDLPFVADEVGNVCNILDVHNFVPENPESIVEFE
VIMSS10106481 1 110 0.188272727272727 Flowering-promoting factor 1; AtFPF1 110 0 13 110 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23624 1 SwissProt MSGVWVFKNGVIRLVENPNQSGSDTQNRRKVMVYLPTGEVVSSYSTLEQILQSLGWERYFGGGDTDLLQFHKRSSIDLISLPRDFTKFNSVYMYDIVVKNPNYFHVRDSH
VIMSS10106584 93 218 0.357948412698413 Ethylene-responsive transcription factor TINY 218 0 13 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39127 1 SwissProt DLAGSFPRPSSLSPRDIQVAALKAAHMETSQSFSSSSSLTFSSSQSSSSLESLVSSSATGSEELGEIVELPSLGSSYDGLTQLGNEFIFSDSADLWPYPPQWSEGDYQMIPASLSQDWDLQGLYNY
VIMSS10109079 183 820 0.260356112852665 PF01852.19:START:142:367 HB-7 (HOMEOBOX-7); DNA binding / transcription factor (RefSeq) 820 226 13 638 0 Arabidopsis thaliana VIMSS10109079 1 MicrobesOnline DNLKSENCHLQAELRCLSCPSCGGPTVLGDIPFNEIHIENCRLREELDRLCCIASRYTGRPMQSMPPSQPLINPSPMLPHHQPSLELDMSVYAGNFPEQSCTDMMMLPPQDTACFFPDQTANNNNNNNMLLADEEKVIAMEFAVSCVQELTKMCDTEEPLWIKKKSDKIGGEILCLNEEEYMRLFPWPMENQNNKGDFLREASKANAVVIMNSITLVDAFLNADKWSEMFCSIVARAKTVQIISSGVSGASGSLLLVLSPLVPTREAYFLRYVEQNAETGNWAIVDFPIDSFHDQMQPMNTITHEYKRKPSGCIIQDMPNGYSQVKWVEHVEVDEKHVHETFAEYVKSGMAFGANRWLDVLQRQCERIASLMARNITDLGVISSAEARRNIMRLSQRLVKTFCVNISTAYGQSWTALSETTKDTVRITTRKMCEPGQPTGVVLCAVSTTWLPFSHHQVFDLIRDQHHQSLLEVLFNGNSPHEVAHIANGSHPGNCISLLRINVASNSWHNVELMLQESCIDNSGSLIVYSTVDVDSIQQAMNGEDSSNIPILPLGFSIVPVNPPEGISVNSHSPPSCLLTVGIQVLASNVPTAKPNLSTVTTINNHLCATVNQITSALSNTITPVIASSADVSNQEVS
VIMSS10109572 1 220 0.269788181818182 PF01329.19:Pterin_4a:113:207 Probable pterin-4-alpha-carbinolamine dehydratase, chloroplastic; 4-alpha-hydroxy-tetrahydropterin dehydratase; PCD/DCoH-like protein 1; Protein AIRP2 TARGET PROTEIN 1; Protein SDIR1-INTERACTING PROTEIN 1; EC 4.2.1.96 220 95 13 220 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LU63 1 SwissProt MAATSSSPPCNISASSLLLRQPSRSILKVFGLLPPVSRNNRKLGRLTVTRSNLAQDFLGDFGARDPYPEEIASQFGDKVLGCQSTEHKILIPNASVLSLSQLQCSPVSSSQPPLSGDDARTLLHKVLGWSIVDNEAGGLKIRCMWKVRDFGCGVELINRIHKVAEASGHYPSLHLESPTQVRAELFTSSIGGLSMNDFIMAAKIDDIKTSDLSPRKRAWA
VIMSS10109669 1 232 0.628082327586207 PF00847.20:AP2:64:112 Integrase-type DNA-binding superfamily protein 232 49 13 232 0 Arabidopsis thaliana NP_200015.1 1 RefSeq MSNNNNSPTTVNQETTTSREVSITLPTDQSPQTSPGSSSSPSPRPSGGSPARRTATGLSGKHSIFRGIRLRNGKWVSEIREPRKTTRIWLGTYPVPEMAAAAYDVAALALKGPDAVLNFPGLALTYVAPVSNSAADIRAAASRAAEMKQPDQGGDEKVLEPVQPGKEEELEEVSCNSCSLEFMDEEAMLNMPTLLTEMAEGMLMSPPRMMIHPTMEDDSPENHEGDNLWSYK
VIMSS10110089 1 496 0.25133125 PF02536.14:mTERF:169:464 Transcription termination factor MTERF9, chloroplastic; Mitochondrial transcription termination factor 9; Protein TWIRT1 496 296 13 496 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FM80 1 SwissProt MAGFSLYCFKNPRILFTLPSESPLFVLGSDKCSPATRRPSRKTRGFVVTYAHSNPKIINPKKKSRYGQTLSPYDSDEDDDDDDDDDDDDWLLNDDFAEVTEYEKKKPKSHKQTIAKKSVKKGIVKPEESETDEDDLDLGISPNATSEKKKESWRLDGRGKMSSRKYVEKLYPRLAEEIDIDPKCVPLLDYLSTFGLKESHFVQMYERHMPSLQINVFSAQERLDYLLSVGVKHRDIKRMLLRQPQILQYTVENNLKAHISFLMGLGIPNSKIGQIVAATPSLFSYSVENSLRPTIRYLIEEVGIKETDVGKVVQLSPQILVQRLDITWNTRYMFLSKELGAPRDSVVKMVKKHPQLLHYSIDDGFLPRINFLRSIGMCNSDILKVLTSLTQVLSLSLEDNLKPKYMYLVNELNNEVHILTKYPMYLSLSLDQRIRPRHRFLVELKKVRKGPFPLSSLVPNDESFCQQWAGTSVDTYLAFRQRLLLKEFANKYDKRG
VIMSS10111083 1 424 0.27749929245283 hypothetical protein (RefSeq) 424 0 13 401 1 Arabidopsis thaliana VIMSS10111083 1 MicrobesOnline MGHRVLVYVGALFLILFTIFPSSSALISSPDANPPYPKAISDLKESIVKGLGFQSEEVKVSGFDIRDALVGHSVSYEFDLEIDNKVLPFKLLEDVNRWEYVDLPIFQVEQPSENGLVPMRNKKTSSDDVLPVLAPFQLSGPMELWIQDANNMRLSLPYDVDAGVLKKVILADGAVVTVKGARSVSLRHPIDLPLPLNQSSNEFASGLLSLAEQLRRASTDQESPVLSLRIVGPTSLASTSQSPDNKLKLKRLAPGLVELSSMSKDKRSLSTIGANAMTTVLTPREFTTMWPITSINGSNANLLGFEKLLTSVLGPKAQEKGSFKVLKAKVAAQTFMKIGFGIEKKLKEADVEGLSFPEWRTKPETMRMHFEVLAKVDGENVIPENVMRVDPIPLEDTIAQNVITGNVTMSKLPIIESPPSPFTL
VIMSS10111375 1 225 0.374172888888889 PF02701.15:zf-Dof:30:86 Dof-type zinc finger DNA-binding family protein 225 57 13 225 0 Arabidopsis thaliana NP_201495.1 1 RefSeq MPSEFSESRRVPKIPHGQGGSVAIPTDQQEQLSCPRCESTNTKFCYYNNYNFSQPRHFCKSCRRYWTHGGTLRDIPVGGVSRKSSKRSRTYSSAATTSVVGSRNFPLQATPVLFPQSSSNGGITTAKGSASSFYGGFSSLINYNAAVSRNGPGGGFNGPDAFGLGLGHGSYYEDVRYGQGITVWPFSSGATDAATTTSHIAQIPATWQFEGQESKVGFVSGDYVA
VIMSS10111420 1 327 0.144517737003058 PF06799.11:DUF1230:85:226 Protein CONSERVED IN THE GREEN LINEAGE AND DIATOMS 27, chloroplastic 327 142 13 258 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FN15 1 SwissProt MLRLIVNYPLIPKISHRVCSNSSSKLGSYYDSSSIIKYGGISDVVGKKQELFLSVSVKAVEDKGNNGGGSMSFSGQSWDPSSEIEVPSDQRPVNEYSSLKEGMLYSWGELGPSEFFIRLGGLWLVTFTVLGVPVAAASFNPSREPLRFILAAGTGTLFLVSLIVLRIYLGWSYVGDRLLSAVIPYEESGWYDGQMWVKPPEVLARDRLLGSYKVKPVIKMLKQTLIGTGALLVSAFVLFVFATPVEDFFKTTLGSTENQPEVSISRTSNKFNIRKEQLLRLPVDVVTDDDLAAAAAEAADGRPVYCRDRYYRALAGGQYCKWEDLVK
VIMSS10111427 1 250 0.4016672 PF03195.14:LOB:2:101 LOB domain-containing protein 37; ASYMMETRIC LEAVES 2-like protein 39; AS2-like protein 39 250 100 13 250 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FN11 1 SwissProt MSCNGCRVLRKGCSENCILRPCIQWIETADAQGHATVFVAKFFGRAGLMSFISAVPDSQRPALFQSLLYEACGRTVNPVNGAIGMLWTGNWNICQAAVETVLRGGSLRPIPELLTHGGGFAGFPSPTSEEASEICTEMLNLQQNDSTDRNIYHHSRFSSSRSRSTMDSSSPTKRKRLSSEDQPSSELDLSLIPNFPIKQATPSSTRRRSVTPSMNSEDSGTTTTTTAFCDKGDVYGNGGGETTKLLNLFV
VIMSS102133 1 141 0.287062411347518 mitogenic factor (NCBI ptt file) 271 0 13 141 0 Streptococcus pyogenes M1 GAS VIMSS102133 1 MicrobesOnline MNLLGSRRVFSKKCRLVKFSMVALVSATMAVTTVTLENTALARQTQVSNDVVLNDGASKYLNEALAWTFNDSPNYYKTLGTSQITPALFPKAGDILYSKLDELGRTRTARGTLTYANVEGSYGVRQSFGKNQNPAGWTGNP
VIMSS102524 1 145 0.0588496551724138 PF03788.14:LrgA:25:116 murein hydrolase regulator LrgA (NCBI) 145 92 13 58 4 Staphylococcus aureus subsp. aureus N315 VIMSS102524 0 MicrobesOnline MKQQKDASKPAHFFHQVIVIALVLFVSKIIESFMPIPMPASVIGLVLLFVLLCTGAVKLGEVEKVGTTLTNNIGLLFVPAGISVVNSLGVISQAPFLIIGLIIVSTILLLICTGYVTQIIMKVTSRSKGDKVTKKIKIEEAQAHD
VIMSS103499 1 523 0.0030944550669216 PF03706.13:LPG_synthase_TM:14:289 bifunctional lysylphosphatidylglycerol flippase/synthetase MprF 840 276 13 207 14 Staphylococcus aureus WP_001071136.1 0 RefSeq MNQEVKNKIFSILKITFATALFIFVAITLYRELSGINFKDTLVEFSKINRMSLVLLFIGGGASLVILSMYDVILSRALKMDISLGKVLRVSYIINALNAIVGFGGFIGAGVRAMVYKNYTHDKKKLVHFISLILISMLTGLSLLSLLIVFHVFDASLILDKITWVRWVLYVVSFFLPLFIIYSMVRPPDKNNRFVGLYCTLVSCVEWLAAAVVLYFCGVIVDAHVSFMSFIAIFIIAALSGLVSFIPGGFGAFDLVVLLGFKTLGVPEEKVLLMLLLYRFAYYFVPVIIALILSSFEFGTSAKKYIEGSKYFIPAKDVTSFLMSYQKDIIAKIPSLSLAILVFFTSMIFFVNNLTIVYDALYDGNHLTYYILLAIHTSACLLLLLNVVGIYKQSRRAIIFAMISILLITVATFFTYASYILITWLAIIFVLLIVAFRRARRLKRPVRMRNIVAMLLFSLFILYVNHIFIAGTLYALDIYTIEMHTSVLRYYFWLTILIIAIIIGMIAWLFDYQFSKVRISSKI
VIMSS10449 1 143 0.348664335664336 PF00430.18:ATP-synt_B:7:137 ATP synthase subunit b' (NCBI ptt file) 143 131 13 125 1 Synechocystis sp. PCC 6803 VIMSS10449 1 MicrobesOnline MFDFDATLPLMALQFVVLAFLLNAIFYKPMNKVLDERADYIRTNEEDARERLAKAKAITQEYEQQITDARRQSQAVIADAQAEARRLAAEKIAEAQRESQRQKETAAQEIEAQRQSALSSLEQEVAALSNQILHKLLGPELIK
VIMSS107939 1 463 0.300662634989201 PF00823.19:PPE:2:158 PPE family protein (NCBI) 463 157 13 417 2 Mycobacterium tuberculosis CDC1551 VIMSS107939 1 MicrobesOnline MAIPPEVHSGLLSAGCGPGSLLVAAQQWQELSDQYALACAELGQLLGEVQASSWQGTAATQYVAAHGPYLAWLEQTAINSAVTAAQHVAAAAAYCSALAAMPTPAELAANHAIHGVLIATNFFGINTVPIALNEADYVRMWLQAADTMAAYQAVADAATVAVPSTQPAPPIRAPGGDAADTRLDVLSSIGQLIRDILDFIANPYKYFLEFFEQFGFSPAVTVVLALVALQLYDFLWYPYYASYGLLLLPFFTPTLSALTALSALIHLLNLPPAGLLPIAAALGPGDQWGANLAVAVTPATAAVPGGSPPTSNPAPAAPSSNSVGSASAAPGISYAVPGLAPPGVSSGPKAGTKSPDTAADTLATAGAARPGLARAHRRKRSESGVGIRGYRDEFLDATATVDAATDVPAPANAAGSQGAGTLGFAGTAPTTSGAAAGMVQLSSHSTSTTVPLLPTTWTTDAEQ
VIMSS11140 1 330 0.192011212121212 PF09712.10:PHA_synth_III_E:19:319 Poly(3-hydroxyalkanoate) polymerase subunit PhaE; PHA polymerase; PHB synthase subunit PhaE; Poly(3-hydroxybutyrate) polymerase subunit PhaE; PHB polymerase; Poly(hydroxyalkanoic acid) synthase subunit PhaE; PHA synthase; Polyhydroxyalkanoic acid synthase subunit PhaE 330 301 13 330 0 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P73389 1 SwissProt MESTNKTWTELMTPLSQFWLESSSQAWKNWFDLMAKGGAGAMMGSAPQSFESLPQQFLQSQQFYGELLKLSFEAWQSLWPKLDNGSAPGAVQGYLKQLQTQIEQYTATTQALQGDMDGLWQCYIKEVQRFSQLWLSTWQSSVAPLGKLPTGDIHAWLDLNNLYGDALYNKNLSSFMRSPLLGPSREMNGKLLRAFDDWVKLSQAMADYQLLEADIQYRGFAALMEDLLARAKEDKPVKTWKEFQQRWAIAADQVFEEAFCEEKNLKVRGKFINALNRYRIQQQEILEAWLKMLNLPTRSEVDEIHQTIYQLRKEVKSLKKRLGETEANPG
VIMSS11365 1 634 0.133046056782334 PF07228.12:SpoIIE:418:633,PF00672.25:HAMP:304:356 ICFG protein (NCBI ptt file) 634 269 13 589 2 Synechocystis sp. PCC 6803 VIMSS11365 1 MicrobesOnline MKMKLIQPFIQSIRFRIVGLLLLCLIPPTLGGIFLIDSYTGRQLKKIAEQDLQSRARLIIQLISRSDRERQQSTAFVASQPAIVEFNVEASQYFLNEFIKFHQWNGFFVVANQEGELVAGSDGANQEKGLPLKHWFEEVKDKNRHLNRLFPGKTYAESKDCLIVPIHSKNDQTQIGIVVECIPLPVIADFVQKILKDAELERILLVNYEGYIYADTDFKNYGVLENKKKSPLVNRLLNDQSGFVYSQGKFSYLSPVHLRGAKTWGLIVENSESDIQAAILNVNRIGYLLVLVIGGIVAYASWMVIHHSTVPILDLTKASQAIAAGDLDYEININQGNRQDEIGILGNSFIYMKNQIKTLIAQEVKDGVNRLELEKGRQIQQNFLPISLPDLQQWQINAVFEPARSVSGDFYDAFLLGDDYLAIVIGDVCDKGVGAAMFMGLFRSLLRVFSGETMPGDTCIRDVNYKCSANDGNGKKKVIVQFLNAVRLTNDYIATEHGDMAMFATLFFGVIDISNGNLSYINAGHEPVFILNSEGIKHRLKSTGPAVGMMPNSTFTIDSLKIDPGEMLIGYTDGVTDARSPTKEFFGRQRLMETLTANFSAKTEILDIIKQELIGHIDGSIQFDDITMIAVYRN
VIMSS11955 118 384 0.285286891385768 Membrane protein insertase YidC; Alb3; Foldase YidC; Membrane integrase YidC; Membrane protein YidC; Oxa1; SynYidC 384 0 13 244 1 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P74155 1 SwissProt GSPFSDINYTVDLQILPQEQVERIVPQTFSTKPQNIYVDEALHYPIAVFLPGGKMLGVGEKTQLEIQSTEGKAFNQVIPEKNSQILTPTYSVTKGEDRISVNPDGTIEALVPGDATVQVTIPGIAARTGFLFIKALGQVGVTGENGEINWDILGMIVFFGFSIYLNQELSGASGGGAPNAQAQQQQTINKITPILFSGMFLFFPLPAGVLMYIVMANVFQTIQTLILMREPLPENLQKLLDEQQKATQGRESLPFEKKSSKKKEKTS
VIMSS12001 1 464 0.20532349137931 PF00512.25:HisKA:231:282 sensory transduction histidine kinase (NCBI ptt file) 464 52 13 464 0 Synechocystis sp. PCC 6803 VIMSS12001 1 MicrobesOnline MNEVCLKLSDLFVSSGWGGYDRGRAPQWAHPRAQQQWFGAIAALEPFLRQTLPNVGGELPGICLTGPAPVLKDAVLVRNFYQGIATPWEEFSPWPCLAGEESEWSAVPPMREIPLFPQDPLAEEQFCWLMTPQFGLLLLLGKNEQGLAQFYWTFDPEILQQAWLSLQARLKYGLSPDLSLLQKTIAAFNFPQPDFRLVTYFGQLMLDYQPNPYNLPPCQEQESAEPSPDVELLQALTHEVRTPLTSIRTLTKLLLRRKDLSPEVLKRIESIDRECSDQISRMDLIFRATELESTPLPELVVPLTVTSLEAVFQAGIPRWQKQAQRYNVNLQAQIPHSLPQVWSNPSLLDQVLGGMIEKFVRNFNGGGEINLQITTAGDQLKVQFHTQSVHQANPVRALGELLMFQPQTGCLSLNWDVTKNLFQLLGGKLIVRRRSPSEEILTIYLKCEQRTVPVANYDRQFTMV
VIMSS1230534 1 283 0.168536042402827 PF01617.17:Surface_Ag_2:38:283 major outer membrane protein OMP-1B (NCBI) 283 246 13 283 0 Ehrlichia chaffeensis str. Arkansas VIMSS1230534 1 MicrobesOnline MNYKKIFVSSALISLMSILPYQSFADPVTSNDTGINDSREGFYISVKYNPSISHFRKFSAEEAPINGNTSITKKVFGLKKDGDIAQSANFNRTDPALEFQNNLISGFSGSIGYAMDGPRIELEAAYQKFDAKNPDNNDTNSGDYYKYFGLSREDAIADKKYVVLKNEGITFMSLMVNTCYDITAEGVPFIPYACAGVGADLINVFKDFNLKFSYQGKIGISYPITPEVSAFIGGYYHGVIGNNFNKIPVITPVVLEGAPQTTSALVTIDTGYFGGEVGVRFTF
VIMSS125063 1 481 0.367223492723493 PF07715.15:Plug:70:175 PUTATIVE IRON TRANSPORT PROTEIN (NCBI ptt file) 743 106 13 481 0 Sinorhizobium meliloti 1021 VIMSS125063 1 MicrobesOnline MLNRHHRLALLACTAAIFALPIPPVLAQSAPTETAAEGNANTTVLKKIVAKGDRLAGAQRGGIADTPLATEIDAKTLEEKQVTDLDDLGRSVDAGINASRADFGINLRGLSGPRIVTTIDGVPIPYISNSARQGAFASINANGGGDMFDFNSLSVVDIVRGADSSRGGSGMLGGAVVLRTLEPEDVISDGKDWGAIFRSIYDSEDDSIAGSVAGAHRFGQTSVLFQGSYRKGNERDNEGTVGGYGSARTEPNPTDFDQNNLLFKFRHELEGGHRIGLTAESFRRDADNDLRAEQGRRYKIGDYTGFEDRDRKRVSLDYDFEAASSDDFFSFARASLYWQDLERSSGSNGRTIADVPYGRDNSISNESVGFNGRAGKDFETGGFDHSLTFGLDVARSEWSQYTSAVCPTPATCPALNNQSEVPDVRSMTVGAILEDRISVGDSAFALTPGLRFDWFQYDPQLNAGFESNTGSGIFGDLKARD
VIMSS1293830 104 209 0.411806603773585 hypothetical protein 209 0 13 106 0 Staphylococcus aureus subsp. aureus TW20 WP_000827000.1 1 RefSeq QKSSYVAPYYGQNAAPVARQIYPFNGNKNQALQQLPNFQTALNAANNEANKFGSNNKVYNDYSIEEHNGNYKYVFSFKDPNANGKYSIVTVDYTGQAMVTDPNYQQ
VIMSS13124 1 280 0.0529710714285715 PF00528.22:BPD_transp_1:106:277 unknown protein (NCBI ptt file) 280 172 13 128 7 Synechocystis sp. PCC 6803 VIMSS13124 0 MicrobesOnline MTTTISQRKNRAAGANPLQKFWRKRRGDILPPIFGILGFLLLWQLISSAGLIKLPPPSSLWTDPRTRTLLMYPFYDQGGLDKGLFWQTLASLGRVAQGYSLAAIVGISTGILVGTQPLLDKALDPIFQFLRMVAPLAWVPIALVALQQNQPAAIFVIFITSVWPILINTTEGVKQIPQDYINVRKVLRLSPQKFFFKILIPSALPYIFTGLRIAIGLAWLAIIAAEIVMSGIVGIGFFIWDAYQQNYISEIILAVFYIGAVGLLLDRGIAYLQKLIAPGQ
VIMSS135266 1 356 0.268730898876405 unknown (NCBI ptt file) 356 0 13 310 2 Rickettsia conorii str. Malish 7 VIMSS135266 1 MicrobesOnline MSKKSRVRKIFNKLKSYWTSFRQSKIGKILFSNSLSYAVSIASIAIAVSGLFTPISPIIIAVAVVSGVSVGIQAVNETLKVHDLRKFHKENNLLVHNRNAKSQQDYILSLEPSLKDILKNELYTPQTAGKDATQDKYELNVNKLKSTGRIFGNNLGGAANLAASIIKGASGNVLDILRATGYGVVTTASLIIDGLTEKEKIEIKAIFKLNINEEYKKQDTPIYKNLEQLENYTKNQVLQTLALKKLITDKNYWSMNKDQTIQKFREIIGSFNSEIKRNGLEEFFRENKITMDNSKESYVKDIKNVMNPFYENPSKAEGYNPLTKAMDKDKVNNAEIKRTKSENLKRMQKRKRNLTI
VIMSS13768 1 218 0.403256880733945 hypothetical protein 218 0 13 218 0 Mycoplasma pneumoniae M129 NP_110000.1 1 RefSeq MKDSALTLKRVRIGKFSESMVEERPTLNLFEKVEFNPVPTALVDQLPTEPLVEATLLEKEAITFVDTYATSEAHDQIATFVLEQSMETEVVEKEAIETAIVAPAPDLVEEKAVLVEEVLVEPTATEAVTTEENQVSTTSVTKIKTKRSNTKKVTSETLVASKSVKTKKLITPNRVSSGNVNITLWQVDKKSTNLTKTKTDLFGKKHQFKGPQLISYKK
VIMSS13930 1 1033 0.445221393998064 coiled coil protein, putative structural protein involved in cytosceleton (NCBI ptt file) 1033 0 13 1033 0 Mycoplasma pneumoniae M129 VIMSS13930 1 MicrobesOnline MEFLEQEGQEVLTKEIKAGFCEITPSSITEQTTKPQLDETQLVDEYVHTKELETTPIPISFATKEVLFEEVFNTPSTQQVDESVLVNEYIELTQQIKNASEQVSSNHTHKFSVATEPAATKAVSETMLLDDYVEMVEQDVQAQTALPQAALDPTVSLTFSSPIDSNAILVYPEMKVPHVFDTVAPTTTTVPLDQTQLLDELVEVPVLTHTVTPAPLQPKAAPTNFALDQTQLVDELVTVPLTHTLVNESAPVTPVVVTSPAAEHSFSITTVDKANLTNALSQTVVIKPAEDSAHQSAVLDKEIATKQAQLQQLQAQIELRQAQLETPPVTYMGVEEYKLLPVQDVVPVQPTVSFEMTLLQEQLDKALKHNAALQIQLEEQLAKPLQYDQSPVLQERIELLQNQNTNLTQELNELQQKLFKSQNNSLLLARLEEENRTLKQHLQNNLPEANQLNFVLEKQLEQLQQDKHSLTLQIEQYKFDSKKHQEQLALIPSLRSEINSLETEVISLKQTNQRLSLIERENNFLKTEIKQLRETKLNDENTKYRNLLKQYELMRADSDAKLKELEHEQHLAHQHHQEQLAQLQRHNEALVKELDQVKATNFELGLAAQGFEQQKVVLEQKNSSLLASLQAAEENVQALGITNSELQNQLNVLEFTHKEKTAFDSKTLTLTKQQLEQTQFDLSLTQEQLATFKQQNQSLTDKLMASETQLNHLQQSDENLTQLQTQHELLQESYNKLQDEANHTQQQFHQAQNELDAAHQQLALFKQNNEELTDKCSNIQNELHDLNRVKTNWENLNTEHNLLQDKYAQQKEQMQHEHSNLAQIQAEHELLQESYNKVKAELNEIQITNLNEANAQYQDLLSAYELLQSNHNKLKQELQVLNQVNLEKQQLAQKLHNTHQSLSQTHAELTQLQAAYNNLQATPPVSDELLEQFNQVQLEKQRLLQQNLALVHELQYFNELNSSQTHEIKTKQDETVKEVIIVEKEIPVPPEKKPRLKKRDIVIENKEDALGKLSKKERIQAYAERLAKINGKQ
VIMSS140510 1 259 0.247764478764479 PF02754.16:CCG:19:100,PF02754.16:CCG:146:230 hypothetical protein (NCBI) 259 167 13 259 0 Neisseria meningitidis MC58 VIMSS140510 1 MicrobesOnline MSATIPPKIIRYDSNPTDVYFFGTCVLDLFMPEAGMDAITLIEQQGIRVHFPMAQSCCGQPAYSSGHPTEAFDVAKAQLDLFPENWPIVVPSGSCGGMMKHHWPTLFKGSEYEERAVDCAGRIIEFTHFLLAIGFKPEDKGEPLKVAVHTSCAARREMNVHLSGWQLIDGMENVERIVHDHESECCGFGGTFSVKQADISGAMVTDKVAALKETGATEIISADCGCMMNIGGKIAKDEPDMPRPKHIASFLLERTGGKA
VIMSS140856 1 190 0.211930526315789 PF03358.15:FMN_red:6:155 hypothetical protein (NCBI) 190 150 13 190 0 Neisseria meningitidis MC58 VIMSS140856 1 MicrobesOnline MIMAKKISILVGSLRRASFARKVALNAAEMFPEGWQAEIVEIGHLPLYNFDYDDPAVEDVPLPESYTAFRETIKASDGILFVTSENNRTIPACLKNAVDIGSKPNADVAWKNKPAGIISHSVGKMGGYSSQKNLRLALSYFDMPVTGQPEVFLGNSPTLFDENGKLIDSARDFVQSYINQFVGLIERNAK
VIMSS14383 1 113 0.140703539823009 PF06755.12:CbtA_toxin:1:112 CP4-6 prophage; toxin of the YkfI-YafW toxin-antitoxin system 113 112 13 113 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6120-MONOMER 1 ecocyc MKTLPAITQRAVKPCLSPVAVWQMLLTRLLEQHYGLTINDTPFCNEAVIKEHIDAGITLADAVNFLVEKYELVRIDRKGFSWQEQSPYLRAADILRARQATGLLRQSRNNVVR
VIMSS14811 1 468 0.176502777777778 PF03573.13:OprD:33:430 chitobiose outer membrane channel 468 398 13 468 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6370-MONOMER 1 ecocyc MRTFSGKRSTLALAIAGVTAMSGFMAMPEARAEGFIDDSTLTGGIYYWQRERDRKDVTDGDKYKTNLSHSTWNANLDFQSGYAADMFGLDIAAFTAIEMAENGDSSHPNEIAFSKSNKAYDEDWSGDKSGISLYKAAAKFKYGPVWARAGYIQPTGQTLLAPHWSFMPGTYQGAEAGANFDYGDAGALSFSYMWTNEYKAPWHLEMDEFYQNDKTTKVDYLHSFGAKYDFKNNFVLEAAFGQAEGYIDQYFAKASYKFDIAGSPLTTSYQFYGTRDKVDDRSVNDLYDGTAWLQALTFGYRAADVVDLRLEGTWVKADGQQGYFLQRMTPTYASSNGRLDIWWDNRSDFNANGEKAVFFGAMYDLKNWNLPGFAIGASYVYAWDAKPATWQSNPDAYYDKNRTIEESAYSLDAVYTIQDGRAKGTMFKLHFTEYDNHSDIPSWGGGYGNIFQDERDVKFMVIAPFTIF 3
VIMSS148526 1 138 0.409157971014493 PF00460.20:Flg_bb_rod:9:39 Flagellar basal body rod protein FlgB; Putative proximal rod protein 138 31 13 138 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::P16437 1 SwissProt MLDRLDAALRFQQEALNLRAQRQEILAANIANADTPGYQARDIDFASELKKVMVRGREETGGVALTLTSSHHIPAQAVSSPAVDLLYRVPDQPSLDGNTVDMDRERTQFADNSLKYQMGLTVLGSQLKGMMNVLQGGN
VIMSS149449 1 547 0.115576234003656 PF05231.14:MASE1:19:295,PF00989.25:PAS:303:414,PF00989.25:PAS:448:535,PF13426.7:PAS_9:314:415,PF13426.7:PAS_9:455:543,PF08447.12:PAS_3:326:408,PF08448.10:PAS_4:449:545,PF13188.7:PAS_8:302:361,PF13188.7:PAS_8:448:492 putative PAS/PAC domain; Diguanylate cyclase/phosphodiesterase domain 1, Diguanylate cyclase/phosphodiesterase domain 2, (NCBI ptt file) 996 489 13 349 9 Salmonella typhimurium LT2 VIMSS149449 1 MicrobesOnline MSKPSQHVFVTVPHPLLRLVSLGLVAFVFTLFSLVLSRVGTQLAPLWFPTSIMMVAFYRHAGRLWPGIAVACSLGSIGASLTLFPAASLNFSWTAINIIEAATGAILLRKLLPSYNPLQNLNDWFRLAIGSAVIPPLLGGLLFWLIAPEAVASKAFLIWVLSEAIGALTLVPLGLLFKPHYLLRHRDPHLLLETLLTLVITLALSWLAMRYIPWPFTCVIVLLMWSAVRLPRMEAFLIFLATVIVVSLMLANDPTLLATPKTDVMVNMPWLPFLMILLPANMMTMVMYAFRTERKHITESESRFRNAMEYSAIGMALVGTEGQWLQVNKSLSHFLGYSQDELRTMTFQQLTWPEDLNNDLEQLNMLVRGDINSYSMEKRYYTRNGDVVWALLAVSLVRHKDNKPLYFIAQIEDINDLKQSEQENQRLMERITQANEALFQEKERLHITLDSIGEAVVCIDVAMNITFMNPIAEKMSGWRQEDALGTPLLTVLRITSGDKGPLLEDIYRADRSRSDMEQEIVLHCHNGGSYDIHYSITPLSTLDGDKI
VIMSS150201 1 216 0.131737037037037 PF12833.7:HTH_18:135:206 Invasion protein InvF; Transcriptional regulator InvF 216 72 13 216 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::P69343 1 SwissProt MSFSESRHNENCLIQEGALLFCEQAVVAPVSGDLVFRPLKIEVLSKLLAFIDGAGLVDTTYAESDKWVLLSPEFRAIWQDRKRCEYWFLQQIITPSPAFNKVLALLRKSESYWLVGYLLAQSTSGNTMRMLGEDYGVSYTHFRRLCSRALGGKAKSELRNWRMAQSLLNSVEGHENITQLAVNHGYSSPSHFSSEIKELIGVSPRKLSNIIQLADK
VIMSS15132 1 196 0.249130612244898 PF00881.24:Nitroreductase:18:174 putative malonic semialdehyde reductase (EC 1.1.1.298) 196 157 13 196 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6519-MONOMER 1 ecocyc MNEAVSPGALSTLFTDARTHNGWRETPVSDETLREIYALMKWGPTSANCSPARIVFTRTAEGKERLRPALSSGNLQKTLTAPVTAIVAWDSEFYERLPLLFPHGDARSWFTSSPQLAEETAFRNSSMQAAYLIVACRALGLDTGPMSGFDRQHVDDAFFTGSTLKSNLLINIGYGDSSKLYARLPRLSFEEACGLL
VIMSS151737 1 200 0.1411895 PF08747.11:DUF1788:66:187 putative cytoplasmic protein (NCBI ptt file) 200 122 13 200 0 Salmonella typhimurium LT2 VIMSS151737 1 MicrobesOnline MIDPVLEYRLSQVQSRISEERFLKNNGSGNEIGFWIFDYPAQNELQVREHLKYLLRNLEKDHKFAHLNIFQIIVDMLTERGLFDRVCQQEVKVGTEALKKQLVGLLNQKKIADYIAKKVDLQNQEFVILTGMGNAWPLVRGHELMSALQDVMGFTPLLMFYPGTYSGHDLSPLAGIDSRNYYRAFRLVPESGPAATLNPR
VIMSS15289 1 82 0.0546182926829268 protein YmgC 82 0 13 82 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6607-MONOMER 1 ecocyc MNNSIPERFIFQCALFKNLEREVFMTHGYVDSHIIDQALRLRLKDETSVILSDLYLQILQYIEMHKTTLTDIIINDRESVLS
VIMSS15311 48 303 0.22113359375 PF01266.24:DAO:53:243 D-amino acid dehydrogenase (EC 1.4.5.1) 432 191 13 256 0 Escherichia coli K-12 substr. MG1655 ecocyc::DALADEHYDROGA-MONOMER 1 ecocyc SPGYAAPWAAPGVPLKAIKWMFQRHAPLAVRLDGTQFQLKWMWQMLRNCDTSHYMENKGRMVRLAEYSRDCLKALRAETNIQYEGRQGGTLQLFRTEQQYENATRDIAVLEDAGVPYQLLESSRLAEVEPALAEVAHKLTGGLQLPNDETGDCQLFTQNLARMAEQAGVKFRFNTPVDQLLCDGEQIYGVKCGDEVIKADAYVMAFGSYSTAMLKGIVDIPVYPLKGYSLTIPIAQEDGAPVSTILDETYKIAITR
VIMSS15419 65 185 0.463515702479339 PF07883.11:Cupin_2:47:114,PF02311.19:AraC_binding:60:105 DNA-binding transcriptional repressor PuuR 185 68 13 121 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12431-MONOMER 1 ecocyc EFFSEPEKPDEPQVVINQDDLIEMGSQGVSMKLVHNGNPNRTLAMIFETYQPGTTTGERIKHQGEEIGTVLEGEIVLTINGQDYHLVAGQSYAINTGIPHSFSNTSAGICRIISAHTPTTF
VIMSS15531 1 165 0.00327212121212121 PF01148.20:CTP_transf_1:38:161 putative CDP-diglyceride synthase 298 124 13 58 5 Escherichia coli K-12 substr. MG1655 ecocyc::G6728-MONOMER 0 ecocyc MLEKSLATLFALLILATLINRFLLWRLPERKGGEVTLRIRTWWGIVICFSMVISGPRWMTLTFFALISFLALKEYCTLISVHFPRWLYWGIPLNYLLIGFNCFELFLLFIPLAGFLILATGQVLVGDPSGFLHTVSAIFWGWIMTVFALSHAAWLLMLPTTNIQG
VIMSS15620 1 137 0.0791335766423358 DNA-binding transcriptional dual regulator YdeO 253 0 13 137 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6789-MONOMER 1 ecocyc MSLVCSVIFIHHAFNANILDKDYAFSDGEILMVDNAVRTHFEPYERHFKEIGFTENTIKKYLQCTNIQTVTVPVPAKFLRASNVPTGLLNEMIAYLNSEERNHHNFSELLLFSCLSIFAACKGFITLLTNGVLSVSG
VIMSS156673 1 495 0.0755913131313132 PF05043.13:Mga:85:167 similar to transcription regulator (NCBI ptt file) 495 83 13 495 0 Listeria monocytogenes EGD-e VIMSS156673 1 MicrobesOnline MKKDVYLAGDQSITVSMMLLRMIAEESRWYTVNELSERLDMNQRTMQRYIADLLEKIEDYNDPNIQLYTAKNKGVFLEITLGADVLNFELYLLEENVTIILMKAIFFEEFTSVKKFAMDYFLSETTIRRSLKYFQELLEPYGIKLKRETYEVVGPEEQVRMFFYSYFWRLYQGAIWPFDIVNHKMVEEATMKVTSSLRQNLTYVQQYQIEYVIAINIIRIRKRHLVELKPNWKNYLDLNNDFKSLTKIKAVFESLNIQKESEIYFFYLLMETRPKLYENKEVARRALEPHKKNNSDVYAATEVFIRVFSEEMAPIPQKKYDLFFNSSFSAHLFCTLFKYFSADINGYEYIRKFKEYYPKLHQKMDELLDILYEETGNELFLEKDFLLTRYGLLFSSIKRLTYFEEEIQIVLDTDLPKFAELNLRHQIFDSLKYRYKVHFLNKNSAPQADVILTTVATPMMVERYNREKVLHIGAEPSARDFYNIVNIVVEAISTK
VIMSS156809 1 341 0.607418768328446 PF01476.20:LysM:29:69,PF01476.20:LysM:202:242,PF08239.11:SH3_3:88:139 invasion associated secreted endopeptidase 482 134 13 318 1 Listeria monocytogenes EGD-e NP_464110.1 1 RefSeq MKKATIAATAGIAVTAFAAPTIASASTVVVEAGDTLWGIAQSKGTTVDAIKKANNLTTDKIVPGQKLQVNNEVAAAEKTEKSVSATWLNVRSGAGVDNSIITSIKGGTKVTVETTESNGWHKITYNDGKTGFVNGKYLTDKAVSTPVAPTQEVKKETTTQQAAPAAETKTEVKQTTQATTPAPKVAETKETPVVDQNATTHAVKSGDTIWALSVKYGVSVQDIMSWNNLSSSSIYVGQKLAIKQTANTATPKAEVKTEAPAAEKQAAPVVKENTNTNTATTEKKETATQQQTAPKAPTEAAKPAPAPSTNTNANKTNTNTNTNTNTNNTNTNTPSKNTNTN
VIMSS156901 1 104 0.154354807692308 lmo0675 (NCBI ptt file) 104 0 13 81 1 Listeria monocytogenes EGD-e VIMSS156901 1 MicrobesOnline MEYFLSLLKIIVLLAVLAGISYFLVKKNKQANRTRKSENDLIKLKDTLLISHQLRAVLLEADGEKVLAIISNNDIRTVSLKGKNDQNEKLFRELLLKEETKENA
VIMSS15853 1 280 0.133212857142857 PF12833.7:HTH_18:200:273,PF00165.23:HTH_AraC:181:223,PF00165.23:HTH_AraC:235:273,PF07883.11:Cupin_2:29:81,PF02311.19:AraC_binding:38:81 DNA-binding transcriptional dual regulator ChbR 280 146 13 280 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10143-MONOMER 1 ecocyc MMQPVINAPEIATAREQQLFNGKNFHVFIYNKTESISGLHQHDYYEFTLVLTGRYFQEINGKRVLLERGDFVFIPLGSHHQSFYEFGATRILNVGISKRFFEQHYLPLLPYCFVASQVYRTNNAFLTYVETVISSLNFRETGLEEFVEMVTFYVINRLRHYREEQVIDDVPQWLKSTVEKMHDKEQFSESALENMVALSAKSQEYLTRATQRYYGKTPMQIINEIRINFAKKQLEMTNYSVTDIAFEAGYSSPSLFIKTFKKLTSFTPKSYRKKLTEFNQ
VIMSS16102 1 338 0.301332544378698 PF01609.21:DDE_Tnp_1:150:324,PF05598.11:DUF772:63:136 CP4-44 prophage; IS5 transposase and trans-activator 338 249 13 338 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7074-MONOMER 1 ecocyc MFVIWSHGTGFIMSHQLTFADSEFSSKRRQTRKEIFLSRMEQILPWQNMVEVIEPFYPKAGNGRRPYPLETMLRIHCMQHWYNLSDGAMEDALYEIASMRLFARLSLDSALPDRTTIMNFRHLLEQHQLARQLFKTINRWLAEAGVMMTQGTLVDATIIEAPSSTKNKEQQRDPEMHQTKKGNQWHFGMKAHIGVDAKSGLTHSLVTTAANEHDLNQLGNLLHGEEQFVSADAGYQGAPQREELAEVDVDWLIAERPGKVRTLKQHPRKNKTAINIEYMKASIRAKVEHPFRIIKRQFGFVKARYKGLLKNDNQLAMLFTLANLFRADQMIRQWERSH
VIMSS17397 1 153 0.24120522875817 PF04612.12:T2SSM:2:150 Type II secretion system protein GspM 153 149 13 133 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG12173-MONOMER 1 ecocyc MIKSWWAEKSTSEKQIVAALAVLSLGVFCWLGVIKPIDTYIAEHQSHAQKIKKDIKWMQDQASTHGLLGHPALTQPIKNILLEEAKRENLAITLENGPDNTLTIHPVTAPLENVSRWLTTAQVTYGIVIEDLQFTLAGNEEITLRHLSFREQQ
VIMSS17728 1 500 0.1279196 PF07730.13:HisKA_3:305:370,PF05231.14:MASE1:12:276,PF02518.26:HATPase_c:412:497 sensory histidine kinase UhpB 500 417 13 350 7 Escherichia coli K-12 substr. MG1655 ecocyc::UHPB-MONOMER 1 ecocyc MKTLFSRLITVIACFFIFSAAWFCLWSISLHLVERPDMAVLLFPFGLRLGLMLQCPRGYWPVLLGAEWLLIYWLTQAVGLTHFPLLMIGSLLTLLPVALISRYRHQRDWRTLLLQGAALTAAALLQSLPWLWHGKESWNALLLTLTGGLTLAPICLVFWHYLANNTWLPLGPSLVSQPINWRGRHLVWYLLLFVISLWLQLGLPDELSRFTPFCLALPIIALAWHYGWQGALIATLMNAIALIASQTWRDHPVDLLLSLLVQSLTGLLLGAGIQRLRELNQSLQKELARNQHLAERLLETEESVRRDVARELHDDIGQTITAIRTQAGIVQRLAADNASVKQSGQLIEQLSLGVYDAVRRLLGRLRPRQLDDLTLEQAIRSLMREMELEGRGIVSHLEWRIDESALSENQRVTLFRVCQEGLNNIVKHADASAVTLQGWQQDERLMLVIEDDGSGLPPGSGQQGFGLTGMRERVTALGGTLHISCLHGTRVSVSLPQRYV
VIMSS18200 1 334 0.315336826347305 PF01145.25:Band_7:22:243 regulator of FtsH protease 334 222 13 315 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG10435-MONOMER 1 ecocyc MRKSVIAIIIIVLVVLYMSVFVVKEGERGITLRFGKVLRDDDNKPLVYEPGLHFKIPFIETVKMLDARIQTMDNQADRFVTKEKKDLIVDSYIKWRISDFSRYYLATGGGDISQAEVLLKRKFSDRLRSEIGRLDVKDIVTDSRGRLTLEVRDALNSGSAGTEDEVTTPAADNAIAEAAERVTAETKGKVPVINPNSMAALGIEVVDVRIKQINLPTEVSEAIYNRMRAEREAVARRHRSQGQEEAEKLRATADYEVTRTLAEAERQGRIMRGEGDAEAAKLFADAFSKDPDFYAFIRSLRAYENSFSGNQDVMVMSPDSDFFRYMKTPTSATR
VIMSS189034 1 334 0.0974889221556886 PF17179.4:Fer4_22:228:320,PF00037.27:Fer4:226:241 Sulfhydrogenase 2 subunit beta; Hydrogenase-II subunit beta; H-II beta; Sulfhydrogenase II subunit beta; Sulfur reductase subunit ShyB; EC 1.12.98.4 334 95 13 334 0 Pyrococcus furiosus (strain ATCC 43587 / DSM 3638 / JCM 8422 / Vc1) SwissProt::E7FHN9 1 SwissProt MRYVKLHSEYFPEFFNRLKEVGRVYGPVRHNSTYRFEEVNSIDELSLDYTRTILPPKKFFIRPRDAMFKIQKNEVTEVDGDGKFVLFGVHSCDIHGIKILDKVYLSNPPDPYYERRRKNAFIVGISCMPDEYCFCKSLGTDFAMDGFDIFLHELPDGWLVRVGSVKGHEFVWENQDIFDDVTEEDLRNFKEFEEKRAKAFKKSLNKEGLADILDLAFTSKVWKKYAEKCLGCGNCTIVCPTCRCYEVCDTWVRAYEALRMRRYDSCFMPTHGLVAGGHNFRPTRLDRFRHRYYCKNYFDPEAGFNCVGCGRCDEFCPARIEHVKVLDEVREGLI
VIMSS1935920 195 399 0.263219512195122 PF12484.8:PE_PPE_C:123:201 PPE FAMILY PROTEIN (NCBI) 399 79 13 205 0 Mycobacterium tuberculosis H37Rv VIMSS1935920 1 MicrobesOnline GAQQTTLSQLIAAIPSVLQGLSSSTAATFASGPSGLLGIVGSGSSWLDKLWALLDPNSNFWNTIASSGLFLPSNTIAPFLGLLGGVAAADAAGDVLGEATSGGLGGALVAPLGSAGGLGGTVAAGLGNAATVGTLSVPPSWTAAAPLASPLGSALGGTPMVAPPPAVAAGMPGMPFGTMGGQGFGRAVPQYGFRPNFVARPPAAG
VIMSS1935931 1 425 0.262686823529412 PF11887.8:Mce4_CUP1:150:411,PF02470.20:MlaD:42:121 Mce family protein Mce3A 425 342 13 402 1 Mycobacterium tuberculosis H37Rv YP_177852.1 1 RefSeq MRRGPGRHRLHDAWWTLILFAVIGVAVLVTAVSFTGSLRSTVPVTLAADRSGLVMDSGAKVMMRGVQVGRVAQIGRIEWAQNGASLRLEIDPDQIRYIPANVEAQISATTAFGAKFVDLVMPQNPSRARLSAGAVLHSKNVSTEINTVFENVVDLLNMIDPLKLNAVLTAVADAVRGQGERIGQATTDLNEVLEALNARGDTIGGNWRSLKNFTDTYDAAAQDILTILNAASTTSATVVNHSTQLDALLLNAIGLSNAGTNLLGSSRDNLVGAADILAPTTSLLFKYNPEYTCFLQGAKWYLDNGGYAAWGGADGRTLQLDVALLFGNDPYVYPDNLPVVAAKGGPGGRPGCGPLPDATHNFPVRQLVTNTGWGTGLDIRPNPGIGHPCWANYFPVTRAVPEPPSIRQCIPGPAIGPNPAAGEQP
VIMSS1936047 1 287 0.015705574912892 PF02683.15:DsbD:9:220 PROBABLE CONSERVED INTEGRAL MEMBRANE PROTEIN (NCBI) 287 212 13 126 7 Mycobacterium tuberculosis H37Rv VIMSS1936047 0 MicrobesOnline MNEALIGLAFAAGLVAALNPCGFAMLPAYLLLVVYGQDSAGRTGPLSAVGRAAAATVGMALGFLTVFGIFGALTISAATAVQRYLPYATVLIGLALIALGGWLLLGRGLTALTPRSLGVRWAPTVRLGSMYGYGISYAVASLSCTIGPFLAVTGAGLRGGSVVGSVAIYLAYVAGLTLVVGVLAVAAATASSALADRLRRILPFVNRISGALLVVVGLYVGYYGLYELRLIAGVGANPQDAVIAAAGRLQGALAGWVNQHGAWPWAVLLVVLVVGAFAGTWFRRVRR
VIMSS1936130 1 98 0.312933673469388 PF00934.20:PE:4:92 PE FAMILY PROTEIN (NCBI) 98 89 13 98 0 Mycobacterium tuberculosis H37Rv VIMSS1936130 1 MicrobesOnline MSFTAQPEMLAAAAGELRSLGATLKASNAAAAVPTTGVVPPAADEVSLLLATQFRTHAATYQTASAKAAVIHEQFVTTLATSASSYADTEAANAVVTG
VIMSS1936133 1 400 0.24436 PF11887.8:Mce4_CUP1:146:389,PF02470.20:MlaD:41:117 Mce family protein Mce4A 400 321 13 377 1 Mycobacterium tuberculosis H37Rv YP_177977.1 1 RefSeq MSGGGSRRTSVRVAAALLAGLMVGSAVLTYLSYTAAFTSTDTVTVSSPRAGLVMEKGAKVKYRGIQVGKVTDISYSGNQARLKLAIDSGEMGFIPSNATVRIAGNTIFGAKSVEFIPPKTPSPKPLSPNAHVAASQVQLEVNTLFQSLIDLLHKIDPLETNATLSALSEGLRGHGDDLGALLSGLNTLTRQANPKLPALQEDFRKAAVVANVYADAAGDLNTVFDNLPTINKTIVDQKDNLNDTLLATIGLSNNAYETLAPAEQNFIDAINRLRAPLKVTSDYSPVFGCLFKGIARGVKEFAPLIGVRKAGLFTSSSFVLGAPSYTYPESLPIVNASGGPNCRGLPDIPTKQTGGSFYRAPFLVTDNALIPYQPFTELQVDAPSTLQFLFNGAFAERDDF
VIMSS1936929 1 321 0.0110785046728972 PF03741.16:TerC:80:282 putative membrane-bound redox modulator Alx 321 203 13 120 9 Escherichia coli K-12 substr. MG1655 ecocyc::G7607-MONOMER 0 ecocyc MNTVGTPLLWGGFAVVVAIMLAIDLLLQGRRGAHAMTMKQAAAWSLVWVTLSLLFNAAFWWYLVQTEGRAVADPQALAFLTGYLIEKSLAVDNVFVWLMLFSYFSVPAALQRRVLVYGVLGAIVLRTIMIFTGSWLISQFDWILYIFGAFLLFTGVKMALAHEDESGIGDKPLVRWLRGHLRMTDTIDNEHFFVRKNGLLYATPLMLVLILVELSDVIFAVDSIPAIFAVTTDPFIVLTSNLFAILGLRAMYFLLAGVAERFSMLKYGLAVILVFIGIKMLIVDFYHIPIAVSLGVVFGILVMTFIINAWVNYRHDKQRGG
VIMSS1937131 1 359 0.146672980501393 PF07429.11:Glyco_transf_56:1:355 TDP-N-acetylfucosamine:lipid II N-acetylfucosaminyltransferase (EC 2.4.1.325) 359 355 13 359 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7800-MONOMER 1 ecocyc MTVLIHVLGSDIPHHNRTVLRFFNDALAATSEHAREFMVVGKDDGLSDSCPALSVQFFPGKKSLAEAVIAKAKANRQQRFFFHGQFNPTLWLALLSGGIKPSQFFWHIWGADLYELSSGLRYKLFYPLRRLAQKRVGCVFATRGDLSFFAKTHPKVRGELLFFPTRMDPSLNTMANDRQREGKMTILVGNSGDRSNEHIAALRAVHQQFGDTVKVVVPMGYPPNNEAYIEEVRQAGLELFSEENLQILSEKLEFDAYLALLRQCDLGYFIFARQQGIGTLCLLIQAGIPCVLNRENPFWQDMTEQHLPVLFTTDDLNEDIVREAQRQLASVDKNTIAFFSPNYLQGWQRALAIAAREVA
VIMSS1938031 1 152 0.533471710526316 PF03777.13:ChpA-C:16:71,PF03777.13:ChpA-C:97:152 Chaplin-A 252 112 13 152 0 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q8CJY7 1 SwissProt MVAAAAATGILSLCGSPALADSHADGAATNSPGAVSGNALQVPVDVPVNACGNTVDVIAALNPAFGNECENASDEKTDGHGGGYGEDASSSSSSSTSASSSGSHADGATEGSPGVGSGNNAQVPVDVPVNLCGNTVDVIAALNPVFGNKCEN
VIMSS1938242 1 141 0.251041134751773 Response regulator RamR; Transcriptional activator RamR 202 0 13 141 0 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q7AKE4 1 SwissProt MGEMVRIAVVHDEKLLRSALVQLLRSDDTLDVSSHCLDADGPELSAALPADVCVVDGECLTGPEDAGAGRLRARYGDRLVVLATAKRPGVLRRAFDGGALGLVDKNAPAHRLITAVHTVARGERFLDETLTVALLKGAEMP
VIMSS195 1 257 0.167431128404669 hypothetical protein (NCBI ptt file) 257 0 13 211 2 Chlamydia trachomatis D/UW-3/CX VIMSS195 1 MicrobesOnline MFFSGSVETLPPSTCLISKKTRKEEFVRSVGQEASQNTLSWTIRPRIPSIIQGSKEVSLALFVLGTVLAIVGACAAAVGGAFSVCLGVLFLGGVVLATGLLLAVLEFCHIRSSMEKYVILTKQDLFKEPVIQEEQATPLIEEASYTCEPGIPLSGPEEVQQERPVILQKDLDLSHAPKYIAVGSHVVELVKAGKIGRNGERLLEEGIDTDQNFVRVWDELFILGQRGEMVRLDGFCCKVLPKKSKSESINNLVSNDC
VIMSS19592 190 443 0.0241200787401575 PF07690.16:MFS_1:15:199 multidrug-efflux transporter (NCBI ptt file) 443 185 13 121 6 Helicobacter pylori 26695 VIMSS19592 1 MicrobesOnline IKAYQPNSKALYLLYLSSFFEKAFMTLIFVLIPLALVNEFHKDESFLILVYVPGALLGVLSMGIASVMAEKYNKPKGVMLSGVLLFIVSYLCLFLADSSFLGKYLWLFIVGVAFFFIGFATLEPIMQSLASKFAKVHEKGKVLGQFTTFGYLGSFVGGVSGGLSYHHLGVSNTSLIVVALGLIWGLSLFLLNNPSKQKNVYFPLDAYNEEQFETLEDKIIEWYVNISEEIIIVKYNSDHISEEEIIHLAQNFRK
VIMSS19778 1 423 0.195973522458629 Type II restriction enzyme recognizing GAAGA, ?; TCTTC 423 0 13 423 0 Helicobacter pylori 26695 REBASE::HpyAII 1 REBASE MPKLEKILLEITQLDPSKECLKFLANRIKSSDYRGLHLSQHNRYDQNKIKTIIQAIFNEVGGDFLQIRTTDMSKRPSNIIGEEIYAKVVDNICKSEMPQDNSGKKNQVTQDSLRKNLFVDMHRMGLIERYNKNKEPTNPYIQSNIKYISLTPLAIEFLNAQDLLRKNFCYTQALENLLQGFGAECREVMIELDNHYLDIEEMMFFVTFLNIENFTRSEIIEYVRGYRSLSRIQKEKLKELVQDYCNPNHFNGNKLEKRDYHNWKNQAQQIFSLLEQSVFFETNKERLILKALNEENKQNDKKLKRSIKEKALYFEKHGVKKEKGFELHHIVPLCLARSIEEFDLLDKWENLIYIDAFNHAKISQTQNKHICLYFKNCDVVLSKGLKEEQESLYLTYIENVLYKLDLQNAMLKYNKDLLHSKNG
VIMSS2 1 90 0.0574355555555556 PF04070.12:DUF378:4:63 hypothetical protein (NCBI ptt file) 90 60 13 44 2 Chlamydia trachomatis D/UW-3/CX VIMSS2 0 MicrobesOnline MLCKVCRGLSSLIVVLGAINTGILGVTGYKVNLLTHLLGEGTMWTQAAYVVTGIAGVMVCLNFLKCCFKKRHGDCCSSKGGYHHHHMDRE
VIMSS2017054 1 167 0.352962874251497 PF01476.20:LysM:35:77 LysM domain protein (NCBI) 167 43 13 149 1 Streptococcus pneumoniae D39 VIMSS2017054 1 MicrobesOnline MKSITKKIKATLAGVAALFAVFAPSFVSAQESSTYTVKEGDTLSEIAETHNTTVEKLAENNHIDNIHLIYVDQELVIDGPVAPVATPAPATYAAPAAQDETVSAPVAETPVVSETVVSTVSGSEAEAKEWIAQKESGGSYTATNGRYIGRYGSWTAAKNFWLNNGWY
VIMSS208068 224 732 0.0977357563850687 PF07670.14:Gate:141:238,PF07670.14:Gate:305:478,PF07664.12:FeoB_C:248:300 ferrous iron transport protein B (TIGR) 732 325 13 328 8 Desulfovibrio vulgaris Hildenborough VIMSS208068 1 MicrobesOnline GREASAAASAQLEDMVREVSDHLKVTLNSYPEAVIADYRYGYISSVLRQGVVTRHDELANRMALSDRMDMVLTHRLMGPLLMLGVLYAIYLVTFSIGEIPMGWVEAFFEWLKGIASDSLPEGLLKSLIISGIIDGVGGVMGFVPLIMLIFLQIAFLEDSGYMARIAYMLDRVFRVFGLHGCSVMPFIVGGGIAGGCAVPGVMAARTLRSRREKLATLLTVPFMACGAKVPVFILIVGIFFAENEASVLFGLTLAGWATALLVARLLRSTVIKGPSTPFVMELPPYRLPTMRGLFIHTWERTWQYLKKAGTVILAISVLLWLAMTFPSLPENVVADFEARSATVAASIEQGKEAGQDTTELEEQLAALDAERAEAALEHSVAGSIGRTLEPVSRYAGFDWRTNIALVGGFAAKEVIVSTLGTAYSLGEVDPEDAAPLAEQIRNDPNWNAATALSLLVFVLLYAPCFVAVVAIRQEAGSWGWALFSTAFNTVLAFGVSVAVYQVGTLVLLR
VIMSS2100014 1 999 0.244028828828829 PF00501.28:AMP-binding:455:861,PF12697.7:Abhydrolase_6:71:341,PF00561.20:Abhydrolase_1:125:340 putative long-chain acyl-CoA synthase (NCBI) 999 678 13 999 0 Mycobacterium bovis BCG str. Pasteur 1173P2 VIMSS2100014 1 MicrobesOnline MVDLNFSMVTRPIERLVATAQNGLEVLRLGGLETGSVPSPSQIVESVPMYKLRRYFPPDNRPGQPPVGPPVLMVHPMMMSADMWDVTREDGAVGILHASGLDPWVIDFGSPDEVEGGMRRNLADHIVALSEAVDTVKDATGHDVHFVGYSQGGMFCYQAAAYRRSKDIASVVAFGSPVDTLAALPMGIPANMGAAVADFMADHVFNRLDIPSWMARMGFQMMDPLKTAKARVDFVRQLHDREALLPREQQRRFLESEGWIAWSGPAISELLKQFIAHNRMMTGGFAISGQMVTLTDITCPILAFVGEVDDIGQPASVRGIRRAAPNSEVYECLIRAGHFGLVVGSRAAQQSWPTVADWVRWISGDGTKPENIHLMADQPAEHTDSGVAFSSRVAHGIGEVSEAALALARGAADAVVAANRSVRTLAVETVRTLPRLARLGQLNDHTRISLGRIIDEQAHDAPKGEFLLFDGRVHTYEAVNRRINNVVRGLIAVGVRQGDRVGVLMETRPSALVAIAALSRLGAVAVVMRPDTDLSASVRLGRVTEILTDPTNLDAARQLPGQVLVLGGGESRDLDLPADALEQGQVIDMEKIDPDAVELPAWYRPNPGLARDLAFIAFSSADGDLVAKQITNYRWAVSAFGTASTAALGRRDTVYCLTPLHHESALLVSLGGAVVGGTRIALSRGLRPDRFVAEVRQYGVTVVSYTWAMLRDVVDDPAFVLHGNHPVRLFIGSGMPTGLWERVVEAFAPAHVVEFFATTDGQAVLANVAGAKIGSKGRPLPGAGRVELGAYDAEHDLILENDRGFVQVAGVNQVGVLLAQSRGPIDPTASVKRGVFAPADTWISTDYLFWRDDDGDYWLAGGRGSVVRTARGMVYTEPVTNALGLITGVDLAVTYGVLVRGRHVAVSAVTLLPGATITAADLTEAVASMPVGLGPDIVHVVPQLTLSGTYRPTVSALRANGIPKAGRQAWYFNSGGNEYRRLTPAVRTELTGQHRRGNA
VIMSS2100568 1 167 0.275835928143713 putative secreted unknown protein (NCBI) 167 0 13 167 0 Mycobacterium bovis BCG str. Pasteur 1173P2 VIMSS2100568 1 MicrobesOnline MSGHRKKAMLALAAASLAATLAPNAVAAAEPSWNGQYLVTLSANAKTGTSMAANRPEYPHKANYTFSSRCASDVCIATVVDAPPPKNEFIPRPIEYTWNGTQWVREISWQWDCLLPDGTIEYAPAKSITAYTPGQYGILTGVFHTDIASGTCKGNVDMPVSAKPIVG
VIMSS2101281 156 381 0.263696017699115 PF00296.20:Bac_luciferase:2:191 Phthiodiolone/phenolphthiodiolone dimycocerosates ketoreductase; EC 1.2.-.- 381 190 13 226 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WIB7 1 SwissProt ALWNSNGELISRESPYFPLHNALFDLPPYRGKWPEIWVAAHGPRMLRATGRYADAWIPIVVVRPSDYSRALEAVRSAASDAGRDPMSITPAAVRGIITGRNRDDVEEALESVVVKMTALGVPGEAWARHGVEHPMGADFSGVQDIIPQTMDKQTVLSYAAKVPAALMKEVVFSGTPDEVIDQVAEWRDHGLRYVVLINGSLVNPSLRKTVTAVLPHAKVLRGLKKL
VIMSS2198482 1 83 0.042210843373494 hypothetical protein of bacteriophage Pf1 (NCBI) 83 0 13 60 1 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2198482 1 MicrobesOnline MSGVVAVQVCTAWTSTPEGFMACRELAWQQAYLIPPEAAGYVDILVNGGFSPEAFGIGAAGVLGSFVTGLLIGWVASLLRKAK
VIMSS245325 1 578 0.138602595155709 PF07690.16:MFS_1:52:449 actinorhodin transporter (NCBI) 578 398 13 288 13 Streptomyces coelicolor A3(2) VIMSS245325 1 MicrobesOnline MSSVEADEPDRATAPPSALLPEDGPGPDGTAAGPPPYARRWAALGVILGAEIMDLLDGTVMNVAAPAVRADLGGSLSVIQWITVGYTLAFAVLLVVGGRLGDIYGRKRMFVVGAVGFTAASVLCSVAAGPEMLTAARFLQGGLGALMIPQGLGLIKQMFPPKETAAAFGAFGPAIGLGAVLGPIVAGFLVDADLFGTGWRSVFLINLPIGVAVIVGAVLLLPEGKAPVRPKFDVVGMALVTSGLTLLIFPLVQGRERGWPAWAFVLMLAGAAVLVGFVAHELRQERRGGATLIELSLLRRSRYAAGLAVALVFFTGVSGMSLLLALHLQIGLGFSPTRAALTMTPWSVFLVVGAILTGAVLGSKFGRKALHGGLVVLALGVLIMLLTIGDQAGGLTSWELVPGIAVAGLGMGIMIGLLFDIALADVDKQEAGTASGVLTAVQQLGFTVGVAVLGTLFFGLLGSQATASVDDGASRARTELAAAGASTTEQDRLLADLRVCLRESASQQDSERTPDSCRNLQQARPAVAEATARAWRTAHTENFSTAMVRTLWVVIALLAVSFALAFRLPPKPREEEGF
VIMSS26682 1 479 0.183250730688935 PF03687.13:UPF0164:77:385 UPF0164 family protein 479 309 13 456 1 Treponema pallidum subsp. pallidum str. Nichols WP_010882309.1 1 RefSeq MVRMRRRRACSSGGACGCAAVRGARSFLSVRVLGMRIGMSALCLAPLFARTASLGAWSSQGGEVLGEVRARVPAHRRVRRAVSGTSVTPVVAMAAKTSEKQKGVGRRALSLRTGGRYEMLGLAFTALADDASFFEANAAGSAAFPYLLVGGFHFARVNQSHTDTIALVHSIGRTGYGFSASVQYPYLTMEGKAVGGVAIFNVAHRFLSAYRFKGISVGTNVKVGYRDSSAGGERNKKNQGGKKHVVVTADIGLQGTWSVAKNFGSHEPNLWVGGTVKNVGLSVEVDASNSGSSMSGGRTVHATNSSFILACAYQPIRWFLFGTGIEWKYNVQEFADNNRFRYGVAFLLLPVQYVAFGSNVFLTGLASDIRASAGVEFKSTWVRVDLTYTYESDKDEHVISCGIAGFFNRDRRKHLEKEVYTSYLRGLRHYDAQHYEEAIAEWRRTLQRAGSFEPAREGIERATKLLQLNRQVYDFHFLH 1
VIMSS26782 1 320 0.23177875 PF16576.5:HlyD_D23:52:219,PF13437.6:HlyD_3:142:233,PF13533.6:Biotin_lipoyl_2:66:106 HlyD family efflux transporter periplasmic adaptor subunit 320 182 13 300 1 Treponema pallidum subsp. pallidum str. Nichols WP_010882409.1 1 RefSeq MTTAQKLLHRKSTIAMVVGILAFLFVLPRLVRALRRVPPPTLSVSKEVVLNRIEISGYIEAAQHQKLESPGEGIVRTVRVQEGDTVKKGQLLFSLENSHQQLDLAEHEFAIEQEEINGVSKKMEIMKLKRNMLQKRLRERYVTAQFDGVVAAFKLSPGQYAKPQDYFGTLIDRSYFKANVEIPEVDASRLKVGQRVEISFPAEPSVKAVGSVTSYPSIARVTSVGRTVVDASIRIDELPEILPGYSFSGAIVAGEQEEILVLKQDGLRYEKGAPFVDRVLPSGKIKSVPVTVEPYVPGFVKIISGLGAGDRVKDQSAARK
VIMSS27798 1 668 0.394883383233532 putative (NCBI ptt file) 668 0 13 645 1 Helicobacter pylori J99 VIMSS27798 1 MicrobesOnline MKNQHKNPLTKALMKTYPYNHFLFFCFILGAFLLGLLSPAYALSIITTKEIDANLLNGAIESRVVLGKRVFKVEAHGFYFRNNATNSIDIEITSLLRDNQSFPLTSSAKTSLKIPPNAKIKKSTILVLKGENAEEVAKILGVSKEEYQKLENIAQTKAANDPMYANTPFSNGSDSSFYDNNPNSPSNNAINGKDGANGSNGYGANGNDGVNGISGSNGANGSHSNNNAIGSGIDTDGVLGVDGVNGSSSSSGGSVGGYENNFTNHGSTNNNTGGYDNFNNGSSSGGSLGNGGLFPIPFGNGDTNNSNNSTNTTSPTNGSSSNNATNPSSQENNYSSQYCKVPELSPNNTMKLDVIAKDGSCISMNALRDDTKCAYRYDFEAGKAIKQTQYYYVDRENKTQNIGGCVDLQGAQYAMQLYKDDSKCALQTTSDKGYGMGKTQTFQTEIVFRGMDNLIHVAVPCSDYARVQDRIVRYEKNDKTQTLTPIVDQYYNDPNNPNKQEILNRGIATQLSSQYQEFACGQWEYNDAKLEAKRPTMLKSYNKLNGEWVEVTPCNFEAGIKSGAVVSPYVMGVPSSKVLSDITTSHYFRIERKNYGEREQCQKLYGVNRCQPQYSILILVSPIGAPLTKPLPPKPLNLIYAQPKIMKNTPQPIILSPLKPPSTGLKAF
VIMSS29598 1 67 0.0878716417910448 PF05102.12:Holin_BlyA:1:61 holin protein 67 61 13 44 1 Borreliella burgdorferi B31 NP_051492.1 0 RefSeq MDTIKLTELLINLNEIKLIAVMIFVTVLVLGVLILLKPLLKDILTIVIGKIFKNGNGNGKNHIKKRD
VIMSS298236 1 308 0.302470779220779 PF17802.1:SpaA:159:236 unknown (NCBI ptt file) 308 78 13 262 2 Streptococcus agalactiae NEM316 VIMSS298236 1 MicrobesOnline MKKIRKSLGLLLCCFLGLVQLAFFSVASVNADTPNQLTITQIGLQPNTTEEGISYRLWTVTDNLKVDLLSQMTDSELNQKYKSILTSPTDTNGQTKIALPNGSYFGRAYKADQSVSTIVPFYIEIPDDKLSNQLQINPKRKVETGRLKLIKYTKEGKIKKRLSGVIFVLYDNQNQPVRFKNGRFTTDQDGITSLVTDDKGEIEVEGLLPGKYIFREAKALTGYRISMKDAVVAVVANKTQEVEVENEKETPPPTNPKPSQPLFPQSFLPKTGMIIGGGLTILGCIILGILFIFLRKTKNSKSERNDTA
VIMSS305609 1 101 0.427076237623762 PF05957.13:DUF883:9:101 ribosome- and membrane-associated DUF883 domain-containing protein YqjD 101 93 13 83 1 Escherichia coli K-12 substr. MG1655 ecocyc::G7612-MONOMER 1 ecocyc MSKEHTTEHLRAELKSLSDTLEEVLSSSGEKSKEELSKIRSKAEQALKQSRYRLGETGDAIAKQTRVAAARADEYVRENPWTGVGIGAAIGVVLGVLLSRR
VIMSS31794 1 256 0.326475 PF01381.22:HTH_3:10:61,PF13560.6:HTH_31:6:61 Uncharacterized HTH-type transcriptional regulator Rv0023 256 56 13 256 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMI3 1 SwissProt MSRESAGAAIRALRESRDWSLADLAAATGVSTMGLSYLERGARKPHKSTVQKVENGLGLPPGTYSRLLVAADPDAELARLIAAQPSNPTAVRRAGAVVVDRHSDTDVLEGYAEAQLDAIKSVIDRLPATTSNEYETYILSVIAQCVKAEMLAASSWRVAVNAGADSTGRLMEHLRALEATRGALLERMPTSLSARFDRACAQSSLPEAVVAALIGVGADEMWDIRNRGVIPAGALPRVRAFVDAIEASHDADEGQQ
VIMSS31992 154 469 0.285651582278481 PF06974.13:DUF1298:149:296,PF03007.16:WES_acyltransf:2:110 Putative diacyglycerol O-acyltransferase Rv0221; Putative triacylglycerol synthase Rv0221; EC 2.3.1.20 469 257 13 316 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKB7 1 SwissProt GMDLLPGPEVGRYVPDPAPTKRQLLSAAFIDHLRHLGRIPATIRYTTQGLGRVRRSSRKLSPALTMPFTPPPTFMNHRLTPERRFATATLALIDVKATAKLLGATINDMVLAMSTGALRTLLLRYDGKAEPLLASVPVSYDFSPERISGNRFTGMLVALPADSDDPLQRVRVCHENAVSAKESHQLLGPELISRWAAYWPPAGAEALFRWLSERDGQNKVLNLNISNVPGPRERGRVGAALVTEIYSVGPLTAGSGLNITVWSYVDQLNISVLTDGSTVQDPHEVTAGMIADFIEIRRAAGLSVELTVVESAMAQA
VIMSS32031 1 381 0.252810236220472 PF02602.15:HEM4:24:268,PF00486.28:Trans_reg_C:306:372 uroporphyrinogen-III synthetase (NCBI) 381 312 13 381 0 Mycobacterium tuberculosis H37Rv VIMSS32031 1 MicrobesOnline MAQAHSAPLTGYRIAVTSARRAEELCALLRRQGAEVCSAPAIKMIALPDDDELQNNTEALIADPPDILVAHTGIGFRGWLAAAEGWGLANELLESLSSARIISRGPKATGALRAAGLREEWSPDSESSHEVLEYLLESGVSRTRIAVQLHGAADSWDPFPEFLGGLRFAGAQVVPIRVYRWKPAPLGGVFDHLVTGIARRQFDAVTFTSAPAAAAVLERSRELDIEDQLLAALRTDVHAMCVGPVTSRPLIRKGVPTSAPERMRLGALARHIAEELPLLGSCTFKAAGHVIEIRGTSVLVDDSVKPLSPSGMAILRALVHRPGGVVSRGDLLRVLPGDGSDTHAVDTAVLRLRTALGDKNIVATVVKRGYRLAVDSRHDDV
VIMSS32538 1 213 0.247264788732395 PF00440.23:TetR_N:36:81 hypothetical protein (NCBI) 213 46 13 213 0 Mycobacterium tuberculosis H37Rv VIMSS32538 1 MicrobesOnline MSSDVLVTTPAQRQTEPHAEAVSRNRRQQATFRKVLAAAMATLREKSYADLTVRLVAARAKVAPATAYTYFSSKNHLIAEVYLDLVRQVPCVTDVNVPMPIRVTSSLRHLALVVADEPEIGAACTAALLDGGADPAVRAVRDRIGAEIHRRITSAIGPGADPGTVFALEMAFFGALVQAGSGTFTYHEIADRLGYVVGLILAGANEPSTGGSE
VIMSS32742 1 210 0.0784471428571428 PF17197.4:DUF5134:36:206 Uncharacterized protein Rv0970 210 171 13 76 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKL7 0 SwissProt MIHDLMLRWVVTGLFVLTAAECGLAIIAKRRPWTLIVNHGLHFAMAVAMAVMAWPWGARVPTTGPAVFFLLAAVWFGATAVVAVRGTATRGLYGYHGLMMLATAWMYAAMNPRLLPVRSCTEYATEPDGSMPAMDMTAMNMPPNSGSPIWFSAVNWIGTVGFAVAAVFWACRFVMERRQEATQSRLPGSIGQAMMAAGMAMLFFAMLFPV
VIMSS33746 1 160 0.21141625 Outer membrane protein Rv1973 160 0 13 140 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJ77 1 SwissProt MSWSRVIAYGLLPGLALALTCGAGLLKWQDGAVRDAAVARAESVRAATDGTTALLSYRPDTVQHDLESARSRLTGTFLDAYTQLTHDVVIPGAQQKQISAVATVAAAASVSTSADRAVVLLFVNQTITVGKDAPTTAASSVRVTLDNINGRWLISQFEPI
VIMSS33808 1 162 0.265807407407408 PF08327.11:AHSA1:16:151 hypothetical protein (NCBI) 162 136 13 162 0 Mycobacterium tuberculosis H37Rv VIMSS33808 1 MicrobesOnline MTRPRTDAIHHHVVVNAPIERAFAVFTTRFGDFKPREHNLLAIPITETVFECHAGGHIYDRGVDGSVCKWARVLVYEPPSRVLFTWDIGPTWRPETDLAKTSEVEVRFTAQSAETTRVDLEHRHLDRHGPGWESVADGVDSEAGWPLYLRRYTDLLCIQVQP
VIMSS339900 1 588 0.333155612244898 hypothetical protein (NCBI ptt file) 588 0 13 588 0 Vibrio parahaemolyticus RIMD 2210633 VIMSS339900 1 MicrobesOnline MWRIQMHLVCKFIPSSKLSSNELSYVLTPDECIGQLSRVRNSEDILRNLPKELAQKISISAKKSSSGLLTAIRHELGNGNWVALSSFSRRTPLTDTQLQSFPRLKAQLESVSSTGESKVYKAGYKQVKDDVTLVRSYTHVPSEPSPDQKIVVEFAGQWSSNAACLMLGKTEAQKEKVTVGKADTENKHRSLATFKDLEAEGKTLYIKIPCSDQPQPILLKLAEDLQPVDKETQMDEWDNVLVPVVPLHFPGSDKSDEAAEVFKSGYVYVVWNNKIWREVAITENGYFSDTDINSVREGSRPKRHADIYMTNPETGGVFAYEPFQIVQNGKVVSEGSLNGSGEARVFNLVEEEVEIVMTGYEPQIKEKIETNLSPINASSPVGRSAQGYPLPHIWLPYKIKGEPQEVYLAYNSKRLSESELSELESDPGTKAIKVTDLNHYSSEKSFKMGDGSVRLLSVLPSAATSKPEKYAMLRSQINKNVAVVYLLKSVEIVFEYPGYTTLDESDDYFELRQSDGDWSQRVCLRQCIKKENGSRLIRFTGWPAEVKEVDLLRGYQGNSHHGRDNKTVIFAQTPIADLLAYKKKDQPS
VIMSS34121 1 108 0.592690740740741 hypothetical protein (NCBI) 108 0 13 108 0 Mycobacterium tuberculosis H37Rv VIMSS34121 1 MicrobesOnline MLLPLGPPLPPDAVVAKRAESGMLGGLSVPLSWGVAVPPDDYDHWAPAPEDGADVDVQAAEGADAEAAAMDEWDEWQAWNEWVAENAEPRFEVPRSSSSVIPHSPAAG
VIMSS34163 1 185 0.323132432432433 hypothetical protein 185 0 13 162 1 Mycobacterium tuberculosis variant bovis BCG str. Tokyo 172 WP_003412295.1 1 RefSeq MAIFGRGHGASEPGGTGEPAETPGRGRLTRSVIGWVGAVAVVVSLAGSGWCGWVLFEKHQTDVAAGQALQAARSYVVKLATMDCERIDHNMRDILEGSTGEFKDKYGKSSAHLRQLLADNRVATHGTVVAASVKSATTNKVVVLMFIDQSVSNRNSPTPQIDRSRIKVIMDKVNGRWLASKVELL
VIMSS34235 83 466 0.452533072916667 PF05698.14:Trigger_C:176:336,PF05697.13:Trigger_N:3:62,PF00254.28:FKBP_C:78:136 trigger factor (NCBI) 466 280 13 384 0 Mycobacterium tuberculosis H37Rv VIMSS34235 1 MicrobesOnline SDVQPLGRPNIEVTKKEYGQDLQFTAEVDIRPKISPPDLSALTVSVDPIEIGEDDVDAELQSLRTRFGTLTAVDRPVAVGDVVSIDLSATVDGEDIPNAAAEGLSHEVGSGRLIAGLDDAVVGLSADESRVFTAKLAAGEHAGQEAQVTVTVRSVKERELPEPDDEFAQLASEFDSIDELRASLSDQVRQAKRAQQAEQIRNATIDALLEQVDVPLPESYVQAQFDSVLHSALSGLNHDEARFNELLVEQGSSRAAFDAEARTASEKDVKRQLLLDALADELQVQVGQDDLTERLVTTSRQYGIEPQQLFGYLQERNQLPTMFADVRRELAIRAAVEAATVTDSDGNTIDTSEFFGKRVSAGEAEEAEPADEGAARAASDEATT
VIMSS34886 1 222 0.225212612612613 PF13419.6:HAD_2:9:184,PF12710.7:HAD:9:176,PF13242.6:Hydrolase_like:146:200 POSSIBLE PHOSPHATASE (NCBI) 222 192 13 222 0 Mycobacterium tuberculosis H37Rv VIMSS34886 1 MicrobesOnline MTSRDGFTIVWDWNGTLCDDRTILLDAVGQTLVNEGFEPLSQQQLIQRFARPLRTFFENACGRDLLTSEWERVQSTFRRIYRSREAEVTLVEDAYDVLAQGNRSAAGQFLLSLAPHDELMHFVQKYGIAKWFNGIRGRTRPDQEKPMMLAELIMQRSLNPTRVVHIGDSLEDAAAASAVGAISVLVTGASLQPPDRVMLKQLQPFVASSLKQALQYAGGDGD
VIMSS35337 1 180 0.317012222222222 PF02771.16:Acyl-CoA_dh_N:9:102 7-carboxy-5-methyl-4-oxoheptanoyl-CoA dehydrogenase 319 94 13 180 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) metacyc::G185E-7840-MONOMER 1 metacyc MTMEFALNEQQRDFAASIDAALGAADLPGVVRAWAAGDVAPGRKVWQQLANLGVTALGVAEKFDGLGASPVDLVVALERLGRWCVPGPVTESIAVAPILLAHDDQAERSHGLASGELIATVAMPPRVPRAVDADTAGLVLLAGDGSVTEGTPGDCHRSVDPSRRLYEVAASGQAWRAPKD
VIMSS35405 1 241 0.310079253112033 PF00535.26:Glycos_transf_2:16:149 POSSIBLE TRANSFERASE (POSSIBLY GLYCOSYLTRANSFERASE) (NCBI) 241 134 13 241 0 Mycobacterium tuberculosis H37Rv VIMSS35405 1 MicrobesOnline MASKMDTETHYSDVWVVIPAFNEAAVIGKVVTDVRSVFDHVVCVDDGSTDGTGDIARRSGAHLVRHPINLGQGAAIQTGIEYARKQPGAQVFATFDGDGQHRVKDVAAMVDRLGAGDVDVVIGTRFGRPVGKASASRPPLMKRIVLQTGARLSRRGRRLGLTDTNNGLRVFNKTVADGLNITMSGMSHATEFIMLIAENHWRVAEEPVEVLYTEYSKSKGQPLLNGVNIIFDGFLRGRMPR
VIMSS35609 1 449 0.558265924276169 PF13845.6:Septum_form:98:322 Uncharacterized membrane protein Rv3835 449 225 13 426 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WKW5 1 SwissProt MLDAPEQDPVDPGDPASPPHGEAEQPLPGPRWPRALRASATRRALLLTALGGLLIAGLVTAIPAVGRAPERLAGYIASNPVPSTGAKINASFNRVASGDCLMWPDGTPESAAIVSCADEHRFEVAESIDMRTFPGMEYGQNAAPPSPARIQQISEEQCEAAVRRYLGTKFDPNSKFTISMLWPGDRAWRQAGERRMLCGLQSPGPNNQQLAFKGKVADIDQSKVWPAGTCLGIDATTNQPIDVPVDCAAPHAMEVSGTVNLAERFPDALPSEPEQDGFIKDACTRMTDAYLAPLKLRTTTLTLIYPTLTLPSWSAGSRVVACSIGATLGNGGWATLVNSAKGALLINGQPPVPPPDIPEERLNLPPIPLQLPTPRPAPPAQQLPSTPPGTQHLPAQQPVVTPTRPPESHAPASAAPAETQPPPPDAGAPPATQSPEATPPGPAEPAPAG
VIMSS3628838 1 122 0.254499180327869 PF16970.5:FimA:2:122,PF00419.20:Fimbrial:8:122 Fimbrial protein (RefSeq) 122 121 13 122 0 Acinetobacter baumannii ATCC 17978 VIMSS3628838 1 MicrobesOnline MKNAGDVAGRTPFQINLTNCASVGKVATYFEPGATVDFNTGRLLNQATSGAATNVNIQLLGSNNAVIPVIAKGASGAQDNSQWVNVSAGGNADLNYYAEYYATGASTAGTVTSQVKYTIIYQ
VIMSS3630168 1 210 0.326019523809524 PF13505.6:OMP_b-brl:3:181,PF05736.11:OprF:45:186 outer membrane protein A (RefSeq) 346 184 13 210 0 Acinetobacter baumannii ATCC 17978 VIMSS3630168 1 MicrobesOnline MLVAAPLAAANAGVTVTPLLLGYTFQDSQHNNGGKDGNLTNGPELQDDLFVGAALGIELTPWLGFEAEYNQVKGDVDGASAGAEYKQKQINGNFYVTSDLITKNYDSKIKPYVLLGAGHYKYDFDGVNRGTRGTSEEGTLGNAGVGAFWRLNDALSLRTEARATYNADEEFWNYTALAGLNVVLGGHLKPAAPVVEVAPVEPTPVTPQPQ 1
VIMSS366 1 178 0.156205056179775 hypothetical protein (NCBI ptt file) 178 0 13 132 2 Chlamydia trachomatis D/UW-3/CX VIMSS366 1 MicrobesOnline MATPITVPPSSASSQSSPDVSPHLSSASAKNAIYNTKQGRPWPVIVSQIALLIMRISSIIVLGLGIAFLASNPMLGWSLLIAAITLAISSLLCAIAISVYQTLTIRKLQSEVSSLERQSGVIFIEEGVEDALLSFESPFAGLEDDLVSPISPPLSPGDRSYIDHLVMQAEARSLEEHC
VIMSS3754013 1 105 0.0614542857142857 PF04956.13:TrbC:7:103 Type IV secretion system protein virB2 105 97 13 38 3 Brucella abortus (strain 2308) SwissProt::Q2YIT6 0 SwissProt MKTASPSKKSLSRILPHLLLALIVSIAAIEPNLAHANGGLDKVNTSMQKVLDLLSGVSITIVTIAIIWSGYKMAFRHARFMDVVPVLGGALVVGAAAEIASYLLR
VIMSS3794252 1 275 0.123917818181818 PF13091.6:PLDc_2:61:171 phospholipase 361 111 13 252 1 Chlamydia trachomatis D/UW-3/CX NP_219587.1 1 RefSeq MKKTKHLISKIMFSLVSLFVGGFLLKAPAPTQSADTFQTLIESKEPVIFTKQCGDNVTQILCDAIDSAKKDIFLSIYDLSAPAITTSLKKQVSARIPVCIHYQRISKNAEFSQSPYLTLGEHPPMHRKLMHQKTMAIDGELAWIGSANFTLASLEKSANLIIGLKSAEICHFIKTQTSGRCFINNQLIEYFSFDGGSSAALETVLHHIRSAKESIQVGMFALTLPQIIAELNAAQNCGVDVVILVDKGYKSFTVQQIKQLEHPSLSIYEKVTPYQ
VIMSS38813 1 251 0.157809561752988 PF07307.11:HEPPP_synt_1:21:196 Heptaprenyl diphosphate synthase component 1; HepPP synthase subunit 1; Spore germination protein C1; EC 2.5.1.30 251 176 13 251 0 Bacillus subtilis (strain 168) SwissProt::P31112 1 SwissProt MQDIYGTLANLNTKLKQKLSHPYLAKHISAPKIDEDKLLLFHALFEEADIKNNDRENYIVTAMLVQSALDTHDEVTTARVIKRDENKNRQLTVLAGDYFSGLYYSLLSEMKDIYMIRTLATAIKEINEHKIRLYDRSFKDENDFFESVGIVESALFHRVAEHFNLPRWKKLSSDFFVFKRLMNGNDAFLDVIGSFIQLGKTKEEILEDCFKKAKNSIESLLPLNSPIQNILINRLKTISQDQTYHQKVEEG
VIMSS4041492 1 151 0.240868874172186 PF06103.11:DUF948:7:93 general stress protein (RefSeq) 151 87 13 128 1 Listeria monocytogenes 10403S VIMSS4041492 1 MicrobesOnline MIVILYIAALIAAIALLVIAIYLGKTLKSTSQTMDEVAKSLEKITVEVQGITGQSQKLLDKTNTLLEDVNGKVAKVDPVFDAVGDIGTSLLGLSQSVRELATLATNKVEQNEAKISQAVSISNSILSFREKMKANKAAKEAAKEAAEQSNF
VIMSS4041699 1 114 0.00383508771929825 hypothetical protein (RefSeq) 114 0 13 114 0 Listeria monocytogenes 10403S VIMSS4041699 1 MicrobesOnline MKGTFNGFLFICNIVIFIQKTTIIVRQFSSFLSSSQKIYIYLLAFFKNNSKISYRIEFYLDESFIFTNVIFMGIRPYHGYNDFWCIHLPIEWQLVRHLFRHQNHYLFSFISLAV
VIMSS44016 1 223 0.08254932735426 Cyclic di-GMP phosphodiesterase PdeB; EC 3.1.4.- 379 0 13 223 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::O50161 1 SwissProt MQNSESIIKNIKNSSYLIDKEFLVWPENAFIGDKNIELIEKWNLKSYIKERKNFFSDDSVKKEYEEIHKKFNEEAISSYHVIISNLEEIYENCKRNKKIYYQDIMPTVKKVIEFYKKQKKIFIKYFRIPKLSANYHIIHSVNTAILTVALGNEMGLNNYKTVELCSIALLHKIGFLFIPSKISEKKEALTEEELEIIKKYPIISYKIASTSNLSRSICLTLLT
VIMSS44322 1 445 0.0656049438202246 methyl-accepting chemotaxis protein (mcp-4) (NCBI ptt file) 753 0 13 404 2 Borrelia burgdorferi B31 VIMSS44322 1 MicrobesOnline MLLKLKYRFVGFLLLFLIFILLLFSTIFNFVLCGYLEDYYKQLTRAQVRRAAFSLQSFLDTLHVIINGAASNLALETISEFAMSENRGKDFSESELIDLRKNPKFVIDSVKVSKKYRQYLYNFMANLKNDTLFEEFAFFDFEGRVIVSTRHENNMDFGHSEANTNYFKKAVEDYRQNQLKFIGWYSNLSEGISAEVAIRSKQSEKKAFAIIVPVYSPEDKLVCGYLAGYLLNDIVADSFDRFRFGFYKRGNFIYVDPNNIAVNPFEEYNETSRVSSKFLNVLKDVFSKPPFPSNIASEVSVYTIDRILLSEMGEDCYYAMLPISSKLGEKSGVLIARLPYKDIYGVISSLRFQYILYSVLGIIALSIVLSIRIDRIISFRLNAIRVLVQDMVKGNLDKDYALDDDENTLDELGMLSLQVVKMKKAISVAISSVLRNISYVNKASL
VIMSS44758 1 211 0.337951184834123 B. burgdorferi predicted coding region BBK19 (NCBI ptt file) 211 0 13 211 0 Borrelia burgdorferi B31 VIMSS44758 1 MicrobesOnline MKKYIINLSLCLLLLSCNLFSKDSRSRQKYNFKVPAKSVSNPINKENIDTEKGTNTTLCIKEKDSRIIIKDCINNQELFKVKSKRRYDFKKAMLLGIQTALKVINIGNNNKKLTSIKKHNDHILLEFKDNKIYIIRLSELKKHLLKSKKKPLLGSPIPGGGDAEFVDDPDGRIEAELEAEQEQEMLDREDFGDEEDEELEEEIFGKEKPNN
VIMSS44782 1 310 0.500404838709677 immunogenic protein P37, putative (NCBI ptt file) 310 0 13 287 1 Borrelia burgdorferi B31 VIMSS44782 1 MicrobesOnline MITNNKCNIMILYYNNTLFLHKVSTMNLMIKVLIFSLFLSFISCKLYEAVDKSLIKDNKRSGRKARSISYKEVNNQEQNNEKNLKEAKESKKNNNLGIQKDGIVNTNPSVASDASEKHTNRQPQQVNNNSRETSEARNIIQEIYTSLEEVNKITTDLETIKSRLNNIKSKVDNASSFLNNARKSNKANPTLLPKLDQAIRKVSSSHAYANSNYSDAVSALKSSKHDFEYANRKAEDALQEALNNSNTQGYQYARYHYYMNDAKEAMGRAKVSLKTAKQKQEKLKDKMDQANKEFEELNKAHEAALSSRES
VIMSS45135 1 165 0.0831684848484849 conserved hypothetical protein (NCBI ptt file) 165 0 13 165 0 Borrelia burgdorferi B31 VIMSS45135 1 MicrobesOnline MILYQNQLKFLKLLVFFLLISCTSLNVEHDQFGKTFRIYQSLNKNAELKGIFNYKTGITKIVLYTRFRNHSITEQNPLLLLDGTKIEGKVSYKRDNNHFFGNWINYSSFVLTKSLLERMIKEEDASYKNKEVKIRIGLEDLSLKKYKILDFLVMVESIENKDYKS
VIMSS45992 1 598 0.528297658862876 PF02120.16:Flg_hook:485:562 hypothetical protein Cj0041 (NCBI ptt file) 598 78 13 598 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS45992 1 MicrobesOnline MMSNLAPQNDVLNLTPSKTSTTSSSFSKTSKNKEHESSDSKNSTQDDTESFLNSLLNSINETNEFLPDHMKISQKEVVNEAMSRLQKGAFDESDKISIFESASFMQILSLLDKLKTDTADVKLANLSTQLSSLIKTEANFNALKGASNLSELLDIAKDLGLNVKNIKVDRLLDLKATFPNLDKADFFKGAVDNVFKEIINNKISNVSKNLNHNLENTTHTTSTHSMQKTNSKDSGSLLSQTLKNLDSILSSKESKHEKNDKVKSKIEEDTTDAKNTLKNIKNDEFAKNLTEELNIKDKKNQDNLNKESKDLNKDFNKELNKNQEKNNLNQENIQDQNKNLKNNDQNLNLDKNLNKEIVKDTQKLVSNLTQKDFNLNKEPKNNNKENKDIKQNFFDQKLNFENLNKTQVVQNKENNANFNNNNTNNKETFTQEQTKTHSENVDKNSLDELNSLVKDLNKVTQNNARNITPKETLQYFSQDLKEAVDQYKAPITKLSITLNPNNLGEVEVTLIQRGNNLHINFNSNANAMNLFIQNQAEFKNSLVNMGFTGLEMNFSDQGKREQNQNQGKNRSGYGFKDALDGKNESEKVNLELVLAKYF 2
VIMSS46749 1 142 0.68105 hypothetical protein Cj0859c (NCBI ptt file) 142 0 13 142 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46749 1 MicrobesOnline MQINNSLNSLSQYVKVNSNEENQNSKNQEQNALAQDPAVEVNISKEAKEKSNTSNQNNSQAPAQALNAQNNTQQDSSSNSEDKLTELTQKLAEIQAKIVELTAKMSKANEDQIKSIESQIATLNAQASTIQAQIQELQSQQA
VIMSS46930 1 969 0.177527141382869 PF02384.16:N6_Mtase:395:685,PF13588.6:HSDR_N_2:68:125,PF07669.11:Eco57I:537:650 Type IIG restriction enzyme/methyltransferase recognizing GAGNNNNNGT, ?; ACNNNNNCTC with methylation at 2(6mA),-1(6mA) 1339 349 13 969 0 Campylobacter jejuni subsp. jejuni FDAARGOS_263 REBASE::Cje263IV 1 REBASE MITKDNLKQVLENLGFKNKNENYVKTINNYTLLIDYKNQSINYPKEIKIHDKTTSNFSHPENFVVFECVHRLLEKGYKAEYLELEPKWNLGRDKKGGKADILVKDNENNPYLIIECKTTDSKNSEFIKEWNRMQEDGGQLFSYFQQEKGVKYLCLYTSDFSDKLEYKNYIIQAYDNEEYLKEKELQNSYKKSNNNIELFKTWKESYELQYFKQGIFEENVNAYKILEITPTFDNLKELKEEGKYHEFAKILRKHNISGKENAFDKLVNIFLCKIYDETFNKNNLKFGYFGVMADTYANMQDRLMWLYKEAMKEFLGEKITFVSNEDIEKDFKQLKIKTLKEVMQNYIKELKFYSNNDFAFLEVHNKELFLKNALVLKEIVELFANYKLTQNSTNQFLGNLFELFLQKGMKQDEGQFFTPIQICEFIMYSLPLQEMLSKSSKALRVIDYACGAGHFLNTYANELKRYLTEDELKEHYKNIYGIEKEYRLSKVSKVSSAMYGQNEINILYADALASFELANTNNLEGEKAKPQIESNSFDLLIANPPYSVKGFLETLSDKSKNTYKLFNDDINIETNNSIECFFCERANQILNDNAKAAIILPSSILNKDSIYKNTREILFQNFDFIAIVELGNQTFGATGTNTIILFLRKKETFKQENHLISQDYSLIKERIEAENLKDNENFYQNYLSAYCDFRKFDKELYSNFLNGNLDSKLAELEAFKDYRNAFRQTSDYKKLKESKIYKESKDKQDLEDKAFLAYTQAIEKDKLLYFCLSLNQEVLIIKSPSDIKEQKKFLGYEWSNRKGDEGLKELHEPYLSPLFERGNPQNETKLNTLICKAFLKTLSDIPKDLQGYASKARLIDMMDFEKVEFNKAISLNVKSRDELNPFKNSKYELVRLGEVCDLNKIRNQASATEIEKMNLNSGNVKLLPSSKNYEWWTDEKTAGQFINEGEVITLGVARYANIKKHKGKF
VIMSS47020 1 314 0.111417197452229 PF06306.11:CgtA:20:309 acylneuraminate cytidylyltransferase (NCBI ptt file) 536 290 13 314 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47020 1 MicrobesOnline MTLFYKIIAFLRLLKIDKKLKFDNEYFLNLNKKIYDEKHKGFFDFDPNSKDTKSPLNPWAFIRVKNEATTLRVSLESMLPAIQRGVIGYNDCTDGSEEIILEFCKQYPSFIPVKYPHEVQIENPQSEENKLHSYYNYVASFIPQDEWLIKIDVDHYYDAKKLYKSFYMASKNTAVRFPRINFLILDKIVIQNIGECGFIDGGDQLLIQKCNSVFIERMVSKQSQWIDPEKTVKELYSEQQIIPKHIKILQAELLQWHFPALKYHRNDYQKHLDALTLEDFKKIHYRHRKIKKINYTMLDEKVIREILDKFKLSG
VIMSS47181 1 408 0.0975372549019608 PF11144.8:DUF2920:5:407 DUF2920 family protein 408 403 13 408 0 Campylobacter jejuni subsp. jejuni PT14 WP_002858432.1 1 RefSeq MLINQSFEIDSCDDVELNIKRTSKLEYRISYDDEKEIKAIVFIIGGYGANANIYFLDSYRNYIAKNFDVVAVHVFYHCFCQRRSDVEKYSTLADFTKDDLKLIEKVLRKYNIPCDQLANNTVVSHCEYLSEIMTELKMLNRLPYDFEERLSATFIPSRGEYQNFGIMAAIDHINALKDLVKRFPKLADLPKIYGGGSYGGYLALLIAKIAPWYVDGVIDNSGSAVPPLNYIIGRELEFKSKDTNGDMYMQGDHFFVSCFLKTHWTRKENSPYFFNNENYFIRTLLNKDHLILQSQKNKNIIYVSYHSKEDPLTPANFKELTMQILKILGYDVSLNLIDENKIDGKFIKNLDHGCGIPDKALFRKELPLMLEKLQGRKSFMQENSISYPCGNKVFTFKDVENQLKLIIN
VIMSS47332 1 113 0.210370796460177 hypothetical protein 113 0 13 113 0 Campylobacter jejuni subsp. jejuni IA3902 WP_002851191.1 1 RefSeq MKVDNFLNTYSMNSALLDRAAKARSLESSIKINDNDIVTKSKEDKALKEQTNAFEAFFLKQVLDVSLKSQNSLFGKDASDEIYSSMYNDTMSKALSGGMGFSKLLYDFLKERG
VIMSS53119 1 276 0.188257246376812 PF08447.12:PAS_3:35:118,PF13426.7:PAS_9:27:113,PF00989.25:PAS:21:111,PF08448.10:PAS_4:20:113 methyl-accepting chemotaxis protein (NCBI ptt file) 529 99 13 233 2 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53119 1 MicrobesOnline MESIMRKNLPVTGHNIELSSSTNILSTTTPDSHITYVNPDFLKISGFAEEELIGQPHNMVRHPDMPPAAFAHMWSTLKSGRSWMGLVKNRCKNGDHYWVSAFAMPIIKNGKVVEYQSVRTKPEPSHVQAAEKAYAQLRNGKNILPKIRLGFHWKLILLVWGSLFASIAIVSLIYPSALMSILFLTLLVGSITTLGITYLLVPMKRLIQSYCKDSDNPLSQVLYTGRSDEFGQLEFALRMAQAETSAVIGRIGDASNQLNKFANDLLHNIEKSNILT
VIMSS535442 195 407 0.334932394366197 PF12484.8:PE_PPE_C:129:209 hypothetical protein (NCBI) 407 81 13 213 0 Mycobacterium avium subsp. paratuberculosis str. k10 VIMSS535442 1 MicrobesOnline TSTNTTKALQSLAQPASSSTTATKAATTAASTTSTDPLSEIWFLLTGQTTLPTSLGSAVNGYSPFASLFYNTEGLPYFSTGMANTFTQIAKSVGAIGGAAPAAAKALPGLGGLGGMLGGGGAAAAHPVAALGGAGSIGGKLSVPVAWSGAPAAPALGHAIPVSSISAAPEAAGGPGNLLGGMPLAGAGAGGHGAAGPKYGFRPTVMARPPFAG
VIMSS53817 1 192 0.175105208333333 PF01814.23:Hemerythrin:13:128 GGDEF family protein 372 116 13 192 0 Vibrio cholerae O1 biovar El Tor str. N16961 NP_230861.1 1 RefSeq MQSFKWDQYFETGLEEVDEQHQSLVNIVNRYSSLLAENHVSLDEIRLALFELSRYSEYHFKEEEKLMREVGISALHLEEHIQVHRTFMSEVFSMQAFIHDVDDRSAVQLLEFLIHWLAYHILGIDQNMARQVIAIRSGMSAEEAYAKEEREKNAATEPLLNALNALFDQVSERNRELVKLNQSLEEKVIERT
VIMSS539740 1 85 0.40224 PF01402.21:RHH_1:3:40,PF07878.11:RHH_5:1:42 CONSERVED HYPOTHETICAL PROTEIN (NCBI) 85 42 13 85 0 Mycobacterium bovis AF2122/97 VIMSS539740 1 MicrobesOnline MKRTNIYLDEEQTASLDKLAAQEGVSRAELIRLLLNRALTTAGDDLASDLQAINDSFGTLRHLDPPVRRSGGREQHLAQVWRATS
VIMSS53976 1 348 0.119765517241379 PF03924.13:CHASE:86:275 GGDEF family protein (NCBI ptt file) 521 190 13 305 2 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53976 1 MicrobesOnline MIDNIIRKKWMLKHVRVIVPLLVLLFSLLLTVFVVYTAYSLQLRHNRTLLENLADRQTMALQQFVDGDIHFIGSAANFFRSSTSDDWVRFHTFAEETLKGSQSLIALQWLVKVEPPQAETFTARMQQRFPEFTLYTVPKTGEIKYGFGTDDQAKYVLSDIYPLNYDNRKLLGFYSERERFKRILADIVVNRRPNVSDKVRLLQDGIDKSIVKDGMLVYHPVFSSEDDRSLLGVMVGVVRLSTYFEKLVQISVMEQDLDMRVIDTGFDSEDSPVLYQSPMWRADDEPKIERKLVLPNRDWVLEFELHQPINHSEEWVLLGLGLGGVIISLLLSYIMRMQLEEKQRLTDM
VIMSS542246 1 462 0.273164718614719 PF11203.8:EccE:177:266 ESX-1 secretion system protein EccE1; ESX conserved component E1; Type VII secretion system protein EccE1; T7SS protein EccE1 462 90 13 422 2 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJE9 1 SwissProt MRNPLGLRFSTGHALLASALAPPCIIAFLETRYWWAGIALASLGVIVATVTFYGRRITGWVAAVYAWLRRRRRPPDSSSEPVVGATVKPGDHVAVRWQGEFLVAVIELIPRPFTPTVIVDGQAHTDDMLDTGLVEELLSVHCPDLEADIVSAGYRVGNTAAPDVVSLYQQVIGTDPAPANRRTWIVLRADPERTRKSAQRRDEGVAGLARYLVASATRIADRLASHGVDAVCGRSFDDYDHATDIGFVREKWSMIKGRDAYTAAYAAPGGPDVWWSARADHTITRVRVAPGMAPQSTVLLTTADKPKTPRGFARLFGGQRPALQGQHLVANRHCQLPIGSAGVLVGETVNRCPVYMPFDDVDIALNLGDAQTFTQFVVRAAAAGAMVTVGPQFEEFARLIGAHIGQEVKVAWPNATTYLGPHPGIDRVILRHNVIGTPRHRQLPIRRVSPPEESRYQMALPK
VIMSS54368 1 427 0.0177646370023419 PF06808.12:DctM:9:414 Sialic acid TRAP transporter large permease protein SiaM 427 406 13 156 12 Vibrio cholerae serotype O1 (strain ATCC 39315 / El Tor Inaba N16961) SwissProt::Q9KR66 0 SwissProt MVGSIFGWLGLLFAGMPVGFSLIFVALAFLILTNSTGINFAAQQMLGGIDNFTLLAVPFFVLTGHLMNSAGITERIFNFAKSLVGHITGSLGHVNIMASLLFSGMSGSALADAGGLGQLEIKSMRDAKYHDDFAGGLTAASCIIGPLVPPSVPLVIYGVVSNTSIGALFLAGAIPGLLCCIALMVMSYFICKKRGYMTLPKASRREQFKSLKEAFLSLLTPVIIIGGIFSGKFTPTEAAAVSSLYALFLGTVVYNTLTLQGFIEILKETVNTTAVVALMVMGVTVFGWIVAREQLPQMLADYFLTISDNPLVLLLLINLLLLFLGTFIESLALLLLLVPFLVPVASAVGIDPVHFGVMAILNLMIGILTPPMGMALYVVSRVGDIPFHTLTRGVLPLLVPLFIVLALVAVFPQFTLLLPELFLGYGQ
VIMSS54932 1 228 0.137049561403509 PF10144.9:SMP_2:27:172 smp protein, putative (NCBI ptt file) 228 146 13 187 2 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS54932 1 MicrobesOnline MQFKNASLNMSAVGFLQVSFDMDGSLFSFRVVVRVATVLAVAVMFFITIQNSVVISKGNERIQANQLETLTKVLITQAAMSAGSMIADQDQERLLALTNQLATDRLVFDASIYDSEGVLLAASESALSVREVLGLDTPLHTASIGRQQLVEPVVHDGSLIGFVRITFETGKVTAISDHHYRKSDHNMYWMLLMSFVSGILLTLLLQRRPKKPTGENLLLKQAEQSLND
VIMSS56537 1 169 0.312201183431953 PF04965.14:GPW_gp25:39:141 hypothetical protein (NCBI) 169 103 13 169 0 Pseudomonas aeruginosa PAO1 VIMSS56537 1 MicrobesOnline MAELTLQERLQPSLLDRLTDDEPGNLKEAAERRVLTLNQLKASVLRDLAWLFNTTSLFDHGPAARMPAGNSVLNYGLPALAGHTASSVDVHAIEALLTETIATFEPRIIRSSLRVRAQLLPGEMDHNALSFEIEGDLWAEPAPLRLLLTTNLDLETGHVRVAQGERRRT
VIMSS56735 1 60 0.311346666666667 PF10055.9:DUF2292:17:52 hypothetical protein (NCBI) 60 36 13 60 0 Pseudomonas aeruginosa PAO1 VIMSS56735 1 MicrobesOnline MSATLRSLDGQDEATILREIQSALRGLRFGAVEITVHNGQVVQIERKEKFRLQQPAVKQA
VIMSS56922 1 323 0.262269349845201 PF04773.13:FecR:122:209,PF16220.5:DUF4880:16:55 probable transmembrane sensor (NCBI) 323 128 13 300 1 Pseudomonas aeruginosa PAO1 VIMSS56922 1 MicrobesOnline MSADDRHSPVRARVLDEAIAWQLLLDSGEAHPDDHRAFHRWYAAHPEHERAWEQLGGLDRHLARAANGPARNALLSGNARFKRRLRRLGGSALGLVLALGVGLGVANRYVPVRYLLADAYSATGEQRELTLPDATHVRLNSRSAIDVRFDGERRQVVLLAGEILVETAHGDPRPFVVSSADGDMRALGTRFLVRREEPGTRLTVLQSAVAARAETLSEERVIKEGQQVLILPQGLQASEAAPALAGAWAQGMLVVENARLADLVAELGRYSPALLQVDPSIADLRVTGSFPLKDTRLALQALEPSLPVRSVRHNAWWFEVVPR
VIMSS56968 1 119 0.349750420168067 PF13442.6:Cytochrome_CBB3:42:109 probable c-type cytochrome precursor (NCBI) 119 68 13 119 0 Pseudomonas aeruginosa PAO1 VIMSS56968 1 MicrobesOnline MNAPPDFRRAASHALWLALALTFACPLPGLADEHPDARRQAQLRHLLLQDCGSCHGLRLTGGLGPALTPEALRGKPRESLVATVLMGRPQTPMPPWAGLLSEDDAGWLVDRLIEGEIAP
VIMSS57037 1 517 0.197373694390716 PF04293.13:SpoVR:12:443 hypothetical protein (NCBI) 517 432 13 517 0 Pseudomonas aeruginosa PAO1 VIMSS57037 1 MicrobesOnline MSKRQPIATGSEWTFELIQQYDREISRIAERYALDTYPNQIEVITAEQMMDAYASVGMPIGYNHWSYGKHFLSTEKNYKRGQMGLAYEIVINSDPCIAYLMEENTQCMQALVIAHACYGHNSFFKGNYLFRTWTDASSIIDYLVFAKQYIMQCEERYGIDAVEDLLDSCHALMNYGVDRYKRPYPISAEEERQRQKEREELIQRQVNDLWRTIPRVGGKDKEQSMARYPSEPQENILYFIEKNAPLLEPWQREVIRIVRKIAQYFYPQRQTQVMNEGWATFWHYTLLNDLYDEGLVNDGFMMEFLQYHTSVVYQPSFDSPYYSGINPYALGFAMYRDIRRICEEPTDEDRRWFPDIAGSDWLATLKFAMKSFKDESFILQFLSPKVIRDLKLFSILDDDQKDDLLVAAIHDEAGYRTIRETLAAQYNLGNREPNIQIWNVDRRGDRSLTLRHQQFDRQPLSDSTADVLKHLHRLWGFDIHLETLQGDQLVQTHHMPPKGASEGEEGYPRLDLIIPPI
VIMSS57064 1 119 0.207188235294118 hypothetical protein (NCBI) 119 0 13 119 0 Pseudomonas aeruginosa PAO1 VIMSS57064 1 MicrobesOnline MIKAIDEMLKLWAEEMHAPGSNGGGYAGGNLIAMLIASKGAVVRGHRGSRVILDRVAEVDRLVNRLPEELKNVVVEHYLNRDSFPEQKYRHCGCSRNTFYLRLHVAHQGIQDGLLRRVA
VIMSS57072 1 152 0.4154125 PF16778.5:Phage_tail_APC:79:144 hypothetical protein (NCBI) 152 66 13 152 0 Pseudomonas aeruginosa PAO1 VIMSS57072 1 MicrobesOnline MKGEYYFSPSQVAFYPASLREVYEYAGCWPVDGEWVSAELHEQLMNEQAAGRAISSDVNGNPVAIERPPLSRQQRSTHERRWRDSQLLATDGLVVRHRDQLETGKETTLLPVQYHELMSYRASLRDWPEEPLFPDSGGRPSVPDWLRRYVTP
VIMSS57175 1 247 0.41143036437247 probable coat protein A of bacteriophage Pf1 (NCBI) 420 0 13 247 0 Pseudomonas aeruginosa PAO1 VIMSS57175 1 MicrobesOnline MWGLTMKFASLILMLLFATVARAEDYYWKIQSLPERFSSPSAACAAWAKATGRPGEFTFTGSMKARDQTSFWCEFTNNETGKTAAGYGPAGRYGDSCPEGTEYDKATGVCKSPPQECKEGELFPAKGPDSPVVTSGGRNYVGDGGAPTACYQSCEYGGNPSPASCYLVKGSTTTGFCNYILKGTGQNCGADSYTFSQTGDSLNPPDTPNTDPSDPNDPGCPPGWSWSGTTCVKAPTDPTDPTDPTTP
VIMSS57177 1 424 0.31992287735849 PF05707.12:Zot:2:213 hypothetical protein of bacteriophage Pf1 (NCBI) 424 212 13 404 1 Pseudomonas aeruginosa PAO1 VIMSS57177 1 MicrobesOnline MSIKIHHGPNGSYKTSGAIQDDAVPALKDGRVIITNVRGFTLERAYQVFPDLPNTAEIINLDLESLEDLEKMRTWFQWAPRGAFLIFDETQLLFPKSWREKDLERFDYPGGPEAAHAADRPMGWLDAWTRHRHFNWDIVLTTPNISYIRDDIRMTCEMAYKHSNLAVIGIPGRYKEAQHDAQLNRPPADGTIIEYKRIRKQTFALYQSTATGKTQDTKAGKSLFRSPKLVLLLALLAGTIGFVWYMGPLRTIGAPAAATPADAPGDPAQAPAAPAAVAAPTRPAANSFLPPGLVPDGPAAAPVDLNAHPFADRRISILAHAYRKSRGDIYMFALDDPTGRRLELTSWQLIGSGYRVTPKGECVVELRYEEWKQTVTCTGRQPGAVASIVPAAPVAASADAPARGQSPLTIVPDSEYASRPWRQK
VIMSS57178 1 430 0.158601860465116 hypothetical protein from bacteriophage Pf1 (NCBI) 430 0 13 430 0 Pseudomonas aeruginosa PAO1 VIMSS57178 1 MicrobesOnline MKKISHQIRVSIESDGQVLESPKGRLFFDDTTAQFTDLSGVRILRCGVDTVRQLYNGKLRPEVMALFDLSVDVVEFAGYEWSKGRIGRDSGYQYRLQNAEMGLILLIKNHNIKVDTIGSHLKIEVSPHALDGADPRILQGVLDDLAAAVLSHCETNQAAVHIALDVQGWKPPRDLVDRMHCRSRRVRQISGIERIEFDGNASVYGRGETYMFGSANGLQLSIYNKTLQARATDKLDYWESVWATLNGDPFGDGDPAYNPLETVWRLEFRFHHSIVQQFSEGSRMASGEVIGCRTYEGLCPHLQGLWNYACESFKLLSRTAVYDPFWSLISQDARVQVECDPLIERTEYRRYYKTAKGFSGRNCEMFLGQFVSLIARERVPAKKAIESARKLEFWHVIEDHYLAKGWTRRDLERHIHKLMCDRYLRRGYAV
VIMSS57180 1 115 0.163793913043478 PF05016.15:ParE_toxin:6:100 hypothetical protein (NCBI) 115 95 13 115 0 Pseudomonas aeruginosa PAO1 VIMSS57180 1 MicrobesOnline MSPVVIRFTDTAEQSIEDQVHHLAPFQGEQAALQSVLSLLDEIEEKISLAPKGYPVSQQASLLGVLSYRELNTGPYRVFYEFHEEQGEVAVILVLRQKQSVEQQLIRYCLVGPIE
VIMSS58111 197 526 0.185172727272727 PF05947.12:T6SS_TssF:29:327 hypothetical protein (NCBI) 526 299 13 330 0 Pseudomonas aeruginosa PAO1 VIMSS58111 1 MicrobesOnline LLGALPEETLKQVRGLELRFDIRKSGIQRLHPTLENVKLYCTPVANLFRHDALPIRLDGKQDEYLLMPAEYSLEDCGVFSVEGVTGWRPGGLGYQAYVPFESFEHDPSFDVPEARPHYSVRQRTSLLHDGLDTYLSFGIRHPEQIETLSVELTCTNQNLPQRLRLGDICVACEGTPEFLGFRNITPATPSYAPPLNRDFLWKLISNMSLNYLSLANVDALKVILETYDLPRYYDQHAAKVSKRLLGGLKAVRHEHVDRLHRGLPLRGLRTELTIDPEGYIGEGDLFVFASVLNEFFALYASINSYHELRVRSTQGDVYQWKPRMGLQPLL
VIMSS58124 1 153 0.186845751633987 PF01814.23:Hemerythrin:13:125 hypothetical protein (NCBI) 153 113 13 153 0 Pseudomonas aeruginosa PAO1 VIMSS58124 1 MicrobesOnline MAHLVWQDDLNTGIQVIDNQHKRIVEMINHLHDAQQGKEHAAIAEVIEELVDYTLSHFAFEETLMEDAGYQFSRAHKKIHELFIRRVSEYRVRFQAGEDVGDELKGLLSRWLFNHIRNDDAGYVDAVRHSMSELVKDKSEGGWLSRSMKRFFG
VIMSS58141 1 211 0.0618151658767773 PF01312.19:Bac_export_2:4:210 translocation protein in type III secretion (NCBI) 349 207 13 122 4 Pseudomonas aeruginosa PAO1 VIMSS58141 0 MicrobesOnline MSAEKTEQPTAKKLRDARRQGQVVKSKEIVSSALILSLVALLMGFSDYYLEHLGKLLLLPAEYIDLPFRQALETILENLLQELLYLLAPVLLVAALVVVLSHVGQYGFLLSLDSVKPDLKKINPVEGAKKIFSIRSLVEFLKSTLKVALLSLLVWLTLQGNLASLLRIPACGLDCVAPVSGLMLRQLMLVCAVGFLAIAVADYAFERHQHY
VIMSS58151 1 123 0.0861934959349594 PF05932.13:CesT:9:113 conserved hypothetical protein in type III secretion (NCBI) 123 105 13 123 0 Pseudomonas aeruginosa PAO1 VIMSS58151 1 MicrobesOnline MDWVELAVAQFCQDLRVSVPAPLARVVQLDFEDSGTLQLERHGEQLSLWLACDLAWHQAYRGTLRALRLCHARAAGSLPLRCAWSGESRLLLCITLEARQVGMPTLHQALQALRSARSEVLAA
VIMSS58328 1 395 0.247395949367089 PF13437.6:HlyD_3:249:356,PF13533.6:Biotin_lipoyl_2:67:109 probable secretion protein (NCBI) 395 151 13 372 1 Pseudomonas aeruginosa PAO1 VIMSS58328 1 MicrobesOnline MDSDRDAAALRRQLADPLLAATHPVYRPLLWTLLGCVLLFIGWAAWAQLDEVTRGDGRVVPFSRIQKIQSLEGGILDRLLVKEGDLVEVGQPLVRLDETRFLTNFQESANQASVLRAAIARLDAEVLGKKSIEFPPDVDPEGPLARSERELFKSRRDKLVEGTQAIQRQIHLAQSQLDLVRPLVAKRAVSQMEALKLSQDIATLSGKLTELKSTYFQDAYTERAQRKADLSALEPIVQQRQDQLRRTEILSPVRGRVNTVLINTRGGVIQPGEPIMEVIPVEERLLVEAKIKPRDVAFLVPGMPAKVKITAYDYTIYGDLKGTLEQISADTIEEDTPHGKESYYQVLIKTDGSQLKRGEEVLPIIPGMVAEVDILSGKRSVLNYLLRPLIKARLY
VIMSS58500 1 168 0.1415375 PF04542.14:Sigma70_r2:19:79,PF08281.12:Sigma70_r4_2:110:162,PF04545.16:Sigma70_r4:116:162 probable sigma-70 factor, ECF subfamily (NCBI) 168 114 13 168 0 Pseudomonas aeruginosa PAO1 VIMSS58500 1 MicrobesOnline MGMDQTRNRLVGLMFQNDYSWLSGRLRRYLGCPHSAEDIASETFLKVLALPDPASIREPRALLTTIARRLMYDGWRRQDLERAYLESLAGLPEALAPSAEEQAQVVETLLRLERMLAGLSPKARAAFIHSQIGGLTYVEIACLLEVSVSRIHQYMVEGFKQCYQVLAE
VIMSS58578 1 183 0.257619672131148 fimbrial subunit CupA1 (NCBI) 183 0 13 160 1 Pseudomonas aeruginosa PAO1 VIMSS58578 1 MicrobesOnline MTRTSNPCAVVLAFAAIAASGTAMAANTITFSGEVTDQTCQVAVNGFTDPTVILDSVPVSALDGAVGRSAGETAFTLQLTDCVAPTADEHFTTLFQATNPSAAGNLVNTAASGATGVALQLLDSVGGNPVDLAGGAAVPAGDIVLANGATSTSYDYAVQYVSEAATVTPGPVLGVVTYTLRYE
VIMSS58640 1 114 0.912326315789474 PF10685.9:KGG:23:42,PF10685.9:KGG:44:64,PF10685.9:KGG:66:86,PF10685.9:KGG:88:108 hypothetical protein (NCBI) 114 83 13 114 0 Pseudomonas aeruginosa PAO1 VIMSS58640 1 MicrobesOnline MTDKRQGMSTSEAGQKGGAATSRSHGKEFYQEIGHKGGQASGGNFANDPQRAAEAGRKGGQQSGGNFANDPERAAEAGRKGGQQSGGNFANDREKASEAGRKGGQHSHGGGRSS
VIMSS58856 1 186 0.341190322580645 hypothetical protein (NCBI) 186 0 13 165 1 Pseudomonas aeruginosa PAO1 VIMSS58856 1 MicrobesOnline MSVETVRPAGAGHETLYVLLGSVLILALAATVVGLRQQSHEAQALDAHQVDARLDLNAAEQGIYADLQVAAEDIQARLDDGEAAPSVDELAAEGFPPFVADVAASSRGEHRWSHGETAGRPSYLGVSGKPEVAGSFLLLLGPAEVWLKPAAAAAGAVPGDARALADAGWRQVVSRFDAGVTRQHRH
VIMSS58860 1 305 0.253515409836066 PF01297.17:ZnuA:93:284 hypothetical protein (NCBI) 305 192 13 305 0 Pseudomonas aeruginosa PAO1 VIMSS58860 1 MicrobesOnline MNLKPHCLALALACAGLAGPLPALAATPAAAQSAPVTVLASLPVTHALAERLLRDTEVKLQRVAPANLPASRQASFFAGRGAGGLAKAAGQADAVIDLRSIWSDDPLYPLARRSNIRIVEIDAARPVDGALPGIALRPGSDLHAYPWLNPTNLGRMADVLASDLERLAPGAAATIQANLATLKKQLLEATASNETRLAKADNLSVISLSERLGYLLAGLNLDPLDVEIQADDGWTETNIQAFAEELKSEDIALVLHHRQPPKPLADAIAASGARLIVVDTEAADPVAGLESDMKAIVEGLLAGKG
VIMSS58918 1 172 0.137744186046512 PF04542.14:Sigma70_r2:17:81,PF08281.12:Sigma70_r4_2:113:165,PF07638.11:Sigma70_ECF:41:157,PF04545.16:Sigma70_r4:119:163 sigma-70 family RNA polymerase sigma factor 172 149 13 172 0 Pseudomonas aeruginosa M18 WP_003089775.1 1 RefSeq MSAPILLSAHHRAMHALYSEHHGWLQNWLRGKLGCAADAADLAQDTFLRILLKRELREIGMPRAFLRTIARGLVIDHWRREELQRAYLESIAHLPEAQAPSPEARELVLELLEEISRMLDGLKPKVRTAFLLAQCEDLSHRQIAERMGVSQRSVERYVAEALYHCYLLRYGE
VIMSS59033 1 586 0.179184300341297 PF00497.20:SBP_bac_3:88:284,PF00497.20:SBP_bac_3:339:547 probable sensor/response regulator hybrid (NCBI) 992 406 13 566 1 Pseudomonas aeruginosa PAO1 VIMSS59033 1 MicrobesOnline MAASLPMYPQAPGRGCAAFCPAGELCHRRLDMLTRSLLSAVFCLLLIALQPLPVEAESILPDGRTTTTMRPWLDKRARQWLDAREPLTVGVVSPDYPPLSIFYAGSYKGFTADYLALVFERPLRVRDFPSRQAAIDALSQGEIDLLGVGSEVEARQHGLLASAAYLSDRPVLVSSSGAPFDSQAESWLATVKGYLPAERIKAAYPHSRIIWFDSPQLALEALSMGDVDGVLGDAVSTHYLIQTHYLLNLRIENFAPIDSQGFRFLLRPGDEPLLALIDRALPHISGRYGDELLRSWSAGRRLRFDEPRVTLSPAEQRWLSAHPVVPVVINHSLGALGQLDNEGRLSGIGRDYLDLIGKRSGLRFSFTGARNFVETKSRLDAEEALVTPTMPSSERFDSGLEVLTPYLRSATVLMGARQGGRDERVGRVHGLADLAGKRLATTIGYFLNDFIRREHPEIKLQVYPTFLAAMRSVDAGQSEAAISSDYTGRYLSAQHFDNRIQVVGILDDLSIPISIGVARNQPELQGILEKAQLAIAPEEVAEILHRWEPRFAKGGADFWRDHRSKILQIGGLFGVLISISLIWGFY
VIMSS5927774 1 254 0.303727952755905 hypothetical protein (RefSeq) 254 0 13 254 0 Burkholderia cenocepacia J2315 VIMSS5927774 1 MicrobesOnline MDQSQVFRAMMPGLTSASGARVTVAYPSFPRPLPLVRLDRRGLVFRAAGAAPLVCGLPRPATLLVADEPLCSLRLVIRDIAATGDGRHDLTMQPSGAAGDELLWHALRDREPYRRIRHPLAPVDLPVPIDGEPHAAAGETAARPSRSAAFRLPCHSDALFFADWLEYHFDELRALSQQHGPQLQLNQLERQVDDDEVGVRFVYDIDGHATRHALTDCAREACAWIETEMRSKYALPVAQERFGAFAAHARAGGM
VIMSS59508 1 456 0.00327280701754386 PF16933.5:PelG:1:452 pellicle/biofilm biosynthesis Wzx-like polysaccharide transporter PelG 456 452 13 191 12 Pseudomonas aeruginosa 2192 WP_003091283.1 0 RefSeq MAGIGFELRKILSRDSYTATLRAYLYAGLISSGPWVLSIVSVMLIGVLSLGVVVPDVLVRQFLITVTYLMALSLIFTGGLQLFFTRFISDRLFERKHEAILPNLVGVLLLVTLAAGLLSAILLATLFDEPFAYRLLVMANFVVLCNLWLVIIFLSGMKAYKRILLVMFIGYALMVACAYLLRFMQMDGLLLALLIGHASLLFVFLYDILREYPARRMVAFDFLDRRQVFVSLLLTGLCYNLGIWIDKFIFWFNPSTSDLVIGPLRASILYDLPIFLAYLSIIPGMAVFLVRIETDFAEWYERVYEAIRGGETLQHIGLLKEQMILAIRQGLLEICKVQGLAVVLLFLLAPQLLGWLGISRYYLPLFYIDLIGVSIQVVFMALLNVFFYLDKRRIVLELCVLFVIVNGALTFVSLLLGPSFFGYGFTLSLLVCVLVGLYRLTTALDDLEYETFMLNR
VIMSS59610 1 348 0.220765804597701 PF02706.15:Wzz:14:108 O-antigen chain length regulator (NCBI) 348 95 13 302 2 Pseudomonas aeruginosa PAO1 VIMSS59610 1 MicrobesOnline MTDEIQKHGGVAGDIDLVELVRGLWEEKWIVLIFSLLGILFAAIYAFLSTPVYEARIAILPPSLSDVAGFNQGRTRETGLGPFKVQDVYSVFVRNLQADGTRHRFFNETYLPSLDEELRSVSRDALYKRFTDQISISLPGKDFPGRYLVAIEQEDPERAASWVRRYIADAAEISIQEMLNNAHREIEVKARDIEQRIQNLRENAKARREDRIVQLKEALKVAGALKLEEPPLISGQSSEELSAIMNGSLMYMRGSKAIMAEIQTLEARSSDDPFIPALRTLQEQQLLLSSLRVNSERVSVFRQDGPIETPDSPVRPRRAMILIFGLIIGGVLGGFLALCRIFLKKYAR
VIMSS60169 1 195 0.339111282051282 hypothetical protein (NCBI) 195 0 13 195 0 Pseudomonas aeruginosa PAO1 VIMSS60169 1 MicrobesOnline MVGRRDGPFKPPGGLLRAFLVRVDAGHLRTVSYSTVLASTSAHSSALPPRRSPPMNAPAKSLLDIDAFPLVQVREMPRELADVERWLEEVEALLAVERDFALVYPPLPQETAEGDQQARKRLVLWLKENRQRFVEHCRGILLSANGQPDNLADLQQLAPVLQAVYGPPVSVAPDLASARGMAKALLSGPATGMNW
VIMSS60353 1 131 0.288025190839695 PF05488.13:PAAR_motif:2:52 hypothetical protein (NCBI) 131 51 13 131 0 Pseudomonas aeruginosa PAO1 VIMSS60353 1 MicrobesOnline MSGKPAARLGDPTACPLPGHGSNPIVGGSPDVFFDHLSAARQGDATACGATLSANVIANVLINGKPATVVGSVGTHGNLVVGGAGTVLIGNSGGGAPALALAPPKLCLQCLLLAARRNQALVPLESLGGAP
VIMSS60583 1 80 0.217065 hypothetical protein (NCBI) 80 0 13 57 1 Pseudomonas aeruginosa PAO1 VIMSS60583 1 MicrobesOnline MEFSWSEILLELLAVAVLFFGVHWSLRHAKTLDQASMLPFADDEEVARRVERETGRSRTGCGCPGRCQGDCRHWDKNWPA
VIMSS60919 1 149 0.247302013422819 hypothetical protein (NCBI) 149 0 13 149 0 Pseudomonas aeruginosa PAO1 VIMSS60919 1 MicrobesOnline MRHWQRTIEQGNRCFVTGALIDAREHYLHALALAQVLLERWGDADEAVMAFVISHHNLADLHLQLEQPEETAEYLCACHERLLRVSADQKLPLALRQAAQHHSRRTYVELLSFIGEHGAYPRTERLLKGPPNAEVRPEFLAPPPSLRYH
VIMSS60991 331 1417 0.36451297148114 PF07581.12:Glug:705:730,PF07581.12:Glug:791:817,PF07581.12:Glug:879:904 hypothetical protein (NCBI) 1417 79 13 1087 0 Pseudomonas aeruginosa PAO1 VIMSS60991 1 MicrobesOnline QRTAQVDTHADQGATGTWRILSHEVSVAAVGQANAAGDGSGQVHVAQGPAGANASDSNGVTIVQQQPAVDLAAGANGTSAVQSQSGANIGSGANGISVVQSQNSPNIGSGANGISVVQSQNGANIGAGASGISVVQSQNSPNIGSGVNGVTVVQSQNGANIGSGASGITVVQSQNGANIGSGASGISVVQSQSGPSIGSGVNGVTIVQSQSGANIGPGVSGIDVVQTQTLPNLSPGANGSSIVQVQTLPDIAADAGNVHVVQVQTGGNKVFGNSATNVRSRTVQARSNENVGSGLANPSSAGKGSTLHADTLARNLSTSNVEVVATRGNAHVGAPLSWDSGNGLTLTAERGDLRINGALTAQGENASLTLNAGQRPLRIDDSLSLTGQGARVEFNSDKGYALAEGTRITLSGKNAGFRANGRDYSVIQDLQQLRGIDRDLGGSYVLGNRIAGGNSSFLSIGNASAFGGTFDGLGNTIDNLAVYGTGAYSGLFSVNRGTLRNLNLERISADGAQATHYNVQVGSLAAVNLGRIDNVNASDIRIAAASKLNSLGGLVALNLGSIDNASASGTLVGNRHTYALGGLAAENISTARGVASISNSRADFAISGQLKDHASHYGAGGLVGRNRGGLIRSSGSQGTLSLSGHGMNLGGLVGYSSAGGLADVSASVDVSGNGQRGLYGGLIGLNVNSGIAHATASGKVRGTDAEALGGLIGRNLNAAINNASAHGDVSLQAGRYLGGLIGHNQAGNLANVSTSGNLSGGSLLQAGGLIGLNANASLVNASAKGNVATRGAEAVGGLLGENLYGSVINGSASGEVTDGSGKTLGGLIGSNLGGNHSNLKASGWVNAGANSDVGGLIGHNRGGNHSTLAASGNVTGGKGSRVGGLVGYNDAASLTNVSASGNVSASGSRAIGGLIGSDLRGSLMLASSHGIVNDKTSHNLGGLVGRGENTSIRSAKASGAVSGGAGIRAGGLVGSLEGWQALILGASAGGDVTAGYDSYIGGLVGFSTATISGASASGKVGGSGLLGGLVAWNQGNVMGSSASGRLEPQIPNQIHGGLIGINFGWQSWNSVYGAAATVPMIGRHYNL
VIMSS61447 54 294 0.203384647302905 PF00535.26:Glycos_transf_2:16:127,PF13632.6:Glyco_trans_2_3:43:169 dTDP-L-Rha: LPS α-1,3-L-rhamnosyltransferase (WapR;PA5000) (EC 2.4.1.-) 294 154 13 241 0 Pseudomonas aeruginosa PAO1 CAZy::AAG08385.1 1 CAZy VELLRGLQAKYGFDLRVQQNQGLSRTLNEAITRARGNLIVPFGSDDIMLPQRLEKQVAHMWDKPEVGICAGNIEIIDSNGRVMPGKEQRKRDLPFRRLDFDDLFLDRKPGPMAPTLMFRREALEKVGGFDPDIRLEDVYIELAVTKAGYVIDILGEVLAQYRKHPTNTYKNARFMVDNVFKTYSQFSDHPDYEQVIMRFRNSMFLKCSNRDKVLARELLSGLPLKYWNEKTFRGIARLFFS
VIMSS61929 1 53 0.000533962264150943 PF07043.13:DUF1328:6:44 hypothetical protein (NCBI) 53 39 13 10 2 Pseudomonas aeruginosa PAO1 VIMSS61929 0 MicrobesOnline MLSWAITFLIIAIIAAVLGFGGIAGAATGIAKILFVLFLVLFVVSFFFGRRRG
VIMSS6575771 1 731 0.494988919288645 PF03999.12:MAP65_ASE1:7:648 Anaphase spindle elongation protein 1 731 642 13 731 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9HDY1 1 SwissProt MQTVMMDDIQSTDSIAEKDNHSNNESNFTWKAFREQVEKHFSKIERLHQVLGTDGDNSSLFELFTTAMNAQLHEMEQCQKKLEDDCQQRIDSIRFLVSSLKLTDDTSSLKIESPLIQCLNRLSMVEGQYMAQYDQKLSTIKEMYHKLESYCNRLGSPFVLPDFENSFLSDVSDAFTESLRGRINEAEKEIDARLEVINSFEEEILGLWSELGVEPADVPQYEQLLESHTNRPNDVYVTQELIDQLCKQKEVFSAEKEKRSDHLKSIQSEVSNLWNKLQVSPNEQSQFGDSSNINQENISLWETELEKLHQLKKEHLPIFLEDCRQQILQLWDSLFYSEEQRKSFTPMYEDIITEQVLTAHENYIKQLEAEVSANKSFLSLINRYASLIEGKKELEASSNDASRLTQRGRRDPGLLLREEKIRKRLSRELPKVQSLLIPEITAWEERNGRTFLFYDEPLLKICQEATQPKSLYRSASAAANRPKTATTTDSVNRTPSQRGRVAVPSTPSVRSASRAMTSPRTPLPRVKNTQNPSRSISAEPPSATSTANRRHPTANRIDINARLNSASRSRSANMIRQGANGSDSNMSSSPVSGNSNTPFNKFPNSVSRNTHFESKSPHPNYSRTPHETYSKASSKNVPLSPPKQRVVNEHALNIMSEKLQRTNLKEQTPEMDIENSSQNLPFSPMKISPIRASPVKTIPSSPSPTTNIFSAPLNNITNCTPMEDEWGEEGF
VIMSS6575850 1 350 0.29432 DNA repair protein rhp55; RAD55 homolog 350 0 13 350 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O14129 1 SwissProt MLSSQHRLVTQPAIRAYEAFSAPGFGFNSKLLDDAFGGSGLKRGYISEVCGAPGMGKTSLALQITANALLSGSRVIWVETCQPIPMERLRQLLDNHVPSSQDEEEKCDTDELLNLLDVVYAPNLVNILAFLRNFDQEKHLKEIGLLIIDNLSMPIQLAYPTSPEDYAYLRLRRNTSKKSSLSDSSQKENTLTLNKENEFSSKDDSNFAFHNSSTKTTINRRKKAIGTISSLLSKITSSCYVAIFVTTQMTSKVVSGIGAKLIPLLSTNWLDNLSYRLILYSRHSTEESKDGQSRPSHQLLRYAFMAKQPPAHSAESELAFQLTSTGIQDYQSIPTNSSQRRKRSILECES
VIMSS6576963 1 383 0.256113577023499 PF09792.9:But2:233:375 Uncharacterized but2-like protein C27D7.09c 383 143 13 383 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O42663 1 SwissProt MKLTSIPIASTLLSLLAASGTLASPLHKRDDPLNNKQFGLMSIHSGNSYVHLHPFYVGDSGAIYLDPTDGTSTSAVFSMSNGRLVVGNLYASVDSNGTTIFKSDANAASTKFSAGDATNVGYNLLYNGTQSAVACPASDNDQVYQVYFGAGNGNPNCAGIAIEAFVSPSSSSSSSSSAATSTSTRVSSSAKASTSSGAIAYTTKCVVVPVTASATATAKAASAAASSAVYPLFPHGIRLIDSANPSSNSGNVYSPVVFQKQNNHTNTIFTFDVPQVSGSCELNLHLDTSGFPITVEGSNGVGQFILFNLSSVANDSTVYSNRPNRIAEIGRFNCSSSGCDYATNVTCPNSYTAVSYEMMALTDDSYLSFFEEADPLEGLTLRV
VIMSS6579570 1 291 0.560232302405498 Sporulation-specific protein 5; Meiotic RNA-binding protein 1; Meiotically up-regulated gene 12 protein 567 0 13 291 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q2L4W6 1 SwissProt MNGIITPQKQKQLMSSPSRDPLSTTELSTPTSQTTVDVNDTKKSEGLDSTIILLTPGTSPNATPGSSELGLSKKPNSIKNNTYSTAAQAAYLNRRAADQSTVMTTDPISYANNNINNGTLPQQNAYYANSYYPSYYAQSQAISNNRPGVSGFRPAFNSVAPCYGSQWQTHQSVHPYHVNNTHQYLKPYVQNVYPQMPSLNQPGLHIVNQPTYLAPVPSATVPTNSVSLSMPSFSQGQKNIPAAINQEMSVGTTKENTNYLSQLVGLHPAIPPAIPSMFPMSHDNKKSNMES
VIMSS6580712 249 707 0.609308496732026 PF00018.28:SH3_1:18:64 Bud14p (RefSeq) 707 47 13 459 0 Saccharomyces cerevisiae VIMSS6580712 1 MicrobesOnline DYQPLSPPRELDPDKLYALYAFNGHDSSHCQLGQDEPCILLNDQDAYWWLVKRITDGKIGFAPAEILETFPERLARLNCWKNENMSSQSVASSDSKDDSISSGNKNQSDAESIIPTPALNGYGKGNKSVSFNDVVGYADRFIDDAIEDTSLDSNDDGGEGNGQSYDDDVDNDKETKVTHRDEYTEAKLNFAKFQDDDTSDVVSDVSFSTSLNTPLNVKKVRRQDNKNESEPKTSSSKDREDDYNANRYVGQEKSEPVDSDYDTDLKKVFEAPRMPFANGMAKSDSQNSLSTIGEFSPSSSEWTNESPSTPIVEESSSIPSSRAIRTFTYIMQNRKLRDNKRGKHRGQIQASLGSSGGMPNQTDAEQPKEELEKHHSTPEEEKQSTLSLHSSSEEDFYMDEQRAVSSASINSSLSGSRALSNTNMSDPASKPNSLVQHLYAPVFDRMDVLMKQLDEIIRK
VIMSS6580724 1 234 0.182307264957265 PF00674.18:DUP:78:171 Multicopy suppressor of SEC21 protein 28; DUP240 protein MST28 234 94 13 188 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39552 1 SwissProt MQTPPESTDVKLDTLNEPSAHLIEKNVALPKDIFRSYLSYWIYEIARYTPVMILSLVIGVLVLLIIFFNDNEACVFNSAIFAFTSLVGLLIILSDGNPKLVSRRNFRTELLVDVITRKPAVEGKEWRIITYNMNQYLFNHGQWHTPYYFYSDEDCYRYFLRLVEGVTPKKQTATSIGNSPVTAKPEDAIESASPSSRLNYQNFLLKAAEIERQAQENYWRRRHPNIDALLKKTE
VIMSS6580764 77 980 0.58165553097345 PF00169.29:PH:703:817,PF07647.17:SAM_2:150:214 Protein BOB1; BEM1-binding protein; Growth inhibitory protein 7 980 180 13 904 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38041 1 SwissProt IEKPENLHKSPTQESGNSGVKYGNLNDSASNIGKVSSHQQENRYTSLKSTMSDIDKALEELRSGSVEQEVSKSPTRVPEVSTPQLQDEQTLIQEKTRNEENTTHDSLFSSTADLNLSSESLKNISKSNISTKSLEPSSESVRQLDLKMAKSWSPEEVTDYFSLVGFDQSTCNKFKEHQVSGKILLELELEHLKELEINSFGIRFQIFKEIRNIKSAIDSSSNKLDADYSTFAFENQAAQLMPAATVNRDEIQQQISSKCNKLSSESSDRKSSSVTTELQRPSSVVVNPNFKLHDPAEQILDMTEVPNLFADKDIFESPGRAPKPPSYPSPVQPPQSPSFNNRYTNNNARFPPQTTYPPKNKNPTVYSNGLIPNSSTSSDNSTGKFKFPAMNGHDSNSRKTTLTSATIPSINTVNTDESLPAISNISSNATSHHPNRNSVVYNNHKRTESGSSFVDLFNRISMLSPVKSSFDEEETKQPSKASRAVFDSARRKSSYGHSRDASLSEMKKHRRNSSILSFFSSKSQSNPTSPTKQTFTIDPAKMTSHSRSQSNSYSHARSQSYSHSRKHSLVTSPLKTSLSPINSKSNIALAHSETPTSSNNKEAVSQPSEGKHKHKHKHKSKHKHKNSSSKDGSSEEKSKKKLFSSTKESFVGSKEFKRSPSELTQKSTKSILPRSNAKKQQTSAFTEGIRSITAKESMQTADCSGWMSKKGTGAMGTWKQRFFTLHGTRLSYFTNTNDEKERGLIDITAHRVLPASDDDRLISLYAASLGKGKYCFKLVPPQPGSKKGLTFTEPRVHYFAVENKSEMKAWLSAIIKATIDIDTSVPVISSYATPTIPLSKAQTLLEEARLQTQLRDAEEEEGRDQFGWDDTQNKRNSNYPIEQDQFETSDYLESSAFEYPGGRL
VIMSS6580892 1 298 0.0499570469798658 PF12351.8:Fig1:67:265 factor-induced gene 1 protein 298 199 13 206 4 Saccharomyces cerevisiae CharProtDB::CH_091275 1 CharProtDB MVAISMIWFFTKRMPRIFALAFNLISIFLLIFLLIGCYNPSNQSTFLVKYKFDDNSPFYTIIEKSYEKSNTTLGLEEVIIRSGYMGVCIDNIPSQYSSYNNMTTFSNSICYARKNLSSVPLYRDLEIQLSNIASSSSKTQSSVVLNILKLAQLTSVNVIHPYVLMATVILTILMFLFILYVTVPKLPFKLAVNKFLLLLSSTIVLTWGIGAMWTHVGINASYRLVPSSSMNIITVKKGKKAAVMAWFSFAFLLLDSVVLWLIFLRDRKSLKDEIDNVPCAQNRYNNYSSDSSTLHSKV
VIMSS6580895 1 689 0.21019419448476 PF07690.16:MFS_1:113:632 Multidrug transporter of the major facilitator superfamily, required for resistance to quinidine, barban, cisplatin, and bleomycin (RefSeq) 689 520 13 422 12 Saccharomyces cerevisiae VIMSS6580895 1 MicrobesOnline MQAQGSQSNVGSLRSNCSDNSLPNNHVMMHCDESSGTPHSEHNDYSYEKTNLESTASNSREHRDNQLSRLKSEEYVVPKNQRRGLLPQLAIIPEFKDARDYPPMMKKMIVFLIAFSSMMGPMGTSIIFPAINSITTEFKTSVIMVNVSIGVYLLSLGVFPLWWSSLSELEGRRTTYITSFALLFAFNIGSALAPDINSFIALRMLCGAASASVQSVGAGTVADLYISEDRGKNLSYYYLGPLLAPLLSPIFGSLLVNRWPWRSTQWFMVILSGCNVILLTVLLPETLRKQDSKGAIAQILAERRIQVDNNERGEIQEDYQRGEDETDRIENQVATLSTEKHNYVGEVRDQDSLDLESHSSPNTYDGRAGETQLQRIYTEASRSLYEYQLDDSGIDATTAQVTRIRSTDPKLARSIRENSLRKLQTNLEEQVKKVLSSNGGEIAPKQVSAVRKVWDTFFVYFIKPLKSLHFLEYPPVALAITFSAISFSTVYFVNMTVEYKYSRPPYNFKPLYIGLLYIPNSVTYFFASIYGGRWVDMLLKRYKEKYGILAPEARISWNVVTSVISFPIALLIFGWCLDKKCHWVTPLIGTALFGYAAMMTIGATLSYLVDSLPGKGATGVALNNLIRQILAATAVFVTTPMLNGMGTGWAFTMLAFIVLGASSVLIILKKHGDYWRENYDLQKLYDKID
VIMSS6580914 330 1108 0.751324390243901 Serine/threonine-protein kinase AKL1; EC 2.7.11.1 1108 0 13 779 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38080 1 SwissProt KYAEGAYNFSKYTQFQNKLQNVQLQMYQLQQKKIMQNNKLSDSEENLLNDMFLSSFEISSKLPMNASDGHAAVSRIPSQNVGQELEEEKESQSDQRKSTLSEDKSSRTTSNANSSGTANNPQEINTIQSPGIEDKSIFENKTPGELYYPSVSELDTYLDKELVKQSSDPTISEQSPRLNTQSLPQRQKSTSSYSSGGRSMKSTSYGAATIGSDEALANEKTAGINKMKQHKSNNPFPKMNVAYHSTNELSNDASNFFLEEQQQGQRYQQAQNQTGTQGNTFPDESQYQSRVEQQQQQQDQPKGPANYSQRNFYTGRDRSNKPMQLGGTIAGDSGNRRVNFQNISQNYATNSQSGYLPSQNSPAIPMVRPVISMNQQQAQQIQAQQLQAQQMQAKQQMQAKQQMQVQQQLQVQQQMQIQNANNNGTYVSDRTNHTTEDMRNAQGGEPPILAGNSANEPMHSSSKNEALLIELSPLKEDAGKQSFQDTNEPQTGGIEDAGGSGTIKGSNNNRNGVLNLSLNEMDLSRDDTGAAVSSFSSSSSSASIQQAKLSGRKGSSKRNNYSTDELGDSMVSSESIDIDLDDARRGKTAERRPLHNERGHKDQARSSDASKSNQFKSKDFSSVSTRQPRQSLDLNFQEVNLSSPTLTQEHRNKNDSPAPNSHHSYRVSPHASTAITENKRHSTGHELSTRSNGKHETHRTGSKQRHDLERYRHSKDKDSNSSITISTSTPSEMRKSFARARQSLDLERVRREAMASSASSSGGSNGKRRSFFSVFRSEK
VIMSS6580929 1 976 0.20478719262295 PF04389.17:Peptidase_M28:136:323 Putative metalloprotease (RefSeq) 976 188 13 772 9 Saccharomyces cerevisiae VIMSS6580929 1 MicrobesOnline MKLKSVFRSVLKYRKTNLSLLLLITYSIITLLYIFDHERYKLNLPKEDEHPEFNDLLETAWGDLQIITASFHPYTSKENDKVHDYLLKRVLEITGNSSFASVSDDKESERSILFQQQDPFNESSRFSRVTYFESSNILVKLEGKNPEEEGLLLSAHFDSVPTGYGATDDGMGVVSLLANLKYHIKHRPNRTLIFNFNNNEEFGLLGASTYFDHSWSNLTKYVINLEGTGAGGKAVLFRTSDTSTARIYQQSVKENPFGNSIYQQGFYSRYVRSETDYKIYEENGMRGWDVAFYKPRNLYHTIKDSIQYTSKASLWHMLHTSLQLSAYVASNSLDTADQTPACYFDFIGLKFFVISAKTLFYWNCIFLLVSPVVAIGLYLISRDRMTWKSYSWLSWTRFPLSLAAGIIVQKLFSNDIIRSNPLTFSRNYFWPISAFFTQVIFTSYVLINCSNFFFPCADMKSLSIIELFIILWTILLFTSKLLYSSDYRYTGLYPLSIFFLLSTIAAILRLLALALGMRTRKRLGRECRDHHSNYSSHSQIDMERDGQENLEQPQDQLTSSQDDQASIQDDNVSTTSAGPSHNVDEDHGMDSSSQQHDERVPLLKGSNSMEEGLSTRENSLKLEYTDYAWIIQFLLIVPIPSFILFNSVDVIMDALNHTVQEGSKATFDVLRFGMVGSILIALPILPFFYKVNYITISLTALLFLISASKTLLVHPFTNSNPLKVRFSQNIDLSQGNAASVHVLGREGNFLKPMLQDLPSIKYSSTHINCTSVTNGMELCMYDGMQPNLLSTNGNTNISSMVKVHVLHNNRNSTERSPYEPIVAELLLEVKEFRACTLTFESRHQAKSPVREITVYQKKNSAPQKANITKTIKSASGINELQLHKLDFDQETYHIGVQWFPKLLTDGNVEDDKLGTKDELSVSISCYWGEYDSESVVNGTAVRKIPAFDELINYAPLSFSFTNEQKGLVIVKDAIIL
VIMSS6580975 1 162 0.33543024691358 Cytochrome B pre-mRNA-processing protein 6 162 0 13 162 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P07253 1 SwissProt MSSSQVVRDSAKKLVNLLEKYPKDRIHHLVSFRDVQIARFRRVAGLPNVDDKGKSIKEKKPSLDEIKSIINRTSGPLGLNKEMLTKIQNKMVDEKFTEESINEQIRALSTIMNNKFRNYYDIGDKLYKPAGNPQYYQRLINAVDGKKKESLFTAMRTVLFGK
VIMSS6581115 1 142 0.466535915492957 PF05205.12:COMPASS-Shg1:11:127 COMPASS component SHG1; Complex proteins associated with SET1 protein SHG1; Set1C component SHG1 142 117 13 142 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38337 1 SwissProt MAYNQEDSKRLSDKYKKEGHFDKLKREILSNPWNNTEENSESFEQALRKRVASTVKEMVNEDEELIFKNRGLTSALIESQLVKDNYLKLGSKMEGDNGDGEKKFDLDVYVRSKLQDPKLLEMIKGQLQETLNSYEEEANGST
VIMSS6581144 303 777 0.376866736842105 PF04855.12:SNF5:151:230,PF04855.12:SNF5:237:370 Snf5p (RefSeq) 905 214 13 475 0 Saccharomyces cerevisiae VIMSS6581144 1 MicrobesOnline KLNLPKYQTIQYDPPETKLPYPTYWSDKKADTDTLLYEQIIQRDKINKYSLIRETNGYDPFSIYGFSNKEYISRLWHTLKYYQDLKNTRMKSITSTSQKIPSASIWGNGYSGYGNGITNTTTRVIPQVEVGNRKHYLEDKLKVYKQAMNETSEQLVPIRLEFDQDRDRFFLRDTLLWNKNDKLIKIEDFVDDMLRDYRFEDATREQHIDTICQSIQEQIQEFQGNPYIELNQDRLGGDDLRIRIKLDIVVGQNQLIDQFEWEISNSDNCPEEFAESMCQELELPGEFVTAIAHSIREQVHMYHKSLALLGYNFDGSAIEDDDIRSRMLPTITLDDVYRPAAESKIFTPNLLQISAAELERLDKDKDRDTRRKRRQGRSNRRGMLALSGTSASNTSMNGVHNTVAAGNASSLPPGEILLPDIADIPRTFRTPVPSTLMPGGVDVGPSVESYELRNTTTYKSRPDRPKPVSPPCYII
VIMSS6581178 1 312 0.242475 Uncharacterized protein YCL049C 312 0 13 312 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25577 1 SwissProt MFSKYLVTASSLFVALTSAASTVDLDALLLLPGVESHDGVDTVFSTKDFYQVSFVKSIAPAIVNSSVIFHDVSRGVAMGNVKSRASIFNPEETYYDWEQYQVVNNGDWRTEWAPASDCIWREEKDNSDETPDRFPISVPYNWTSQYSIVDYDTDANEDNLDFRFIKSLLDKKNWLKKINQTVSQSSIMVAPMIRPYNVVQLWYSKDMVWANVQRQYCSGVYPGGTQCSAWSRYYHVDAPTCDEPVASYMTKMLENEVQCPNERNATTLEPLRLNKQGDSDFSLTFEEEEEEETGSKSLWSTLKKIFSKRSIS
VIMSS6581180 1 463 0.159465874730022 Sporulation-specific protein 22 463 0 13 443 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25380 1 SwissProt MNRITRKSCLFAIIFASLFVTHALGAAIDPPRRPHNVKPFHNGNLELQRRANEPFFEIDVKSLNTNSPISELCKKDLHVIESSHDLFHLQNQCEFILGSLKVTNYDSNILDLNSLRAIGGDLIIQDSPELIRIQAGNLNKIEGLFQLQGLTSLVSVEIPTLKFCQSLEWKVVPILNYVSMDSQNIEIIKDIVISDTSLANIENFNKVQEIDTFNINNNRFLETIHSNVKTIRGQFSVHANAKELELEMPHLREVENITIRDTSLVYLPQLTKVKSSLEFIENYFYELNLNNLQKIGGTLGIINNVNLIKVNLENLTDIQGGLMIADNESLEDITFLPNLKQIGGAIFFEGSFKDIMFDSLKLVKGSAFIKSSSNVLDCNKWTNPSNGRSIIRGGKFTCISGKKENTLNVKQDGTIIEKGYKDLTQEGEDSKKRVISKYANSANPSMQLDPLLFGTCLVAMLLF
VIMSS6581188 1 528 0.0485308712121212 PF11700.8:ATG22:22:514 Autophagy-related protein 22 528 493 13 304 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25568 1 SwissProt MSYGTINDMNESVTNYRIKKAQNNIKGWYAYSFSSEPFVVSAVSTYIPLLLQQFASINGVKVHDHSIPCLSETGSDSDKCVLGLFNNRIFVDTSSFALYVFSLSVLFQTIIVISVSGIVDLWGSVKFKGRILVWFGIVGALSTVAISKLNDTQIYSLAGLYIVANGCFGVINVVGNSLLPIFVKDSLKCQSQGAYEPDKVDSLTTVISGRGASLGYSSALIVQIVSMFLVASKKGSKQDVQVAVLFVGIWWFVWQLPMIWLIDDVTIPIRVDDSTLASARSPYPGEQDALGQLNWKNYLSYGWVSLFESFKHARLLKDVMIFLIAWFIISDSITTINSTAVLFSKAELHMSTLNLIMISVLTVVNAMLGAFMIPQFLATKFRWTSSQTLMYIIIWASFIPFYGILGFFFNAFGLKHKFEMFLLAIWYGLSLGGLSAVSRSVFSLIVPPGKESTFFSMFSITDKGSSILGPFLVGLLTDKTHNIRYSFYFFFLLLMLSLPVLNCLDVKRGRREAEELSQVLPESERRLD
VIMSS6581329 1 124 0.139942741935484 PF00660.17:SRP1_TIP1:26:117 Part of 23-member seripauperin multigene family encoded mainly in subtelomeric regions, active during alcoholic fermentation, regulated by anaerobiosis, negatively regulated by oxygen, repressed by heme (RefSeq) 124 92 13 101 1 Saccharomyces cerevisiae VIMSS6581329 1 MicrobesOnline MVKLTSIAAGVAAIAAGIAAAPATTTLSPSDERVNLVELGVYVSDIRAHLAQYYLFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYSTRLRPAISSALSKDGIYTAIPK
VIMSS6581340 1 123 0.187690243902439 Uncharacterized protein YDL241W 123 0 13 123 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07738 1 SwissProt MNVTENALLFKCGSKGYINQTYTPTEIYNCGVAEGKKTAKEKNPTYSIFYDTFLTGQPAESPETFTCGSHGFTNASYVASDFYACGFLQGKGTETNAGIHNTRPSHSLAKFTILFMLVLYTIV
VIMSS6581449 1 308 0.281662987012987 PF00134.23:Cyclin_N:48:145,PF08613.11:Cyclin:24:144 PHO85 cyclin-2; Cyclin HCS26 homolog; G1/S-specific cyclin PCL2 308 122 13 308 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25693 1 SwissProt MSNYEALLKFNRKAVSKEMVQYLASTTASIIKIKKTNSMIDIALPAPPLTKFINRLIKHSNVQTPTLMATSVYLAKLRSIIPSNVYGIETTRHRIFLGCLILAAKTLNDSSPLNKHWAEYTDGLLILREVNTIERELLEYFDWDVTISTDDLITCLSPFLKPIKEEQLYKSQRDCRTLKNFSAQEKDIVNKTSISHSRSSSNMSIPSLASTSTLSTLESRRSNLSNYSNRIRTLPELHESNNISDKFSPRTYNIDSKHDNKENRPIPTIKPFNFSKARPVILKTGLNKQIIKEDTKVKKSNWSNYFKS
VIMSS6581464 1 1283 0.0861667186282152 tRNA (guanosine(18)-2'-O)-methyltransferase; tRNA [Gm18] ribose methylase; tRNA methyltransferase 3; EC 2.1.1.34 1436 0 13 1283 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07527 1 SwissProt MVGGALICKYLPREEQLKLISDLIQNDSLEEVLELIETSPLDITTDSNIETPIFEKITEQVIAYASIDGEAREMFRSSRAEMNKALRTSAQLLCCLPSVWHKFQVWMSYRLNDIISENYKHLFNDNFGKKIVQPFFDSFAEEQNANIKHENLHLDILSLLHYLEVVYLFDECKNGISSKCLDFIIVPLLGCNSEEIADSCSKLMRWHIKYLSKCCNTDSNFDKLIWTFIKQLYAEGSQQAWKQKNSLSFLLRFLLAAELSPELITYIKTDAYWRHIQTELDNDVHEHRKLALSILKLTIQKLSSHGITLQTTFYKCNDLANIEMLGSWKKFTTLYEMIALDTSLNQIQAAKQDIIKIFDNEHLHHSWGLILLSTGLKSSMESVRKYMMTLMFSITNMSAFSSNLPLLTKTLLPAAMSAHYFDVKGVSCPHGEKLSLFVNNLLSQTTEGISDILFEILKLLVEKGTSFDPSRIYLSYGILVFFQNNKQKTINSDHLSLIRKLYDFAAEEEVLETTIQTIYLKFLLYIDPSVSASELLFTLVSHIKLKGGTYKYVEPLFEDYRDLAVSHFDDLQAKENLTTNIGKDTIFDLLASIIFDFKDIDITPDFLIEVAKSKQDIPVYTSKAVTFLTQLLSGEPSNGYTYENATALLSYPNFTISTWKSINVNNLFKSVMEKFSLDKFKFFAEIYQKTYECRFDTIELNFNDLLSLYEMVKKSANQCSRESFKVKDSAYSSYFELLNTFLKTYALNRDSSEGNDDELHILLRLVDENINKDNGNYLGNLAVCKLLYFIIDSYIHCSTSVSDDDIFIVKFIFEKFSFIWECINSERLVLKERDLHLMLIKGLFHPVILYFGSNQYIDTLTSKLEEHAQTIISLSYSRRSLLPLLGSQLRVFMKFYGKLLREDVNYWWLINIIVGVFKQPQMDVNLYKLKPVISSLFDHKLNNYYIKGDELYEKVYGPDEILARVSIIDSILYANDQLKIRLIEKVTEKTNALYAIKRTDGAEALQRLLQWQLLLLSLLTTNEKKLSETSMIRILKSIEDESSPLVRVYKEWFISSKVVDYYKTGNPKFAEDYLFSLLEDHSKPVFVVSAEKICFMVLKDLRNDEKKYGFTQLLDRFICTLVPNAASNKPLVRHFSNSLIISLWPTFKAYLSDHTLRNIIENLYSNAKKTQIFGQYRAGDANIWDLKGDRKLTNMFGGVLKKVTDHDCPYISESVFEKYLQEKDIVPIGTDERSLWLDKRDTNTESVNNANISCDTSPLQTKSGAWETVLDLDNKKSNDVVTR
VIMSS6581607 1 51 0.138243137254902 PF12734.7:CYSTM:12:48 Cysteine-rich and transmembrane domain-containing protein YDR034W-B 51 37 13 51 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q6Q5X2 1 SwissProt MRHHQNMHYAPQQQPVYVQQPPPRRESGGCCRTCCHFLCCLCLINLCCDVF
VIMSS6581639 1 365 0.179586301369863 Required for respiratory growth protein 1, mitochondrial 365 0 13 365 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12167 1 SwissProt MAQNFGKIPSHKSYVLSLYRTVLRNIPKCCHSYAFQYEIKKTLSIQLFKHKHDKSSWSVYTLLNEFSLLNNCLLEGKLQEIKNLMKPLKKMKKQLKTTKILNSLTSLGDVKTNDPEEVRRFHVLSAYIKRKQDLGLLPAYIPKTYQHKLLLPLALNEHACLKLFHIQQKLKNGPPSAGLSYTKEGRNQIWFVRSPINKGRQQSKKLGILIRKERKDSQKNIDNLNFCEINAAWALHEAIWEEYLESKKIIKVNLPKYLEYAANIPKSTKCNPSSQYQKVKEWVDPVREIMFELHSKSFQRVEYFNKYKEKLLKNGGQLAYFDKKSKEMYAKRLTLFRKMSKETLPYVTLFIEGRDLPSVLAKYGF
VIMSS6581645 1 191 0.190044502617801 PF00583.25:Acetyltransf_1:27:157,PF13673.7:Acetyltransf_10:97:164,PF13508.7:Acetyltransf_7:93:158 Polyamine N-acetyltransferase 1; Arylalkylamine N-acetyltransferase homolog; scAANAT; EC 2.3.1.- 191 138 13 191 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12447 1 SwissProt MASSSSTLPLHMYIRPLIIEDLKQILNLESQGFPPNERASEEIISFRLINCPELCSGLFIREIEGKEVKKETLIGHIMGTKIPHEYITIESMGKLQVESSNHIGIHSVVIKPEYQKKNLATLLLTDYIQKLSNQEIGNKIVLIAHEPLIPFYERVGFKIIAENTNVAKDKNFAEQKWIDMERELIKEEYDN
VIMSS6581699 433 1064 0.606750316455695 PF02149.19:KA1:589:632 Serine/threonine protein kinase KIN1; EC 2.7.11.1 1064 44 13 632 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P13185 1 SwissProt EFIDDVEETRSVLVSIITDPTYVLLSRQYWTLAAKMNAESSDNGNAPNITESFEDPTRAYHPMISIYYLTSEMLDRKHAKIRNQQQRQSHENIEKLSEIPESVKQRDVEVNTTAMKSEPEATLATKDTSVPFTPKNSDGTEPPLHVLIPPRLAMPEQAHTSPTSRKSSDNQRREMEYALSPTPQGNDYQQFRVPSTTGDPSEKAKFGNIFRKLSQRRKKTIEQTSVNSNNSINKPVQKTHSRAVSDFVPGFAKPSYDSNYTMNEPVKTNDSRGGNKGDFPALPADAENMVEKQREKQIEEDIMKLHDINKQNNEVAKGSGREAYAAQKFEGSDDDENHPLPPLNVAKGRKLHPSARAKSVGHARRESLKYMRPPMPSSAYPQQELIDTGFLESSDDNKSDSLGNVTSQTNDSVSVHSVNAHINSPSVEKELTDEEILQEASRAPAGSMPSIDFPRSLFLKGFFSVQTTSSKPLPIVRYKIMFVLRKMNIEFKEVKGGFVCMQRFSSNNVAAKREGTPRSIMPLSHHESIRRQGSNKYSPSSPLTTNSIHQRKTSITETYGDDKHSGTSLENIHQQGDGSEGMTTTEKEPIKFEIHIVKVRIVGLAGVHFKKISGNTWLYKELASSILKELKL
VIMSS6581712 1 663 0.293847812971342 PF08737.10:Rgp1:132:613 Guanine nucleotide exchange factor subunit RGP1; Reduced growth phenotype protein 1; Rgp1p 663 482 13 663 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P16664 1 SwissProt MRAHRIDTFLIRENIKLEIIHESNSYFGGEHISIAFRFKHLGSQHELFNYKEKLLTVDKAVEEKLEQQAKVQDDGEGTMENQTWSLKSLLGAFKRTGEPEESVDVDNMKMLNESKMLREKIQKQMYFHQPVTLISGYVQISGVFQYDSEVISESKFKQDEVKMVGLDIVPGHTTNSVLALEDGEHFKGKRNLTNYLNSDYTNVTNGLLFSESGSRGRTGTYNERTLMISNDTSIKTLPLLLIPQTLLFSEISLEPGEVRTFYFKSTKLPKDICPSYSSSKVASINYTLEVGADVLSDDNIEKFSNRVPITIAPYISSNAEQYTSRLDKPAIILKTGNIKELKPRLFTRKVSTASAVSFGRRKSSIIDIDSPLEDNEFVKRVKKNFIELVESNQNVSRDIDELIDLQMGVQFGKDEDSSDPEPNDSHFSNEMVTSAESSLRSDAVTKRRKSYSVRDNISNLEQKMWNDCSLVKSDENSNLLPQLINLQNAYQINRNNETMAKVSLSAPFYKTTDDINLVIELDPITTPLLKVTSLTVSLESFEIINPKYKTEGKGIGSKPKGNSVYEKHFICFDECKSVSVKLLPPRSPTNQITGQFKTDVFQHKWMIGLKFVIIAKTESITLDQFYEDKKGILFHSKENLEGEEFTCYVPIPILCTSEDFMGW
VIMSS6581718 289 610 0.864787267080746 Protein SAN1 610 0 13 322 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P22470 1 SwissProt VQRAAQQDTDEVAANEAAFERIRRVLYDPTAVNSTNENSSAPSENTSNTTVPTIGNASSGEQMLSRTGFFLVPQNGQPLHNPVRLPPNDSDRNGVNGPSSTTQNPPSNSGGSNNNQSPRWVPIPLTLFQFHSPNPNPSASDSSASPSAANGPNSNNTSSDATDPHHNRLRAVLDHIFNVAQRGTSDTSATTAPGAQTVHNQGRNDSSSSDTTQGSSFLENISRLTGHFTNGSRDNNNDNNHSNDQQRGGSTGENNRNNLFSSGVASYRNQNGDVTTVELRNNNSAAFPPTDENPSQGQGSSSSDTTIHNDVPNDNNEQRSSQ
VIMSS6581783 1 75 0.309370666666667 Cysteine-rich and transmembrane domain-containing protein YDR210W 75 0 13 75 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03482 1 SwissProt MSQQQGYYQQGPPQQGYYQQGPPQQGYYQQGPPQQGYPQQQPVYVQQGQPKEESCLDSCLKCLCCCFLLELVCDN
VIMSS6581859 1 104 0.148800961538462 Phosphate metabolism protein 6 104 0 13 81 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05637 1 SwissProt MEDTSRCIDDVLKIGQQEKEIRQAEFSDAQGEREEVKCIDYTVDLEAGLPRHESSGKSNTLKQCYNAVLGFLEELIIVIIIVLLLYSLTMVGLFYVMTMTKFLF
VIMSS6581868 428 1077 0.185004153846154 PF09369.10:DUF1998:473:562,PF00271.31:Helicase_C:94:205 ATP-dependent helicase HRQ1; Homologous to recQ protein 1; EC 3.6.4.12 1077 202 13 650 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05549 1 SwissProt YKGLFGSHVALVMRRLLRLCHCFYENSGLQFISCSATLKSPVQHMKDMFGINEVTLIHEDGSPTGAKHLVVWNPPILPQHERKRENFIRESAKILVQLILNNVRTIAFCYVRRVCELLMKEVRNIFIETGREDLVTEVMSYRGGYSASDRRKIEREMFHGNLKAVISTNALELGIDIGGLDAVLMCGFPLSMANFHQQSGRAGRRNNDSLTLVVASDSPVDQHYVAHPESLLEVNNFESYQDLVLDFNNILILEGHIQCAAFELPINFERDKQYFTESHLRKICVERLHHNQDGYHASNRFLPWPSKCVSLRGGEEDQFAVVDITNGRNIIIEEIEASRTSFTLYDGGIFIHQGYPYLVKEFNPDERYAKVQRVDVDWVTNQRDFTDVDPQEIELIRSLRNSDVPVYFGKIKTTIIVFGFFKVDKYKRIIDAIETHNPPVIINSKGLWIDMPKYALEICQKKQLNVAGAIHGAQHAIMGMLPRFIVAGVDEIQTECKAPEKEFAERQTKRKRPARLIFYDSKGGKYGSGLCVKAFEHIDDIIESSLRRIEECPCSDGCPDCVAASFCKENSLVLSKPGAQVVLHCILGHSEDSFIDLIKDGPEPNMPEIKVETVIPVSEHVNFSDDFKIIDVRRATKDDTHTNEIIKKEI
VIMSS6581951 1 221 0.0584714932126697 PF08552.11:Kei1:11:181 Inositol phosphorylceramide synthase regulatory subunit KEI1; ICP synthase regulatory subunit KEI1; KEX2-cleavable protein essential for inositol phosphorylceramide synthesis 221 171 13 132 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06346 1 SwissProt MRSSLLTLPKSFLGFMPLYLAVEIVLGISILNKCSGAYGILALFTGHPLDFMQWIAYLWSVFTLIVFSQGLYLIHKPNLLVFSQICVLYTIDTISTCFFTLWFTTQWFTLEDTANIDGNNALQSNPISTGKLTERGIDISKQSATESYEYTMTILITLVSLIFRFYFNFILASFVQELLHHPKYLVDRDDVEQNLKNKPIWKRLWAKSQKGCYKLCKNLLE
VIMSS6582005 1 1802 0.42582142064373 Signaling mucin HKR1; Hansenula MRAKII killer toxin-resistant protein 1 1802 0 13 1779 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41809 1 SwissProt MVSLKIKKILLLVSLLNAIEAYSNDTIYSTSYNNGIESTPSYSTSAISSTGSSNKENAITSSSETTTMAGQYGESGSTTIMDEQETGTSSQYISVTTTTQTSDTMSSVKKSTEIATPSSSIVPTPLQSYSDESQISQTLSHNPKSVAESDSDTTSSESSSSVIISTSDSSAVPREISPIITTDSQISKEEGTLAQTSSISETTRIAQMVTRVSQISSITAASTIDGFSSESTQTDFSNTVSFENSVEEEYAMSKSQLSESYSSSSTVYSGGESTADKTSSSPITSFSSSYSQTTSTETSESSRVAVGVSRPSSITQTTSIDSFSMSEVELSTYYDLSAGNYPDQELIVDRPATSSTAETSSEASQGVSRESNTFAVSSISTTNFIVSSASDTVVSTSSTNTVPYSSVHSTFVHATSSSTYISSSLYSSPSLSASVSSHFGVAPFPSAYISFSSVPVAVSSTYTSSPSASVVVPSAYASSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPVAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSVPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSAPAAISSTYTSSPSAPVAVSSTYTSSPSALVVLSSTSTSSPYDIVYSPSTFAAISSGYTPSPSASVAMSSTSSSSPYDIVYSLSSSASRSSIATYEFSPSPSTSLPTSSTYTYFSSAYAFEFSSERYSTTSTIAPTQIHSTLSRITDFLLQTSMAIQSIVSQQISTSSTLNDEIHSSALSVFNPSASNLVETSLIISSTQASITSPKNSAKISSLQSQLSSSTKNPYDTANKNTETSGRSTVVSNFLYTSSAAKPDNEKFSATPTEITTISSSSHAYSLSIPSSHNSVTGLSHNFVDSSKSATSFGYSSSSISSIKLSKETIPASKSVSNTQERITSFTSTLRANSQSEKSEGRNSVGSLQSSHISSNPSLSTNTKVDSKSLSRKVSKTMGENGEETGLTTTKTQYKSSSETSGSYSRSFTKISIGPATTAVQTQASTNSVFTAPALSTYPTTPYPSPNSYAWLPTAIIVESSETGPTTASFNPSITGSLPNAIEPAVAVSEPINHTLITIGFTAALNYVFLVQNPLSSAQIFNFLPLVLKYPFSNTSSELDNSIGELSTFILSYRSGSSTTTLSPKSISSLSVVKKKKNQQKKNATKSTEDLHPPQVDTSSIAVKKIVPMVDSSKAYIVSVAEVYFPTEAVTYLQQLILDENSTLYSNPQTPLRSLAGLIDSGIPLGGLTLYGSGDGGYVPSLTSSSVLDSSKGNSQNIDGTYKYGALDDFINSFTDSASAGKYAVKIIIFLIVLTIGVLLWLFVAFFAFRHRNILLKRHPRNCIGKSLNNERELESTELSRSSSGNQVYNEKPPESENESVYSAVDDHYIVTGENTVYNTIHRLHYTINDDGDLLYRDAIPLDFDQTNGDDGSGIDSIVRDCVYDKNQDATEAFLNDEESISGILDVDENGDIRLYDSYSDNEESNSFHLPDEVIENYNKNHLCETKLHGLGTESCTTDDPDTGNQITNEFSTGSQTCLPSTAYTTPLHTNSIKLHTLRYTESSLPKPNQTLFSNLEDLEIEDIDDNGSVSDVHIEELDALDEELYKRMSKVIKQQNHQTTKI
VIMSS6582046 1 412 0.248149029126214 Protein arginine N-methyltransferase 2; Protein-arginine N5-methyltransferase; Type IV protein arginine N-methyltransferase; Type IV PRMT; EC 2.1.1.- 412 0 13 412 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03305 1 SwissProt MSELHALLTFPERPISQSYYVPKLQHFLKSGIPATYTLEQVAAFEHEEKNRNGDKEFRESTDDNKTSNTTPLHVLARSLPLDIKDEELQVVMDMMNILFEYGAGWNFIDYEDKTVGDLFLERNQSRESPLYRRLVEAGVSAELLLRKLNGGDVEFLDTDELIGIEPEESVQTAVDGQKEESVGSDDDATAANQQVYLKTELEYKDDALITKENKDGVMMDWETKIMELASETLFPDPEATNSATILNIGFGMGIIDTFIQARKPYRHYICEAHPDVLAKMKMDGWYEKDNVVILEGRWQDTLNNLLDKGEVFFDGIYYDTFSEHYQDILDLYDVVVGLIKPEGVFSFFNGLGADRSLCYDVYKEIVEIDVATYGMKCDYTRYSLDEQLPDWNDVKRSYFNCNYYYHPRITFA
VIMSS6582122 1 702 0.233840598290599 PF00271.31:Helicase_C:455:558,PF00270.29:DEAD:229:386 Y' element ATP-dependent helicase YEL077C; EC 3.6.4.12 1277 262 13 702 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E7X8 1 SwissProt MTLGNSYDAFNHDPWMDVVGFEDPNQVTNRDISRIVLYSYMFLNTAKGCLVEYATFRQYMRELPKNAPQKLNFREMRQGLIALGRHCVGSRFETDLYESATSELMANHSVQTGRNIYGVDSFSLTSVSGTTATLLQERASERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDHHQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCYEIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLGRCGCLNVAPVRNFIEEGYDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIRKKVESQPEEALKLLLALFESEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLDAAEKVSRTKEFVTDGNMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEKQATASMSIVALPSNFQESNSSDRYRKYCSSDEDSNTCIHGSANASTNASTNAITTASTNVRTNAT
VIMSS6582123 1 216 0.2528 Putative protein of unknown function (RefSeq) 216 0 13 216 0 Saccharomyces cerevisiae VIMSS6582123 1 MicrobesOnline MQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDILHIILRACALNFGAGPRGGAGDEEDRSITNEEPIIPSVDEHGLKVCKLRSPNTPRRLRKTLDAVKALLVSSCACTARDLDIFDDNNGVAMWKWIKILYHEVAQETTLKDSYRITLVPSSDGISDTLTVIQSFSYSLLPVLSATYTSMIQQDASNCTLITTRTVHRSLD
VIMSS6582158 1 956 0.502753033472803 Uncharacterized protein YEL043W 956 0 13 956 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32618 1 SwissProt MPVSVITTVLACLWLSYRLYKFLTIPVSSIVSTLKIKTPPATKVSIDKIATDSVTIHWENEPVKAEDNGSADRNFISHYLLYLNNTQLAIFPNNPNSLYTCCSITGLEAETQYQLDFITINNKGFINKLPSIYCMTKAREANEALKTRKWRRNTITSSTAMQPRNSKSEPAPLPSHYSSVSLSTFSSNITNSATSNNGSNLPAYTSLTTLKDLDSFSIDDLKKILICAQEDLHDVLSQQTSLLQDFQESKLELELELDNLKTHWSHEIDLRKSLKSNIKSLENSKLLTDLKIEKLNKKIDKSKEKISKMRNDMQKWSQEDTELLSKDTIKEKYFKLLNESNASVANINKEIESLQNEISKMEESNKRLNASKKSLITSIVVNANVENDKPIASGELSAVLKKLNDFTLEKNGFLSNAGEEFLSKLNADSSLIKMIKQELSIDQELEANWKLQRSNLLKKISALENQFNEMSLNNRNLKTKLMVQPYKNNGDSLAATNSNNSAEKNRSSGSIQLPLSNNMSRTGSIDLISNNNKSINNSNADSAPPLRLHNPVSYSPSNEPIQPSSSLLSQLTQDTDNRSMLSNHISSNNENKQQPSSYSHALPTTATANATATATATNGHSRSNLWTTAQFAQPSHQQVSTELDQAFEYDNANHLISGLQNMIYDETDYPDNISNYSKGFTTDELDNYWTKQQPQVRSTNESLFSTTGTPMSSYKANPVISPYSSSHLRQTSNATNTNPMHPQSLLAATLNDPSLQSFVRSGSFYSAPQPANSLQNNINGNETENISPRISSDFNLLVPNLSPRLSNDVPIVPGNNTTLTPSHSNILTMNHQPTADNITRRSFHASSPPFNSIWNSNTNQLSPPLEEQYHLDVPVGPKVPAKEPSPKPSHKRNQSNSSISSAWSKFKHKSASSPANADTDIQDSSTPSTSPSGRRMSKLLSKSGMNNLFNPHSHDS
VIMSS6582198 1 335 0.138841791044776 PF00153.27:Mito_carr:42:128,PF00153.27:Mito_carr:136:226,PF00153.27:Mito_carr:242:331 Mitochondrial nicotinamide adenine dinucleotide transporter 2; Mitochondrial NAD(+) transporter 2 335 268 13 269 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39953 1 SwissProt MNNGDNKTTLENSKNASLANGNYAIPTKLNRLKKNADPRVAAISGALSGALSAMLVCPFDVAKTRLQAQGLQNMTHQSQHYKGFFGTFATIFKDEGAAGLYKGLQPTVLGYIPTLMIYFSVYDFCRKYSVDIFPHSPFLSNASSAITAGAISTVATNPIWVVKTRLMLQTGIGKYSTHYKGTIDTFRKIIQQEGAKALYAGLVPALLGMLNVAIQFPLYENLKIRFGYSESTDVSTDVTSSNFQKLILASMLSKMVASTVTYPHEILRTRMQLKSDLPNTVQRHLLPLIKITYRQEGFAGFYSGFATNLVRTVPAAVVTLVSFEYSKKYLTTFFQ
VIMSS6582221 1 153 0.0384856209150327 Bud site selection protein 25 153 0 13 153 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P85052 1 SwissProt MFCTWDSWDTGTSRKSHSPHRNCLAVRFLQLPFSSFLPCVITYMKSWQTSIGDSEFPLTSFQILVTDAEVVVQRIFDCINGYLPGWHYRNTVFIEHTTSYHRSHFCIFVEGVWVCGEFVKKWFEIPFDNRIENYTKQFPWILIGFGNQVSKAL
VIMSS6582439 1 558 0.0636510752688172 PF00324.21:AA_permease:57:508,PF13520.6:AA_permease_2:60:471 general amino acid permease AGP3 558 452 13 287 12 Saccharomyces cerevisiae CharProtDB::CH_091709 1 CharProtDB MAVLNLKRETVDIEETAKKDIKPYFASNVEAVDIDEDPDVSRYDPQTGVKRALKNRHISLLALGGVIGPGCLVGAGNALNKGGPLALLLGFSIIGIIAFSVMESIGEMITLYPSGGGFTTLARRFHSDALPAVCGYAYVVVFFAVLANEYNTLSSILQFWGPQVPLYGYILIFWFAFEIFQLVGVGLFGETEYWLAWLKIVGLVAYYIFSIVYISGDIRNRPAFGFHYWNSPGALSHGFKGIAIVFVFCSTFYSGTESVALAATESKNPGKAVPLAVRQTLWRILVVYIGIAVFYGATVPFDDPNLSASTKVLKSPIAIAISRAGWAGGAHLVNAFILITCISAINGSLYIGSRTLTHLAHEGLAPKILAWTDRRGVPIPAITVFNALGLISLMNVSVGAANAYSYIVNLSGVGVFIVWGVISYTHLRIRKAWVAQGRSIEELPYEALFYPWTPVLSLAANIFLALIQGWSYFVPFDAGNFVDAYILLPVGILLYIGICVFKSNHFRTVDLRSINLDEGRRKDMEADLSDQESSLASSETMKDYKSATFFRYLSNIFT
VIMSS6582468 111 431 0.224626479750779 PF02116.15:STE2:2:186 Receptor for alpha-factor pheromone; seven transmembrane-domain GPCR that interacts with both pheromone and a heterotrimeric G protein to initiate the signaling response that leads to mating between haploid a and alpha cells (RefSeq) 431 185 13 206 5 Saccharomyces cerevisiae VIMSS6582468 1 MicrobesOnline YALTGFPQFISRGDVHVYGATNIIQVLLVASIETSLVFQIKVIFTGDNFKRIGLMLTSISFTLGIATVTMYFVSAVKGMIVTYNDVSATQDKYFNASTILLASSINFMSFVLVVKLILAIRSRRFLGLKQFDSFHILLIMSCQSLLVPSIIFILAYSLEPNQGTDVLTTVATLLAVLSLPLSSMWATAANNASKTNTITSDFTTSTDRFYPGTLSSFQTDSINNDAKSSLRSRLYDLYPRRKETTSDKHSERTFVSETADDIEKNQFYQLPTPTSSKNTRIGPFADASYKEGEVEPVDMYTPDTAADEEARKFWTEDNNNL
VIMSS6582485 1 211 0.696589099526066 PF00397.26:WW:11:41 WW domain-containing protein WWM1; WW domain-containing protein interacting with metacaspase 211 31 13 211 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43582 1 SwissProt MAQSKSNPPQVPSGWKAVFDDEYQTWYYVDLSTNSSQWEPPRGTTWPRPKGPPPGVNNEKSSRQQADQAPPPYSSQSTPQVQAGAQAQQPRYYQPQQPQYPQYPQQQRYYPQQAPMPAAAPQQAYYGTAPSTSKGSGHGGAMMGGLLGVGAGLLGGAMLEHAFDDHNYDGPDTVVVENNYYGDDAGGSDGGFDDAGGFDGGFDDGFDGSDF
VIMSS6582505 1 221 0.388950226244344 Factor arrest protein 7 221 0 13 221 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43592 1 SwissProt MSDQINVLSMQQQQQQQQQQQQVYMSPQAENLNHMYLLVNKLVKQLRENQAEKAKILRNIDILSGSLNKYETSEEPHDTTENIALFNRFLEQRGKAPITEKEQLSNNLDENAKDDVMLGVLKRQNSMLRKSLQESKQVTLESMDLLSYSEDSLNYIVAQLRGNILMHHKETIKLIRQKFQTETIPLEDEEFKMYLENVNGLQKLTDISHTYRLLLRLHAQD
VIMSS6582520 900 2278 0.301406744017403 PF01504.18:PIP5K:1140:1306,PF01504.18:PIP5K:1307:1366,PF00118.24:Cpn60_TCP1:6:134 1-phosphatidylinositol-3-phosphate 5-kinase; vacuolar membrane kinase that generates phosphatidylinositol (3,5)P2, which is involved in vacuolar sorting and homeostasis (RefSeq) 2278 356 13 1379 0 Saccharomyces cerevisiae VIMSS6582520 1 MicrobesOnline HFLSIESVFRQEREYLDKLVSRLKSLHPDIIYVGANVSGYALELLNDSGIVVQFNMKPQVIERIAKLTEADIAISVDKLATNIKMGECETFEVKSYIYGNISKTYTFLRGCNPELGGTILLRGDSLENLRKIKQVSEFMVYAIFSLKLESSFFNDNFIQLSTDVYLKRAESKKLQVFEGYFADFLIKFNNRILTVSPTVDFPIPFLLEKARGLEKKLIERINQYESESDLDRQTQLNMLQGLESTITKKHLGNLIKFLHEMEIENLELEFQKRSRQWEVSYSSSQNLLGTGSHQSITVLYSMVSTKTATPCVGPQIVTIDYFWDSDISIGQFIENVVGTARYPCQQGCNGLYLDHYRSYVHGSGKVDVLIEKFQTRLPKLKDIILTWSYCKKCGTSTPILQISEKTWNHSFGKYLEVMFWSYKDSVTGIGKCPHDFTKDHVKYFGYNDLVVRLEYSDLEVHELITPPRKIKWKPHIDIKLKVELYYKILEKINNFYGSVLSRLERIKLDSMTKDKVLSGQAKIIELKSNATEEQKLMLQDLDTFYADSPCDQHLPLNLVIKSLYDKAVNWNSTFAIFAKSYLPSETDISRITAKQLKKLFYDSSRKDSEDKKSLHDEKAKTRKPEKNELPLEGLKDVEKPKIDSKNTTENRDRTNEPQNAVTITTFKDDTPIIPTSGTSHLTVTPSASSVSSSLTPQTEERPPISRSGTGISMTHDKSTRPNIRKMSSDSSLCGLASLANEYSKNNKVSKLATFFDQMHFDALSKEFELERERERLQLNKDKYQAIRLQTSTPIVEIYKNVKDAVDEPLHSRSSGNNLSSANVKTLEAPVGEHSRANNCNPPNLDQNLETELENSISQWGENILNPSGKTTASTHLNSKPVVKETSENPKSIVRESDNSKSEPLPPVITTTTVNKVESTPQPEKSLLMKTLSNFWADRSAYLWKPLVYPTCPSEHIFTDSDVIIREDEPSSLIAFCLSTSDYRNKMMNLNVQQQQQQQTAEAAPAKTGGNSGGTTQTGDPSVNISPSVSTTSHNKGRDSEISSLVTTKEGLLNTPPIEGARDRTPQESQTHSQANLDTLQELEKIMTKKTATHLRYQFEEGLTVMSCKIFFTEHFDVFRKICDCQENFIQSLSRCVKWDSNGGKSGSGFLKTLDDRFIIKELSHAELEAFIKFAPSYFEYMAQAMFHDLPTTLAKVFGFYQIQVKSSISSSKSYKMDVIIMENLFYEKKTTRIFDLKGSMRNRHVEQTGKANEVLLDENMVEYIYESPIHVREYDKKLLRASVWNDTLFLAKMNVMDYSLVIGIDNEGYTLTVGIIDFIRTFTWDKKLESWVKEKGLVGGASVIKQPTVVTPRQYKKRFREAMERYILMVPDPWYWEGN
VIMSS6582528 1 169 0.274581065088758 Unfolded protein response-inducible protein 1; UPR-L-inducible protein 1 169 0 13 146 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43604 1 SwissProt MTPYAVAITVALLIVTVSALQVNNSCVAFPPSNLRGKNGDGTNEQYATALLSIPWNGPPESLRDINLIELEPQVALYLLENYINHYYNTTRDNKCPNNHYLMGGQLGSSSDNRSLNDPQTMLWPEKKEDEKNCQETFKGACSCTKRFCKGYFSVNIFGINLNISYSSGK
VIMSS6582644 20 219 0.1986115 PF03962.15:Mnd1:1:58 Meiotic nuclear division protein 1 219 58 13 200 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53102 1 SwissProt FFQETYTFYNIKELEKSIPKKCGISPMIVKDLVQQMIDEDGVISVEKCGNINIYWCFKNQTLQKLYDSSELIKKKIQEVKCDIATYKQELDKTLATGRRKKFTVGQKSYNREALLEKRKKIQDEIKKKSNSLQKIESIRWDAAKIQENKQQIRLKKVHLEKTTDNIEILIDYLYKKFFLKPEQIRKEFGIPEEFKEFTEV
VIMSS6582664 1 310 0.271696774193549 PF04893.17:Yip1:103:281 Protein YIP5; YPT-interacting protein 5 310 179 13 221 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53108 1 SwissProt MPSNNSSFLDIDDDLEGVDDFGNEPNPFDDATVPDSPNMNNSTAGKGSEFYNTTGSKAESAPLQGQMDPPAYDQVIGQNDNDGLGRNGLRPGLINYYSKYFQIDLTQFKKRLSAVLTFRNDHNSESNEDNTDLYGAVWITATVVMINFTMSRGLNFIISDVIEGVKTGEDIDRASQFKKLLHSIWLFYGYTFGVPFITMQVLNRDEHSERNRSFKSVPELISVYGYANLIWIPVCVILNILDMSKRLRTVQAIQWAIVALGWAQSSYFLNSQISSNNNTETQSNGKFSLSIIVVVALHTLFCLLFRFIIF
VIMSS6582674 1 1132 0.186389310954064 PF08689.10:Med5:1:1127 Mediator of RNA polymerase II transcription subunit 5; Mediator complex subunit 5; Negative regulator of URS2 protein 1 1132 1127 13 1132 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53114 1 SwissProt MEKESVYNLALKCAERQLTSMEFSNLYKEFFNEKFPSLIQEEEEDTTTTANINEVKKASDLVDTPSNNTAATADTTHLHEALDIVCSDFVKILNLEKPLILADYIVEVLLVNYNSDMIKCFLPKLNSVRNSLLLAHFFSKSCSFFAKLSDTLIIDQVRKDLGNVIVPNILSLDMNSMNKELIAIVSKLLQTTLKLSPSPILLTSAGCKNGSFTLLNQLSQTNKLLFKRVSQTFEAKLHFKDTKPFLNKDSTNEFVGSPSLTSPQYIPSPLSSTKPPGSVNSAAKYKDMKLLRYYKNIWLNNKIINWEISNPDFLSKYSAITSSIFQESFNSVQNLDQLLTDLIETSFTCFAQFVSNKQYHQANSNLTLLERKWVIFITKHLPLLILENSSRSPRVVTNALDNIDEKVVKAIRIYFTEKDDNKTNNEDLFDDYPSTSLDIRHDFIKGLIMLNLQPASVINNYLREDQMIDTSILPTRDDLFVRNLQGIQEVVHNTNSFIISSLDTLELESITESITHDSSNGLFQVLHNFESVAPTKQREIVKAFLSIFEDAIKELNYNRIAKICALLFFNFSHSLTTILSFSSPAALMKTLIKFVDLSRNGRNGSNGNDESSEYETINISLSFSWAILLIINLTQTYGISVVDVALKYPELSIKNSFIINFISNLPNVSDKYYLEESNVNDSDMLTKSHNTVQSWLCDLFVNGSITDQLIQNIETRQLANLIPFIVKQVLLSVEIGVLTDISSLIGGFEYFLQPLLLVGLIKTFYWLEQFLSCVKNDTISEDILQGIFNLLNTLFNPVTLNEDSKAFHTAVLRLNAIPLLKVLRKFRVQSQSNYGIYSSDAQGDPNLEPLIAKLVAVLNVSPVYDVDPRIINSENDYSRKQLGYGKFLILNENPINKIMTNQINSFWSLHSSTYYNLDYLFELIELVTPKSFLFDVLKTLEYKLATYGVPGSENKRGSLDSEHVFDYFFYFLVLYDVKTAEEASQLIEYMENDAKKSKGDVDIKGEDLHEKNDSAEVRQETQPKAEATQDDDFDMLFGENDTSTQAYEEEEENEDNDGNNRTNNVPMIKAEETPSKTNKISILKRHSFAVLLHERKLLNDLALENGEITKTENEKFISYHDKYLCMLKTCVF
VIMSS6582692 367 1403 0.263753616200579 PF13832.6:zf-HC5HC2H_2:765:859,PF00628.29:PHD:674:730,PF13771.6:zf-HC5HC2H:777:850 E3 ubiquitin-protein ligase SNT2; Histone E3 ligase SNT2; RING-type E3 ubiquitin transferase SNT2; SANT domain-containing protein 2; EC 2.3.2.27 1403 152 13 1037 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53127 1 SwissProt LQKQQGTKDSHVRFLEEQALELDFIRSVRQKIEEISSKAIKENVGYNTENCWFQYLGIYSISHIGDALNDSMFFPYPFKPSRVGVKYQWNGCNHNVPWRRNSYISANSEEERGSTKTSELAWVLDASKITTRKLSEYIEQCKSEICPILNVRGETCNFIDVVLKNLLFTNYDTAEAFKKCKRELSRKFLKEPSFTAVEIRKFEEAVEKFGSELRPVCEYVGTQPMSMIVRFYYNWKKTERGLTVRGKLSKLSKNKRKKEIANHENDVETKYIDDSSFDTEKLSLAESSFQCMFCKTDYSPMWYRVTGGSDDEKIKIRMQTGVNEKTEISEKSPAHSKKNEKLGALCIRCARMWRRYAIKWVPPLETLRKITGTCQNSFYSAIEGIIEENNTNKFTLSPFQAHNKLLEWELVQDSELIIRQRMKVYKNPNSFVKMKRYSMTFHTQLYKMAVRSYRKNEFHPETMQRDLELFIEDNKEVRKAIPEQKPERAKNTKDEFPVNIIRQSPGTIKTSDTSRNRKCNDVFIEKASNNNIPKITNASNDLIEISIKTGGSSSGSVSVDKGFKFVKFDNKTFQRLRNSLKLVNNKLPKYNEPSTKKIKMINDIALSNPLNEPNGASYNYTVISHSKETSVALEKYHDGNKPSKMLEKDMILKHTKNKPKNPDTAWANNSARTFCSVCKEKFNDNDNYEVVCGNCGLTVHYFCYAIKLPKDMKKNTNLKTFKWLCDPCSNDLNPIISTTYQCSMCPTKDYDYDRYRSQSFKICPDALKCTSLGTWVHLVCSLFNEDIKYGNGQSMQPALNTTAVLIKHSRFTCGVCRINGGGLVKCNKCQYRYHITCAQNSSNFKLMFEKKNMSVDTTLPCIKDVKLNDTYTLRPILICDRHDISLEGNELYPLSYKPQHTLSYIEQYCRYYKCESDHSLVELRYFEQLRLRHGEMPGNSHDSAIKPKIYVLPFERTCPHCGTNKSLYWYEDIICHSCNLRSGAQELDFDSASANISNDNGLPVEITQQLMEGIEPAMFDIDISEAGTDKNTHPSSQ
VIMSS6582955 1 1036 0.160612741312741 PF00916.20:Sulfate_transp:206:606,PF01740.21:STAS:658:777 Uncharacterized vacuolar membrane protein YGR125W 1036 521 13 799 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53273 1 SwissProt MGRTIRRRRSNSSLSEAISVSLGINQDSSVNKMHRASVSAMSPPLCRSYMSGFFTGGNSPMINNLSDSKLPISNKQHPKVIHGSENLHRQTAQLSNEFCSSSVEENSPTIKDYMDIIGNGDRKDDQSMRTIEENIDEEYSDEYSRLLLSPASSNVDDDRNRGLQNSSLPELEDGYAGGYQSLRPSHNLRFRPRNLWHMCTSFPSKFAHYLPAAVLGLLLNILDALSYGMIIFPITEPVFSHLGPTGISMFYISTIISQAVYSGGWSSFPSGIGSEMIEITPFYHTMALAIKEALAGNDDEIITTTIFCYVISSMLTGVVFYALGKLRLGKIVGFFPRHILIGCIGGVGYFLIITGIEVTTRVAKFEYSWPFFSGLFTDYDTLAKWLLPVLLTVVLIGTQRYFKNSLVLPSFYILTLVLFHFIVAIIPTLSLDALRQAGWIFPIANSDSKWYDHYRLFNVHKVHWSLVLQQIPTMMALTFFGILHVPINVPALAMSLQMDKYDVDRELIAHGYSNFFSGLLGSVQNYLVYTNSVLFIRAGADSPFAGFLLIALTICIMIIGPVIISFIPICIVGSLIFLLGYELLVEALVDTWNKLNRFEYLTVVIIVFTMGIFDFVLGIIVGILIACFSFLVDSTKLQTINGEYNGNVARSTVYRDYVQTKFLDGIGEQIYVLKLQNLLFFGTIISIEEKIERLLQISNKDATKRRIKYLILDFKNINADNIDYSAAEGFNRIKRFTETKRIKLIISSIKERDRIYNAFNNVGLLNDVELFADLNSALEWCENEFLFQYKQLRKKAKERLEEGKQNNVVSAVIAATKNKKIDTIGNGLNRGSNGDTARNLMSLPTNTPRNYQILSVAQNVFVNDEQAVKNFKKEYKDDEPVLPILLFALKQYRPDIISEVQKVREKEIKFWAQLCPYFTRRRLASQSHLLHADNIFFLVETGMLKATYELPQGTLYEIFSNGTCFGKIIAPGNAMPREQKLTIETETDSVLWVIDSSSLNKLKEDNLALYVEVALMVMCIKDTRFKELLGYTLVSA
VIMSS6582961 1 174 0.0693431034482759 PF01284.23:MARVEL:6:138 Non-classical export protein 2 homolog 1; Functional homolog of NCE102 174 133 13 85 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53279 0 SwissProt MLSAADNLVRIINAVFLIISIGLISGLIGTQTKHSSRVNFCMFAAVYGLVTDSLYGFLANFWTSLTYPAILLVLDFLNFIFTFVAATALAVGIRCHSCKNKTYLEQNKIIQGSSSRCHQSQAAVAFFYFSCFLFLIKVTVATMGMMQNGGFGSNTGFSRRRARRQMGIPTISQV
VIMSS6583135 1 381 0.061044094488189 PF00674.18:DUP:68:167,PF00674.18:DUP:256:353 Protein COS8 381 198 13 317 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38723 1 SwissProt MKENEVKDEKSVDVLSFKQLEFQKTVLPQDVFRNELTWFCYEIYKSLAFRIWMLLWLPLSVWWKLSSNWIHPLIVSLLVLFLGPFFVLVICGLSRKRSLSKQLIQFCKEITEDTPSSDPHDWEVVAANLNSYFYENKTWNTKYFFFNAMSCQKAFKTTLLEPFSLKKDESAKVKSFKDSVPYIEEALQVYAAGFDKEWKLFNTEKEESPFDLEDIQLPKEAYRFKLTWILKRIFNLRCLPLFLYYFLIVYTSGNADLISRFLFPVVMFFIMTRDFQNMRMIVLSVKMEHKMQFLSTIINEQESGANGWDEIAKKMNRYLFEKKVWNNEEFFYDGLDCEWFFRRFFYRLLSLKKPMWFASLNVELWPYIKEAQSARNEKPLK
VIMSS6583155 1 332 0.496035542168675 Transcription regulatory protein SNF6; SWI/SNF complex component SNF6 332 0 13 332 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P18888 1 SwissProt MGVIKKKRSHHGKASRQQYYSGVQVGGVGSMGAINNNIPSLTSFAEENNYQYGYSGSSAGMNGRSLTYAQQQLNKQRQDFERVRLRPEQLSNIIHDESDTISFRSNLLKNFISSNDAFNMLSLTTVPCDRIEKSRLFSEKTIRYLMQKQHEMKTQAAELQEKPLTPLKYTKLIAAAEDGSRSTKDMIDAVFEQDSHLRYQPDGVVVHRDDPALVGKLRGDLREAPADYWTHAYRDVLAQYHEAKERIRQKEVTAGEAQDEASLQQQQQQDLQQQQQVVTTVASQSPHATATEKEPVPAVVDDPLENMFGDYSNEPFNTNFDDEFGDLDAVFF 2
VIMSS6583157 220 1146 0.385492448759439 PF03666.13:NPR3:180:747 Nitrogen permease regulator 3; Required for meiotic nuclear division protein 11 1146 568 13 927 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38742 1 SwissProt QMIMENDSISVAESYLDSGTNNKSRAASKRSQNFFHKLSTKKSTDSKTHSPVRKLKSKPSQSTKKGNKLLKNTSNETDGNAFTGSCSISSKKSLSSTGEHNQELRNSSLNDTPGQSPHHYHHRYHHYHKNAATSQRNSHTQYDVEEEDMEVSAMLQDGKISMNEIFFEEENFQDINKILEFDNDFVAEFCSPEREMCNTRFEFTVDNFCFLGLPIHVDSQGRWRKSKHKNKTRSKRSSSTTTNISRKKSIASKISSLSENTLKKVNSGEADTVYDSNIGHEASTDTPNLRINTDVSGNEFEREKEDLGKNMNMFHVCFVMNPHLIEYNKRIDDMYQFVVTRLSLLLRYVQSKTSYISSECHIILKEKERVLKHSKTYQSIRGAGNKGKYLYQRILAKSSLARALTECVDKIQRNEIACLEINDDKVISLQIPIQNEFEKMPNFKLQPVLRGSYLTSILNMKFLEKSSLRIESQNRQNDQAQFSDTNNNIYRFGNNINSTGHCGAANVDDGDDNESNYYCDDNDDLLNYALLLLDEPNNIISSLETFSYQDDIGTIILKHLVRNIQPNIPLRSYRYLITDLLDNPSSLDDLTTETNSLESSILRSCALHLMYWRHARIVIPLSSKYTYIVSPLAPIQGYTIDDYKSTSQNDGNVKKMDDRENNKSGSDRVPLIYQNSMLFRSKFPSLPSLPIFLSLLSTDKPQAYSNIIPSREHKPVYLNALAWLIQYGYVTQLLTFINIRVDKHIKMAVDEDLEKEGFRKTNTARRPSMDYKKTDKKLDDEDGQSRDANASEACSGKNEGMQSNDNNKDVDEKDNENDSRVDDRDDNEIAIADEEEILHFEYDDPEMQHDYTIILEPERATAIEKRWLYRCIYGQPSDIQILFNKLLKYFNGKVPMELVIIKEEISRHDLKKLLNALDKYLIEIHHW
VIMSS6583303 1 214 0.317666822429907 PF00787.24:PX:79:210 PX domain-containing protein YPT35 214 132 13 214 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38815 1 SwissProt MSDKISFLPPEPIQLLDEDSTEPELDIDSQQENEGPISASNSNDSTSHSNDCGATITRTRPRRSSSINANFSFQKAHVSDCTIVNGDHGTKFAVWRITVFLEPNLKAFAAKRESYKIQTYKRYSDFVRLRENLLTRIKTAKPEKLNCLQIPHLPPSVQWYSSWKYQEVNLNKDWLAKRQRGLEYFLNHIILNSSLVEMTKDILIQFLEPSKRVA
VIMSS6583320 1 149 0.548697986577181 MIP18 family protein YHR122W 231 0 13 149 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38829 1 SwissProt MSEFLNENPDILEENQLPTRKEDSTKDLLLGGFSNEATLERRSLLLKIDHSLKSQVLQDIEVLDKLLSIRIPPELTSDEDSLPAESEDESVAGGGKEEEEPDLIDAQEIYDLIAHISDPEHPLSLGQLSVVNLEDIDVHDSGNQNEMAE
VIMSS6583446 1 204 0.376262254901961 Altered inheritance of mitochondria protein 20 204 0 13 181 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40451 1 SwissProt MGNVSVAVGTAVGIPIAVGVIIALIFWCKLQRRYKKEEIRDADLEKMVMEEVAVSVYDGFKAEINSSSEASTINEKEANQDLKPCQEKTAKAGYTPAYRRQLNASMGTLRPKKQSTAYTNVPVIFSGEKVNYGMVRDPSYSFMYPLTLSRKETSSLRSASTSNLSSSTENTALHEEIKLDDPYENDFTNYTVNKREFIDSLRPH
VIMSS6583522 1 157 0.128137579617834 PF10315.9:Aim19:25:152 Altered inheritance of mitochondria protein 19, mitochondrial 157 128 13 157 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40502 1 SwissProt MSAKPATDDAKDELLSPFRRLYALTRTPYPALANAALLASTPVLSPSFKVPPTQSPALSIPMSRVFSKSSTARIGITTKTALFFSTMQAIGAYMIYDNDLENGAGFIATWSALYLIVGGKKSFSALRYGRTWPLVLSSVSLANAVLYGQRFLATGFQ
VIMSS6583552 1 627 0.469337480063795 Putative protein of unknown function (RefSeq) 627 0 13 627 0 Saccharomyces cerevisiae VIMSS6583552 1 MicrobesOnline MTLEPHYSAKSAASASAFVARSATESSTASTKAAPRKKTYSQSNGIPIRIDNLPPGKTWAQVKYLIGGIIYHTNILQVKMLPPMTSMVPPFITFQSCIVILKNSIDNESLENLLLTLNTYQWDYHDLFVYLLPYTNDSPSLRYPEISDSNNDVRSAPDETKRSISPRYASHVSSVTPQPPSASTPPSQFFSFSPEVSLRKNENITPLPTPVPVPVGVPPLAPPPHGPFSTSMLPMLGAPPGTVPNMQMPYQTTLPSPTAAATAGGPLASPTHYPRRRHFYHQNQSQFQKYMHNSPRNPDTGTGPRLSQQHHLSLRNNKINPSYNEISALYNLNMASNSNNNGNIPTTSTNGDDRALQAKNGGTITPSQTQINHKRLKHIFNEKSFRKQMTNRGMWQLKIINFPPYIPIEFLEKLSESDFNELMNQEKFTVIEIKEKGQLEKFGRLRWTVLKDFIKLKCPKLLRLQERQFLQQQNEASLLNESMDALKISENENTNGSANNSTYTNGGPRTSINNTREFYVGVYEDHEEATLLRFELPEDELEEFNRNLPTTFAQSGNVSDSEGDSKAKYFKVSTIVYNAIVGFHDKELSDLTFESLQDQEYSLGYKIHVMELPPFDEDEFENQRQQF
VIMSS6583569 1 836 0.511041866028708 PF04065.15:Not3:3:232,PF04153.18:NOT2_3_5:689:784 General negative regulator of transcription subunit 3 836 326 13 836 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P06102 1 SwissProt MAHRKLQQEVDRVFKKINEGLEIFNSYYERHESCTNNPSQKDKLESDLKREVKKLQRLREQIKSWQSSPDIKDKDSLLDYRRSVEIAMEKYKAVEKASKEKAYSNISLKKSETLDPQERERRDISEYLSQMIDELERQYDSLQVEIDKLLLLNKKKKTSSTTNDEKKEQYKRFQARYRWHQQQMELALRLLANEELDPQDVKNVQDDINYFVESNQDPDFVEDETIYDGLNLQSNEAIAHEVAQYFASQNAEDNNTSDANESLQDISKLSKKEQRKLEREAKKAAKLAAKNATGAAIPVAGPSSTPSPVIPVADASKETERSPSSSPIHNATKPEEAVKTSIKSPRSSADNLLPSLQKSPSSATPETPTNVHTHIHQTPNGITGATTLKPATLPAKPAGELKWAVAASQAVEKDRKVTSASSTISNTSTKTPTTAAATTTSSNANSRIGSALNTPKLSTSSLSLQPDNTGASSSAATAAAVLAAGAAAVHQNNQAFYRNMSSSHHPLVSLATNPKSEHEVATTVNQNGPENTTKKVMEQKEEESPEERNKLQVPTFGVFDDDFESDRDSETEPEEEEQPSTPKYLSLEQREAKTNEIKKEFVSDFETLLLPSGVQEFIMSSELYNSQIESKITYKRSRDMCEISRLVEVPQGVNPPSPLDAFRSTQQWDVMRCSLRDIIIGSERLKEDSSSIYAKILENFRTLEMFSLFYNYYFAITPLEREIAYKILNERDWKVSKDGTMWFLRQGEVKFFNEICEVGDYKIFKLDDWTVIDKINFRLDYSFLQPPVDTASEVRDVSVDNNNVNDQSNVTLEQQKQEISHGKQLLKQLKQGKISV
VIMSS6583571 1 587 0.626177512776831 PF00170.21:bZIP_1:425:483,PF07716.15:bZIP_2:434:474 ATF/CREB activator 2; Chromosome stability protein CST6 587 59 13 587 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40535 1 SwissProt MFTGQEYHSVDSNSNKQKDNNKRGIDDTSKILNNKIPHSVSDTSAAATTTSTMNNSALSRSLDPTDINYSTNMAGVVDQIHDYTTSNRNSLTPQYSIAAGNVNSHDRVVKPSANSNYQQAAYLRQQQQQDQRQQSPSMKTEEESQLYGDILMNSGVVQDMHQNLATHTNLSQLSSTRKSAPNDSTTAPTNASNIANTASVNKQMYFMNMNMNNNPHALNDPSILETLSPFFQPFGVDVAHLPMTNPPIFQSSLPGCDEPIRRRRISISNGQISQLGEDIETLENLHNTQPPPMPNFHNYNGLSQTRNVSNKPVFNQAVPVSSIPQYNAKKVINPTKDSALGDQSVIYSKSQQRNFVNAPSKNTPAESISDLEGMTTFAPTTGGENRGKSALRESHSNPSFTPKSQGSHLNLAANTQGNPIPGTTAWKRARLLERNRIAASKCRQRKKVAQLQLQKEFNEIKDENRILLKKLNYYEKLISKFKKFSKIHLREHEKLNKDSDNNVNGTNSSNKNESMTVDSLKIIEELLMIDSDVTEVDKDTGKIIAIKHEPYSQRFGSDTDDDDIDLKPVEGGKDPDNQSLPNSEKIK
VIMSS6583626 1 265 0.531337358490566 Putative protein of unknown function; expression directly regulated by the metabolic and meiotic transcriptional regulator Ume6p; YIR016W is a non-essential gene (RefSeq) 265 0 13 265 0 Saccharomyces cerevisiae VIMSS6583626 1 MicrobesOnline MSGTRCLLGVGLPVDVTATETLTHDEQGPGVEPGPCSRGSSIDGLLPSLLGPHDDVDDDSAAFHKYMTLSRDGAGAIHAPSLVEDASRNDDDDDDEDDDDSSMSRDLSKALDMSSSSSSSPRVQSRRHRSSVSAISAILHQGKSGREDITGSLSVPAEQEKLSFLAKASSIFFRRNSMPRDKHTHSVCPASRPDSERFIVTSAAAQSLRRQQQLEDAQYARVITNFRTIGWCSPSEIESVEYKRSLINAEWDEKISLLSHAQCYK
VIMSS6583633 1 167 0.0757520958083832 PF00717.23:Peptidase_S24:40:92 Signal peptidase complex catalytic subunit SEC11; Secretory protein 11; Signal peptidase I; EC 3.4.21.89 167 53 13 167 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P15367 1 SwissProt MNLRFELQKLLNVCFLFASAYMFWQGLAIATNSASPIVVVLSGSMEPAFQRGDILFLWNRNTFNQVGDVVVYEVEGKQIPIVHRVLRQHNNHADKQFLLTKGDNNAGNDISLYANKKIYLNKSKEIVGTVKGYFPQLGYITIWISENKYAKFALLGMLGLSALLGGE
VIMSS6583634 247 970 0.327209668508287 PF04082.18:Fungal_trans:75:350 Transcriptional activator protein DAL81; Regulatory protein UGA35 970 276 13 724 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21657 1 SwissProt FVGPASVFDLNLTKHVRLDNVDQIQLSKTLSLRKVSPTAQFILQDDFDTTLHSKQEYEVDLVENLVHPHGHLLVEIFFKLIHPFLPILHERVFLEKYSRSYRELTAPLLASIYSLALQYWDFHPALLGFPKPDVTAQLNNIALETFYARVGRPKLSIIQTGLLILQCRSECHNNWVLCSSVVALAEELGLGVECNDWKLPKWEKDLRKRLAWAVWLMDKWCALNEGRQSHLILGRNWMIKLLNFDDFPLNSPTILNSLQNDQSGSSPSSSNDVKNHQIAFGNLPIFNINPTLEDFKNGTLMFQQMVSLSIILGEIMDTFYTQGSMTINKSIEQVLKLAKPLQLKLREWYHSLPKNLSMSYATPQKLNSNSTLTLAYFATEITLHRKIICALNPQTPKELVQVCRTAARTRLVAAIEFIRDLKNEHINAFWYNCSTGNLMLIGTFAALLYVTSATKEEAMIFRDYVRNYTWVLKIGSKYFDKLSNALNNMHLLFAQIPGLLTDEPVVVSPNSNINSVNPQRSGVQSQIPIQFNVGSPAMTEQGSPLNQWKNLPQEILQQLNSFPNGTTSTTTPVNPTSRQTQLESQGSPAINSANNNSNNTPLPFAPNKSSKKTSQSSPNVTPSHMSRHPPSNTSSPRVNSSTNVNSNTQMNASPLTSINETRQESGDAADEKTAGRERTANEESSTELKDDNPNSNQETSATGNQTIKMNDDKNVTINTRETPL
VIMSS6583767 1 560 0.388869821428571 PF00628.29:PHD:163:209,PF00856.28:SET:331:472 SET domain-containing protein 4 560 189 13 560 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P42948 1 SwissProt/TReMBL MTSPESLSSRHIRQGRTYTTTDKVISRSSSYSSNSSMSKDYGDHTPLSVSSAASETLPSPQYMPIRTFNTMPTAGPTPLHLFQNDRGIFNHHSSSGSSKTASTNKRGIAAAVALATAATIPFPLKKQNQDDNSKVSVTHNESSKENKITPSMRAEDNKPKNGCICGSSDSKDELFIQCNKCKTWQHKLCYAFKKSDPIKRDFVCKRCDSDTKVQVNQVKPMIFPRKMGDERLFQFSSIVTTSASNTNQHQQSVNNIEEQPKKRQLHYTAPTTENSNSIRKKLRQEKLVVSSHFLKPLLNEVSSSNDTEFKAITISEYKDKYVKMFIDNHYDDDWVVCSNWESSRSADIEVRKSSNERDFGVFAADSCVKGELIQEYLGKIDFQKNYQTDPNNDYRLMGTTKPKVLFHPHWPLYIDSRETGGLTRYIRRSCEPNVELVTVRPLDEKPRGDNDCRVKFVLRAIRDIRKGEEISVEWQWDLRNPIWEIINASKDLDSLPDPDKFWLMGSIKTILTNCDCACGYLGHNCPITKIKNFSEEFMRNTKESLSNKSYFNTIMHNCKP
VIMSS6583791 1 731 0.2090560875513 PF10300.9:DUF3808:39:634 Inclusion body clearance protein IML2; Increased minichromosome loss protein 2 731 596 13 731 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47031 1 SwissProt MFRVFGSFGSKGNQSSGEEQSTKTKQVLKQANDFEIALKAMDFVLDDRTDEGLNLLKKAEMETGSDQTILTLARGVIEFLQATLSFETEEMKRAAITLGKAEQMSWKSKQNAEKTNFRSSSIYPPGTVYAVTYTESCLLHALLMLFSESMMEAAKALLKLRRAYTMLQDIMVTVKKAERSKNSSSPSPSEKSQESCGSFVSAETTFISVDIPYKLSSEDKSNPLLLEFAEKIYTMRMGRLSGAHIGNTPSFHRLRDDLGLQTTPSQASDRHSVSDDFDLEQATIDEFIHSGANLCYGILQVVLSLLPPAIGAVLSIVGFKGSREEGLRLVWKATKERNVHGCIGLLGLMFYYDGPFQFTDADFDIPPNDNGSRALNKSRTNDSSLLPGYMDSATLLHPGKILEDALLKARALFPNSALWLLNEAKMLAGKGRLRDSLALMDSIDVNSIRMRQVKSLMVFERAILLVNLHEYNRAADDLISLLDISDWSHALYTYFAGCCYLENWRMTQLGLLNDGKEQFYKERARELIFDAPSLLGKKTFKSKNLPLDRFMLRKVQQFNNMQKKLNLQEPLDSIATSPVHELAYFYNGYNRMTENDLILTKKMLTEYHNPAIDSEDPDQELIRNLLLSLTLRRLGDAERGLALLDDIVLPKIFYIQNGKVKYFKKTEDPWAYPAALYERALFCWKLGGMESLNECREWLLRAQNYAADYELSTRIGMKIKAALDRVENALA
VIMSS6583858 1 347 0.274162247838617 Protein PET130 347 0 13 347 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47065 1 SwissProt MKIFSTLLSQKPKGKLVIRPSTTIHSSDPFSKFIVTKNTEPLSLGDLRKSDSGNSAVCLNAENTILSTLTDLQKEEERNWDPVKFVAGKLRGVISPIQAYVTIGKKFSPNSLVYTSRFFQLHYFPEDHFMSCFRKSKPAITVKSNKKFYLNGKVFNKDKEYFNETRISKANEVELSKIQTAMTRLTNRHRNSIPSEFAYLRRDLKLKVKTTFIKEWCKLNGDKAIREYVNLNRSPNINPASMKGKPKKSFLDNLGRSTVGTAKDGYYLYIVSIFPDKDMLGEFNDEVNRSVQKVANLDWDGFLTPKKGTKGKNWVESFNDSINVQTINKILEINKFPFELRREQTEG
VIMSS6583973 143 322 0.283930555555556 PF00153.27:Mito_carr:8:64,PF00153.27:Mito_carr:71:163 succinate/fumarate mitochondrial transporter 322 150 13 180 0 Saccharomyces cerevisiae CharProtDB::CH_090997 1 CharProtDB HLTPSEPNAGPKYNNAIHAAYTIVKEEGVSALYRGVSLTAARQATNQGANFTVYSKLKEFLQNYHQMDVLPSWETSCIGLISGAIGPFSNAPLDTIKTRLQKDKSISLEKQSGMKKIITIGAQLLKEEGFRALYKGITPRVMRVAPGQAVTFTVYEYVREHLENLGIFKKNDTPKPKPLK
VIMSS6584001 1 337 0.190093175074184 Putative transferase CAF17, mitochondrial; 57 kDa iron-sulfur cluster assembly factor for biotin synthase- and aconitase-like mitochondrial proteins; CCR4-associated factor 17; EC 2.1.-.- 497 0 13 337 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47158 1 SwissProt MFISRRCRIKGFTLKNLLWFRSSSTRFVSTESPDASAITKPDGIFNYSLLENRTYIRIRGPDTVKFLNGLVTSKLLPHFIKKNLTTVEENEVPTEEGTTKVDPIIPVPEFDARLGNWGLYNEKGIQGPYISRFGLYSAFLNGKGKLITDTIIYPTPVTVSEQISNYPEYLLELHGNVVDKILHVLQTHKLANKIKFEKIDHSSLKTWDVEVQFPNLPKDIENPWFDNLLDPMALPKNSIDANNFAVNVLNSLFNSDPRILGIYVERRTESMSRHYSTFPQSFRVVTSEQVDDLSKLFNFNVFDFPFQVNKKASVQVREIRFQKGLIDSTEDYISETL
VIMSS6584049 1 1286 0.24142566096423 PF02538.14:Hydantoinase_B:747:1283,PF01968.18:Hydantoinase_A:242:535,PF05378.13:Hydant_A_N:7:223 5-oxoprolinase; 5-oxo-L-prolinase; 5-OPase; Pyroglutamase; EC 3.5.2.9 1286 1048 13 1286 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P28273 1 SwissProt MQKGNIRIAIDKGGTFTDCVGNIGTGKQEHDTVIKLLSVDPKNYPDAPLEGIRRLLEVLEHKTIPRGIPLDISNVRSLRMGTTLATNCALERNGERCAFITTKGFKDSLLIGDQTRPDIFNLNIKKVVPLYDTVVEIDERVTLEDFSEDPYFTKSSPNEQEGILEGNSGEMVRVIKKPDESSVRSILKVLYASGIKSIAIAFLHSYTFPDHERIVGNIAREIGFSHVSLSSEVSPMIKFLPRAHSSVADAYLTPVIKKYLNSISAGLSHAEDTHIQFMQSDGGLVDGGKFSGLKSILSGPAGGVIGYSSTCYDKNNNIPLIGFDMGGTSTDVSRYGDGRLEHVFETVTAGIIIQSPQLDIHTVAAGGSSILSWKNGLFRVGPDSAAADPGPAAYRKGGPLTITDANLFLGRLVPEFFPKIFGPNEDESLDLETTTLKFRELTDVINKDLNSNLTMEEVAYGFIKVANECMARPVRAITEAKGHVVSQHRLVSFGGAGGQHAIAVADSLGIDTVLIHRYSSILSAYGIFLADVIEENQEPCSFILGEPETILKVKKRFLELSKNSIKNLLSQSFSREDIVLERYLNLRYEGTETSLMILQKYDDQWNFREWFSEAHKKEFGFSFDDKRIIIDDIRIRAIGKSGVRKEKTVDEQLIEISHFKKADVSKDASFTQKAYFDNKWVDTAVFKIDDLPAGTIIEGPAILADGTQTNIILPNSQATILNSHIFIKINQKAAKTLSKSGYELDIDPILLSIFSHRFMDIALQMGTQLRKTSVSTNVKERLDFSCALFDSKGNLVANAPHVPVHLGSMSTCISAQAKLWEGKLKPGDVLITNHPDIGGTHLPDITVITPSFSSTGELIFYVASRAHHADIGGILPGSVPPNSKELYEEGTAIYSELVVKEGIFQEELIYKLFVEDPGKYPGCSGSRRFSDNISDLKAQVAANTKGIQLIGSLTKEYDLATILKYMAAIQTNASESIKKMLAKMVEHFGTTKFSGEDRLDDGSLIKLQVIIRPEKEEYIFNFDGTSPQVYGNLNAPEAITNSAILYCLRCLVGEDIPLNQGCLKPLTIKIPAGSLLSPRSGAAVVGGNVLTSQRVTDVILKTFNVMADSQGDCNNFTFGTGGNSGNKTDKQIKGFGYYETICGGSGAGADSWRGSGWNGSDAVHTNMTNTRMTDTEVFERRYPVLLKEFSIRRGSGGKGKYTGGNGVVRDVQFRKAVTASILSERRVIGPHGIKGGQDGSRGENLWVRHSTGALINVGGKNTIYAQPGDRFIIKTPGGGGFGQYKD
VIMSS6584109 1 628 0.374264490445859 PF09243.10:Rsm22:126:326,PF09243.10:Rsm22:465:545 Probable S-adenosyl-L-methionine-dependent RNA methyltransferase RSM22, mitochondrial; EC 2.1.1.- 628 282 13 628 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36056 1 SwissProt MMKRCFSILPQNVRFSSKFTSLNLPKLDLADFIDSNKRGINVLPSYRDETASTTQATNSKELRLLSKTLQGQSYRDQLELNPDVSKAINNNIMAVHIPNNLRRVATNYYKEIQEPNSLHRPCRTKMEVDAHIASIFLQNYGSIFQSLKELQKRVGPDNFKPQRILDVGYGPATGIVALNDILGPNYRPDLKDAVILGNAEMQERAKIILSRQLNEVVDTVEENVSTEKEQETDRRNKNFQEDEHIGEVMTKKINIMTNLRSSIPASKEYDLIILTHQLLHDGNQFPIQVDENIEHYLNILAPGGHIVIIERGNPMGFEIIARARQITLRPENFPDEFGKIPRPWSRGVTVRGKKDAELGNISSNYFLKVIAPCPHQRKCPLQVGNPNFYTHKEGKDLKFCNFQKSIKRPKFSIELKKGKLLATSWDGSQGNASRLKGTGRRNGRDYEILNYSYLIFERSHKDENTLKEIKKLRNENVNGKYDIGSLGDDTQNSWPRIINDPVKRKGHVMMDLCAPSGELEKWTVSRSFSKQIYHDARKSKKGDLWASAAKTQIKGLGDLNVKKFHKLEKERIKQLKKEERQKARKAMESYNELEDSLQFDDHQFSNFEVMKKLSTFHGNDFLQHVNRK
VIMSS6584183 1 392 0.263329846938775 PF14610.6:DUF4448:143:347 PMA1 stabilization in the Golgi protein 1 392 205 13 369 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36081 1 SwissProt MRFHDSILIFFSLASLYQHVHGARQVVRPKEKMTTSEEVKPWLRTVYGSQKELVTPTVIAGVTFSEKPEETPNPLKPWVSLEHDGRPKTIKPEINKGRTKKGRPDYSTYFKTVSSHTYSYEELKAHNMGPNEVFVEEEYIDEDDTYVSLNPIVRCTPNLYFNKGLAKDIRSEPFCTPYENSRWKVDKTYFVTWYTRFFTDENSGKVADKVRVHLSYVKENPVEKGNYKRDIPATFFSSEWIDNDNGLMPVEVRDEWLQDQFDRRIVVSVQPIYISDEDFDPLQYGILLYITKGSKVFKPTKEQLALDDAGITNDQWYYVALSIPTVVVVFFVFMYFFLYVNGKNRDFTDVTRKALNKKRRVLGKFSEMKKFKNMKNHKYTELPSYKKTSKQN
VIMSS6584213 1 922 0.524954121475055 PF12757.7:Eisosome1:349:465 Uncharacterized protein YKL050C 922 117 13 922 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35736 1 SwissProt MSLISALQTTDVESVQTSPEQITERKAVRVSTLQESLHSSEMHRAAPETPRSISNSVHKLKTIYSTYQQSGQPLSKEAIFRAKQKYGILNTPANYKTLGLGDSKSESVDLAARLASKRTKVSPDDCVETAIEQKARGEAFKVTFSKIPLTPPEDVPITVNLGLKGRRDFLTRLAAQKALAFSPSLDNSMKGTSDSSSVKKKRFSGAPIGNEFDANLVNPQHPAGFKSLDLSKVLDGAERRAISRVNDRLYPQKVNFKNGLQSSDQSGVSKANKEVFKKGTLEKLEHSAEQFLESHAGNERQRLSDQQYMCAKGAADAVKDLDPKTLEDPDFAAREAQKKLYIKQVASPVVLNEAQKLANRKLQDIDSRDTYMLLFGNQAYNKLAVNIALQHYSVKQEEKKKIYLGGGLWMTPEEVNAVAKKLISPVVNEIDERASRQRDVDKDIERRSRVLDQEYEDGNSMERAKEQNDGQLLLAMASKQQQEKEAKKAEEGQRYDQFVQKMNIKLQQKEKELENARENRENLRNELQERLSKNLSGENDELNDWNDACERDLKNSSIEHYYAVRSHFDNLGNSERGYDELLEERSKIQVEIERLVASIAEHKTAIHGFGETADAGGAIPAVQKQKIPTRKDLLDATVNDPLVISAEMAKEEAEMATEECMLKELQVDEMIIIRNIMLRECEKKLEEEKETAKRSRRGTEESKNNSNFSRDVIMSTPDNNEKVTPIGKSASPKDVVKSRFLSTYNTGKDIDSSASARSITGVSGVLDDGPKTPTSNKENELIDDEVKSYKVHQAVDGTGEDSIANKRDKSSRPAANSGGSITIEQFLFNKNADKQGLSKTESVTMKREPVVDQMDSKKGHDFTHCNDNGRRSFSGFSQGSIENDYSNEVTDDQDDQEGSEIRVRDSNDSNTSPKESFFKEVI
VIMSS6584235 170 447 0.25340035971223 tRNA threonylcarbamoyladenosine dehydratase 2; t(6)A37 dehydratase 2; EC 6.1.-.- 447 0 13 278 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36101 1 SwissProt GERLTLGNGTPDFIVDCIDNIDTKVDLLEFAYNHGIKVISSMGASAKSDPTKLNVGDLATTEEDPLARVVRRKLKKRGILSGIPVVFSAEKPDPKKAKLLPLPDEEYERGKVDELSALKDFRVRILPVLGTMPSLFGLTITTWILSNISDKPLEPVEGKNRIKVYDGIYQSLAGQMSRVGIPSQRIPLALKDVSYLVEEVFKGKSPISGISTRLTLTKWDPSKPISLQNVVVLTKNEQKVHEDRVLKGKESLQDVYDAKVLKLVSQRFREEAYYSQFR
VIMSS6584320 1 558 0.29461523297491 PF01938.20:TRAM:164:227,PF05958.11:tRNA_U5-meth_tr:425:552,PF13847.6:Methyltransf_31:491:550,PF13649.6:Methyltransf_25:493:548,PF06325.13:PrmA:489:546 tRNA (uracil(54)-C(5))-methyltransferase; Transfer RNA methyltransferase 2; tRNA(m5U54)-methyltransferase; EC 2.1.1.35 639 192 13 558 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33753 1 SwissProt MYEQFEFSFFFFENSDNKVKYKAHLISSIKRWSIITCMRCFWTVQKSIFKARFFACRNFVKKHNYKLISTMTGSTEMVPPTMKHTVDNKRLSSPLTDSGNRRTKKPKLRKYKAKKVETTSPMGVLEFEVNDLLKSQNLSREQVLNDVTSILNDKSSTDGPIVLQYHREVKNVKVLEITSNGNGLALIDNPVETEKKQVVIIPFGLPGDVVNIKVFKTHPYYVESDLLDVVEKSPMRRDDLIRDKYFGKSSGSQLEFLTYDDQLELKRKTIMNAYKFFAPRLVAEKLLPPFDTTVASPLQFGYRTKITPHFDMPKRKQKELSVRPPLGFGQKGRPQWRKDTLDIGGHGSILDIDECVLATEVLNKGLTNERRKFEQEFKNYKKGATILLRENTTILDPSKPTLEQLTEEASRDENGDISYVEVEDKKNNVRLAKTCVTNPRQIVTEYVDGYTFNFSAGEFFQNNNSILPIVTKYVRDNLQAPAKGDDNKTKFLVDAYCGSGLFSICSSKGVDKVIGVEISADSVSFAEKNAKANGVENCRFIVGKAEKLFESIDTPSEN
VIMSS6584385 1 769 0.111918725617685 PF00172.18:Zn_clus:14:47 Putative protein of unknown function with similarity to Pip2p, an oleate-specific transcriptional activator of peroxisome proliferation; YLL054C is not an essential gene (RefSeq) 769 34 13 769 0 Saccharomyces cerevisiae VIMSS6584385 1 MicrobesOnline MSIASQKKVKPSFVCLRCKQRKIKCDKLWPTCSKCKASSSICSYEVEPGRINKSPTIENAPHRDIRNITPASMSASGSFTSILNPSTKDWEMKNFAMNLSNAHDKLVVMNNTTIVDSPFAFHSILQHDLYAKALTTCIHERILIDVERHRENVSANNKKRELNLTIGDIGPLFFIDKAALKFIENTSKTSKLYPPIDFLYNTYDYEQAHPEENNDKISINILLEELSKYFLNKNEVDGLIVDFYKTIYPVYPLLEISLFEDNIRELLQLNEFNGYNIVFAGKDSRRKLETITLLTIILAFSYRRLSLSTSHSFKESFGVKSNNLTLLAHKLLALMNVFQYVNEHTLCCLLYFFILRYLNPDQADMYPTHSDILNLKFLENVAIKLGLNEEPFQYTRYVSESDDYPRLFNLRRKLWLGVQFLKFGILIPEGDSDILSLEYLRSFMKTDESLPELFERNYASTNNLDLSLMATAENIYHLHLSLQVLLTSCFPINGPSYLKEVLDNIDKTKDFLNQKFPLILSSLGEPRMKSLHINVPSSLANEESFDFSTFEENETFIANVISYTCTMNIYDSLSLHFENQCFKNALEYKTYYHRFTFTAIQDYLTLLKLISEYFNGSLLHLREPFGFATQKVVRFSIHRLLIFQATLLVRLFYKKDTCDRSSAAMGMLNDRNGRLSRVIEKMIKLMSYHMKLLVEIVISKLEKSYLGSFISVSIFRYIIYLVDTDALSAFISDYWKSDAVMDERYSRIHRIVGLKWGMGRDKSFSFTQN
VIMSS6584515 1 244 0.386012704918033 PF12352.8:V-SNARE_C:154:218 Protein transport protein BOS1; Bet one suppressor 1 244 65 13 226 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25385 1 SwissProt MNALYNHAVKQKNQLQQELARFEKNSVTAPISLQGSISATLVSLEKTVKQYAEHLNRYKEDTNAEEIDPKFANRLATLTQDLHDFTAKFKDLKQSYNENNSRTQLFGSGASHVMDSDNPFSTSETIMNKRNVGGASANGKEGSSNGGGLPLYQGLQKEQSVFERGNAQLDYILEMGQQSFENIVEQNKILSKVQDRMSNGLRTLGVSEQTITSINKRVFKDKLVFWIALILLIIGIYYVLKWLR
VIMSS6584547 1 485 0.15210969072165 BTB/POZ domain-containing protein YLR108C 485 0 13 485 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12259 1 SwissProt MSGQKGEIVVYTKELETTPELLPNHEVFKIRIGQKLFEISGATLNSDAPNFFTQFFNTHDKNTILFVDRSEDVFIIIYRHLQGYFPDIKNEVQFTCLFADALYFQLPKLVKLIKEYDYHFTNIGGVPFKVPKSLFHEEGNRLNYFETISRISYEEIEKWESNKKPGFPPLLPPSYIARSPEFFRDILSLLGGSKLELSEERTASLIKECRYYRLNRLEQELVRAKIIYNPLTNCQEVCIALDSVSKKGVTIERLTSLHTGNQSLAVSSCLNGTEGEKAATGFHKTETDSGNNDEYEPPTKKVKHCIERHWSMLKYQRPYIDTVSHDLIFQLHSNQCKIIFNKKNKTVHVDLSREAAVLFENKFSDVLLENPDFKIDLSEYKVKLRDSQMQVESHLIIPACVSICDLTVNGAKCCNIFSLVNDSKCKGRVLDCTNLKVLNCVHGLKLHLSKSMWKLGTNNGRIILVAVKAETFSGTKEYCKMIDFL
VIMSS6584659 1 721 0.343318030513176 PF02893.20:GRAM:571:680,PF00169.29:PH:239:333 Sterol 3-beta-glucosyltransferase; Autophagy-related protein 26; UDP-glycosyltransferase 51; EC 2.4.1.173 1198 205 13 721 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06321 1 SwissProt MPITQIISASDSEAGPKPSISLVPDKPSEPETSPRHHRLSRSLSKFKRWRGRSNSSLSMGSSEQQELQDSPNEARSDDDENGYNNDNADDLAKSKYMMKSIAGLLTTASVYAGMNNAQEMNVLSQVDSEESDSSDSFQENIGRNEVKSKKENLKTKSHPEVPRLDKRKPTLFDFSITREKLSKDNVAKLRQRFCLDEQEPFLNDFPAWLLKDVLVQGHIFITTKHFLFFAYLPKNPRSVKMSGNLNIRTKLIRSTRYWCVLKNHLFSMYTSSTELYFPVLTIDLREVQKIETQKHTLNGSATKTFKLYTDESTFKFNADSEFSAKSWVNALKKEQFAAQNSENNSISLKIPLPNIIEIDDQPIVNKALTLRLRALESSQTYAIDDFMFVFMDGSGSQVKESLGEQLAILQKSGVNTLYYDIPAKKSKSSFGKETPATVEQKNNGEDSKYLNVPTSAVPSSENGKKSRFRFRERSNSWFRRAKPLEDSQVEDVEEIYKDAANDIDSSVHSTIHIHEQEDSQEQTVAWKPSHLKNFAEMWAAKPIHYRNKFIPFQKDDTYLIKETEEVSANERFRYHFKFNKEKSLISTYYTYLNRNVPVYGKIYVSNDTVCFRSLLPGSNTYMVLPLVDVETCYKEKGFRFGYFVLVIVIHGHEELFFEFSTEVARDDIERILLKLLDNIYASSAEGSNISSASLGDVQHNPDSAKLKLFEDKINAEGFEVP
VIMSS6584821 1 807 0.219814993804213 F-box protein YLR352W 807 0 13 807 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06479 1 SwissProt MPDLKSKTLSSQSLGAAIPPEIVYQILTYQFRDLLRNDHPGTAEKFNENLTTFVKSNLTVNKTFSHICQVLIYRYCNLTTAKRFHGLLQTLKGNRCLCNKIEVADFQELTSIGLGRSSEMNKMIKNLTNETLLEFLMLTKANLREFLACENIQDDLDDNIIKYILSPGKVLSVVDFCGCSGTTFTESFIKALDKYYPNKSIDQYRLEPIQQNYQITCLGLNDCIDLPSHVLWKILKMLPELQKLDLSHTSIDDSTLYHGIPHWKNLTHLSLATCLQVTPRAVLEFFSHHPTITDPDNTSTLEWLNVSVIAHSSSWNEVHTMFLLKKLCQHGHNKTLQYLNIGGLPLHVAPSFGEDPISESTYYYQCRDSLQFIKWNFPKLKSLSIKGNSIPISTLVEFLTPIDQDHPNCAQKLKFLNISGNSYVNKWTIQDSLLYTCSPSLVALEVSFDSWQQIEKLNDRHEIIAYRYKNPNSVIKDISTAEQVKWKCYIDSSYGRRYWLYKTDPFLNRDDLDSKSNLTRYDFEGHKIIEIINQPDFLKFAQSKIMLGCGLVPQSGIRRKLCYRDLKPPVSQFLNRKGAISLGDTPLPIITPTLPRGGWRIIHNEDDNNSHVEDSQNHVNAIPRRNSLLSRPTLRSNNGSSSANPFAINVSPASQIRDGLYWDRSVHDLRELSLQEQRIQELADEQQELRTIANFEETDDEYLHDPDLQRRRSQLHLFESSRSRSGNKTRPSLTGEHSSSASFLSFSHFNHLHKRKNYYFTHPDEFVYDPKDPLTTQRYRLHFEIVNEYQVFGCIERGMYRYYSLKA
VIMSS6584901 1 453 0.391727152317881 Autophagy-related protein 23; Cytoplasm to vacuole targeting protein 23 453 0 13 453 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06671 1 SwissProt MELNQVLEKKEQILQYLGTLVGLHEKALSDVNSASQVTSIRKDITICLNDLCRINDLLVSHDGLLKREIGSLLRDKQELLELNEREQLLWKERKSWHIKQETDAAPADYVIDKDAIITISSHHRTSLNKYIESVGAENTILSNTDDSDAMIEEVQNAESSADQMIRNYKLLQLSHKQAKSEIIRLETLLRDFKKDNKFIEEELKRQSGRIRSEMGNIDFHLSKIEESKHQLMKRIGFESPLTQEKSLSEKIFNLRLSSADEDYNERQTINMKNFVHMKDLIELKIEDLQEQLMRNKNESSTVLTQRELWLDCQKKVGDLESKLITKLRSSSNSKIPPNEMSEMINSTIQYLNNLLDSSDEKLTTTLISNERDVLSKACEELHSESTTAQDGSSALPSKPIDIHKSHKGSNASSNLKQPSTPSFLVASKSPPKIGISESVVNANKNDAISKKVE
VIMSS6584954 1 510 0.539736862745098 PF10406.9:TAF8_C:175:222 Transcription initiation factor TFIID subunit 8; TAFII-65; TBP-associated factor 65 kDa; TBP-associated factor 8 510 48 13 510 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03750 1 SwissProt MTSKTSESGTGTQSTIVQLRNLPDLTEISHLEIDAPVVEILKKTVLFQLNSLNICISNFALDELVNLVTVQMDGMFRNLHNLTLLQRRSQASQADLKLLLREFNLDAPSLYQQFQASEFIKSKHSTEYEKLMSWSSLAALPHNEEDEEDELNNIEEQQNEINVLLPPSNPLEKQIPSWLPNFPPDHTYKFTPEFNHPITDLKTIKKEIVKESQESEKALLNLNKSLSHISSASNTPQPPGLDDEDAIEQQLEIWGNALEERKPTITEKSFNENNIEQYAKYRVELARERVTKFEVNQLKRTKNPFLKISETLYLPESPHQSHKTIQKTIELQFRKSMTLFMHNLPKVQKLKKEKIRMAKEERAKSLKRRQEELISQRTKREQDEGHDLELLLNNEHARDAADDTTTPNALNNSTIVINTNAEDEDDDINLFGILGSSEDENEMSSMPAENLVAESEPPTMTAQDTTNTTPVAHNTTNIDATTSHSPHSTPNENAPTSPPADIATDHDITM
VIMSS6584982 157 526 0.192354324324324 PF04030.14:ALO:30:357 D-arabinono-1,4-lactone oxidase; ALO; L-galactono-gamma-lactone oxidase; EC 1.1.3.37 526 328 13 370 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54783 1 SwissProt ELKFLDAENDPEVFKAALLSVGKIGIIVSATIRVVPGFNIKSTQEVITFENLLKQWDTLWTSSEFIRVWWYPYTRKCVLWRGNKTTDAQNGPAKSWWGTKLGRFFYETLLWISTKIYAPLTPFVEKFVFNRQYGKLEKSSTGDVNVTDSISGFNMDCLFSQFVDEWGCPMDNGLEVLRSLDHSIAQAAINKEFYVHVPMEVRCSNTTLPSEPLDTSKRTNTSPGPVYGNVCRPFLDNTPSHCRFAPLENVTNSQLTLYINATIYRPFGCNTPIHKWFTLFENTMMVAGGKPHWAKNFLGSTTLAAGPVKKDTDYDDFEMRGMALKVEEWYGEDLKKFRKIRKEQDPDNVFLANKQWAIINGIIDPSELSD
VIMSS6584985 1 393 0.304739694656489 Putative cystathionine gamma-synthase YML082W; O-succinylhomoserine (thiol)-lyase; EC 2.5.1.48 649 0 13 393 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04533 1 SwissProt MVSAQVATELGQPIPLDTQHAVSVCFPTWKSVISYVEKDPKVLGCLKSGYPRFWIHPSIQKLRDILIEKYAKENETCFCFPSYRVAKRCREYVRRKCAHRNGKVRILQLATAKPINEEQKTWKRECKIAVVFVDGAYENILKQYWQYTGEIISSRLAEYVLHELFMVEKKSSPAEEKEYIEMRYGRNLNFAFADRAKELIKKRIATKVIDKDEHDEEENYHFLAGNQDEQDFQDTFLDSSLNEANHGEDHDGGISGEVDSQEEPHNGLVSTIPPEPIEMSTIEEEQSVEEDAGRCALRVCPERDVFLFPSGMASIFTAHRLLLQWDSLRLNRSRNGSDVTSSPPNKKTVIFGFPYADTLHVLQEFNETYFLGEGDESSMKELTKILHSGEQIL
VIMSS6585081 1 595 0.23546756302521 PF01735.18:PLA2_B:101:587 Lysophospholipase 2; Phospholipase B 2; EC 3.1.1.5 706 487 13 595 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03674 1 SwissProt MQLRNILQASSLISGLSLAADSSSTTGDGYAPSIIPCPSDDTSLVRNASGLSTAETDWLKKRDAYTKEALHSFLSRATSNFSDTSLLSTLFSSNSSNVPKIGIACSGGGYRAMLGGAGMIAAMDNRTDGANEHGLGGLLQSSTYLSGLSGGNWLTGTLAWNNWTSVQEIVDHMSESDSIWNITKSIVNPGGSNLTYTIERWESIVQEVQAKSDAGFNISLSDLWARALSYNFFPSLPDAGSALTWSSLRDVDVFKNGEMPLPITVADGRYPGTTVINLNATLFEFTPFEMGSWDPSLNAFTDVKYLGTNVTNGKPVNKDQCVSGYDNAGFVIATSASLFNEFSLEASTSTYYKMINSFANKYVNNLSQDDDDIAIYAANPFKDTEFVDRNYTSSIVDADDLFLVDGGEDGQNLPLVPLIKKERDLDVVFALDISDNTDESWPSGVCMTNTYERQYSKQGKGMAFPYVPDVNTFLNLGLTNKPTFFGCDAKNLTDLEYIPPLVVYIPNTKHSFNGNQSTLKMNYNVTERLGMIRNGFEAATMGNFTDDSNFLGCIGCAIIRRKQESLNATLPPECTKCFADYCWNGTLSTSANPEL
VIMSS6585173 1 960 0.773503645833332 Eisosome protein SEG1; Stability of eisosomes guaranteed protein 1 960 0 13 960 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04279 1 SwissProt MFRRRTTAPEMEQADPTAVAAAASIGKLLMKKGNQSDNEQRPTYRSASMTNLRKPSAPKRMSSISSISSESRRSDGKGRPGKINSLTQRSSMGKGDSLNSPLTKEPQHKTRSHNRTSSLPNQRGQQSRNSSGLQRQKSKTHQRISYDEAQRTFKDFGGPQARGILTGQHRTENPSGSIPLRTTRKYIPGPNGLVAIEVPVEKPSNANTSKLLRRSNSAHSALNARNGSLLRKKVSQESLHSQPKKTSSLGNTSSTQAKKGQKAVQERNLAKKHPINSNVPLIETQVREETDQELKLDNSNSSESETVVNSENNLEKPSSLNIEKDDLSKLIHENIELESFIEEKGKEKPLNSDQEDVFISEKTVKGDVQWPKNNRQASTLEKTFNYDNEEKREGNKPVAEHPLPEAEVDDKSVEQLNNISSSGSYSAQGSVENSKPENGNKNLENDTTSSPTQDLDEKSRSIEEKDTLEGTESSKKVEKPDNCCEKISGANTSSKKGNVGDTKDEFFDTVEESDQKTSKSNSSKNTDHSNQTEPTPSLAQYLRTSNTYLSRKNQSKQAEQEKFHKPEAPMVPVTKVVTPIKSALKKSSGSSNHDSSMYSDNSPANGAYLSLTTAENTRLNAQMTMSDSVSRRASLKRSSIKRPQSVGQFRSIRSNSPSPPEKINNKRHSAIPLGTPEKGKPKRNSVMASLSKNSQQIQEPASVYESNGPNKPKNQINKNIKRGSQIAQNNKPSTKDMNSILYPKEPPPRKSSFEKTRSNESHLGFKKLSLRNGNFEEALSESYNGQASQNSTNVNRTDTAQEFFKYLGHSSRFADSDSEDESQFFNQGPSKYNTETEGNKTSGNKNSNGGNGAFSLFKSKSKQKENNVVSPGVSSPNHTTTDPAITSKKVDKKFSGLSLRAASEAEPAKNSNPSMTNRLRFSSNPENGESRLPQAQEVSVTKEKKGSFGKKLKKIFGRKK
VIMSS6585196 1 115 0.632987826086956 PF17325.2:SPG4:3:114 Stationary phase protein 4 115 112 13 115 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04438 1 SwissProt MGSFWDAFAVYDKKKHADPSVYGGNHNNTGDSKTQVMFSKEYRQPRTHQQENLQSMRRSSIGSQDSSDVEDVKEGRLPAEVEIPKNVDISNMSQGEFLRLYESLRRGEPDNKVNR
VIMSS6585212 1 84 0.311997619047619 Uncharacterized endoplasmic reticulum membrane protein YMR122W-A 84 0 13 66 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E842 1 SwissProt MASSTSTSASASSSIKTNSALVSNNVVAASSVSATSTASSSAAKNTTSSSKNAAPGMVANPVSSKYGIIMAAFAAVSFVLGTGI
VIMSS6585292 1 1088 0.264377941176471 Uncharacterized protein YMR196W 1088 0 13 1088 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04336 1 SwissProt MNKLRDKFVDSTVEEERLRENRNHEKYWYRWGPYLSERSWATVREDYSLNGDAWSNFPFEHANARVFRWGEDGLFGVSDNKQLVCMNVALWNGKDERLKERLFGLTGPQGNHGEDVKELYFYLDNTPTHSYMKALYKYPFKKAFPYKELVQKNGERGYEDKEFEVYDIDGLYRDSETGDNPYFDVFFEMAKDDENPSELNFRLTIHNRSKIDSGELYIAPQLFFRNTWAFDGTRTKDKPLLERDAEAANLINMTHKKYGNCQMVFQPSPGGFSSGTNEEEEDKEVEDIDPLLLFTDNESNLVKLFNEEKNPSEYTKDAFEEYLVQGKTDAVNPENKGTKACAVYHFKNIPPGEYVTVRYKFTNDPKNSIFKAQNLAVVDEDEFDLIFDNREEEADNFYWRITPLPISDELRNLQRQAFSGLLWTKQFYNFTYDAWYNGDANVKPRPPPNRANGRNKNWKHLYIEDILSMPDKWEYPFFASWDTAFHCIPLAMIDPEFAKRQLDLLTREWYMHPNGQIPAYEWNFNDVNPPVHAWAVYRVFKIERNMYNREDRTFLERVFQKLLLNFTWWVNRKDTEGKNVFEGGFLGLDNIGVFNRSEPLPTGGTLEQADSTGWMAFFSLQMLNIALELAKENPVYEDIASKFFEHFILISDSMSFEYATDITGEKCKEVIKQNLWNEADKFYYDAISWGDHKVQLPIRSLVGLIPLYASMTLEPSIIKQFRGFKKRVDWFVNNRPEIFDRNIASMSKKGVGERLLLSLVTKERLTAILSRLLDETEFLSPYGIRSLSKYHEKHPFEMNVNGVEYMVKYLPGESDSGMFGGNSNWRGPIWFPTSFLIMEALQRFYLYYGSDFKVECPVGSGDYLNLAEVAEELGYRMIHLFVPDENGERAIHYGDHSKFLSSDPYFRDYVPFFEYFDGDTGRGLGASHQCGWTALVAKWISDVGISCVRLPRTPRSSVATTASTESSEQGPKMKRMARRKSAKSLVNYTATILDLTEEEKRHHRIGGTHSGLTPQSSISSDKARHLMEEMNEEEGIHETVVPEDRHNFETKLIGKLKDKVKNMKVTDKAKDEDIDPMDPMSPLNKDVS
VIMSS6585316 1 1658 0.708142521109773 Silent chromatin protein ESC1; Establishes silent chromatin protein 1 1658 0 13 1658 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03661 1 SwissProt MSKKKETFTPRANKLKLTTPRRKLKILSSLLDADEDSKMKDQHGYSRVHNDKYRVAKPTQHSTLHESISSRRSSHIHNKSLHEDSARALSWVDSLINRGKSILTTLEKEDALFERSLEEERQRFQLHDSLMNKYTGNSKSHQRLIDLRKSQYGTDTSFQNNDEIPLDSFISSPLPDAEDESSSNIDSDKDEDLEGKQSLIKDFDLENDEYELSEEEKNSDGQSSPSIMILSDEEYAEEGALQDVSNDEYAEEEGQVERKNIGQEQANVENATQISSSDSSEGQNYSEGVEMELEDDIDVESDAEKDESQGAEGTEHSVDFSKYMQPRTDNTKIPVIEKYESDEHKVHQRYSEDGAFDFGSVNISVDDESEDEESQAESYSANAENVYHHNEHELDDKELIEDIESSDSESQSAQESEQGSEDDFEYKMKNEKSTSEETENTSESRDQGFAKDAYTKNKVEQQENDEEPEKDDIIRSSLDKNFHGNNNKSEYSENVLENETDPAIVERENQINDVEGYDVTGKSVESDLHEHSPDNLYDLAARAMLQFQQSRNSNCPQKEEQVSESYLGHSNGSNLSGRSLDESEEQIPLKDFTGENNNNLKTDRGDLSSSVEIEVEKVSEKKLDGSTEKELVPLSTDTTINNSSLGNEDSIYYSLDDADAISENLTDVPLMEIKTTPKYEVVISESVYSSTSYEDNTVAMPPQVEYTSPFMNDPFNSLNDDYEKKHDLLKSTLAALAPAFTKKDAEFVEAGVTKSCLTSTSGHTNIFHTSKETKQVSDLDESTENVTFENENTGDENKNQSKNFPGVANSTDKSTEDNTDEKYFSAINYTNVTGDSSCEDIIETASNVEENLRYCEKDMNEAEMSSGDECVKQNDDGSKTQISFSTDSPDNFQESNDNTEFSSTKYKVRNSDLEDDESLKKELTKAEVVDKLDEEESEDSYEQDYADPEPGNDEGSNENIVKGTKKDTLGIVEPENEKVNKVHEEETLFEANVSSSVNVQNKDMHTDVINQEAQANYEAGERKYYIQNTDTEEAHISIIERIDENAIGNNMEIPERSCVEKTHNEVLFERRATTIENTKALENNTNMHDQVSQACSDSDRDQDSTAEKNVEGSAKHNLDIRVSSSEIESVEPLKPESDRSNIFSSPIRVIGAVVKGVGKVVDVAESFVKKIDVMDSESDDNVDIGDYNQDIFNKSNSTDASVNMKSVSSKERDSDEDEAVILGGVTAEAHNDNGNNSRVINIDPTTNGAYEEDSEVFRQQVKDKENLHKSEEPLVEGLQSEQHFEKKDHSENEEEFDTIYGDITSANIHSNAPDDIKRQQLLKNLSDLENYSQRLIEDSRRGKNQEESDEVNTSRERDLTFEKSVNEKYAGAIEEDTFSELDISIQHPEHEEDLDLSNNQERSIEELNSEPEEAELYELEIEGPTETAASSKMNDDERQRGNIPSTDLPSDPPSDKEEVTDSYPYSNSENITAEKSAPTSPEVYEIFSDTPNEVPMEINDEIPATTLEKHDKTNVTSVLDDRSEHLSSHDVDNEPHDNSINIKVNEGEEPEHQAVDIPVKVEVKEEQEEMPSKSVLEEQKPSMELINDKSSPENNNDEETNREKDKTKAKKKSRKRNYNSRRRKRKITEGSSAASNTKRRRGHEPKSRGQNTHPSVDK
VIMSS6585345 1 104 0.405414423076923 PF02297.17:COX6B:16:91 Cytochrome c oxidase assembly factor 6 104 76 13 104 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E846 1 SwissProt MGLFSFDGGKKESQPPNTRSQRKLCWESRDAFFQCLDKADILDAMDPKNSKSIKSHCKVENEKFEENCAHSWIKYFKEKRVIDFKREQTIKRIEQEAKQRERNQ
VIMSS6585463 1 102 0.276386274509804 Protein TOS6 102 0 13 79 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48560 1 SwissProt MKFSTLSTVAAIAAFASADSTSDGVTYVDVTTTPQSTTSMVSTVKTTSTPYTTSTIATLSTKSISSQANTTTHEISTYVGAAVKGSVAGMGAIMGAAAFALL
VIMSS6585469 1 423 0.397864066193853 PF00566.18:RabGAP-TBC:227:388 GTPase-activating protein GYP3; Multicopy suppressor of bud emergence 3; Protein MSB3 633 162 13 423 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48566 1 SwissProt MQNDQQRFSLQNRTVLAHPYKRLGGAFTVKSPSVPNFHDKMHSDHSSSDSALVNGSFRANDHRSVEPSCLGQASPSEHDGNLSVIDLYGDEVESQRAEGEDDDDNNGDNGNEDLEEVHSDDLDLVPDDDNRQRVELEGAASATSANSNGINNTHFDRYGFKKQNNYISEAEYDKWWVEYSQYCVRRKHKWQLLLEKSGLPVTDDSPSRFPSKSERLKRYVRKGIPAEWRGNAWWHFARGQEKLNKNKGVYSQLLRKMKQIKKQNPNEKQVQDLDIIERDLNRTFPDNIHFQSSLHNKEGPPIIKSLRRVLVAFSLYNPKIGYCQSMNFLAGLLLLFLDEERAFWMLVIITSRYLPGVHNINLEGVNIDQGVLMLCVKEYIPEVWSYIKPSIDHHQKNNKTFSPSNKKVLFNMQKNEFLYRLPP
VIMSS6585471 1 548 0.14071697080292 PF12929.7:Mid1:100:536 Stretch-activated cation channel MID1; Mating pheromone-induced death protein 2 548 437 13 548 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41821 1 SwissProt MIVWQALFVVYCLFTTSIHGLFQDFNPFANKNISLKFPSLNRWEKNVMATGQQTIINSDSIYEWTPILSNITAGKKDSFVFTIDAEASGYGFAPTYEVLMFISGNICQMPMNRSDVDLTIYYSFNETVLENPNIGQSAVFQDGYIQALAISPVQSSSSNATSTYSNLYVVAELVNSTTEQPLSSSDASENWEYRLSISENDLVFQWDVRPWVEVLDTDMNSALLSTGNVTADAKVYHNYSIYDPSLYDLYVYSYEDSVQLNQNYNLSLCAVKNGPYLVSSQNTSNATVTSNSTNPLERTDLAIQKKITEYGGSVTEMFYVTGLNASTTYVAYLTKKISNGDGLSSVGGILFSHVYFTTRSTDVCSLIFGLDFCSDVAYSVPTSSFSVGNKTLMAQTYDHIAEALYANFSKALQLISCDADKDARYSPVMTCDDCAEAYRDWVCAVSIPRCTTTSSQYYIHRDKSHNRNDYLNKFIKPLDDYYEILPCIDMCYTLVRNCPSDFQFSCPNDLTTEDLLYQSYNFYMDTDYSTCNYIGNSSLMVIHPLDDT 1
VIMSS6585532 1 892 0.599183968609865 Protein BNI4 892 0 13 892 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53858 1 SwissProt MSDSISDSKSSELLNSTFYSSTSINTLDHARTFRNSLILKEISDQSLNSSIKPCESVLDRDVESSVLQRSFGESNARDSEVQTVNMTTSPSLSALADILNERSKYADQKTRKAQNIESSIIEEEEEAEEQNNSINYHEDITGSRLSVREEANENLAMTSPNLIDIDGSNSIQVAPLSLPSFEEPDFLSTPRVKPDSQGPRSKVSTRRTILERDNNLPVKREENTIINSETESTTHSAPFLKEDPKPSPPSSKLYNPKVRLNKAEARKYTDSSAQRTTSAGSVLEDTSMHKKKKSIFSFLKKKEPKPVIGNNSVTNEKNKMSSSSTFSMNIQTSLKTPEKLKKKSHSSSSIFNSFLKGKIETSDSPRKEPMRQKKRTPKSKDKKQDTEQIIDAASVLSTESPLLRKNHDDTPVKIDHVTRSIDQRKPTPLNMDLILGGDKQINTPLQEHVREDDDAKNDLQLPTKDNFLSLDYEAPSPAFSKHDTGEVLFPKFLDNHEVDSIVSLERTRSTKSNKRSSMNSQRRSLTDTLSIKAQSEGMFITEASSVVLSTPDLTKSPASSILKNGRFEYSDNFSREHSYEGTTNEDFLDIKDDSGPLKKDDIFLESIEQKFDQLVMASDEEKTEVERDVPKPREEPLKKDSERQSVFADDDNELISDIMEFASFINFGDDDLNLDLDLGDTTASYATETPEPVGNDEVNRSGTFDTRNNKEDSYKERETQSYSAAGATTYGDERQGQLHTFEQDGSEINDNEFENEDFNKHIEQPIEVTPRNNAYLPEFEPNRPVSMSFKGLKAPRMNTSFIDSMTPDSPVKSDLTSLGEVYVNSNNDQGVRFSSQIILYDTYGEFEYDRHPEISTCNQLTPQLAQMIKLELNELKSAMEVHDDSRCYTHFY
VIMSS6585538 1 422 0.490174644549763 Chaotic nuclear migration protein 67 581 0 13 422 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53865 1 SwissProt MTDFDLMNFPFHERLDSPVSENGEIKDGEPIPQNWLNENHVGKSILPLFVNPEDVINCNFSNARDSYEENKSPSMDQMNYARNTSYQESPGLQERPKNEKDKSPIGTDVHKKDVPNFIHSTPRENSSKHFTRANEQASAQPTDEHTSPDISIEDCNGAKIFLQNSLSKEDFRMLENVILGYQKKVIELGRDNLRQEERANSLQKELEAATKSNDKTLDNKKKIEEQTVLIENLTKDLSLNKEMLEKANDTIQTKHTALLSLTDSLRKAELFEIPIGILFFDLYDSEENSSKLDHILQEKYPNIKGFLCASQQEELSRISQRFKNAKAEAEDLRNELENKKIEIQTMREKNNTLIGTNKTLSKQNKILCDKFDKLTIDEKEILKGCNEEIKIKLERLNERLGSWEKSKEKYETSLKDKEKMLA
VIMSS6585569 1 1131 0.298232537577365 PF01644.17:Chitin_synth_1:454:619,PF08407.11:Chitin_synth_1N:376:453,PF03142.15:Chitin_synth_2:597:764,PF13632.6:Glyco_trans_2_3:597:819 chitin synthase 1 (Chs1;YNL192W;N1404) (EC 2.4.1.16) 1131 444 13 970 7 Saccharomyces cerevisiae CAZy::AAA34491.1 1 CAZy MSDQNNRSRNEYHSNRKNEPSYELQNAHSGLFHSSNEELTNRNQRYTNQNASMGSFTPVQSLQFPEQSQQTNMLYNGDDGNNNTINDNERDIYGGFVNHHRQRPPPATAEYNDVFNTNSQQLPSEHQYNNVPSYPLPSINVIQTTPELIHNGSQTMATPIERPFFNENDYYYNNRNSRTSPSIASSSDGYADQEARPILEQPNNNMNSGNIPQYHDQPFGYNNGYHGLQAKDYYDDPEGGYIDQRGDDYQINSYLGRNGEMVDPYDYENSLRHMTPMERREYLHDDSRPVNDGKEELDSVKSGYSHRDLGEYDKDDFSRDDEYDDLNTIDKLQFQANGVPASSSVSSIGSKESDIIVSNDNLTANRALKRSGTEIRKFKLWNGNFVFDSPISKTLLDQYATTTENANTLPNEFKFMRYQAVTCEPNQLAEKNFTVRQLKYLTPRETELMLVVTMYNEDHILLGRTLKGIMDNVKYMVKKKNSSTWGPDAWKKIVVCIISDGRSKINERSLALLSSLGCYQDGFAKDEINEKKVAMHVYEHTTMINITNISESEVSLECNQGTVPIQLLFCLKEQNQKKINSHRWAFEGFAELLRPNIVTLLDAGTMPGKDSIYQLWREFRNPNVGGACGEIRTDLGKRFVKLLNPLVASQNFEYKMSNILDKTTESNFGFITVLPGAFSAYRFEAVRGQPLQKYFYGEIMENEGFHFFSSNMYLAEDRILCFEVVTKKNCNWILKYCRSSYASTDVPERVPEFILQRRRWLNGSFFASVYSFCHFYRVWSSGHNIGRKLLLTVEFFYLFFNTLISWFSLSSFFLFFRILTVSIALAYHSAFNVLSVIFLWLYGICTLSTFILSLGNKPKSTEKFYVLTCVIFAVMMIYMIFCSIFMSVKSFQNILKNDTISFEGLITTEAFRDIVISLGSTYCLYLISSIIYLQPWHMLTSFIQYILLSPSYINVLNIYAFCNVHDLSWGTKGAMANPLGKINTTEDGTFKMEVLVSSSEIQANYDKYLKVLNDFDPKSESRPTEPSYDEKKTGYYANVRSLVIIFWVITNFIIVAVVLETGGIADYIAMKSISTDDTLETAKKAEIPLMTSKASIYFNVILWLVALSALIRFIGCSIYMIVRFFKKVTFR
VIMSS6585668 1 683 0.178824011713031 PF00168.30:C2:378:471,PF00168.30:C2:523:618,PF00168.30:C2:651:680 Tricalbin-2 1178 220 13 660 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48231 1 SwissProt MSPNSSKTRTDQISSMPGINEATKVESKNVVKDAVPIKSEVETNGTSIVREKQDPSYVGWKQVGGWEEKDELTSEDLLVDVNKDTFLGNLLPDKFYGDWYHEVAILIIAGLCSFVLGYFKFSLASVLIVMLTTGMLYRTSSKKYRESLRDLAQKEQTVEKITSDYESVEWLNTFLDKYWPIIEPSVSQQIVDGTNTALSENVAIPKFIKAIWLDQFTLGVKPPRIDAIKTFQNTKSDVVVMDVCLSFTPHDMSDLDAKQCRNYVNSNVVLKAKIFGMDIPVSVADIFFQVFVRFRFQLMTTLPLVETINIQLLEVPEVDFISRLLGNSVFNWEILAIPGLMRLIQKMAFKYLSPVLLPPFSLQLNIPQLLSKTGLPIGVLEIKVKNAHGLRKLVGMIKKTVDPYLTFELSGKIVGKTKVFKNSANPVWNESIYILLQSFTDPLTIAVYDKRETLSDKKMGTVIFNLNKLHANHYHKNEKVHFLRNSKPVGELTFDLRFFPTIEPKKLLNGDEEPLPDMNTGITKITIRELKGLDELSDKKFVFAELYVNAELVMTTKKEKRTAHLKWNSDYYSVVTDRRKTICRFVLKDQSGKVISSSVQPLNHLIDRTEVNKEWIPLRNGKGELKVTTYWRPVDIDLGLKSVGYTTPIGMLRVFINKAENLRNPDSLGKISPYAKVSVNGVA
VIMSS6585748 1 75 0.349106666666667 Protease B inhibitor 2; Proteinase inhibitor I(B)2 75 0 13 75 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P0CT04 1 SwissProt MTKNFIVTLKKNTPDVEAKKFLDSVHHAGGSIVHEFDIIKGYTIKVPDVLHLNKLKEKHNDVIENVEEDKEVHTN
VIMSS6585767 1 146 0.143571917808219 PF17083.5:Swm2:14:144 Nucleolar protein SWM2; Synthetic With MUD2-delta protein 2 146 131 13 146 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40342 1 SwissProt MIDLYNYSNLEGLLDGLTDLNRIPKEYSAVLEPYFQNIARNAHLKSRALKICRSNFHKWNEEGAKTVNPEIIRRCLNLWYVLKGKEYKKLKDPPPADNIIKDEIDVSYVKNLNVVRLEFDEFGKLISNPLENLILEEVEVNDFIQE
VIMSS6585791 1 551 0.0508099818511796 PF03901.17:Glyco_transf_22:9:385 Dol-P-Man:Man(7)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase; Asparagine-linked glycosylation protein 12; Dolichyl-P-Man:Man(7)GlcNAc(2)-PP-dolichyl-alpha-1,6-mannosyltransferase; Extracellular mutant protein 39; Mannosyltransferase ALG12; EC 2.4.1.260 551 377 13 349 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53730 1 SwissProt MRWSVLDTVLLTVISFHLIQAPFTKVEESFNIQAIHDILTYSVFDISQYDHLKFPGVVPRTFVGAVIIAMLSRPYLYLSSLIQTSRPTSIDVQLVVRGIVGLTNGLSFIYLKNCLQDMFDEITEKKKEENEDKDIYIYDSAGTWFLLFLIGSFHLMFYSTRTLPNFVMTLPLTNVALGWVLLGRYNAAIFLSALVAIVFRLEVSALSAGIALFSVIFKKISLFDAIKFGIFGLGLGSAISITVDSYFWQEWCLPEVDGFLFNVVAGYASKWGVEPVTAYFTHYLRMMFMPPTVLLLNYFGYKLAPAKLKIVSLASLFHIIVLSFQPHKEWRFIIYAVPSIMLLGATGAAHLWENMKVKKITNVLCLAILPLSIMTSFFISMAFLYISRMNYPGGEALTSFNDMIVEKNITNATVHISIPPCMTGVTLFGELNYGVYGINYDKTENTTLLQEMWPSFDFLITHEPTASQLPFENKTTNHWELVNTTKMFTGFDPTYIKNFVFQERVNVLSLLKQIIFDKTPTVFLKELTANSIVKSDVFFTYKRIKQDEKTD
VIMSS6585960 1 61 0.1443 Protein DDR2 61 0 13 61 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P89113 1 SwissProt MKVSQVFISAISVFGLATSVNAQNASNTTSNAAPALHAQNGQLLNAGVVGAAVGGALAFLI
VIMSS6586015 133 378 0.242639837398374 Palmitoyltransferase PFA4; Protein S-acyltransferase; PAT; Protein fatty acyltransferase 4; EC 2.3.1.225 378 0 13 223 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12006 1 SwissProt TTSVLFCIQAKRIYFIWQQRHLPGYFFKKSELIFLTISSPLNSFVLLTITILFLRCLFNQILNGRSQIESWDMDRLESLFNSGRLTQKLIDNTWRIYPESRSFQNKKDAEEHLTKKRPRFDELVNFPYDFDLYTNALLYLGPIHLWLWPYGVPTGDGNNFPKNGISKYEANSSLEDHILSLPWPPDGGKTNTVFNHGSSTIEMRNESGEQLIRTRLPQNGRHASREKWYNDWGESLDDFGVDVDME
VIMSS6586048 1 619 0.233684491114701 PF08733.10:PalH:86:459 Protein DFG16; Extracellular mutant protein 41; Zinc-regulated gene 11 protein 619 374 13 481 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99234 1 SwissProt MIIRLHFYYLLTLVYHLGLVGAYEKAARKRIQPPDLIPGPPGHKLGDERPPHYDHRPPYKKHIDNIPAYNLTDLIDDKLLNKYENSCTVNVLTGGFISLASNSWHLRAYNYTLNYPSFLIRCDNGSANPNFSHVLQDFVYDINNKFNVQDDSSKYIGKDPFPLGMIMITFASGCICVATWMLFLVVLLLPSDNHNRRNKVVHVYVLFSAIIRTVFLNETIAVIFDSQYHDDYQDASQFESFIVETAPYKICELVANILSDINWIYIVHYLQSNYGKPTWNWIPFKMKKGTHIIITVGCFLSLADNILFANLLWRKNLVVLKVFYKLIELLIYTIFISIICYFTWHNFAYILLPKTAEINTDGKCKTKLRILWENYHETIPLLAYNILIFILFYFTTIFFAAFTKHVRGWTFNFVHLLKVLITVNVWGLIGVLEKRELHISKKTVLGRKINNRDKFFANPTVNYYGEDLGKHLSAITLNRDLNTTKSNTTSHDSSSLVGSPSPTWKSPIERIRDRRRRHKIMKSENKFGQNPSFGSKSNGKPNTKTTLSKYRQLLRKPRRKTNSYEPKNGIGQNKEGSTVRPGADKHIRDSNYLATDISDNESMETELRTNHIYNYENSD
VIMSS6586245 1 1246 0.436676565008026 HMG2-induced ER-remodeling protein 1 1246 0 13 1246 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12276 1 SwissProt MSSKLKYTDIDVPLDWLYKGKRRNRTKSAASTRTSEATTTSVKKTATLPSTAAVPTKTIASPQRPLSGQNVNNELSNSKPAVSAEKVSQQGQVPTRRTRSHSVSYGLLQKKNNNDDTTDSPKISRIRTAQDQPVKETKSSTLAEPIVSKKGRSRSSSISTSLNERSKKSLFGSLFGRRPSTTPSHVVERPLSSQNDHKKSTELPPIDTRQSKISTPTSTPTTASSKPSSSGGNRHSDGSLTSKLLSIPHNILETSSTNFNAHHHIQSHHSSGREQDSPHSESSDLPPILEKETTQKQLQKVSKVNLKRVTIAVQEFNSDPPQQLPSRKPKRGNVLIPEDMISAPPLISLGITNSSDQSSFQSNISPSYSKDSKEYKLALENFKKAAKEAEKHQKDAYYVAERMAQEVANYKARQLKTSPLTGATNSAADSATDQESSSLDARASKLHIDKPINVGAHPFETHQDDNIKYSSHLEQTLDVAYTRCCHLREILPIPSTLRQVKGKTAPLQTLKFLNPKPTLVDILSFCDFIAITPIHNIIFDNVSLTHDMFKIVICSLVTSPVVEKLGLRNVVINEQSWKLLCKFLLQNKTLIKLDISQTKARTDLNDSNYRDQMDWELFCEVLRNREGRPLEELLLNGLRFDKMSFSHFKNILLTFAQMNPKNPIRLGMANVEFSTECFDFLFNWMSEYNVQGVDLAYNNLESLAKRMIKKLARLPYKHLEYFTLNSTNITSVDDMSYILKYLSRLPSIKFLDLSNLPQLFPGILTSGYKYFPQFPQLKRIHFDFDDLSIKETTMLVSILAKCETLSHVSLIGQSPMPDASKISDSTDEPDKSKDEKKEQIVFMRNTLWASLYAFVRDSHNLVSLDVDYDQVPDEIQSRIALCLMHNMKRIMDSSFKLDELTVQDDLIFDGSLITETAEEVLKRLNDKSLLQNDVGKKYLLKKYFEKMEKVHHNVQNTIDSMFEKRKSGELPLQEKENLLRLLLLEKNLSNILDIFASMPNIADVVPFSKADNSFPNIGDSTVSANYNDGIRPSLKHLDSDRLINDVSIPENDSSIRPHLMATDSGRIIDVTTGKALLFKSSSNTSLAGKRQEEEEGELHKWGVFVQHQSSRHNSGLPSSANSSRISGSLTPDSSVAGGKKGESSRTSGTRPKILPKIPTGAELRDAIIKAKGIDSVDDLIKNVTSEKVGLESLYGDELNSRSPSNDSLQESQQKAPLQRPLVEDETVTKKYDKLLNDLSNVRHSKT
VIMSS6586246 1 302 0.148204304635762 PF07950.11:DUF1691:68:167,PF07950.11:DUF1691:182:275 MDM10-complementing protein 1 302 194 13 197 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12106 1 SwissProt MIKLHEVPPEPVDPASLPHDVNAHSPEGDGNPDKRKKIFGIPYPFSRSSCRRFLWNCQKISVLPMALYFPLHAANTLITPAVSPDSAPDDVLMMVREILPSITTKLLVAGITLHVSAGVLLRIVNNWNKPRRNRHRHLKISAEQDLSQDSIGLTGGISGYLFGLYKTFRIPPQVISGYILVPVLIYHLLIMKWVPNSISTEVDFASIKQLLSSKNRWWKWLGGLVPLAILLESGVYHIGSGLCRYFGVRKMTSRKKWSTAINLLTLVGFVSLIRLMKEDSTKLGPNQFESIFKKIRLLLHVN
VIMSS6586488 59 321 0.0366973384030418 PF03009.17:GDPD:4:187 Phosphatidylglycerol phospholipase C; EC 3.1.4.- 321 184 13 263 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08959 1 SwissProt KNLVIGESTWEEVKRLRCKEDGSLAMMTLKEILTWAVCHPGAKLMLDIKFTNEKIIMIKTFVIMLEVKNDLKFWQERITWGLWLLDWYDFGIETGVLKDFKVIVISLSLDIASQFVKRSLTLNDPHYKLFGISVHFVSSWTSQFRLRLLPVLMKNDIKVYLWTVNKPIDFKYLCELPIHGAITDDPIKARKLCDGHTVAKKPTAEKKFVAPSLASVDGLRFHAFIKVYNILCTLLYSKWVHIKLCGWSIAYVIFLFLRTIHFL
VIMSS6586581 1 394 0.183150253807107 PF05648.14:PEX11:163:382 Peroxisomal membrane protein PEX25; Peroxin-25 394 220 13 394 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02969 1 SwissProt MSQFGTTDIVSGSETPPYSGASYQDAQDDNTHPHSSDAGAEKFSAGSGSESHTESSRSDDEDSQAKTKMVDNITILKYILDSLSGRDKLAKIIKYALDILKLFIEKSKRNLTVLDPSVLTYYTKILKNLTVKVALRHPITVIKVLLLSLLRNFDKKIDFISQQLSTFRYILRFGGTPFRVCSFLGKFNKTRKCNFQIDQIKKIWFNEASLREFLDLYYGIFDELDLLYKLKIWTNKSFYSFVSRQESLAWQYDILLSLKDHWLNLQSLQKRQLELEVQLKVQNNALLLSPILMHQAHKDDGSQSPIRKQLLNDLNVNNDAEVLIHKQLKAIKDEKTLVYLDIARLSFDCMANTSDILNLKTPKGTYAVLSLGSGLTGLVKLWITTKRSLCSSKD
VIMSS6586626 1 479 0.279747181628393 RHO1 GEF localizing protein 1 479 0 13 479 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12194 1 SwissProt MTHPVISLKPSYNSVIRGCPGLPDTLPRIECQLRVRSNNSLPFKLVKIEIVLKTIEIYFNKNLYSSNNSSFTPFNRPSDPSNGHSDTSNQNISIHYKKNIVLSHPTHDGDDLNNDLIGIDIPLTIGLPDDIKETNYNPKFGKTQTFLDCTVFYTEVGGGSSNKKRNFLYPVNVERYTYLPSPSYFRPINRSNITSPDQKFLISYSIENPCVSMNNDTLKLSISIRLNPFPNNATTPSSNDFDVSTPTLFSTKKKFKSKLKLKSITTQILEYLEILKNQSEFSSTQTTNILQTSVRQVDQIISMNSMIFQFNLKIFTKDKILQSFRSSESSCPETKVLINKIDDIPLQYHSSITTIGQHFNVSHYLSIRFKFNKSLKNFEINHPLIISFWSVSQLPLIENLILQERQTAKFAKKFYKNFGRIKNTSNNNNSSNCLEYPSLPPIIYNFNDPETNNRFNILYSQKDPSRTDPSKLRRVPVIQ
VIMSS6586736 1 470 0.330560212765957 PF03399.16:SAC3_GANP:199:424 Protein THP3; THO-related protein 3 470 226 13 470 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12049 1 SwissProt MQNPYGHFTNNTTEDREASSQGGPFGQSLNRPLDYAGSFPSLTYNNNNFIANQQPSLPLPEPRLSWNNVNQVSNPLMVTPLPGLQKRMNKNIKKKLPRVSKKASALSNGVSGNVMSNSNIVGHGAVGSASGWKVEMGGSDELERRKRRAERFSQGPSATTNSNDNLNEDFANLNAISSKSHQYDKKIHVVGRCQTLEKSYLRLTSEPNPDLIRPPNILQKMYCLLMDKYQSKTATYTYLCDQFKSMRQDLRVQMIENSFTIKVYQTHARIALENGDLGEFNQCQNRIMALFENPTIPKKSYSEFICYSVLYSMLTEDYPSISHLKLKLIDDGSSEILEDEHVKMIFELSDMKLVGNYHYFMKNYLKLHKFEKCLINSFLNLEKLIFLTIICKSYNQVNLDFVKSEFNFNSIEETTNFLNEQNLTEFILNKQITDSNGKSSNIKILNTKGCRVQLIQNYMKSKKIDIKGQK
VIMSS6586751 1 140 0.251514285714286 Uncharacterized protein YPR063C 140 0 13 140 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12160 1 SwissProt MTLNNVARPDLCVSYKKIAPPKGLYSATPSISGVVNQSMPMAAIFLRNKFIAWFSLIQSVHYYLNTDEDIIVAYKENKAPSPMDQPPAIKLFMSLIGLCVCYMNLVFPQQIAQPSSSGSKGNTETTIETTTEVETETAKQ
VIMSS6586840 1 206 0.371531067961165 PF08692.10:Pet20:57:191 Protein SUE1, mitochondrial 206 135 13 206 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06524 1 SwissProt MILLKRTKIRGVSVSFVSLQRRTHSRLVNPIRQQHQQITKQRSSKILKNAHFYDFRSLPKVPTTQYLEARELTRDILYSGYRPVMYPVKENPLFRDKKRKSLQTLLTMNEKTNAEAKTIDEKKHKNILFGERGTGGIMSGGVNGTWKYNPTVPNELLPFNWWSTSSMGMEYFPEWKNVPPYMMRKLKPFDKALQMRLTHKSKKKMK
VIMSS6947 1 217 0.105736866359447 PF04994.13:TfoX_C:121:198,PF04993.13:TfoX_N:14:107 DNA transformation protein TfoX; Competence activator Sxy; Protein Sxy 217 172 13 217 0 Haemophilus influenzae (strain ATCC 51907 / DSM 11121 / KW20 / Rd) SwissProt::P43779 1 SwissProt MNIKDEHIDSVCSLLDQLVGNVSFKNLFTGYGLFHKEETMFAIWQNKKLYLRGEGVLAIQLTKLGCEPFTTNELNKRFVLSQYYALSDQILRSNRLCRKLIILSIKQILEQKLECTLRKLNRLKDLPNLTIKHERALIKVGITNVAMLREIGAENALVELKKSGSGATLDFYWKLVCALQNKNSQMLSQAEKERLLKKLNEVWRKNGLKGYRKLDDE
VIMSS74077 1 96 0.458984375 PF07130.12:YebG:1:74 DNA damage-inducible protein YebG 96 74 13 96 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11808-MONOMER 1 ecocyc MAVEVKYVVIREGEEKMSFTSKKEADAYDKMLDTADLLDTWLTNSPVQMEDEQREALSLWLAEQKDVLSTILKTGKLPSPQVVGAESEEEDASHAA
VIMSS757159 1 570 0.250504736842106 Phosphocholine hydrolase Lem3; Dephosphocholinase Lem3; EC 3.1.3.- 570 0 13 570 0 Legionella pneumophila subsp. pneumophila (strain Philadelphia 1 / ATCC 33152 / DSM 7513) SwissProt::Q5ZXN5 1 SwissProt MKLRYIINENKLVFTSCNMRDKIITGKKIIFSQSVAKDQTKNLSSFLSERFYSVNQSHNHSIIIGSSLSHQENDIEHDTILDTSGVLVTTDTNGIVNGARVAITDGLGGGNGDQEEDDEIYRVSHSSCENFLNCDQNIDTTLSLITQPKASDKKQTAPKTLQHTEASMAAFIYQNHPGKGYIGEFANIGDGLIIILDKRFKIKHMVSACHIYRGFGTWTPPSLQALATTANKDALLVRQTLKLAEGDIIISMTDGVWGELKTSLIAQTNDRRDIGVDKEYFKTLFDELTDAPYPSSFDIARIITQRAMSRSLERRKTLIKLINEIEQQHFHEKSVKTINEVLEYFIKTGHVETAQTLKAILFEDGLSDGITYFENIEIPLEMVMHDLKSRTVGDCSTINVTRIPYHLDELIRGFINYPEKHQILAPLFKARVKSEADLEEAFHRLSLEMVQPEIECPISETHFERAFKKETLDKTQAVLTHYFRISTGLDSKKNYQERLNDLSAYLSKESSLEKNDIKLLLSMLDSEIKPKTGVFQTLFGENQNKLYKAFHKKIELQLLDSEIENKNELK
VIMSS758985 1 444 0.0740513513513514 PF12937.7:F-box-like:10:55 Hypothetical protein (NCBI) 444 46 13 444 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS758985 1 MicrobesOnline MKEKYKKSLYIPNELLLEIFADKNLDIQNIIVVSMVCKLWYELSLDNAVWRPLFIKRFSYSPTLANHSIFKEDYQKQLALTQAMKKKCESNHACRNEPGLLEAIREKNIPLIYALFESGHRIIIDSFPDGEQQFTEEMLGFLSECAELPSSLLARIFKRGFCTADMETSEFLALHFIVAEADEKLLQKIFRALGDDLLSVSRYCDLYDNNFFSSLLHFPVERFSLVLRLLYETLGKTKLSTLLVYQNHTYTDWSCIHSASRCGREYFFITLKYLKRQDYPVLLKKGLNDEDIPLFNIAYWGDKESLSHIINILGADATTFLKYKDNKGRNILLPALLSEDHSTVELIEKVMGMEFIDLLLMQDTNGRDILRRVMLLQEPTVLRRINTVLKLLRDYDCLDIAQELYSSDEFTQLISQNLYINQDETIELFNKYTEEKTNNFCSIL
VIMSS759250 1 1151 0.385859339704605 LepA, interaptin (NCBI) 1151 0 13 1151 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS759250 1 MicrobesOnline MNEIILHLMNEISTLTPPINPLNLKQIFSSTLLPEGKAPVEVESQLNLLLLIKKYVDEYSSLAEEQEEYKRKKHKLDEVMKKGEELQRKISDLAKKIEHAKNELNPLYKEIEPKANEVLSKQNPLAPPYKFPEYGEVQKSIVVGHYSYLFKTSKEIETTRAKLKLVLLKNKWNALMADLSQFGIQPPVEEDAVDSLQKFIAAVELEIKKLTRACEKWDELQKRFAKQLSATDINKKETELEKQIELLLKDLGRIETVVTNHSLAPDLKAELTRKFQESEDTQGLIQEYQNQIDGLLSNFNPSSWLSWYSDSNYSDNQQKLKNSVSFLQLLAQQKELKIKHHELVKQQELLIKAIPETPSNEEDISIYKKLVPDAIDLINEIPIESIPNFVLPSGLSYDSSPADFYLVLLILMPKVSEKKEQFSQALEKLQEMPALGKQIQQLRSGYDLPITMDVQLPSLQEAEESKDSLNEEDPLKEELKSQIKLCQSYLETAKQIDLLMKDHGQTTNEAKALIEQLRLISNQPLKNEDINSIKEDLSTLANQIQSLVSELNQLPLPNLSGEKIDPPLEKQEIAVDKTIPLTVELMHPAQVIQIGNDSPIEKQQLDNEEETPVVLESLRPRPVIHKESESITQKLQVDNGQEMPVVLDSTCTPQAIHKELESTTEKLQVDNGHEIPVVLESTRSPQIIHTESESVGKKQHVEVEQEIPVTLELIRPSSMVEKDSVATVEKQQVTERQETPIVLESTRLSPMVQKDTGLSGEKQHKEIEQEISVVTELTPHTQVVRQGSESSLEQQVPNGQETLAVVKSSPSEPLRPSTPEVPAISRKPNGLSLFNGHDELSEDNILAFFDEAGNQISISSEEDSETFTVDRGKVISSGIDKAFKEKPVSTGHELDKLSNPQHQESAPSISPLPPSSILLKQKLDSFHVQNMEYIKQHSEEIQLWYKGLYDAAQSSCVNEALGLKALHLLKDILFELKNQNDLSVLLAYKRMCPNPLQDIQNILRLKPALPIVDESIDEEQQLKNWPEELQKFHQQYVKLKKEHPLEAELFIQAIHSLISIKHLMELPDAKTSNREAMPLITQDPRYEPLKRHRGFIRAWEYIEDFFRMLIGKLTGQDEYEYSKRPCFFKTRSHRLLEEVDTILHSMAPTSS
VIMSS765335 1 310 0.135962258064516 PF00565.17:SNase:126:215 putative lipoprotein (NCBI) 310 90 13 310 0 Mycoplasma hyopneumoniae 232 VIMSS765335 1 MicrobesOnline MKKFIKKLLFSSSAIILSPLFFISCYQNEATRLYQEAQKYYKIRDFLKNPFDDLFDQQSDYAKDIAKFLDQNYQWTQQDKLKFKDDKLPDQQYFDKILAEIVEWKDGDTVILKSLNSEKLPQLFSVRLESIDTPETGMINKDGKYEKTSGVEAKFANKATEFAKKILPVKAKVYFVFHKTGPSRSYERYVGSIFFGHDEFYKNYSLEIVKAGLAAPILHSGFSAINDPTTIYSYLSIKQASALENAINKKFGVFEGIRDKKFNSITQVLEEIWKTRGLPPISNFLVLGDKNKNKNVVDWYEFGRELKEKK
VIMSS81125 1 100 0.392231 PF06013.12:WXG100:6:87,PF10824.8:T7SS_ESX_EspC:3:94 ESAT-6-like protein EsxB 100 92 13 100 0 Mycobacterium leprae (strain TN) SwissProt::O33084 1 SwissProt MAEMITEAAILTQQAAQFDQIASGLSQERNFVDSIGQSFQNTWEGQAASAALGALGRFDEAMQDQIRQLESIVDKLNRSGGNYTKTDDEANQLLSSKMNF
VIMSS845377 1 495 0.256012323232323 PF13486.6:Dehalogenase:49:368 reductive dehalogenase, putative (NCBI) 495 320 13 472 1 Dehalococcoides ethenogenes 195 VIMSS845377 1 MicrobesOnline MLNFHSTLTRKDFLKGIGMAGAGLGAASAVAPMFHDLDELVASTPSTRNLPWFVKEREHGDPTTPIDWDMIQRRPYTWVRMDPTLPVYDNLKSIGAPVSRWLDWEDKKAEDEILYAKAREDFPGWEPGLDGFGDIRTTALTHASEMFSFGNFPTRMNLGGNMVDLVAAVRAAGGYLGSTDSYAGPKMVHTPEEMGGTKYQGTPEDNLRTLKAGIRYFGGEDVGALELDDKLKKLIFTVDQYGKALEFGDVEECIETPKQVTIPNKCKYIFLWTMRQPYEWTRRQSGRFEGAATETSYERAYNTKAHFQDFVRGLGYQMISAGNNSLSPAGAWAVLGGLGELSRASYVNHPLYGITVRVTWGFLTDMPLPPSRPIDFGARKFCETCGICAENCPFGAINPGEPTWKDDNAFGNPGFLGWRCDYTKCPHCPICQGTCPFNSHPGSFIHDVVKGTVSTTPIFNSFFKNMEKTFKYGRKNPATWWDEVDDYPYGVDTSY 2
VIMSS913435 1 176 0.0119431818181818 PF11667.8:DUF3267:57:157 hypothetical protein (NCBI) 176 101 13 84 4 Staphylococcus aureus subsp. aureus COL VIMSS913435 0 MicrobesOnline MHKIDLTTNNFQMRRFIILQLVIALFVILFTYKWALGVTAVVDQNIIINLVYGFAGFIILLILHELIHRALFLLFKKDSKPMFNIKKDRILFQTADACFNKWQFSIIMLSPLILLSTGLLILIKVFGYSSLIFMFSMHTAYCFIDILLVALTISSSFKYVQQDEDSIYLYHQKPTQ
VIMSS94152 1 154 0.124162987012987 PF03748.14:FliL:56:152 flagellar protein FliL 154 97 13 131 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG10322-MONOMER 1 ecocyc MTDYAISKKSKRSLWIPILVFITLAACASAGYSYWHSHQVAADDKAQQRVVPSPVFYALDTFTVNLGDADRVLYIGITLRLKDEATRSRLSEYLPEVRSRLLLLFSRQDAAVLATEEGKKNLIAEIKTTLSTPLVAGQPKQDVTDVLYTAFILR
VIMSS94183 1 217 0.329672811059908 PF09143.10:AvrPphF-ORF-2:69:212 type III secretion system effector ADP-ribosyltransferase EspJ 217 144 13 217 0 Escherichia coli WP_000022458.1 1 RefSeq MSIIKNCLSLINNALNIQKTSYSLTKMEQAGKLLNRKITPENTPPMLLSYRNADLTQEKNITERVLSIFKIKRDFVAVRIQNNQFTDLKNKKIQGHQNTVASVMDWYNPQKNALGITMGTPRKSADIAKEEHRNALNFMIMEKNTFHEKILNSNDNLQKSYSKTEDSSWVAASVGSLLDKGAKVYPDTSCSLRLGEPFIFTLPESVRVDVDIYPLKK
VIMSS95909 1 96 0.320129166666667 PF01381.22:HTH_3:38:80 putative DNA-binding transcriptional regulator YiaG 96 43 13 96 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12624-MONOMER 1 ecocyc MEYKDPMHELLSSLEQIVFKDETQKITLTHRTTSCTEIEQLRKGTGLKIDDFARVLGVSVAMVKEWESRRVKPSSAELKLMRLIQANPALSKQLME
VIMSS96021 1 73 0.320894520547945 PF09392.10:T3SS_needle_F:3:68 type III secretion system LEE needle major subunit EscF 73 66 13 73 0 Escherichia coli O127:H6 str. E2348/69 WP_001053840.1 1 RefSeq MNLSEITQQMGEVGKTLSDSVPELLNSTDLVNDPEKMLELQFAVQQYSAYVNVESGMLKTIKDLVSTISNRSF
WP_000033840.1 1 277 0.175314079422383 PF01380.22:SIS:144:270,PF01418.17:HTH_6:12:81 myo-inositol utilization transcriptional regulator IolR 277 197 13 277 0 Salmonella enterica subsp. enterica serovar Typhimurium str. 14028S WP_000033840.1 1 RefSeq MSKHQTQLSLLQDDIRSRYDSLSKRLKQVAQYILDNSNSVAFDTVASIAQHADVPPSTLIRFANAFGFSGFNEMKQVFRQHLMEETVSYTERARLFRQKNADEGEPTPEKPGEILKLFSMVNAQVLQQLPVQIKNEQLDAAVNLLAKADNIYVIGLRRSFSVASYLTYALRHLERRAFLIDGIGGMFSEQLSMVSPDDVVIAISYSPYAQEVVELVELGAKRGAHHIAITDSQVSPLAAFSEVCFVVREAQVDGFRSQVASMCLAQTLAVSLALATE
WP_000935759.1 1 374 0.353562032085562 PF04888.12:SseC:111:190 type III secretion system LEE translocon pore-forming subunit EspD 374 80 13 328 2 Escherichia coli O157:H7 str. EDL933 WP_000935759.1 1 RefSeq MLNVNNDTLSVTSGVNTASGTSGITQSETGLSLDLQLVKSMNSSAGWTESSPLPTPPAGHSLVTPSAAEDVLSKLFGGISGEVTSRTEEAEPQRTSYPYLSQVNTVDPQQMMMMVTLLSLDTSAQKVSSLKNSNEIYMDGQTKALENKTQEYKKQLEEQQKAEEKSQKSKIVGQVFGWLGVALTAVAAVFNPALWAVVAIGATAMALQTAVDVMGENAPQGLKTAAQVFGGISMAASILTAGVGGVSSLLSKFGNVANKIGSSVVKVVEKAAEALVKNVFAKISTVAEGVTNGIRSAGTTALNNEAAQLQMLSQLAAFAVQNLTRQSESLGESAKLELDKAASELQNQASYLQSVSQLMSDSARVNSRIVSGRI
WP_010882195.1 1 223 0.303735874439462 PF13519.6:VWA_2:32:139,PF00092.28:VWA:30:142 VWA domain-containing protein 223 113 13 223 0 Treponema pallidum subsp. pertenue str. Gauthier WP_010882195.1 1 RefSeq MHLKKALCPALCTFLIHLCLHAGERTVPVDIFLMIDKSRSMQEPGKFSSLHRWVRDEFVSSMTIQGDWITVYQFYEKPEELITLTLRSEQDRDKIISVVDSIVPNGRYTDIGRALDTVWEIQEKRKDNNRHKVLLLVTDLEHDAPLTSKYRGKQRSFQSPYLVRARRVKHDNWYEITLDMAVHDRVAHTARELYRSIAAAHSKRPTPTPPAKESSPRYTPSLD
XP_001347845.1 1 430 0.318304418604651 PF08773.11:CathepsinC_exc:28:158 dipeptidyl aminopeptidase 1 700 131 13 407 1 Plasmodium falciparum (isolate 3D7) metacyc::MONOMER-15382 1 metacyc MAKRIFSVSFLLVLLNVLHICIKFSVADLPTHVETKNLLGKWKILRTKTSPNLTTCGSSQPNKNTYNVGITDYKKYLLENNYEFVSELNVILSDDYVLYGDIYNTQDNEHRSKWKVLAVYDENKRVIGTWTTICDEGFEIKIGNETYAALMHYEPNGKCGPVSDEDSLDSNGDTDCYTTSFSKIRYGWLDVENEKNEHLHGCFYAERIFDNVNEIKHLDSFTIDKDSQNVLQTFTYDTKLNNILNSNNMLYKFGNLQKPTFTKRNNTNVQFNSELNWHRMKHHGKKKPLKKSMLDASRQTYACPCNANEVVDNVINKGDSDNPVSPTLIQLNNNLKNTTQTGNKDTNEMDLENYEDTLNSPKRELEINELPKNFTWGDPWNKNTREYEVTNQLLCGSCYIASQLYAFKRRIEVALTKKLDRKYLNNFDDQ
XP_001349383.1 1 150 0.403053333333333 PF01918.21:Alba:12:76 DNA/RNA-binding protein Alba 1 248 65 13 150 0 Plasmodium falciparum 3D7 XP_001349383.1 1 RefSeq MKKDREPIDEDEMRITSTGRMTNYVNYGAKILGDEDKKSIKIKATGNAIGKAVTLAEIIKRRFKGLHQITRCGSTVITDQYVSGQDNSEHVVQEKTVSFIEILLSREQLDMKDAGYQPPLDEKYVKEMTPEEIVNSRPFRRGGFRPRFYR
XP_001349917.1 1 97 0.149843298969072 Ferredoxin, apicoplast 194 0 13 97 0 Plasmodium falciparum (isolate 3D7) SwissProt::Q8IED5 1 SwissProt MNIVILLLILTFSIKHSNTYKLKNTYIPINYMYHNNKNILRSQKSKLFLNFLSNNQLANSNKQTCFFKSNIKSSISNIDNYDYIRKRYINTSNKNKL
XP_001350352.1 1 796 0.124334422110553 PF07422.13:s48_45:574:692 Merozoite surface protein P92 796 119 13 773 1 Plasmodium falciparum (isolate 3D7) SwissProt::Q8ID66 1 SwissProt MFAVNLKICIFLSLVSFLLQCKNTLANVTFEQKVQTNLSHDKNGDIVYGHREFKGGIYAFLGYDNCTIEVNKTVNGIDWNEKKDVKVSGNNNIAVVYSIFTSEEKMILIFKCDNKFYITKYGKEFKWSDPKVIDVSNVIGTNTTPAVYSGSLLSMNNDFEKYILVCENHSQNYINVVDQEYMREIRLLGKCMLSFDEGNNWKNEVMNLYSDEGYTKINTLRLSDYGGKILVKGTNAQNLNQTIRSIILLCSNLHDWKLFCGLPTIRFRKDISVENLTYLNTYHLAIVKNEDKLQLAFTYDLFETFDPQYLNTEFNGVSHYFVLAPDEMVYLFYHGNEKKNYVIKIKTVPRKIGCELNTNDTVNKIYTYTYKYIYNNKLSAKTCKVPSSHLKYSSDGLYKLFEVRLPKDIKVTENCFRYSFLSDLNNKYHTTIIKTRVINKLEDYVEVQFHFPIYYTKFLYNYKSTYCVLSNNYRIVVEFDYIRNHIDLDFPFDTDTVKLYSNESVTHAFRNNTEKTHVHKFPKGTYMTSYFSYEKEYVISNYIEEPFSTTFTILTQTQMNVHFMAGGQKYKYEGIDLTDSSPNYELSLNSLSDSQNVDIFVSKFDNNKTIGFVCPVKSSYDGLNCFDNVYIKNKTLVKIEYLFGENDIFVVPQRRIYKTEGTAMESLLYLNNNNVKKLIDDKSIIHFYCECNVNNNVIKVNYYISPFYDENSIKQEINKKDQEITMINKTIPQDEKDILFNNEKVVPLSNEPQEIIQPPIQEKLNTTDPSKAYIYGANIIFIAIISIISLSISSFI 3
XP_001732036.1 1 183 0.25841912568306 hypothetical protein 183 0 13 183 0 Malassezia globosa CBS 7966 XP_001732036.1 1 RefSeq MVSLNIFSAAFVASLASAVFAAPSALERRAAPDNTVWVTSVADHCLILPRHKMSVGDSESPGNMRSFCTKPYSSKQGQLASDFWTKAHFKKTDKYVQITGCINPNVQSTLLSNDEGGQYDSNGGEGGRGNPAGSVCLGYSSYVELVEPAGNRACIRCCYDPSDCDVSQDEAGCETVIPGKYDC
XP_001824318.1 1 428 0.250626168224299 PF01266.24:DAO:9:319,PF13450.6:NAD_binding_8:11:41 Probable FAD-dependent monooxygenase kojA; Kojic acid biosynthesis protein A; EC 1.14.13.- 428 311 13 428 0 Aspergillus oryzae (strain ATCC 42149 / RIB 40) (Yellow koji mold) SwissProt::Q2U5I0 1 SwissProt MRVATQLRVGIVGGGWNGCHLALELKKQGHRVSLFEQKPDIFQGVSGNFGIRLHKGPHYPRSKATRDSCREALVKFCETYPELVVHHESAIYAHGEADALGNPSKVSDEAFRDVCYESPECTAVDPKANGFQGLISAYNLDEPSVAIGDRLRNTFKEKLGRAGIYVHLNATVDRIIHTEDTNRIQTGDGQYVFDVVINATGYTSLLPQNIADALPVDIGITYQTCIALVYEDQQPQEKPLSFIVMDGWFPCVMPAIDTNEPLQKKYILTHGSYTILGSFDRHEEGQELLDSLDEEAIAARIKPHCEREITRFWPGFLDRFQYRGWKGSVLAKLKTTSEFRSSLTFEKDGVIHIFPGKVSNVVTAAEEVVPLINDIARRRHGVVREWNGVRFTVSSAFHTHSKEIGDKPGLGEHHTSNLQTYVSLVTAN
XP_002379946.1 69 444 0.455147872340425 PF08493.10:AflR:25:285 aflR / apa-2 / afl-2 / transcription activator 444 261 13 376 0 Aspergillus flavus NRRL3357 XP_002379946.1 1 RefSeq RAPSPLDSTRRPSESLPSARSEQGLPAHNTYSTPHAHTQAHTHAHSHPQPHPQSHPQSNQPPHALPTPNGSSSVSAIFSHQSPPPPVETQGLGGDLAGQEQSTLSSLTVDSEFGGSLQSMEHGNHVDFLAESTGSLFDAFLEVGTPMIDPFLESAPLPPFQARYCCFSLALQTLTHLFPHAPLGCQLRLTDGEDSSCNLMTTDMVISGNKRATDAVRKILGCSCAQDGYLLSMVVLIVLKVLAWYAAAAGTQCTSTAAGGETNSGSCSNSPATVSSGCLTEERVLHLPSMMGEDCVDEEDQPRVAAQLVLSELHRVQSLVNLLAKRLQEGGDDAAGIPAHHPASPFSLLGFSGLEANLRHRLRAVSSDIIDYLHRE
XP_002381425.1 1 564 0.119990780141844 PF07690.16:MFS_1:129:493 Probable efflux pump kojT; Kojic acid transporter 564 365 13 295 12 Aspergillus oryzae (strain ATCC 42149 / RIB 40) (Yellow koji mold) SwissProt::Q2U5H8 1 SwissProt MQSFRQYRRMRRDLQESIKLHGPYAAAGDRHVQPTDDILEDADDARLEKGIHSMNGHGQSPYSTPGIVLHDGQRVTVPGVNLRRASEICERVNTKTLFIVGFDGPDDQLNPKNWSIGRKWATLGIVGTTGMLVGWASSIDSTVIKQGQEAFGVSEVAESLATALFLFAFGFGSLVAAPFSETVGRNPVYIATLSILMIFTMASGLAPNFGAQLAFRFLAGLFGCTPMTTFGGSMADIFDPMDRTYAFPVCCTLSFLGPFLAPMVGAFIGQSTHISWRWTEWCTLIMAALVTGAIFLFVPETYGPVLLQWKAKQLREITGDPRFMAEIELRQTSLVTRLMHSCSRPFHLFFREIMVALFTMYLVVVYIVLFGFLTGYEFIFGRTYGFTQGSVGLTFIGMNIGFLIAFAMVPHIYFSYKKRLQNAIENGHNGLPPEERLWFAMYGAPWLPISLFWMGWTSYPSISYWSPLVASVAFGFSVQGIFISTYQYLIDTYELFAASALVSATFFRYIAAGAMVIVSIPMYGNLGVHWSLTLLGCISVLMTPVPYIFYKYGHVIRQRNKKTP
XP_002809126.1 1 275 0.860687272727273 PF05403.11:Plasmodium_HRP:1:274 histidine-rich protein III 275 274 13 275 0 Plasmodium falciparum 3D7 XP_002809126.1 1 RefSeq MVSFSKNKILSAAVFASVLLLDNNNSEFNNNLFSKNAKGLNSNKRLLHESQAHAGDAHHAHHVADAHHAHHVADAHHAHHVADAHHAHHVADAHHAHHAHHAANAHHAANAHHAANAHHAANAHHAANAHHAANAHHAANAHHAANAHHAANAHHAANAHHAANAHHAADANHGFHFNLHDNNSHTLHHAKANACFDDSHHDDAHHDGAHHDDAHHDGAHHDDAHHDGAHHDDAHHDGAHHDDAHHDGAHHDGAHHDGAHHDGAHHNATTHHLHH
XP_005248439.1 1 459 0.720210021786492 protein naked cuticle homolog 2 isoform X1 500 0 13 459 0 Homo sapiens XP_005248439.1 1 RefSeq MGKLQSKHAAAARKRRESPEGDSFVASAYASGRKGAEEAERRARDKQELPNGDPKEGPFREDQCPLQVALPAEKAEGREHPGQLLSADDGERAANREGPRGPGGQRLNIDALQCDVSVEEDDRQEWTFTLYDFDNCGKVTREDMSSLMHTIYEVVDASVNHSSGSSKTLRVKLTVSPEPSSKRKEGPPAGQDREPTRCRMEGELAEEPRVADRRLSAHVRRPLSFLLCEEVGAGQDAPRTPAACCRHPVIRGAPPSLCGGQALLCAPSRRPSTDPQPCSERGPYCVDENTERRNHYLDLAGIENYTSRFGPGSPPVQAKQEPQGRASHLQARSRSQEPDTHAVHHRRSQVLVEHVVPASEPAARALDTQPRPKGPEKQFLKSPKGSGKPPGVPASSKSGKAFSYYLPAVLPPQAPQDGHHLPQPPPPPYGHKRYRQKGREGHSPLKAPHAQPATVEHEV
XP_005248622.1 1 937 0.299671398078976 PF00621.20:RhoGEF:249:423,PF00169.29:PH:25:132,PF00618.20:RasGEF_N:638:762 ras-specific guanine nucleotide-releasing factor 2 isoform X2 937 408 13 937 0 Homo sapiens XP_005248622.1 1 RefSeq MQKSVRYNEGHALYLAFLARKEGTKRGFLSKKTAEASRWHEKWFALYQNVLFYFEGEQSCRPAGMYLLEGCSCERTPAPPRAGAGQGGVRDALDKQYYFTVLFGHEGQKPLELRCEEEQDGKEWMEAIHQASYADILIEREVLMQKYIHLVQIVETEKIAANQLRHQLEDQDTEIERLKSEIIALNKTKERMRPYQSNQEDEDPDIKKIKKVQSFMRGWLCRRKWKTIVQDYICSPHAESMRKRNQIVFTMVEAESEYVHQLYILVNGFLRPLRMAASSKKPPISHDDVSSIFLNSETIMFLHEIFHQGLKARIANWPTLILADLFDILLPMLNIYQEFVRNHQYSLQVLANCKQNRDFDKLLKQYEANPACEGRMLETFLTYPMFQIPRYIITLHELLAHTPHEHVERKSLEFAKSKLEELSRVMHDEVSDTENIRKNLAIERMIVEGCDILLDTSQTFIRQGSLIQVPSVERGKLSKVRLGSLSLKKEGERQCFLFTKHFLICTRSSGGKLHLLKTGGVLSLIDCTLIEEPDASDDDSKGSGQVFGHLDFKIVVEPPDAAAFTVVLLAPSRQEKAAWMSDISQCVDNIRCNGLMTIVFEENSKVTVPHMIKSDARLHKDDTDICFSKTLNSCKVPQIRYASVERLLERLTDLRFLSIDFLNTFLHTYRIFTTAAVVLGKLSDIYKRPFTSIPVRSLELFFATSQNNRGEHLVDGKSPRLCRKFSSPPPLAVSRTSSPVRARKLSLTSPLNSKIGALDLTTSSSPTTTTQSPAASPPPHTGQIPLDLSRGLSSPEQSPGTVEENVDNPRVDLCNKLKRSIQKAVLESAPADRAGVESSPAADTTELSPCRSPSTPRHLRYRQPGGQTADNAHCSVSPASAFAIATAAAGHGSPPGFNNTERTCDKEFIIRRTATNRVLNVLRHWVSKHAQGGHFDF
XP_005251912.1 1 719 0.0474374130737135 PF04515.12:Choline_transpo:295:605 choline transporter-like protein 1 isoform X2 719 311 13 489 10 Homo sapiens XP_005251912.1 1 RefSeq MGCCSSASSAAQSSKREWKPLEDRSCTDIPWLLLFILFCIGMGFICGFSIATGAAARLVSGYDSYGNICGQKNTKLEAIPNSGMDHTQRKYVFFLDPCNLDLINRKIKSVALCVAACPRQELKTLSDVQKFAEINGSALCSYNLKPSEYTTSPKSSVLCPKLPVPASAPIPFFHRCAPVNISCYAKFAEALITFVSDNSVLHRLISGVMTSKEIILGLCLLSLVLSMILMVIIRYISRVLVWILTILVILGSLGGTGVLWWLYAKQRRSPKETVTPEQLQIAEDNLRALLIYAISATVFTVILFLIMLVMRKRVALTIALFHVAGKVFIHLPLLVFQPFWTFFALVLFWVYWIMTLLFLGTTGSPVQNEQGFVEFKISGPLQYMWWYHVVGLIWISEFILACQQMTVAGAVVTYYFTRDKRNLPFTPILASVNRLIRYHLGTVAKGSFIITLVKIPRMILMYIHSQLKGKENACARCVLKSCICCLWCLEKCLNYLNQNAYTATAINSTNFCTSAKDAFVILVENALRVATINTVGDFMLFLGKVLIVCSTGLAGIMLLNYQQDYTVWVLPLIIVCLFAFLVAHCFLSIYEMVVDVLFLCFAIDTKYNDGSPGREFYMDKVLMEFVENSRKAMKEAGKGGVADSRELKPMVGGDEEVAALQEFHFHFLSLSVFTDCTSSGEAFVICITQDMLLFLFVCLPITWMAEFLSQLRPPSIKVS
XP_005272559.1 1 563 0.865280639431616 PML-RARA-regulated adapter molecule 1 isoform X2 707 0 13 563 0 Homo sapiens XP_005272559.1 1 RefSeq MAHHLPAAMESHQDFRSIKAKFQASQPEPSDLPKKPPKPEFGKLKKFSQPELSEHPKKAPLPEFGAVSLKPPPPEVTDLPKKPPPPEVTDLPKKPPPPEVTDLPKKPPPPEVTDLPKKPSKLELSDLSKKFPQLGATPFPRKPLQPEVGEAPLKASLPEPGAPARKPLQPDELSHPARPPSEPKSGAFPRKLWQPEAGEATPRSPQPELSTFPKKPAQPEFNVYPKKPPQPQVGGLPKKSVPQPEFSEAAQTPLWKPQSSEPKRDSSAFPKKASQPPLSDFPKKPPQPELGDLTRTSSEPEVSVLPKRPRPAEFKALSKKPPQPELGGLPRTSSEPEFNSLPRKLLQPERRGPPRKFSQPEPSAVLKRHPQPEFFGDLPRKPPLPSSASESSLPAAVAGFSSRHPLSPGFGAAGTPRWRSGGLVHSGGARPGLRPSHPPRRRPLPPASSLGHPPAKPPLPPGPVDMQSFRRPSAASIDLRRTRSAAGLHFQDRQPEDIPQVPDEIYELYDDVEPRDDSSPSPKGRDEAPSVQQAARRPPQDPALRKEKDPQPQQLPPMDPKLL
XP_005278337.1 1 607 0.683060626029654 PF04065.15:Not3:3:233 CCR4-NOT transcription complex subunit 3 isoform X1 771 231 13 607 0 Homo sapiens XP_005278337.1 1 RefSeq MADKRKLQGEIDRCLKKVSEGVEQFEDIWQKLHNAANANQKEKYEADLKKEIKKLQRLRDQIKTWVASNEIKDKRQLIDNRKLIETQMERFKVVERETKTKAYSKEGLGLAQKVDPAQKEKEEVGQWLTNTIDTLNMQVDQFESEVESLSVQTRKKKGDKDQKQDRIEGLKRHIEKHRYHVRMLETILRMLDNDSILVDAIRKIKDDVEYYVDSSQDPDFEENEFLYDDLDLEDIPQALVATSPPSHSHMEDEIFNQSSSTPTSTTSSSPIPPSPANCTTENSEDDKKRGRSTDSEVSQSPAKNGSKPVHSNQHPQSPAVPPTYPSGPPPAASALSTTPGNNGVPAPAAPPSALGPKASPAPSHNSGTPAPYAQAVAPPAPSGPSTTQPRPPSVQPSGGGGGGSGGGGSSSSSNSSAGGGAGKQNGATSYSSVVADSPAEVALSSSGGNNASSQALGPPSGPHNPPPSTSKEPSAAAPTGAGGVAPGSGNNSGGPSLLVPLPVNPPSSPTPSFSDAKAAGALLNGPPQFSTAPEIKAPEPLSSLKSMAERAAISSGIEDPVPTLHLTERDIILSSTSAPPASAQPPLQLSEVNIPLSLGVCPLGPVP
XP_006234684.1 76 290 0.487553023255814 PF02165.15:WT1:1:215 Wilms tumor protein homolog isoform X3 428 215 13 215 0 Rattus norvegicus XP_006234684.1 1 RefSeq SWGGAEPHEEQCLSAFTLHFSGQFTGTAGACRYGPFGPPPPSQASSGQARMFPNAPYLPSCLESQPSIRNQGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKGHGTGYESENHTTPILCGAQYRIHTHGVFRGIQDVRRVSGVAP
XP_006239987.1 820 1798 0.522690296220632 PF07693.14:KAP_NTPase:5:135 kinase D-interacting substrate of 220 kDa isoform X3 1798 131 13 979 0 Rattus norvegicus XP_006239987.1 1 RefSeq LNSVLRDSNINGHDYMRNIVHLPVFLNSRGLSNARKFLVTSATNGDITCSDTTGTQEDTDRRVSQNSLGEMTKLGSKTALNRRDTYRRRQMQRTITRQMSFDLTKLLVTEDWFSDISPQTMRRLLNIVSVTGRLLRANQITFNWDRLASWINLTEQWPYRTSWLILYLEETEGLPDQMTLKTIYERISKNIPTTKDVEPLLEIDGDIRNFEVFLSSRTPVLVARDVKTFLPCTVNLDPKLREIIADVRAAREQINIGGLAYPPLPLHEGPPRPPSGYSQPASVCSSASFNGPFPGGVVSPQPHSSYYSGLSGPQHPFYNRPFFAPYLYTPRYYPGGSQHLISRSSVKTSLPRDQNNGLEVITEDAAEGLPSPTDSSRERSWTRKQMMQPCDSGFNKQRQVQKLQATVPATGSSLLLSSMTVDVVCEKLRQIEGLDQSMMPQYCTTIKKANINGRVLSQCNIDELKKEMAMNFGDWHLFRSMVLEMRSVESQVVPEDPRFLNENSSAPVPHGESARRSSHTELPLTELSSQTPYTLNFSFEELNTLGLDEGAPRHSNLSWQSQTRRTPSLSSLNSQDSSIEISKLTDKVQAEYRDAYREYIAQMSQLEGGTGSSTISGRSSPHSTYYIGQSSSGGSIHSTLEQERGKEGELKQEDGRKSFLMKRGDVIDYSSSGVSTNEASPLDPITEEDEKSDQSGSKLLPGKKSSERPSLFQTDLKLKGGGLRYQKLPSDEDESGTEESDNTPLLKDDKDKKAEGKAERVCKSPEHSAEPIRTFIKAKEYLSDALLDKKDSSDSGVRSNESSPNHSLHNEAADDSQLEKANLIELEDEGHSGKRGMPHSLSGLQDPIIARMSICSEDKKSPSECSLIASSPEESWPACQKAYNLNRTPSTVTLNNNTAPTNRANQNFDEIEGIRETSQVILRPGPSPNPTAVQNENLKSMAHKRSQRSSYTRLSKDASELHAASSESTGFGEERESIL
XP_006245297.1 1 329 0.0993161094224924 PF00001.21:7tm_1:31:252 G-protein coupled bile acid receptor 1 isoform X1 329 222 13 171 7 Rattus norvegicus XP_006245297.1 0 RefSeq MMSHNTTELSAIPRGVQELSLVLASLIVIANLLLALGIVLDRHLRSPPAGCFFLSLLLAGLLTGLALPTLPGLWNRSHQGYWSCLLLHLAPNFCFLSLLANLLLVHGERYMAVLQPLRPHGSVRLALFLTWISSLLFASLPALGWNHWSPGANCSSQAIFPAPYLYLEVYGLLLPAVGATALLSVRVLATAHHQLREIRRLERAVCRDAPSTLARALTWRQARAQAGATLLFLLCWGPYVATLLLSVLAYERRPPLGPVTLLSLISLGSASAAVVPVAMGLGDQRYTAPWRTAAQRWLQVLRGRPKRANPGPSTAYHSSSQCSTDLDLN
XP_006245540.1 1 83 0.350821686746988 PF15172.6:Prolactin_RP:23:62 Prolactin-releasing peptide; PrRP; Prolactin-releasing hormone 83 40 13 83 0 Rattus norvegicus (Rat) SwissProt::P81278 1 SwissProt MALKTWLLCLLLLSLVLPGASSRAHQHSMETRTPDINPAWYTGRGIRPVGRFGRRRATPRDVTGLGQLSCLPLDGRTKFSQRG
XP_006501205.1 393 2020 0.560597911547913 Histone-lysine N-methyltransferase ASH1L; ASH1-like protein; Absent small and homeotic disks protein 1 homolog; EC 2.1.1.43 2958 0 13 1628 0 Mus musculus (Mouse) SwissProt::Q99MY8 1 SwissProt SAMGLVNKDIGKKLLNCPMAGQLGSKDALNLKSEALLPTQEQLKASCSANISNHDSQELPESLKDSATGKAFEKSVMRHSKESMLEKFSVRKEITNLEKEMFNEGTCIQQDNFSSSERGAFETSKHEKQPPVYCTSPDFQIGGASDASTAKSPFSAVGESNLPSSSPTVSVNPVTRSPPEASSQLVPNPLLLNSTAEQMEEISESIGKSQFTAESTHLNVGHRSLGHSLSIECKGIDKELNESKNTHLDIPRISSSLGKKPSLTSDSGIHAITPSVVNFTSLFSNKPFLKLGAVTAPDKHCQVAESLSSSFQSKPLKKRKGRKPRWTKVVARSTCRSPKGLDLERSELFKNVSCSSLSNSSEPAKFMKTIGASSFVDHDFLKRRLPKLSKSSAPSLALLTDSEKPSHKSFITHKLSSSMCVTSDLLSDIYKPKRGRPKSKEMPQLEGPPKRTLKIPASKVFSLQSKEEQEPPILQPEIEIPSFKQSLSVSPFPKKRGRPKRQMRSPVKMKPPVLSVAPFVATESPSKLESESENHRSSSDFFESEDQLQDTDDLDDSHRQSVCSMSDLEMEPDKKISKRNNGQLMKTIIRKINKMKTLKRKKLLNQILSSSVESSNKGKVQSKLHNTVSSLAATFGSKLGQQINVSKKGTIYIGKRRGRKPKTVLNGLLSGSPASLAVLEQTAQQAAGSALGQILPPLLPSPASSSEILPSPICSQSSGTSGGQSPVSSDAGFVEPSSVPYLHVHSRQGSMIQTLAMKKASKGRRRLSPPTLLPNSPSHLSELTSLKEATPSPVSESHSDETIPSDSGIGTDNNSTSDRAEKFCGQKKRRHSFEHISLIPPETSTVLNSLKEKHKHKCKRRSHDYLSYDKMKRQKRKRKKKYPQLRNRQDPDFIAELEELISRLSEIRITHRSHHFIPRDLLPTIFRINFNSFYTHPSFPLDPLHYIRKPDLKKKRGRPPKMREAMAEMPFMHSLSFPLSSTGFYPSYGMPYSPSPLTAAPIGLGYYGRYPPTLYPPPPSPSFTTPLPPPSYMHAGHLLLNPTKYHKKKHKLLRQEAFLTTSRTPLLSMSTYPSVPPEMAYGWMVEHKHRHRHKHREHRSEQPQVSMDSGSSRSVLESLKRYRFGKDTVGDRYKHKEKHRCHMSCPHLSPSKNLINREEQWVSREPSESSSLALGLQTPLQIDCSESSPSLSLGGFTPNSEPASSDEHMNLFTSAIGSCRVSNPNSSCRKKLTDSPGLFPVQDTALNRPHRKEPLPSSERAIQSLAGSQSASDKPSQRSSESTNCSPTRKRSSSESTSSTVNGVPSRSPRLVASMDDSVDSLLQRIVHHDEQESMEKNGDASITTVSAPPSSSPGHSYSKERALGKSDSLLVPAVPNDSCSNIPLLSEKSASRCSPHHIKRSVVEAMQRQARKMCNYDKILATKKNLDHVNKILKAKKLQRQARTGNNFVKRRPGRPRKCPLQAVVSMQAFQAAQFVSPELNEGEDMSLHLSPDTVTDVIEAVVQSVNLTSEHKKGVKRKNWLLEEQTRKKQKTVPEEEEQENNKSFIETPVEIPSPLETPAEPSEPENTLQPVLALIPREKKAPRPPKKKYQRAGLYSDVYKTIDPKSRLIQLKKEKLEYTPGEHEY
XP_006517785.1 1 170 0.388871176470588 regulator of G-protein signaling 7-binding protein isoform X1 170 0 13 170 0 Mus musculus XP_006517785.1 1 RefSeq MHKTRTKGCEMARQAHQKLAAISGPEDGEIHPEICRLYIQLQCCLEMYTTEMLKSICLLGSLQFHRKGKEASGGAKNLDSKIEENAETPALEDSLSSPLESQQQCWQVATDIENTERDMREMKNLLSKLRETMPLPLKNQDDSSLLNLTPYPMVRRRKRRFFGLCCLVSS
XP_006520328.1 307 832 0.564896577946767 prickle-like protein 1 isoform X1 832 0 13 526 0 Mus musculus XP_006520328.1 1 RefSeq SLGEDIHASDSSDSAFQSARSRDSRRSVRMGRSSRSADQCRQSLLLSPALNYKFPGLSGNADDTLSRKLDDVSLASRQGAGFANEEFWKARVEQEASEDPEEWAEHEDYMTQLLLKFGDKNLFQQQSSEVDPRASEHWIPDNMVTNKPEVKPNHQGLASKKYQSDMYWAQSQDGLGDSAYGSHPGPASSRRLQELDLDHGAAGYTHDQSQWYEDSLECLSDLKPEQSIRDSMDSLALSNITGASVDGESKPRPSLYSLQNFEEIEAEDCEKMSNMGTLNSSMLHRSAESLQSLNSGLCPEKILPEEKPAHLPVLRRSKSQSRPQQVKFSDDVIDNGSYDIEIRQPPMSERTRRRAYHFEERGSRPHHHRHRRSRKSRSDNALNLVTERKYSAKDRLRLYTPDNYEKFIQNKSARELQAYMQNANLYSQYAHATSDYALQNPGMNRFLGLCGEDDDSWCSSSTSSSDSEEEGYFLGQPIPQPRPQRFTYYTDDLSSPASALPTPQFTQRTTKSKKKKGHKGKNCIIS
XP_006527499.1 1 1130 0.271760088495575 PF14429.6:DOCK-C2:557:739,PF11878.8:DUF3398:57:165 dedicator of cytokinesis protein 8 isoform X2 1130 292 13 1130 0 Mus musculus XP_006527499.1 1 RefSeq MATLPSAERRAFALKINRYSSSEIRKQFTLPPNLGQYHRHSISTSGFPSLQLPQLYEPVEPVDFEGLVMTHLNSLDAELAQELGDLTDDDLHVAFTPKECRTLQHSLPEEGVELDPHVRDCVQTYIREWLIVNRKNQGSSEFCSFKKTGSRRDFQKTLQKQTFESETLECSEPDTQTGPRHPLNVLCDVSGKGPLTSCDFDLRSLQPDERLENLLQLVSAEDFEKEKEEARKTNRPAELFALYPPVDEEDAVEIRPVPECPKEHLGNRILVKVLTLKFEIEIEPLFASIALYDVKERKKISENFHCDLNSDQFKGFLRAHTPSIDPSSQARSAVFSVTYPSSDIYLVVKIEKVLQQGEIADCAEPYMIIKESDGGKSKEKVEKLKLQAESFCQRLGKYRMPFAWAPISLASFFNISTLERESTDVEPGVGRNSVGEKRSLSQSRRPSERTLSLEENGVGSNFKATTLATNIFFKQEGDRLSDEDLFKFLADYKRSSSLQRRVKSIPGSLRLEISPAPDVMNCCLTPEMLPVKPFPENRTRPHKEILEFPIREVYVPHTVYRNLLYVYPQRLNFASKLASARNITIKIQFMCGEDPSNAMPVIFGKSSGPEFLQEVYTAITYHNKSPDFYEEVKIKLPAKLTVNHHLLFTFYHISCQQKQGASGESLLGYSWLPILLNERLQTGSYCLPVALEKLPPNYSIHSAEKVPLQNPPIKWAEGHKGVFNIEVQAVSSVHTQDNHLEKFFTLCHSLESQVSFPIRVLDQKITESTLEHELKLSIICLNSSRLEPLVLFLHLVLDKLFQLSVQPMVIAGQTANFSQFAFESVVAIANSLHNSKDLRKDQHGRNCLLASYVHYVFRLPELHRDTSKSGGPTTVVPDPRYHTYGRTSAAAVSSKLMQARVMSSSNPDLTGSHCAADEEVKNIMSSKIADRNCSRMSYYCSGNSDAPGSTAAPRPVSKKHFHEELALQMVVSTGVVRETVFKYAWFFFELLVKSMAQYVHNLDKRDSFRRTRFSDRFKDDITTIVNVVTSEIAALLVKPQKESEQAEKINISLAFFLYDLLSIMDRGFVFNLIKHYCSQLSASQSEETEFSQERDLLQLTEKSQDCAFNLGSGHPEFETGTMASCASVRT
XP_006531790.1 337 883 0.690633455210237 Histone-lysine N-methyltransferase KMT5B; Lysine-specific methyltransferase 5B; Suppressor of variegation 4-20 homolog 1; Su(var)4-20 homolog 1; Suv4-20h1; EC 2.1.1.43 883 0 13 547 0 Mus musculus (Mouse) SwissProt::Q3U8K7 1 SwissProt VGLPAPAPVINSKYGLRETDKRLNRLKKLGDSSKNSDSQSVSSNTDADTTQEKDNATSNRKSSVGVKKSSKSRALTRPSMPRVPAASNSTSPKLVHTNNPRVPKKLRKPAKPLLSKIRLRNHCKRLDQKSASRKLEMGSLVLKEPKVVLYKNLPIKKEREPEGPAHAAVGSGCLTRHAAREHRQNHGRGAHSQGDSLPCTYTTRRSLRTRTGLKETTDIKLEPSPLDGYKNGILEPCPDSGQQPTPEVLEELAPETAHREEASQECPKNDSCLSRKKFRQVKPVKHLAKTEDCSPEHSFPGKDGLPDLPGSHPDQGEPSGTVRVPVSHTDSAPSPVGCSVVAPDSFTKDSFRTAQSKKKRRVTRYDAQLILENSSGIPKLTLRRRHDSSSKTNDHESDGVNSSKISIKLSKDHDSDSNLYVAKLSNGVSAGPGSSSTKLKIQLKRDEESRGPCAEGLHENGVCCSDPLSLLESQMEVDDYSQYEEDSTDESSSSEGEEEEEDCEDDFDDDFIPLPPAKRLRLIVGKDSIDIDISSRRREDQSLRLNA
XP_006531981.1 75 616 0.733285977859778 mastermind-like protein 1 isoform X1 1060 0 13 542 0 Mus musculus XP_006531981.1 1 RefSeq RQPPAAATAPVAAPAPASAPAAARLDAADGPEHGRPVAGYCFPSFPHYPRCETTRQNTPVLTQLLESSHPHFPACKSRHLHDTVKRSLDSAASPQNGDQPNGYGDLFPGHKKTRREAPLGVSVSANGLPPASPLGQPDKPSGGDTLQTAGKHSLGLDPINKKCLADSGIHLNGGSNSSEPFPLSLSKELKQEPVDDLPCMIAGAGGSVAQSNLMPDLNLNEQEWKELIEELNRSVPDEDMKDLFTEDFEEKKDPEPPGSATQTPLAQDINIKTEFSPAAFEQEQLGSPQVRAGSAGQTFLGASSAPVGTDSPSLGSSQTLFHTTSQPGVDNSSPNLMPASAQAQSAQRALTSVVLPSQGPGGASELSSAHQLQQIAAKQKREQMLQNPQQAAPAPGPGQLATWQQAGPSHSPLDVPYPMEKPASPSGYKQDFTNSKLLMMPGVNKSSPRPGGPYLQPSHSNLLSHQSPSNLNQNPVNNQGSVLDYGNTKPLSHYKADCGQGGPGSGQNKPALMAYLPQQLPHLSNEQNSLFLMKPKSGNMPF
XP_006534273.1 486 1343 0.410324242424243 C-Jun-amino-terminal kinase-interacting protein 4 isoform X1 1343 0 13 858 0 Mus musculus XP_006534273.1 1 RefSeq RAEAEDARQKAKDDDDSDIPTAQRKRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASRENPAMQEKKRSSIWQFFSRLFSSSSNATKKPEPPVNLKYNAPTSHVTPSVKKRSSTLSQLPGDKSKAFDFLSEETEASLASRREQKREQYRQVKAHVQKEDGRVQAFGWSLPQKYKQVANGQGETKMKNLPVPVYLRPLDEKDASMKLWCAVGVNLSGGKTRDGGSVVGASVFYKDIAGLDTEGSKQRSASQSSLDKLDQELKEQQKEFKNQEELSSQVWICTSTHSTTKVIIIDAVQPGNILDSFTVCNSHVLCIASVPGARETDYPAGEELSESGQVDKASLCGSMTSNSSAEMDSLLGGITVVGCSTEGLTGAATSPSTNGASPVIEKPPEMETENSEVDENIPTAEEATEATEGNAGSTEDTVDISQPGVYTEHVFTDPLGVQIPEDLSPVFQSSNDSDVYKDQISVLPNEQDLAREEAQKMSSLLPTMWLGAQNGCLYVHSSVAQWRKCLHSIKLKDSILSIVHVKGIVLVALADGTLAIFHRGVDGQWDLSNYHLLDLGRPHHSIRCMTVVHDKVWCGYRNKIYVVQPKAMKIEKSFDAHPRKESQVRQLAWVGDGVWVSIRLDSTLRLYHAHTYQHLQDVDIEPYVSKMLGTGKLGFSFVRITALMVSCNRLWVGTGNGVIISIPLTETVILHQGRLLGLRANKTSGTPGNRPGSVIRVYGDENSDKVTPGTFIPYCSMAHAQLCFHGHRDAVKFFVAVPGQVISPQSSSGGADLTADKAGSSAQEPSSQTPLKSMLVISGGEGYIDFRMGDEGGESELLGEDLPLEPSVTKAERSHLIVWQVMCGNE
XP_006540617.1 342 860 0.670341040462428 Transcription factor E2F8; E2F-8 860 0 13 519 0 Mus musculus (Mouse) SwissProt::Q58FA4 1 SwissProt AFKWTGPEISPNNSGSSPIMPLPASLEAEQSAKENCAKNLFSTRGKPSFTRHPSLIKLVKSIENDRRKISSAPSSPVKSNKAESSQNSPPVPNKMAQLAAICKMQLEEQSSEPRKKVKVNLARSGHYKPLAPLDPTVNTELELLTPSLIQPLGVVPLIPSPLSSAVPVILPQAPSGPSYAIYLQPAQAQMLTPPPGLSPTVCPTQPSNATGSKDPTDAPAEKTATDAATTGSLQPAPERHGAKHRSKETTGDRGTKRMITAEDSGPSSVKKPKEDLKALENVPTPTPLFPSGYLIPLTQCSSLGPDSVLSNTENSGTPSPNHRIYGSPIAGVIPVASSELTAVNFPPFHVTPLKLMVSPTSMAAVPVGNSPALNSGHPAPAQNPSSAIVNFTLQHLGLISPGVQMSASPGPGAGTVPVSPRVEADNLSSRQRRATNHDSPVLGQSQLNGQPVAGTGAQQPVPVTPKGSQLVAENFFRTPGGPTKPTSSPYTDFDGANKTSFGTLFVPQRKLEVSTEDIH
XP_006718835.1 1 677 0.614020974889217 PF12240.8:Angiomotin_C:634:677 angiomotin-like protein 1 isoform X4 677 44 13 677 0 Homo sapiens XP_006718835.1 1 RefSeq MDLSEKWTLEEGAWLSHAGIHHFASTRADSEFVEASPASYSPDELGSPSACYSPSSPVQVLEDSTYFSPDFQLYSGRHETSALTVEATSSIREKVVEDPLCNFHSPNFLRISEVEMRGSEDAAAGTVLQRLIQEQLRYGTPTENMNLLAIQHQATGSAGPAHPTNNFSSTENLTQEDPQMVYQSARQEPQGQEHQVDNTVMEKQVRSTQPQQNNEELPTYEEAKAQSQFFRGQQQQQQQQGAVGHGYYMAGGTSQKSRTEGRPTVNRANSGQAHKDEALKELKQGHVRSLSERIMQLSLERNGAKQHLPGSGNGKGFKVGGGPSPAQPAGKVLDPRGPPPEYPFKTKQMMSPVSKTQEHGLFYGDQHPGMLHEMVKPYPAPQPVRTDVAVLRYQPPPEYGVTSRPCQLPFPSTMQQHSPMSSQTSSASGPLHSVSLPLPLPMALGAPQPPPAASPSQQLGPDAFAIVERAQQMVEILTEENRVLHQELQGYYDNADKLHKFEKELQRISEAYESLVKSTTKRESLDKAMRNKLEGEIRRLHDFNRDLRDRLETANRQLSSREYEGHEDKAAEGHYASQNKEFLKEKEKLEMELAAVRTASEDHRRHIEILDQALSNAQARVIKLEEELREKQAYVEKVEKLQQALTQLQSACEKREQMERRLRTWLERELDALRTQQ
XP_008758259.1 1282 2758 0.251201489505755 PF13330.6:Mucin2_WxxW:120:206,PF13330.6:Mucin2_WxxW:332:418,PF13330.6:Mucin2_WxxW:493:584,PF00094.25:VWD:768:926,PF08742.11:C8:972:1034 LOW QUALITY PROTEIN: mucin-5AC isoform X1 2758 488 13 1477 0 Rattus norvegicus XP_008758259.1 1 RefSeq QRYHPGDTIYHTTDGMGGCISAHCRDNGTIERIVDTCSSTSPPPPTTFSFSTTLVMTSMQPSSTHSSPTPSVVYPGSPSKAVLTASSVSSVKTPETTSVLTTSTSASTLTMPACQEECLWSPWMDISRPGRGIDSGDFDTLENLHAHGYQICPVPKAVECRAEDNPGVPFHALQQHVECSTTVGLICYNSDQVSGLCDNYQIKIQCCTPINCPTSTGPTQTTHLIVSRTSTMEDTTSSVPVTSTEHTYSTVASSPSTHTPGPSPSSSVPSSSAPARSTPTPVSSTTVKTTLPTTSPMPEPTSATSSVSISTLGSTLASPEITHGCRKELCNWTDWIDGSYPEPGRSSGDFDTFVNLRAKGYKFCEKPWNVECRAQFFPNTPLQELGQDVTCSREVGLICLNKNQLPPICYNYEIRIECCTIVNICSTTSATTQPTSHGVSIKTKTNWITNTYSFSTENTSGHSTVINTKTWVTGSTHTTPQPVTAHCQPQCNWTKWLDTDFPVPGPHGGDLETYGNIKKSGERLCPWPEEITRLECRAKDYPERAMEDLGQVVQCDPSVGLVCKNSDQGPTFGMCLNYEVRLLCCHVPEDCLRAGPDSCGHPTRLISVAVIIHLLFTSSSTSPRVSSTXPCFCTMSGQLYPLGTINLQQTDVTATCYYAMLHHDCQXVKGVSQDCPSTMPPRTPTLSTSTAPPVTERDWCNVFPPRLKGETWPMPNCSQATCEGNNVVSLSPRQCPEVKEPSCANGYPPLKVDDQDGCCQHYQCQCVCSGWGDPHYITFDGTYYTFLDNCTYVLVQQIVPVFGDFRVLIDNYYCDLGDSVSCPQSIIVEYHQDRVVLTRRPVHGVMTNQIIFNNKVVSPGFQQNGIIISRVGIKMYVTIQEIGVQVMFSGLIFSVEVPFNLFANNTEGQCGTCTNDKKDECRLPGGSIASSCSEMSLHWKVPNQPSCQGPPPTPTSMVPRSTPTPCSPSPLCQLILSDVFKLCHDIIPPLQFYEGCLFDYCHMLDLEVVCSGLELYASLCAAQGVCIPWRSHTNNTCPFTCPENQVYQPCGPSNPHYCYRNDDISLSLAIQKAGPKSEGCFCPDDMTLFSSNDSICVPSCQWCLGPHGEPVEPGHTISINCQDCICKEGTLTCQEKLCPQPTCPEPGFVPVSVALEAGQCCSQFSCVCNSSHCPPPLHCPESSSLIVTYEEGTCCPSQNCSSQKGCDVNGTLYQPGDVVSSSLCERCLCEVSSNAFSDGFVVNCEIELCNTQCPKGFEYQTTPGHCCGHCIPKTCPFKNSNNSTSLYKPGEFWPEPGNPCVTHKCEKFQDVLTVVTMKIECPKINCPQDQAQLREDGCCYDCLVPQQKCTVHQRQQIIRQQNCSSEGPVSLSYCQGNCGDSTSMYSLEANTVEHTCECCQELQTSQRSVTLHCDDGSSRTFSYTQVEKCGCLGQRCHAPGDTSHSESSEQEFKSKESEEPGQQSASRVSEDTLGPFQ
XP_008758299.1 94 355 0.901837022900763 cyclin-dependent kinase inhibitor 1C isoform X1 355 0 13 262 0 Rattus norvegicus XP_008758299.1 1 RefSeq RETVQVGRCRLQLGPRPPPVAVAVIPRSGPPAGEGPDGLEEAPEQPPSAPASAVVAEPTPPATPAPASDLTSDPIPDVTPVATSDSTPDPIPDVATQDGEEQVPEQVSEQVSEQGEESGAEPGDELGAEPVSEQGKQQGAEPVEEKDEEPVEEQGAEPVEEKDEEPVEGQNGELVEEQDENQELKDQPLSGIPGRPAAGTAAANANGAIKKLSGPLISDFFAKRKRTAQENKASNDVPAGCPSPNVAPGVGAVEQTPRKRLR
XP_008759650.1 181 685 0.584392277227723 macrophage colony-stimulating factor 1 isoform X3 685 0 13 482 1 Rattus norvegicus XP_008759650.1 1 RefSeq DVVTKPDCNCLYPKATPSSDLASASPHQPPAPSMAPLADLAWDDSQRTEGSSLLPSDLPLRIEDPGSAKQRPPRSTCQTLESTEQPNHEDPQPHPSAGAPIPGVEDIIESSMGTNWVLEEASGEASEGFLTQERKFSPSNPVGGSIQAETDRPWARSASSPFPKLTEDQQPTNITDTPLTEVNPMRPTGQTLNNTPEKTDGSSTLREDQQEPRSPHFATLNPQRVGNSATPYAKLLPPKSHSWGIVLPLGELEGKKSTRDRRSPAELKGGPASEGAARPVAQSTRDRRSPAELKGGPASEGAARPVARFNSIPLTDTGSSIQDPQTSAFVFWVLGIILVLLAVGGLLFYSWKRRVRRTPGEEDILWGKTKAGAIETLGHWILLWGDQRAAPWPRMRTDRWNCQCRKDSKLGTQDYLFMGGDIMATSTTTLSCHLPGNVVCHYQSSCLPRLDESSFDGDLSILTLRLSTKERGLEDAPSILPLFIVGPGGSLHLRKGSSTAQDPFP
XP_008762483.1 1 312 0.499402564102564 PF09027.10:GTPase_binding:4:44 ERBB receptor feedback inhibitor 1; Gene 33 polypeptide; Mitogen-inducible gene 6 protein homolog; MIG-6; Receptor-associated late transducer; RALT 459 41 13 312 0 Rattus norvegicus (Rat) SwissProt::P05432 1 SwissProt MSTAGVAAQDIRVPLKTGFLHNGQALGNMKTCWGSRNEFEKNFLNIDPITMAYNLNSPAPEHLTTLGCASPSAPGSGHFFAERGPSPKSSLPPLVIPPSESSGQREEDQVLCGFKKLSVNGVCASTPPLTPIQSCSSPFPCAAPCDRSSRPLPPLPISEDPSLDEADCEVEFLTSADTDFLLEDCVPSDFKYDVPGRRSFRGCGQINYAYFDSPTVSVADLSCASDQNRVVPDPNPPPPQSHRRLRRSHSGPAGSFNKPAIRISSCTHRASPSSDEDKPEIPPRVPIPPRPAKPDYRRWSAEVTSNTYSDED
XP_008765760.1 354 3039 0.222813402829486 PF00801.20:PKD:664:756,PF00801.20:PKD:773:841,PF00801.20:PKD:861:925,PF00801.20:PKD:942:1008,PF00801.20:PKD:1026:1095,PF00801.20:PKD:1116:1177,PF00801.20:PKD:1194:1261,PF00801.20:PKD:1283:1350,PF00801.20:PKD:1365:1434,PF00801.20:PKD:1457:1518,PF00801.20:PKD:1534:1601,PF00801.20:PKD:1624:1691,PF00801.20:PKD:1711:1773,PF02010.15:REJ:1812:2255,PF00059.21:Lectin_C:73:177 polycystin-1 isoform X1 4292 1442 13 2686 0 Rattus norvegicus XP_008765760.1 1 RefSeq VAPTVLELVCPSFVHSDESLDLGIRHRGGSALEVTYSILALDKEPAQVVHPLCPSDTEIFPGNGHCYRLVAEKAPWLQAQEQCRTWAGAALAMVDSPAIQHFLVSKVTRSLDVWIGFSSVEGKEGLDPQGEAFSLESCQNWLPGEPHPATEEHCVRLGPAGQCNTDLCSAPHSYVCELRPGGPVGDADNFLLGVSGGGRSGPLQPLAQQGTLQGPLQPVEVMVFPGLSPSQEAFLTAAEFETQELEDPVQLRLQVYRHSREAVAPEGSSELDNSTEPAPKCVPEELWCPGANVCVPFDALCNSHVCINGSASRLGLPRASYTLWKEFFFSVPAGPPTQYLVTLHGQDVPMLPGDLVALQHDAGPGTFLHCPLASSCPGQALYLSTNASDWMTNLPVHLEEAWAGPVCSLQLLLVTEQLTPLLGLGSNPGLQHPGHYEVRATVGNSISRQNLSCSFSVVSPVAGLRVIHPIPLDGHIYVPTNGSILVLQVDSGANATATAHWFGGNVSAPFEDACPPEVDFLKQDCTEEANGTLFSVLVLPRLKEGDHTVEIVAQNGASQANLSLRVTAEEPICGLRAVPSPEARVLQGILVRYSPMVEAGSDVAFRWTIDDKQSLTFHNTVFNVIYQTAAVFKLSLTASNHVSNITVNYNVTVERMNKMHGLWVSAVPAVLPPNATLALTGGVLVDSAVEVAFLWNFGDGEQVLRQFKPPYDESFQVPDPTVAQVLVEHNTTHIYNTPGEYNLTVTVSNTYENLTQQVPVSVRTVLPNVTIGMSSNVLLAGQPITFFPYPLPSADGVLYTWDFGDGSPVLIQSQPVLNHTYSMTGTYRISLEVNNTVSSVAAHVDICVFQELHGLTVYLNQSVEQGAPMVVNASVESGDNITWTFDMGDGTVFTGPEATVEHVYLRAQNFTVTVGATSPAGHLSQSLHVQVFVLEVLRIEPSTCIPTQPSAQIMAHVTGDPAHYLFDWTFGDGSSNVTVHGHPSVTHNFTRSGIFPLALVLSSHVNKAHYFTSICVEPEICNVTLQPERQVVKLGDEAWLVAYPWPPFPYRYTWDFGTEDSIHTQTGGSEVTFIYREPGSYLVIVTVSNNISSTNDSAFVDVQEPVSVTGIRINGSHVLELQQPYLFSAMGNGSPAAYLWELGDGSQHEGPEVTHIYSSTGDFTVRVSGWNEVSRSEAQLNITVKQRVRGLTINASRTVVPLNGSVSFSTLLEVGSDVHYSWVLCDRCTPIPGGPTISYTFRSVGTFNIIVTAENEVGSAQDSIFIYVLQLIEGLQVVGGDGGCCFPTNYTLQLQAAVRDGTNISYSWTAQQDGGPTLISSGKSFSLTALKASTYYVHLRATNMLGSASANRTIDFVEPVESLILSASPNPAAVNTSLTLGAELAGGSGVVYTWYLEEGLSRETSMPSTTHTFAAPGLHLVRVTAENQLGSVNATIEVAVQAPVGGLSIRTSEPDSIFVAAGSTVPFWGQLAEGTNVTWCWTLPGGSKYSQYIDVRFPAAGHFSLWLNASNAVSWVSAVYNLTVEEPIMNLVLWASSKVVAPGQPVHFQILLAAGSAVTFRLQVGGSIPEVLPSLHFSHSFFRVGDHMVSVQAENHVSRAQAQVRILVLEAIVGLQVPNCCEPGMATGTEKNFTARVQRGSRVAYAWYFSLQKVQGDSLVILSGRDVTYTPVAAGILEIHVRAFNELGGVNLTLVVEVQDIIQYVTLQSGRCFTNRSARFEAATSPSPRRVTYHWDFGDGTPVQETEESWTDHYYLHPGDYRVEVNATNLVSFFVAQATVTVQVLACREPEVEVALPLQVLMRRSQRNYLEAHVDLRNCVSYQTEYRWEVYRTTSCQRPGRMTQMVLPGVDVSRPQLVVPRLALPVGHYCFVFVVSFGDTPLARSIQANVTVAAERLVPIIEGGSYRVWSDTQDLVLDGSKSYDPNLEDGDQTPLNFHWACVASTQSETGGCVLNFGPRGSSVVTIPLERLEAGVEYTFNLIVWKAGRKEEATNQTVLIRSGRVPIVSLECVSCKAQAVYEVSRSSYVYLEGHCHNCSSGSKQGRWAARTFSNKTLMLNENTTSTGSTGMHLVVRPGALHDGEGYIFTLTVLGHSGEEEGCASIRLLPNRPPLGGSCRLFPLESVRGLTTKVHFECTGWRDAEDGGAPLVYALRLKRCHQNYCEDFCIYKGSLSTYGAVLPPGFQPLFVVSLTVVVEDQLGASVVALNRSLTIVLPEPSGNPADLIPWLHSLTASVLPGLLRQADPQHVIEYSLALITVLNEYEQAPDMVSEPNLEQQLRAQMRKNITETLISLRVNTVDDIQQITAALAQCMVSSRELMCRSCLKKMLQKLEGMMRILQAETTEGTLTPTTIADSILNITGDLIHLASLDMQGPQPLELGAEPPSLMVASKAYNLSSALMCILMRSRVLNEEPLTLAGEEIVALGKRADPLSLLCYGKAWGPSCHFSIPEAFSGALSDLSDVVQLILLVDSNPFPFGYISNYTVSTKVASMAFQTQTGTQIPIEQLAAERAITVKVPNNSDQAAQSSHSPVGSTIVQPRASVSAVVTADNSNPQAGLHLRITYTVLNARYLSEEREPYLAVYLHSVSQPNEYNCSASRRISLEVLEGADHRPYTFFIAPGTGTLGRSYYLNLTSHFHWSALEVSVGLYTSLCQYFSEEAMMWRTEGIVPLEETSPSQAVCLTRHL 1
XP_008771079.2 1 259 0.554941312741313 PF11928.8:DUF3446:107:197 E3 SUMO-protein ligase EGR2 isoform X1 483 91 13 259 0 Rattus norvegicus XP_008771079.2 1 RefSeq MRVGLPSEASSCLWSARGPRDRPERRRNGLAHVLPDSLYPVEDLAAPSVTIFPNGELGGPFDQMNGVAGDGMINIDMTGEKRPLDLPYPSSFAPISAPRNQTFTYMGKFSIDPQYPGASCYPEGIINIVSAGILQGVTPPASTTASSSVTSASPNPLATGPLGVCTMSQTQPELDHLYSPPPPPPPYSGCTGDLYQDPSAFLSPPPTTSTSSLAYQPPPSYPSPKPAMDPGLIPMIPDYPGFFPSPCQRDPHGAAGPDR
XP_011240672.1 130 326 0.343512182741117 platelet-derived growth factor D isoform X1 326 0 13 197 0 Mus musculus XP_011240672.1 1 RefSeq WCGHKEIPPRITSRTNQIKITFKSDDYFVAKPGFKIYYSFVEDFQPEAASETNWESVTSSFSGVSYHSPSITDPTLTADALDKTVAEFDTVEDLLKHFNPVSWQDDLENLYLDTPHYRGRSYHDRKSKGSKAQDKWRRETRELPSHWRKGDPSFSRRNQNCGTLQLYQLDSSLQEGIQTMLTIQIQVASWAAVVLQN
XP_011512566.1 162 424 0.432826235741445 E3 ubiquitin-protein ligase TRIM31 isoform X1 424 0 13 263 0 Homo sapiens XP_011512566.1 1 RefSeq QGVHRVDVFTDQVEHEKQRILTEFELLHQVLEEEKNFLLSRIYWLGHEGTEAGKHYVASTEPQLNDLKKLVDSLKTKQNMPPRQLLEDIKVVLCSEEFQFLNPTPVPLELEKKLSEAKSRHDSITGSLKKFKDQLQADRKKDENRFFKSMNKNDMKSWGLLQKNNHKMNKTSEPGSSSAGGRTTSGPPNHHSSAPSHSLFRASSAGKVTFPVCLLASYDEISGQGASSQDTKTFDVALSEELHAALSEWLTAIRAWFCEVPSS
XP_011513502.1 1 530 0.116476226415094 PF01733.18:Nucleoside_tran:172:504 Equilibrative nucleoside transporter 4; hENT4; Plasma membrane monoamine transporter; Solute carrier family 29 member 4 530 333 13 303 10 Homo sapiens (Human) SwissProt::Q7RTT9 1 SwissProt MGSVGSQRLEEPSVAGTPDPGVVMSFTFDSHQLEEAAEAAQGQGLRARGVPAFTDTTLDEPVPDDRYHAIYFAMLLAGVGFLLPYNSFITDVDYLHHKYPGTSIVFDMSLTYILVALAAVLLNNVLVERLTLHTRITAGYLLALGPLLFISICDVWLQLFSRDQAYAINLAAVGTVAFGCTVQQSSFYGYTGMLPKRYTQGVMTGESTAGVMISLSRILTKLLLPDERASTLIFFLVSVALELLCFLLHLLVRRSRFVLFYTTRPRDSHRGRPGLGRGYGYRVHHDVVAGDVHFEHPAPALAPNESPKDSPAHEVTGSGGAYMRFDVPRPRVQRSWPTFRALLLHRYVVARVIWADMLSIAVTYFITLCLFPGLESEIRHCILGEWLPILIMAVFNLSDFVGKILAALPVDWRGTHLLACSCLRVVFIPLFILCVYPSGMPALRHPAWPCIFSLLMGISNGYFGSVPMILAAGKVSPKQRELAGNTMTVSYMSGLTLGSAVAYCTYSLTRDAHGSCLHASTANGSILAGL
XP_011514368.2 1 968 0.331042045454546 PF04109.16:APG9:229:720 autophagy-related protein 9B isoform X1 968 492 13 876 4 Homo sapiens XP_011514368.2 1 RefSeq MTCLRLLPKSLHHSFLPFPAQCHRPLWKQQQLPSFQPFWRSRGLMVSRMGWGGRRRRLGRWGDLGPGSVPLLPMPLPPPPPPSCRGPGGGRISIFSLSPAPHTRSSPSSFSPPTAGPPCSVLQGTGASQSCHSALPIPATPPTQAQPAMTPASASPSWGSHSTPPLAPATPTPSQQCPQDSPGLRVGPLIPEQDYERLEDCDPEGSQDSPIHGEEQQPLLHVPEGLRGSWHHIQNLDSFFTKIYSYHQRNGFACILLEDVFQLGQFIFIVTFTTFLLRCVDYNVLFANQPSNHTRPGPFHSKVTLSDAILPSAQCAERIRSSPLLVLLLVLAAGFWLVQLLRSVCNLFSYWDIQVFYREALHIPPEELSSVPWAEVQSRLLALQRSGGLCVQPRPLTELDIHHRILRYTNYQVALANKGLLPARCPLPWGGSAAFLSRGLALNVDLLLFRGPFSLFRGGWELPHAYKRSDQRGALAARWGRTVLLLAALNLALSPLVLAWQVLHVFYSHVELLRREPGALGARGWSRLARLQLRHFNELPHELRARLARAYRPAAAFLRTAAPPAPLRTLLARQLVFFAGALFAALLVLTVYDEDVLAVEHVLTAMTALGVTATVARSFIPEEQCQGRAPQLLLQTALAHMHYLPEEPGPGGRDRAYRQMAQLLQYRAVSLLEELLSPLLTPLFLLFWFRPRALEIIDFFHHFTVDVAGVGDICSFALMDVKRHGHPQWLSAGQTEASLSQRAEDGKTELSLMRFSLAHPLWRPPGHSSKFLGHLWGRVQQDAAAWGATSARGPSTPGVLSNCTSPLPEAFLANLFVHPLLPPRDLSPTAPCPAAATASLLASISRIAQDPSSVSPGGTGGQKLAQLPELASAEMSLHVIYLHQLHQQQQQQEPWGEAAASILSRPCSSPSQPPSPDEEKPSWSSDGSSPASSPRQQWGTQKARNLFPGGFQVTTDTQKEPDRASCTD
XP_011514744.1 1 1923 0.469877223088924 PF12877.7:DUF3827:1012:1675 UPF0606 protein KIAA1549 isoform X1 1923 664 13 1877 2 Homo sapiens XP_011514744.1 1 RefSeq MPGARRRRRGAAMEGKPRAGVALAPGPSGRRPSARCARRRRPGLLLPGLWLLLLARPASCAPDELSPEQHNLSLYSMELVLKKSTGHSAAQVALTETAPGSQHSSPLHVTAPPSATTFDTAFFNQGKQTKSTADPSIFVATYVSVTSKEVAVNDDEMDNFLPDTHWTTPRMVSPIQYITVSPPGLPREALEPMLTPSLPMVSLQDEEVTSGWQNTTRQPAAYAESASHFHTFRSAFRTSEGIVPTPGRNLVLYPTDAYSHLSSRTLPEIVASLTEGVETTLFLSSRSLMPQPLGDGITIPLPSLGEVSQPPEEVWATSADRYTDVTTVLSQSLEETISPRTYPTVTASHAALAFSRTHSPLLSTPLAFASSASPTDVSSNPFLPSDSSKTSELHSNSALPGPVDNTHILSPVSSFRPYTWCAACTVPSPQQVLATSLMEKDVGSGDGAETLCMTVLEESSISLMSSVVADFSEFEEDPQVFNTLFPSRPIVPLSSRSMEISETSVGISAEVDMSSVTTTQVPPAHGRLSVPASLDPTAGSLSVAETQVTPSSVTTAFFSVITSILLDSSFSVIANKNTPSLAVRDPSVFTPYSLVPSVESSLFSDQERSSFSEHKPRGALDFASSFFSTPPLELSGSISSPSEAPASLSLMPSDLSPFTSQSFSPLVETFTLFDSSDLQSSQLSLPSSTNLEFSQLQPSSELPLNTIMLLPSRSEVSPWSSFPSDSLEFVEASTVSLTDSEAHFTSAFIETTSYLESSLISHESAVTALVPPGSESFDILTAGIQATSPLTTVHTTPILTESSLFSTLTPPDDQISALDGHVSVLASFSKAIPTELTVVGPSLTPTEVPLNTSTEVSTTSTGAATGGPLDSTLMGDAASQSPPESSAAPPLPSLRPVTAFTLEATVDTPTLATAKPPYVCDITVPDAYLITTVLARRAVQEYIITAIKEVLRIHFNRAVELKVYELFTDFTFLVTSGPFVYTAISVINVLINSKLVRDQTPLILSVKPSFLVPESRFQVQTVLQFVPPSVDTGFCNFTQRIEKGLMTALFEVRKHHQGTYNLTVQILNITISSSRVTPRRGPVNIIFAVKSTQGFLNGSEVSELLRNLSVVEFSFYLGYPVLQIAEPFQYPQLNLSQLLKSSWVRTVLLGVMEKQLQNEVFQAEMERKLAQLLSEVSTRRRMWRRATVAAGNSVVQVVNVSRLEGDDNPVQLIYFVEDQDGERLSAVKSSDLINKMDLQRAAIILGYRIQGVIAQPVDRVKRPSPESQSNNLWVIVGVVIPVLVVMVIVVILYWKLCRTDKLDFQPDTVANIQQRQKLQIPSVKGFDFAKQHLGQHNKDDILIIHEPAPLPGPLKDHTTPSENGDVPSPKSKIPSKNVRHRGRVSPSDADSTVSEESSERDAGDKTPGAVNDGRSHRAPQSGPPLPSSGNEQHSSASIFEHVDRISRPPEASRRVPSKIQLIAMQPIPAPPVQRPSPADRVAESNKINKEIQTALRHKSEIEHHRNKIRLRAKRRGHYEFPVVDDLSSGDTKERHRVYRRAQMQIDKILDPTASVPSVFIEPRKSSRIKRSPKPRRKHQVNGCPADAEKDRLITTDSDGTYRRPPGVHNSAYIGCPSDPDLPADVQTPSSVELGRYPALPFPASQYIPPQPSIEEARQTMHSLLDDAFALVAPSSQPASTAGVGPGVPPGLPANSTPSQEERRATQWGSFYSPAQTANNPCSRYEDYGMTPPTGPLPRPGFGPGLLQSTELVPPDPQQPQASAEAPFAARGIYSEEMPSVARPRPVGGTTGSQIQHLTQVGIASRIGAQPVEIPPSRGSQYGGPGWPSYGEDEAGRREATHMLGHQEYSSSPLFQVPRTSGREPSAPSGNLPHRGLQGPGLGYPTSSTEDLQPGHSSASLIKAIREELLRLSQKQSTVQNFHS
XP_011517562.1 1 2379 0.634773139974781 PF12931.7:Sec16_C:1622:1856,PF12932.7:Sec16:1449:1549 protein transport protein Sec16A isoform X1 2379 336 13 2379 0 Homo sapiens XP_011517562.1 1 RefSeq MQPPPQTVPSGMAGPPPAGNPRSVFWASSPYRRRANNNAAVAPTTCPLQPVTDPFAFSRQALQSTPLGSSSKSSPPVLQGPAPAGFSQHPGLLVPHTHARDSSQGPCEPLPGPLTQPRAHASPFSGALTPSAPPGPEMNRSAEVGPSSEPEVQTLPYLPHYIPGVDPETSHGGHPHGNMPGLDRPLSRQNPHDGVVTPAASPSLPQPGLQMPGQWGPVQGGPQPSGQHRSPCPEGPVPSGVPCATSVPHFPTPSILHQGPGHEQHSPLVAPPAALPSDGRDEVSHLQSGSHLANNSDPESTFRQNPRIVNHWASPELRQNPGVKNEHRPASALVNPLARGDSPENRTHHPLGAGAGSGCAPLEADSGASGALAMFFQGGETENEENLSSEKAGLSGQADFDDFCSSPGLGRPPAPTHVGAGSLCQALLPGPSNEAAGDVWGDTASTGVPDASGSQYENVENLEFVQNQEVLPSEPLNLDPSSPSDQFRYGPLPGPAVPRHGAVCHTGAPDATLHTVHPDSVSSSYSSRSHGRLSGSARPQELVGTFIQQEVGKPEDEASGSFFKQIDSSPVGGETDETTVSQNYRGSVSQPSTPSPPKPTGIFQTSANSSFEPVKSHLVGVKPFEADRANVVGEVRETCVRQKQCRPAAALPDASPGNLEQPPDNMETLCAPQVCPLPLNSTTEAVHMLPHAGAPPLDTVYPAPEKRPSARTQGPVKCESPATTLWAQSELPDFGGNVLLAPAAPALYVCAKPQPPVVQPPEEAMSGQQSRNPSSAAPVQSRGGIGASENLENPPKMGEEEALQSQASSGYASLLSSPPTESLQNPPVLIAQPDHSYNLAQPINFSVSLSNSHEKNQSWREALVGDRPAVSSWALGGDSGENTSLSGIPTSSVLSLSLPSSVAQSNFPQGSGASEMVSNQPANLLVQPPSQPVPENLVPESQKDRKAGSALPGFANSPAGSTSVVLVPPAHGTLVPDGNKANHSSHQEDTYGALDFTLSRTLENPVNVYNPSHSDSLASQQSVASHPRQSGPGAPNLDRFYQQVTKDAQGQPGLERAQQELVPPQQQASPPQLPKAMFSELSNPESLPAQGQAQNSAQSPASLVLVDAGQQLPPRPPQSSSVSLVSSGSGQAAVPSEQPWPQPVPALAPGPPPQDLAAYYYYRPLYDAYQPQYSLPYPPEPGAASLYYQDVYSLYEPRYRPYDGAASAYAQNYRYPEPERPSSRASHSSERPPPRQGYPEGYYSSKSGWSSQSDYYASYYSSQYDYGDPGHWDRYHYSARVRDPRTYDRRYWCDAEYDAYRREHSAFGDRPEKRDNNWRYDPRFTGSFDDDPDPHRDPYGEEVDRRSVHSEHSARSLHSAHSLASRRSSLSSHSHQSQIYRSHNVAAGSYEAPLPPGSFHGDFAYGTYRSNFSSGPGFPEYGYPADTVWPAMEQVSSRPTSPEKFSVPHVCARFGPGGQLIKVIPNLPSEGQPALVEVHSMEALLQHTSEQEEMRAFPGPLAKDDTHKVDVINFAQNKAMKCLQNENLIDKESASLLWNFIVLLCRQNGTVVGTDIAELLLRDHRTVWLPGKSPNEANLIDFTNEAVEQVEEEESGEAQLSFLTGGPAAAASSLERETERFRELLLYGRKKDALESAMKNGLWGHALLLASKMDSRTHARVMTRFANSLPINDPLQTVYQLMSGRMPAASTCCGDEKWGDWRPHLAMVLSNLNNNMDVESRTMATMGDTLASRGLLDAAHFCYLMAQAGFGVYTKKTTKLVLIGSNHSLPFLKFATNEAIQRTEAYEYAQSLGAETCPLPSFQVFKFIYSCRLAEMGLATQAFHYCEAIAKSILTQPHLYSPVLISQLVQMASQLRLFDPQLKEKPEEESLAAPTWLVHLQQVERQIKEGAGVWHQDGALPQQCPGTPSSEMEQLDRPGLSQPGALGIANPLLAVPAPSPEHSSPSVRLLPSAPQTLPDGPLASPARVPMFPVPLPPGPLEPGPGCVTPGPALGFLEPSGPGLPPGVPPLQERRHLLQEARSPDPGIVPQEAPVGNSLSELSEENFDGKFANLTPSRTVPDSEAPPGWDRADSGPTQPPLSLSPAPETKRPGQAAKKETKEPKKGESWFFRWLPGKKKTEAYLPDDKNKSIVWDEKKNQWVNLNEPEEEKKAPPPPPTSMPKTVQAAPPALPGPPGAPVNMYSRRAAGTRARYVDVLNPSGTQRSEPALAPADFVAPLAPLPIPSNLFVPTPVSSVRPQGRSGRNDGLLALSSPDAEEPQLPDGTGREGPAAARGLANPEPAPEPKVLSSAASLPGSELPSSRPEGSQGGELSRCSSMSSLSREVSQHFNQAPGDLPAAGGPPSGAMPFYNPAQLAQACATSGSSRLGRIGQRKHLVLN
XP_011520731.1 496 1345 0.468191647058823 C-Jun-amino-terminal kinase-interacting protein 3 isoform X9 1345 0 13 850 0 Homo sapiens XP_011520731.1 1 RefSeq KSEAIIARREPKEEAEDVSSYLCTESDKIPMAQRRRFTRVEMARVLMERNQYKERLMELQEAVRWTEMIRASREHPSVQEKKKSTIWQFFSRLFSSSSSPPPAKRPYPSVNIHYKSPTTAGFSQRRNHAMCPISAGSRPLEFFPDDDCTSSARREQKREQYRQVREHVRNDDGRLQACGWSLPAKYKQLSPNGGQEDTRMKNVPVPVYCRPLVEKDPTMKLWCAAGVNLSGWRPNEDDAGNGVKPAPGRDPLTCDREGDGEPKSAHTSPEKKKAKELPEMDATSSRVWILTSTLTTSKVVIIDANQPGTVVDQFTVCNAHVLCISSIPAASDSDYPPGEMFLDSDVNPEDPGADGVLAGITLVGCATRCNVPRSNCSSRGDTPVLDKGQGEVATIANGKVNPSQSTEEATEATEVPDPGPSEPETATLRPGPLTEHVFTDPAPTPSSGPQPGSENGPEPDSSSTRPEPEPSGDPTGAGSSAAPTMWLGAQNGWLYVHSAVANWKKCLHSIKLKDSVLSLVHVKGRVLVALADGTLAIFHRGEDGQWDLSNYHLMDLGHPHHSIRCMAVVYDRVWCGYKNKVHVIQPKTMQIEKSFDAHPRRESQVRQLAWIGDGVWVSIRLDSTLRLYHAHTHQHLQDVDIEPYVSKMLGTGKLGFSFVRITALLVAGSRLWVGTGNGVVISIPLTETVVLHRGQLLGLRANKTSPTSGEGARPGGIIHVYGDDSSDRAASSFIPYCSMAQAQLCFHGHRDAVKFFVSVPGNVLATLNGSVLDSPAEGPGPAAPASEVEGQKLRNVLVLSGGEGYIDFRIGDGEDDETEEGAGDMSQVKPVLSKAERSHIIVWQVSYTPE
XP_011521289.1 1 727 0.369458596973865 Puratrophin-1; Pleckstrin homology domain-containing family G member 4; PH domain-containing family G member 4; Purkinje cell atrophy-associated protein 1 1191 0 13 727 0 Homo sapiens (Human) SwissProt::Q58EX7 1 SwissProt MERPLENGDESPDSQGHATDWRFAVCSFRDAWEEEEPASQMHVKDPGPPRPPAGATQDEELQGSPLSRKFQLPPAADESGDAQRGTVESSSVLSEGPGPSGVESLLCPMSSHLSLAQGESDTPGVGLVGDPGPSRAMPSGLSPGALDSDPVGLGDPLSEISKLLEAAPSGSGLPKPADCLLAQDLCWELLASGMATLPGTRDVQGRAVLLLCAHSPAWLQSECSSQELIRLLLYLRSIPRPEVQALGLTVLVDARICAPSSSLFSGLSQLQEAAPGAVYQVLLVGSTLLKEVPSGLQLEQLPSQSLLTHIPTAGLPTSLGGGLPYCHQAWLDFRRRLEALLQNCQAACALLQGAIESVKAVPQPMEPGEVGQLLQQTEVLMQQVLDSPWLAWLQCQGGRELTWLKQEVPEVTLSPDYRTAMDKADELYDRVDGLLHQLTLQSNQRIQALELVQTLEARESGLHQIEVWLQQVGWPALEEAGEPSLDMLLQAQGSFQELYQVAQEQVRQGEKFLQPLTGWEAAELDPPGARFLALRAQLTEFSRALAQRCQRLADAERLFQLFREALTWAEEGQRVLAELEQERPGVVLQQLQLHWTRHPDLPPAHFRKMWALATGLGSEAIRQECRWAWARCQDTWLALDQKLEASLKLPPVGSTASLCVSQVPAAPAHPPLRKAYSFDRNLGQSLSEPACHCHHAATIAACRRPEAGGGALPQASPTVPPPGSSDP
XP_011525236.1 1 397 0.18918362720403 PF01762.21:Galactosyl_T:163:349 UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 8; BGnT-8; Beta-1,3-Gn-T8; Beta-1,3-N-acetylglucosaminyltransferase 8; Beta3Gn-T8; EC 2.4.1.- 397 187 13 397 0 Homo sapiens (Human) SwissProt::Q7Z7M8 1 SwissProt MRCPKCLLCLSALLTLLGLKVYIEWTSESRLSKAYPSPRGTPPSPTPANPEPTLPANLSTRLGQTIPLPFAYWNQQQWRLGSLPSGDSTETGGCQAWGAAAATEIPDFASYPKDLRRFLLSAACRSFPQWLPGGGGSQVSSCSDTDVPYLLLAVKSEPGRFAERQAVRETWGSPAPGIRLLFLLGSPVGEAGPDLDSLVAWESRRYSDLLLWDFLDVPFNQTLKDLLLLAWLGRHCPTVSFVLRAQDDAFVHTPALLAHLRALPPASARSLYLGEVFTQAMPLRKPGGPFYVPESFFEGGYPAYASGGGYVIAGRLAPWLLRAAARVAPFPFEDVYTGLCIRALGLVPQAHPGFLTAWPADRTADHCAFRNLLLVRPLGPQASIRLWKQLQDPRLQC
XP_011531309.1 1 746 0.163102680965148 WD repeat-containing protein 35 isoform X1 746 0 13 746 0 Homo sapiens XP_011531309.1 1 RefSeq MTKTHVIAASKEAFYTWQYRVAKKLTALEINQITRSRKEGRERIYHVDDTPSGSMDGVLDYSKTIQGTRDPICAITASDKILIVGRESGTIQRYSLPNVGLIQKYSLNCRAYQLSLNCNSSRLAIIDISGVLTFFDLDARVTDSTGQQVVGELLKLERRDVWDMKWAKDNPDLFAMMEKTRMYVFRNLDPEEPIQTSGYICNFEDLEIKSVLLDEILKDPEHPNKDYLINFEIRSLRDSRALIEKVGIKDASQFIEDNPHPRLWRLLAEAALQKLDLYTAEQAFVRCKDYQGIKFVKRLGKLLSESMKQAEVVGYFGRFEEAERTYLEMDRRDLAIGLRLKLGDWFRVLQLLKTGSGDADDSLLEQANNAIGDYFADRQKWLNAVQYYVQGRNQERLAECYYMLEDYEGLENLAISLPENHKLLPEIAQMFVRVGMCEQAVTAFLKCSQPKAAVDTCVHLNQWNKAVELAKNHSMKEIGSLLARYASHLLEKNKTLDAIELYRKANYFFDAAKLMFKIADEEAKKGSKPLRVKKLYVLSALLIEQYHEQMKNAQRGKVKGKSSEATSALAGLLEEEVLSTTDRFTDNAWRGAEAYHFFILAQRQLYEGCVDTALKTALHLKDYEDIIPPVEIYSLLALCACASRAFGTCSKAFIKLKSLETLSSEQKQQYEDLALEIFTKHTSKDNRKPELDSLMEGGEGKLPTCVATGSPITEYQFWMCSVCKHGVLAQEISHYSFCPLCHSPVG
XP_011531404.1 1 315 0.320787301587302 PF01545.21:Cation_efflux:132:220 zinc transporter 3 isoform X5 315 89 13 315 0 Homo sapiens XP_011531404.1 1 RefSeq MRVSSQSPQSPSLRSPNLWRCPSTTATGTPFRRRALPLRGCMHGGSYMLPVPFALSSWLGRWSTPSFHPGRRVSGTQPGHHDRCSPLAGGCGQHDGQPLLPLALHPSSHPHHDLWLAPFRMAFVLHQAGPPHSHGSRGAEYAPLEEGPEEPLPLGNTSVRAAFVHVLGDLLQSFGVLAASILIYFKPQYKAADPISTFLFSICALGSTAPTLRDVLRILMEGTPRNVGFEPVRDTLLSVPGVRATHELHLWALTLTYHVASAHLAIDSTADPEAVLAEASSRLYSRFGFSSCTLQVEQYQPEMAQCLRCQEPPQA
XP_011541584.2 1 454 0.228192731277533 PF00083.24:Sugar_tr:186:369,PF07690.16:MFS_1:160:453 Synaptic vesicle glycoprotein 2C 727 294 13 298 7 Homo sapiens (Human) SwissProt::Q496J9 1 SwissProt MEDSYKDRTSLMKGAKDIAREVKKQTVKKVNQAVDRAQDEYTQRSYSRFQDEEDDDDYYPAGETYNGEANDDEGSSEATEGHDEDDEIYEGEYQGIPSMNQAKDSIVSVGQPKGDEYKDRRELESERRADEEELAQQYELIIQECGHGRFQWALFFVLGMALMADGVEVFVVGFVLPSAETDLCIPNSGSGWLGSIVYLGMMVGAFFWGGLADKVGRKQSLLICMSVNGFFAFLSSFVQGYGFFLFCRLLSGFGIGGAIPTVFSYFAEVLAREKRGEHLSWLCMFWMIGGIYASAMAWAIIPHYGWSFSMGSAYQFHSWRVFVIVCALPCVSSVVALTFMPESPRFLLEVGKHDEAWMILKLIHDTNMRARGQPEKVFTVNKIKTPKQIDELIEIESDTGTWYRRCFVRIRTELYGIWLTFMRCFNYPVRDNTIKLTIVWFTLSFGYYGLSVWF
XP_011542758.1 1 382 0.25047722513089 PF02825.20:WWE:42:112 Phospholipase DDHD2; DDHD domain-containing protein 2; KIAA0725p; SAM, WWE and DDHD domain-containing protein 1; EC 3.1.1.- 711 71 13 382 0 Homo sapiens (Human) SwissProt::O94830 1 SwissProt MSSVQSQQEQLSQSDPSPSPNSCSSFELIDMDAGSLYEPVSPHWFYCKIIDSKETWIPFNSEDSQQLEEAYSSGKGCNGRVVPTDGGRYDVHLGERMRYAVYWDELASEVRRCTWFYKGDKDNKYVPYSESFSQVLEETYMLAVTLDEWKKKLESPNREIIILHNPKLMVHYQPVAGSDDWGSTPTEQGRPRTVKRGVENISVDIHCGEPLQIDHLVFVVHGIGPACDLRFRSIVQCVNDFRSVSLNLLQTHFKKAQENQQIGRVEFLPVNWHSPLHSTGVDVDLQRITLPSINRLRHFTNDTILDVFFYNSPTYCQTIVDTVASEMNRIYTLFLQRNPDFKGGVSIAGHSLGSLILFDILTNQKDSLGDIDSEKDSLNIVM
XP_013974350.1 1 147 0.0623442176870748 PF01146.17:Caveolin:12:143 caveolin-1 isoform X1 147 132 13 124 1 Canis lupus familiaris XP_013974350.1 1 RefSeq MAEEMSEKQVYDAHTKEIDLVNRDPKHLNDDVVKIDFEDVIAEPEGTHSFDGIWKASFTTFTVTKYWFYRLLSALFGIPMALIWGIYFAILSFLHIWAVVPCIKSFLIEIQCISRVYSIYVHTFCDPFFEAVGKIFSNIRINMQKET
XP_016857489.1 1 445 0.743734606741572 PF14621.6:RFX5_DNA_bdg:225:443 DNA-binding protein RFX5 isoform X2 445 219 13 445 0 Homo sapiens XP_016857489.1 1 RefSeq MPPLPGLDLKGSESPEMGPEVTPAPRDELVEAACALTCDWAERILKRSFSSIVEVARFLLQQHLISARSAHAHVLKAMGLAEEDEHAPRERSSKPKNGLENPEGGAHKKPERLAQPPKDLEARTGAGPLARGERKKSVVESSAPGANNLQVNALVARLPLLLPRAPRSLIPPIPVSPPILAPRLSSGALKVATLPLSSRAGAPPAAVPIINMILPTVPALPGPGPGPGRAPPGGLTQPRGTENREVGIGGDQGPHDKGVKRTAEVPVSEASGQAPPAKAAKQDIEDTASDAKRKRGRPRKKSGGSGERNSTPLKSAAAMESAQSSRLPWETWGSGGEGNSAGGAERPGPMGEAEKGAVLAQGQGDGTVSKGGRGPGSQHTKEAEDKIPLVPSKVSVIKGSRSQKEAFPLAKGEVDTAPQGNKDLKEHVLQSSLSQEHKDPKATPP
XP_016861395.1 197 1022 0.547735593220339 PF04849.13:HAP1_N:1:180,PF12448.8:Milton:241:410 trafficking kinesin-binding protein 1 isoform X2 1022 350 13 826 0 Homo sapiens XP_016861395.1 1 RefSeq LLQFYTSAAEESEPESVCSTPLKRNESSSSVQNYFHLDSLQKKLKDLEEENVVLRSEASQLKTETITYEEKEQQLVNDCVKELRDANVQIASISEELAKKTEDAARQQEEITHLLSQIVDLQKKAKACAVENEELVQHLGAAKDAQRQLTAELRELEDKYAECMEMLHEAQEELKNLRNKTMPNTTSRRYHSLGLFPMDSLAAEIEGTMRKELQLEEAESPDITHQKRVFETVRNINQVVKQRSLTPSPMNIPGSNQSSAMNSLLSSCVSTPRSSFYGSDIGNVVLDNKTNSIILETEAADLGNDERSKKPGTPGTPGSHDLETALRRLSLRRENYLSERRFFEEEQERKLQELAEKGELRSGSLTPTESIMSLGTHSRFSEFTGFSGMSFSSRSYLPEKLQIVKPLEGSATLHHWQQLAQPHLGGILDPRPGVVTKGFRTLDVDLDEVYCLNDFEEDDTGDHISLPRLATSTPVQHPETSGERSQARVTVSGSRSYPSRPQASPEEMQEPPAATEEEEEEEEEEGSAHHPGKCMSQTNSTFTFTTCRILHPSDELTRVTPSLNSAPTPACGSTSHLKSTPVATPCTPRRLSLAESFTNTRESTTTMSTSLGLVWLLKERGISAAVYDPQSWDRAGRGSLLHSYTPKMAVIPSTPPNSPMQTPTSSPPSFEFKCTSPPYDNFLASKPASSILREVREKNVRSSESQTDVSVSNLNLVDKVRRFGVAKVVNSGRAHVPTLTEEQGPLLCGPPGPAPALVPRGLVPEGLPLRCPTVTSAIGGLQLNSGIRRNRSFPTMVGSSMQMKAPVTLTSGILMGAKLSKQTSLR
XP_016863034.1 1 820 0.400552195121951 PF13901.6:zf-RING_9:585:784 run domain Beclin-1-interacting and cysteine-rich domain-containing protein isoform X7 820 200 13 820 0 Homo sapiens XP_016863034.1 1 RefSeq MLQCLEAVEQNNPRLLAQIDASMFARKHESPLLVTKSQSLTALPSSTYTPPNSYAQHSYFGSFSSLHQSVPNNGSERRSTSFPLSGPPRKPQESRGHVSPAEDQTIQAPPVSVSALARDSPLTPNEMSSSTLTSPIEASWVSSQNDSPGDASEGPEYLAIGNLDPRGRTASCQSHSSNAESSSSNLFSSSSSQKPDSAASSLGDQEGGGESQLSSVLRRSSFSEGQTLTVTSGAKKSHIRSHSDTSIASRGAPGGPRNITIIVEDPIAESCNDKAKLRGPLPYSGQSSEVSTPSSLYMEYEGGRYLCSGEGMFRRPSEGQSLISYLSEQDFGSCADLEKENAHFSISESLIAAIELMKCNMMSQCLEEEEVEEEDSDREIQELKQKIRLRRQQIRTKNLLPMYQEAEHGSFRVTSSSSQFSSRDSAQLSDSGSADEVDEFEIQDADIRRNTASSSKSFVSSQSFSHCFLHSTSAEAVAMGLLKQFEGMQLPAASELEWLVPEHDAPQKLLPIPDSLPISPDDGQHADIYKLRIRVRGNLEWAPPRPQIIFNVHPAPTRKIAVAKQNYRCAGCGIRTDPDYIKRLRYCEYLGKYFCQCCHENAQMAIPSRVLRKWDFSKYYVSNFSKDLLIKIWNDPLFNVQDINSALYRKVKLLNQVRLLRVQLCHMKNMFKTCRLAKELLDSFDTVPGHLTEDLHLYSLNDLTATRKGELGPRLAELTRAGATHVERCMLCQAKGFICEFCQNEDDIIFPFELHKCRTCEECKACYHKACFKSGSCPRCERLQARREALARQSLESYLSDYEEEPAEALALEAAVLEAT
XP_016865709.1 1 205 0.322050731707317 PF15183.6:MRAP:14:99 Melanocortin-2 receptor accessory protein 2; MC2R accessory protein 2 205 86 13 182 1 Homo sapiens (Human) SwissProt::Q96G30 1 SwissProt MSAQRLISNRTSQQSASNSDYTWEYEYYEIGPVSFEGLKAHKYSIVIGFWVGLAVFVIFMFFVLTLLTKTGAPHQDNAESSEKRFRMNSFVSDFGRPLEPDKVFSRQGNEESRSLFHCYINEVERLDRAKACHQTTALDSDVQLQEAIRSSGQPEEELNRLMKFDIPNFVNTDQNYFGEDDLLISEPPIVLETKPLSQTSHKDLD
XP_016865775.1 1 257 0.144881322957198 PF00129.18:MHC_I:31:197,PF14586.6:MHC_I_2:31:197 retinoic acid early transcript 1E isoform X2 257 167 13 211 2 Homo sapiens XP_016865775.1 1 RefSeq MRRISLTSSPVRLLLFLLLLLIALEIMVGGHSLCFNFTIKSLSRPGQPWCEAQVFLNKNLFLQYNSDNNMVKPLGLLGKKVYATSTWGELTQTLGEVGRDLRMLLCDIKPQIKTSDPSTLQVEMFCQREAERCTGASWQFATNGEKSLLFDAMNMTWTVINHEASKIKETWKKDRGLEKYFRKLSKGDCDHWLREFLGHWEAMPEPTVSPVNASDIHWSSSSLPDRWIILGAFILLVLMGIVLICVWWQNGNPPTSR
XP_016873501.1 1 168 0.475841666666667 A-kinase-interacting protein 1 isoform X2 168 0 13 168 0 Homo sapiens XP_016873501.1 1 RefSeq MDNCLAAAALNGVDRRSLQRSARLALEVLERAKRRAVDWHALERPKGCMGVLAREAPHLEKQPAAGPQRVLPGEKYYSSVPEEGGATHVYRYHRGESKLHMCLDIGNGQRKDRKKTSLGPGGSYQISEHAPEASQPVSTELLTHWVSPPLQLHEPVDMVLRGLFELSL
XP_016874084.1 552 2061 0.653506953642384 rho GTPase-activating protein 32 isoform X3 2061 0 13 1510 0 Homo sapiens XP_016874084.1 1 RefSeq GAASLSRPKSLLVSSPSTKLLTLEEAQARTQAQVNSPIVTENKYIEVGEGPAALQGKFHTIIEFPLERKRPQNKMKKSPVGSWRSFFNLGKSSSVSKRKLQRNESEPSEMKAMALKGGRAEGTLRSAKSEESLTSLHAVDGDSKLFRPRRPRSSSDALSASFNGEMLGNRCNSYDNLPHDNESEEEGGLLHIPALMSPHSAEDVDLSPPDIGVASLDFDPMSFQCSPPKAESECLESGASFLDSPGYSKDKPSANKKDAETGSSQCQTPGSTASSEPVSPLQEKLSPFFTLDLSPTEDKSSKPSSFTEKVVYAFSPKIGRKLSKSPSMSISEPISVTLPPRVSEVIGTVSNTTAQNASSSTWDKCVEERDATNRSPTQIVKMKTNETVAQEAYESEVQPLDQVAAEEVELPGKEDQSVSSSQSKAVASGQTQTGAVTHDPPQDSVPVSSVSLIPPPPPPKNVARMLALALAESAQQASTQSLKRPGTSQAGYTNYGDIAVATTEDNLSSSYSAVALDKAYFQTDRPAEQFHLQNNAPGNCDHPLPETTATGDPTHSNTTESGEQHHQVDLTGNQPHQAYLSGDPEKARITSVPLDSEKSDDHVSFPEDQSGKNSMPTVSFLDQDQSPPRFYSGDQPPSYLGASVDKLHHPLEFADKSPTPPNLPSDKIYPPSGSPEENTSTATMTYMTTTPATAQMSTKEASWDVAEQPTTADFAAATLQRTHRTNRPLPPPPSQRSAEQPPVVGQVQAATNIGLNNSHKVQGVVPVPERPPEPRAMDDPASAFISDSGAAAAQCPMATAVQPGLPEKVRDGARVPLLHLRAESVPAHPCGFPAPLPPTRMMESKMIAAIHSSSADATSSSNYHSFVTASSTSVDDALPLPLPVPQPKHASQKTVYSSFARPDVTTEPFGPDNCLHFNMTPNCQYRPQSVPPHHNKLEQHQVYGARSEPPASMGLRYNTYVAPGRNASGHHSKPCSRVEYVSSLSSSVRNTCYPEDIPPYPTIRRVQSLHAPPSSMIRSVPISRTEVPPDDEPAYCPRPLYQYKPYQSSQARSDYHVTQLQPYFENGRVHYRYSPYSSSSSSYYSPDGALCDVDAYGTVQLRPLHRLPNRDFAFYNPRLQGKSLYSYAGLAPRPRANVTGYFSPNDHNVVSMPPAADVKHTYTSWDLEDMEKYRMQSIRRESRARQKVKGPVMSQYDNMTPAVQDDLGGIYVIHLRSKSDPGKTGLLSVAEGKESRHAAKAISPEGEDRFYRRHPEAEMDRAHHHGGHGSTQPEKPSLPQKQSSLRSRKLPDMGCSLPEHRAHQEASHRQFCESKNGPPYPQGAGQLDYGSKGIPDTSEPVSYHNSGVKYAASGQESLRLNHKEVRLSKEMERPWVRQPSAPEKHSRDCYKEEEHLTQSIVPPPKPERSHSLKLHHTQNVERDPSVLYQYQPHGKRQSSVTVVSQYDNLEDYHSLPQHQRGVFGGGGMGTYVPPGFPHPQSRTYATALGQGAFLPAELSLQHPETQIHAE
XP_016874544.1 318 1141 0.537705218446601 PF10174.9:Cast:1:145,PF10174.9:Cast:154:519,PF10174.9:Cast:523:690,PF09457.10:RBD-FIP:776:815 ELKS/Rab6-interacting/CAST family member 1 isoform X1 1141 719 13 824 0 Homo sapiens XP_016874544.1 1 RefSeq SKGLSAKATEEDHERTRRLAEAEMHVHHLESLLEQKEKENSMLREEMHRRFENAPDSAKTKALQTVIEMKDSKISSMERGLRDLEEEIQMLKSNGALSTEEREEEMKQMEVYRSHSKFMKNKVEQLKEELSSKEAQWEELKKKAAGLQAEVFAIGQVKQELSRKDTELLALQTKLETLTNQFSDSKQHIEVLKESLTAKEQRAAILQTEVDALRLRLEEKETMLNKKTKQIQDMAEEKGTQAGEIHDLKDMLDVKERKVNVLQKKIENLQEQLRDKEKQMSSLKERVKSLQADTTNTDTALTTLEEALAEKERTIERLKEQRDRDEREKQEEIDNYKKDLKDLKEKVSLLQGDLSEKEASLLDLKEHASSLASSGLKKDSRLKTLEIALEQKKEECLKMESQLKKAHEAALEARASPEMSDRIQHLEREITRYKDESSKAQAEVDRLLEILKEVENEKNDKDKKIAELESLTSRQVKDQNKKVANLKHKEQVEKKKSAQMLEEARRREDNLNDSSQQLQDSLRKKDDRIEELEEALRESVQITAEREMVLAQEESARTNAEKQLLREILHETSYLNFKWFKVEELLMAMEKVKQELESMKAKLSSTQQSLAEKETHLTNLRAERRKHLEEVLEMKQEALLAAISEKDANIALLELSSSKKKTQEEVAALKREKDRLVQQLKQQTQNRMKLMADNYEDDHFKSSHSNQTNHKPSPDQIIQPLLELDQNRSKLKLYIGHLTTLCHDRDPLILRGLTPPASYNLDDDQAAWENELQKMTRGQLQDELEKGERDNAELQEFANAILQQIADHCPDILEQVVNALEESS
XP_016875021.1 121 251 0.288183969465649 calcineurin B homologous protein 3 isoform X1 251 0 13 131 0 Homo sapiens XP_016875021.1 1 RefSeq DNRNLRKGPSGLADEINFEDFLTIMSYFRPIDTTMDEEQVELSRKEKLRFLFHMYDSDSDGRITLEEYRNVVEELLSGNPHIEKESARSIADGAMMEAASVCMGQMIWQGIDIETKMHVRFLNMETMALCH
XP_016875724.1 1 209 0.873437320574163 calcium-binding protein 1 isoform X1 405 0 13 209 0 Homo sapiens XP_016875724.1 1 RefSeq MGGGDGAAFKRPGDGARLQRVLGLGSRREPRSLPAGGPAPRRTAPPPPGHASAGPAAMSSHIAKSESKTSLLKAAAAAASGGSRAPRHGPARDPGLPSRRLPGSCPATPQSSGDPSSRRPLCRPAPREEGARGSQRVLPQAHCRPREALPAAASRPSPSSPLPPARGRDGEERGLSPALGLRGSLRARGRGDSVPAAASEADPFLHRLR
XP_016884215.1 1 386 0.498770466321244 PF00452.19:Bcl-2:5:101 bcl-2-like protein 13 isoform X4 386 97 13 363 1 Homo sapiens XP_016884215.1 1 RefSeq MEDCLAHLGEKVSQELKEPLHKALQMLLSQPVTYQAFRECTLETTVHASGWNKILVPLVLLRQMLLELTRRGQEPLSALLQFGVTYLEDYSAEYIIQQGGWGTVFSLESEEEEYPGITAEDSNDIYILPSDNSGQVSPPESPTVTTSWQSESLPVSLSASQSWHTESLPVSLGPESWQQIAMDPEEVKSLDSNGAGEKSENNSSNSDIVHVEKEEVPEGMEEAAVASVVLPARELQEALPEAPAPLLPHITATSLLGTREPDTEVITVEKSSPATSLFVELDEEEVKAATTEPTEVEEVVPALEPTETLLSEKEINAREESLVEELSPASEKKPVPPSEGKSRLSPAGEMKPMPLSEGKSILLFGGAAAVAILAVAIGVALALRKK
XP_016884718.1 1 579 0.3847298791019 PF00076.22:RRM_1:101:157 ecto-NOX disulfide-thiol exchanger 2 isoform X5 579 57 13 579 0 Homo sapiens XP_016884718.1 1 RefSeq MTLPMSDPTAWATAMNNLGMAPLGIAGQPILPDFDPALGMMTGIPPITPMMPGLGIVPPPIPPDMPVVKEIIHCKSCTLFPPNPNLPPPATRERPPGCKTVFVGGLPENGTEQIIVEVFEQCGEIIAIRKSKKNFCHIRFAEEYMVDKALYLSGYRIRLGSSTDKKDTGRLHVDFAQARDDLYEWECKQRMLAREERHRRRMEEERLRPPSPPPVVHYSDHECSIVAEKLKDDSKFSEAVQTLLTWIERGEVNRRSANNFYSMIQSANSHVRRLVNEKAAHEKDMEEAKEKFKQALSGILIQFEQIVAVYHSASKQKAWDHFTKAQRKNISVWCKQAEEIRNIHNDELMGIRREEEMEMSDDEIEEMTETKETEESVSQAEALKEENDSLRWQLDAYRNEVELLKQEQGKVHREDDPNKEQQLKLLQQALQGMQQHLLKVQEEYKKKEAELEKLKDDKLQVEKMLENLKEKESCASRLCASNQDSEYPLEKTMNSSPIKSEREALLVGIISTFLHVHPFGASIEYICSYLHRLDNKICTSDVECLMGRLQHTFKQEMTGVGASLEKRWKFCGFEGLKLT
XP_017168446.1 1 1264 0.323143196202532 PF15780.5:ASH:29:126,PF00307.31:CH:1082:1224,PF11971.8:CAMSAP_CH:1097:1139 abnormal spindle-like microcephaly-associated protein homolog isoform X2 1264 241 13 1264 0 Mus musculus XP_017168446.1 1 RefSeq MATMQAASCPEERGRRARPDPEAGDPSPPVLLLSHFCGVPFLCFGDVRVGTSRTRSLVLHNPHEEPLQVELSLLRAAGQGFSVAPNRCELKPKEKLTISVTWTPLREGGVREIVTFLVNDFLKHQAILLGNAEEPKKKKRSLWNTSKKIPASSKHTKRTSKNQHFNESFTISQKDRIRSPLQPCENLAMSECSSPTENKVPTPSISPIRECQSETCLPLFLRESTAYSSLHESENTQNLKVQDASISQTFDFNEEVANETFINPISVCHQSEGDRKLTLAPNCSSPLNSTQTQIHFLSPDSFVNNRYTSDNDLKSMKNVLSDTFRKDPAESVCLESQTVHEVCQTILSPDSFLNDNYGLKKGLNFKSVNPVLSPTQFVKDSMGHVGQQTGKSNEASQDWRINEGLAYTPECQHAQTPSSRSEKQNPVEVKPHTYDFTKQKPKISEFQDAFCHQSKQPHKRRPILSATVTKRKPTNAREKLPEINKPDAKRCLEGLVGERGKEVGSLREKGFHSSLPVVEPGVSKALSYRDEVTPATVVVARKRKSHGTVGDANGKVAAEEWMDMCEVKRIHFSPLESTPSTVARTTKKEGHTSKRISSLERSGLKKKMDSSILKTPLSKTKKKRRSIVAVAQSHLTFIKPLKAAIPRHPMPFAAKNMFYDERWKEKQEQGFTWWLNYILTPDDFTVKTNVSKVNAASLVLGAESQHKISVPKAPTKEEVSLRAYTASCRLNRLRRTACSLFTSEKMVKAIKKVEIEIEVGRLLVRKDRHLWKDIGQRQKVLNWLLSYNPLWLRIGLETVFGELIPLADNSDVTGLAMFILNRLLWNPDIAAEYRHPTVPLLFRDGHEAALSKFTLKKLLLLICFLDHAKISRLIDHDPCLFCKDAEFKASKELLLAFSRDFLSGEGDLSRHLSFLGLPVSHVQTPLDEFDFAVTNLAVDLQCGVRLVRTVELLTQNWNLSDKLRIPAISRVQKMHNVDLVLQVLKSRGVPLTDEHGSAISSKDVVDRHREKTLGLLWKIALAFQVDISLNLDQLKEEIDFLKHTHSIKRAMSALTCPSQAITNKQRDKRISGNFERYGDSVQLLMDWVNAVCAFYNKKVENFTVSFSDGRILCYLIHHYHPCYVPFDAICQRTSQSVACAQTGSVVLNSSSESEGGCLDLSLEALDHESTPEMYKELLENEKKNFHLVRSAARDLGGIPAMIHHSDMSNTIPDEKVVITYLSFLCARLLDLRKEIRAARLIQTTWRKYKLKRDLKHHQARENFL
XP_017169784.1 1 134 0.405814179104478 homeobox protein Hox-B8 isoform X1 243 0 13 134 0 Mus musculus XP_017169784.1 1 RefSeq MSSYFVNSLFSKYKTGESLRPNYYDCGFAQDLGGRPTVVYGPSSGGSFQHPSQIQEFYHGPSSLSTAPYQQNPCAVACHGDPGNFYGYDPLQRQSLFGAQDPDLVQYADCKLAAASGLGEEAEGSEQSPSPTQL
XP_017175603.1 1 215 0.188735813953488 PF00229.18:TNF:94:210 tumor necrosis factor ligand superfamily member 8 isoform X1 215 117 13 192 1 Mus musculus XP_017175603.1 1 RefSeq MEPGLQQAGSCGAPSPDPAMQVQPGSVASPWRSTRPWRSTSRSYFYLSTTALVCLVVAVAIILVLVVQKKDSTPNTTEKAPLKGVSKHLNNTKLSWNEDGTIHGLIYQDGNLIVQFPGLYFIVCQLQFLVQCSNHSVDLTLQLLINSKIKKQTLVTVCESGVQSKNIYQNLSQFLLHYLQVNSTISVRVDNFQYVDTNTFPLDNVLSVFLYSSSD
XP_017175825.1 1 579 0.223037478411054 Fanconi anemia group G protein homolog isoform X2 579 0 13 579 0 Mus musculus XP_017175825.1 1 RefSeq MSSQVIPALPKTFSSSLDLWREKNDQLVRQAKQLTRDSRPSLRRQQSAQDTLEGLRELLLTLQVLEAQHHLEPKSQQGLKELWHSVLSASSLPPELLPALHCLASLQAVFWMSTDHLEDLTLLLQTLNGSQTQSSEDLLLLLKSWSPPAEESPAPLILQDAESLRDVLLTAFACRQGFQELITGSLPHAQSNLHEAASGLCPPSVLVQVYTALGACLRKMGNPQRALLYLTEALKVGTTCALPLLEASRVYRQLGDRAAELESLELLVEALSATHSSETFKSLIEVELLLPQPDPASPLHCGTQSQAKHLLASRCLQTGRAEDAAEHYLDLLAMLLGGSETRFSPPTSSLGPCIPELCLEAAAALIQAGRALDALTVCEELLNRTSSLLPKMSSLWENARKRAKELPCCPVWVSATHLLQGQAWSQLKAQKEALSEFSQCLELLFRTLPEDKEQGSDCEQKCRSDVALKQLRVAALISRGLEWVASGQDTKALSDFLLSVQICPGNRDGSFYLLQTLKRLDRKNEASAFWREAHSQLPLEDAAGSLPLYLETCLSWIHPPNREAFLEEFGTSVLESCVL
XP_017444206.1 733 1783 0.715016841103711 SH3 and multiple ankyrin repeat domains protein 2 isoform X1 1853 0 13 1051 0 Rattus norvegicus XP_017444206.1 1 RefSeq KAPPPPKRAPTTALTLRSKSMTAELEELGLSLVDKASVRKKKDKPEEIVPASKPSRTAENVAIESRVATIKQRPTSRCFPAASDVNSVYERQGIAVMTPTVPGSPKGPFLGLPRGTMRRQKSIDSRIFLSGITEEERQFLAPPMLKFTRSLSMPDTSEDIPPPPQSVPPSPPPPSPTTYNCPRSPTPRVYGTIKPAFNQNPVAKVPPATRSDTVATMMREKGMFYRRELDRFSLDSEDVYSRSPAPQAAFRTKRGQMPENPYSEVGKIASKAVYVPAKPARRKGMLVKQSNVEDSPEKTCSIPIPTIIVKEPSTSSSGKSSQGSSMEIDPQATEPGQLRPDDSLTVSSPFAAAIAGAVRDREKRLEARRNSPAFLSTDLGDEDVGLGPPAPRMQPSKFPEEGGFGDEDETEQPLLPTPGAAPRELENHFLGGGEAGAQGEAGGPLSSTSKAKGPESGPAAALKSSSPASPENYVHPLTGRLLDPSSPLALALSARDRAMQESQQGHKGEAPKADLNKPLYIDTKMRPSVESGFPPVTRQNTRGPLRRQETENKYETDLSKDRRADDKKNMLINIVDTAQQKSAGLLMVHTVDIPVAGPPLEEEEDREDGDTKPDHSPSTVPEGVPKTEGALQISAAPEPAAAPGRTIVAAGSVEEAVILPFRIPPPPLASVDLDEDFLFTEPLPPPLEFANSFDIPDDRAASVPALADLVKQKKSDTPQPPSLNSSQPANSTDSKKPAGISNCLPSSFLPPPESFDAVTDSGIEEVDSRSSSDHHLETTSTISTVSSISTLSSEGGESMDTCTVYADGQAFVVDKPPVPPKPKMKPIVHKSNALYQDTLPEEDTDGFVIPPPAPPPPPGSAQAGVAKVIQPRTSKLWGDVTEVKSPILSGPKANVISELNSILQQMNRGKSVKPGEGLELPVGAKSANLAPRSPEVMSTVSGTRSTTVTFTVRPGTSQPITLQSRPPDYESRTSGPRRAPSPVVSPTELSKEILPTPPSAAAASPSPTLSDVFSLPSQSPAGDLFGLNPAGRSRSPSPSILQQPISNKPFT
XP_017449382.1 286 699 0.605549758454106 ski oncogene 699 0 13 414 0 Rattus norvegicus XP_017449382.1 1 RefSeq ANKYKRRVPRVSEPPASIRPKTDDTSSQSPASSEKDKQSTWLRTLAGSSNKSLGCAHPRQRLSAFRPWSPAVSASEKETSPHLPALIRDSFYSYKSFETAVAPNVALAPPTQQKVVNSPPCTTVVSRAPEPLTTCIQPRKRKLTMDAPGAPDMLTPVAAAEEDKDSEAEVEVESREEFTSSLSSLSSPSFTSSSSAKDLSSPGMHAPPVAAPDAAAHADAPSGLEAELEHLRQALEGGLDTKEAKEKFLHEVVKMRVKQEEKLTAALQAKRSLHQELEFLRVAKKEKLREATEAKRSLRKEIERLRAENEKKMKEANESRVRLKRELEQARQVRVCDKGCEAGRLRAKYSAQIEDLQAKLQHAEADREQLRADLLREREAREHLEKVVKELQEQLWPRPRPENSGGESNAELDP
XP_018742389.1 1 553 0.148304339963834 PF00155.21:Aminotran_1_2:7:204 Aminotransferase FUM8; Fumonisin biosynthesis cluster protein 8; EC 2.3.1.- 553 198 13 553 0 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::W7L9E0 1 SwissProt MSPAPAILALRRVYNFCLLVDEAHGFMALGKSGRGSFEWWQDCGYDCPLQEVDIMTGTMSKSLCCIGGFVSANGVYAAELERQRTLQHQNGAETLSTAVLVRILSLINKPKLIKERMTALGRKASFVADCLAQAGCDILSSYGSPVVCFPVGTIQQASRFHEEAMERGFAVACGVPPATPLWSCRVRVCIFATTSWEDILDLINMIIKVSCKLQLKGITATVFTPDTLPKQYLDDPSIAEQSIKSDASICSYVESLSKTYPGGDLEAKAPLNLAQSQEAVEASVKAFSKYGLGPSSARWFYGTFDVFIALERRLAKLYPSLQRHSGRCRAMLGTDAHTMMLSLLSACANPYTSGVMNILLIPTTASLAVQDGADLNRPRAETKIIYYEKLDNLVAKLRELPIDASKLHLTLYLQTTSHDGSSILDLPATVQMINSGMNDPNQLKGLKLILDDSGGLGKVGPHHLGYLDLMERDHGVSFLNQSLGIKLAPKTEIIVTGSFFNAFGQQGGYIISSASFIEVHTVSSKSFVFSTPPTPIQAALSGKVLEILSRGTC
XP_658026.1 201 796 0.564997315436241 Conidiophore development regulator abaA 796 0 13 596 0 Emericella nidulans (strain FGSC A4 / ATCC 38163 / CBS 112.46 / NRRL 194 / M139) (Aspergillus nidulans) SwissProt::P20945 1 SwissProt DSFLKGDPDWERLVREQSDRSTAQTQPVGPRWRTSMDHLPSSHYGTHATSSYPEPMRLMPPYSADLQLPQYSPTSTQQDTNNNTIQGLSFDMWVSAPNKPDRIDDAYHLYTRLQGDQRQPPMPLEDLKNWRVSFPHLSSSLSDVNDPLNCEIILLETNLELMDDFPPMGSRLGIHLELDIANPMSGTAPTVNQMENWTCSTYIYEDGRRTMEAYHNLTKPHTTKVKPPFESSWWAKTFTKLTQDKREAESTGHHHAADERTRRYFHSLTAVQEIRATVPPSLRRLQNHYPGSPAEESKRMAIILWKFRQTRPNEVGTTTWRKLITSPDRALTNSPRPSTAIDLPPLSLDSILLSKPTSNLYQAPPQHHDLLHQNAPSQQSWSLYQPSHDHVNSLYHSAGAFDFLNSITKPEEGLSDKTAPTSVLDPFPNLTQQTTSQTAGINVSSGTPVMLQIPDLSLSSNLGTYGLGHESHYVPSHHNAANLHDHSSTTGLGHYFAPSTQSLDEISHSHAPWSAPNTTISGDTSGGNYHHLPFTTSDHSVTVSRESHQNHSFEGLLPSDDLVGIVGGLSGDPNMNGAGPEHTSSAYAEHTAVEAV
XP_712305.1 1 853 0.315123329425557 PF00010.26:HLH:207:275 Transcription factor CPH2; Candida pseudohyphal regulator 2 853 69 13 853 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59RL7 1 SwissProt MLSQYDEQLAAGDNNGFNKQGNATLYSFDFVDADDFLDSISGALPNNGHNNVNPNTNDISFEDMNIMNPNIYSPVSAASGDDFTQSSGQPMISEGSNYTGQNFTDYLSDNSLEGYDKNTSRPLHEVDIGFSNKRSNSTSTGSLSHNEEITPISHYSVDSIVTSPEPPINKQGDFPPIKRTTTVSSTNSITNTTKKPAKVTKPKSKDKNSHNMIEKKYRTNINTKILALRDAVPALRIAAGCDDVSIADLEGLTPASKLNKASVLTKATEYIKHLESKNFILKQQNIELHRLIQHANMNPKSLPPPPQQMQAPPQPGFGFYPPQNQSFNVTPASQYPSPQQQVSPTQQQTVHHPPQPNRYLLGGMAAVMGTSLFGGSGENDFRSLSALPFSYLFPNAILNPSPLTIQLWTLTKVLLVVGSLASIFIPMYKQAQLKKEDKPNTIPETSLLDWILISIGFKTPAKLSVSKRDAIISNLQGGNDWSQLVSDYFYLAGCEINFENCFLSLVLGTIIRHRFPVVATILNHYLSMKEALLLNLDYKGFSKSLIRLNQLISKVDGVSIFESTNLTTRLTNVFTNNRINANIVDGQNHVKYIEFYQRNINDYYAIVFNWRLLEFIHELNVTYLEQLNDDQSQVLTDLKIIEAFFGEQDNKLFGYYQLFTSILNANYAPYLFESLKDKVESSLEKFRIAYEGIDLTDHEIHNTSSEDEYEQESPVVYKYEPTLKSQKSLISSLNLVNEEEFIILTCSLTIYYYKNKEYDRALKLLNYLRLDNDSKTLSLLTFTSLITLINELIPGKIEDNVNLDSAIRICRDWLENPDLTQYMDEDIKLELKKIVVTKGMIVNGIDVNESDEE
XP_746329.1 1 238 0.312961344537815 PF07335.11:Glyco_hydro_75:75:234 Endo-chitosanase; EC 3.2.1.132 238 160 13 238 0 Neosartorya fumigata (Aspergillus fumigatus) SwissProt::Q875I9 1 SwissProt MRLSEILTVALVTGATAYNLPNNLKQIYDKHKGKCSKVLAKGFTNGDASQGKSFSYCGDIPGAIFISSSKGYTNMDIDCDGANNSAGKCANDPSGQGETAFKSDVKKFGISDLDANIHPYVVFGNEDHSPKFKPQSHGMQPLSVMAVVCNGQLHYGIWGDTNGGVSTGEASISLADLCFPNEHLDGNHGHDPNDVLFIGFTSKDAVPGATAKWKAKNAKEFEDSIKSIGDKLVAGLKA
XP_747952.1 95 491 0.534298236775818 bZIP transcription factor hapX; Iron acquisition regulator hapX 491 0 13 397 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WER3 1 SwissProt EIHIAAFKEQITNLSREVEQCRSEMTWWRDRCHALEKEVSVERSAKEAIVKEFRSSLSDREAVRSDKGLAPLTTSTPQARSSDRPDNGDASNNDSGEGREEVPLGCNDCSTSHCQCIEDAFTMPGVVAQEQSRRLDTTKPGLSEPQIKPDPEEMEIDFTSRFAATQQQDQSPTSVSSPAVDPCGFCSDGTPCICAEMAAQEEQRPRRNSFENNRLAPIQNLSQFTPPPSDGDVRSDVTLPPISQATNPCANGPGTCAQCLADPRRTLFCKTLAASRSPSAAPSGCCGGKGADGGCCQSRNTNVSRGRSGSNNNTSSGSSAAPSLTLSCADAYTTLSRHPNFSRATDELSTWLPKLHTLPKPRDFPLTDRGVPRAALEVEAASVMGVLRYFDRRFADK
XP_747964.2 1 370 0.0953967567567567 PF03239.14:FTR1:8:317 High affinity iron permease ftrA 370 310 13 212 7 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::E9QT42 1 SwissProt MAKDVFAVPIFFICFRECVETSIIVSVLLSFIKQTLGQEQDATTRKRLIRQVWWGVAIGLFISVCIGAGMIGAFYGYGKDHFASTEDLWEGIFSLIASVIITIMGAALLRVTKLQEKWRVKLAQALEAKPLTGGTFKNNLKLWAEKYAMFLLPFITVLREGLEAVVFIGGVSLSFPATAFPLPVFTGILAGVAIGYLLYRGGNQASLQIFLIISTCILYLVAAGLFSRGVWYLENNTWNHVIGGDAAETGAGPGSYDIRQSVWHVNCCSPLVNGGGGWGIFNAILGWTNSATYGSVLSYNLYWIAVIVWFVAMRHKERHGRLPVVDPLLNRLRGRKSAEPGNGEQDVEVSTIPSDLQTESKIPKSGASLV
XP_748664.2 1 598 0.0845008361204014 PF07690.16:MFS_1:87:503,PF13347.6:MFS_2:366:579,PF06609.13:TRI12:131:473 MFS siderophore iron transporter, putative 598 493 13 290 14 Aspergillus fumigatus Af293 XP_748664.2 1 RefSeq MLSSWQKKFFQTPEHPPAEGIAPPRDDGVPNPEPVTYPDTKYPSDVVNHDAGEMLPNEEAQDGVTQAEAITLTWSKISLGAAYFLMWLLYLVNGFQASITGNLSAYVTSGFESHSLIPVISIVSSVMSAATYMPLAKVLNLWDRSIGFIIMVAFATLGLILSATCHDIGTYCAAQVFYSIGFAGIIFSVDVITADTSTLRDRGLAYAFTSSPYIITAFGGPAAAEHFYDSNWRWAYGCFSIVLPVVALPMFCLLRWNRHKAKKSGLLKDKADSGRTWMESIRHYIIEFDILGVFFLAAGLVLFLLPFSIAGSTEDDWKSASIITMLVIGFVCLLVFALVERFVAPVPFLPWALLASRTVLGACMLDVCYQIAYYCWFNYYTSYLQVVYGTSITTAGYITSIFDVVSGVWLFIVGFLIKKTNRFRWLLFIAVPLYILGVGLMIYFRKPSWSVGYMIMCQIFIAFAGGTMIICQQVAVLAASDHDHAASSLAFLNVFGTMGSAVGSSISGAIWTHTLPGALQRLLPDSVKADWQTIYDSLEEQLSYERGTLIRQAIALAYASTQSKMLIAGTAIMALSLVWMFVIRDIKLTKTQTKGVLF
XP_751268.2 985 2339 0.256782804428044 PF08659.10:KR:1090:1263,PF14765.6:PS-DH:1:236 Non-reducing polyketide synthase pyr2; Pyripyropene synthesis protein 2; EC 2.3.1.- 2445 410 13 1355 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WLD4 1 SwissProt ALEAARMMVETQGLRLLSVKDFTVHTPLPIQNDAIGTEILVTVNDIFSHDGVISALFRCEAAVSDEFVKCATAKMIMHPGDPDRALLPTQGQRALAVGPVDINGFYNSLRCVDYHCTGPFAGLTGLHRGCDLATGTVHVPSRDPDGPIILHPATLELAIQAMIAALGAPDEGLLTGALLSKTVDNIWVNPALCVSEKEMTVVGYLTDVDGDHIRGDVDIFTRNGQKAVQMEGVCLVYQPSGIAPTDRQVLSQTEWGPLEPSLKTASRSLPANVLELYSLRDELALLYIKQASDGLTDSGRNELDCDGTRLLAQMNQCIANAREGRQLAGSPERLDKSIEAFAARVGPSIDDSGLRAIAAVGQQRPHVLRESGHQRVVTWPHFDEGNEYLKQDVQVSHLVDNLISVVSQTCFRFPQMDILQIGTLGGSVHSVLREMGRSFRSFTYAAPSQPTDGPGLERPGEVQHRTFDVDRNPLEQGYQDHAYDMVLFTTARFPLEVAVAHIRRLLKPRGFLVLMVRTNPNITHLNLLFGHPARCTETCSGEQVITKEHWIELLSTNGFRVESLDAPPEMAGHQGFSLLLCRASGEYKEPSPRGDLLLVGGGDKNADCVISELAELVQGRFDQVLRSPSLDLMEITVRSELTALILVDDQDLTEASLSALRRLITTSKRALWVTCAKSDQPNGGLTRGLLRSIMASEQLSCQLQLLHITDPVGVSVEILATALERLVQASAAQECPDSCGLDNIEPEVEYDGSMFLIPRQYHNNSTGLRHLGRRQTVTGYVDLSQGVVQVLPPTTDATCERFRLLSVAQPPLTSDDGSTIHLRVRYSSLAAVRVAGAMFLRLVIGREVSSNNRMIALSSHIASQVIVPESWACSLPDTVSEAQEQAFLHVTAAALLAGYLFDQLPPFGTFVVHGADRVFQSIVHQIPTWRNVKVIFSTSTNNLDKDGAMLYLHEHSTARQLSQVLPSDVSAVAVLDRRGQGIYDRMLSLLPDNATRIQIDDLYRASASTMTPNGRVSLLVVRAFITACLVAYTSCEAVSLTSMDLVPTATVAEYPPTHCHQGIIDWNPSIPVRAEIPTASSQVQLSPKKTYILVGIASELARMACLWLAAHGARWILLTSSKPEPDAWWVEELSSRGTRIAFSTMNLIDGVSVTSLHHSIAHPFPPAVGGVLIQPGPLPDCSLSQLTAEVLQSRLHPVLKELQLLDELHETQPLDFWVLIGSISGTLGHADQALTAAMSERMATLVRQRRSRGRPASLVHLGEISGIDIPADGARPWWGPTAVTQRDIDEVLSEAVLCGGPNSFARSAEFIVGLRHQSLQTGRMAGPVPKLWPFYSYTATASQGQKPPSLPERRQ
XP_962712.2 1 812 0.321065147783251 PF04082.18:Fungal_trans:271:427,PF00172.18:Zn_clus:48:86 fungal specific transcription factor domain-containing protein 812 196 13 812 0 Neurospora crassa OR74A XP_962712.2 1 RefSeq MAPSMSIPTQGGMFHTFQGVTPRKPAVDSRDSVKSNGSGAAKRITTPHACAECKRRKIRCDGQQPCGQCLSSRAPKRCFYDKHRQRVIPSRKTLEALSQSLEECRSILKRLYPHQEVHALLPLSRQELLNLLDRPVPDSTTANGLPSPPLNTTPMAESETPTKSENILEQIPTRDTEWDEERRERDHIPVEADDINALSLSVDRQASYLGASSIKAALMVMLKVQPGLRSSLAAPLNSIEISHNFPAIRQKSSSQKDPQRIPWSWKGQTLIDAYFKRVHVFIPMLDETTFRADYLEGQRFDAPWLALLNMVFAMGSIVAMKSDDYNHVNYYNRAMEHLPMDSFGSSHIETVQALALIGGYYLHYINRPNMANAVLGAAIRMASALGLHRESLAQGGSDMVAAETRRRTWWALFCLDTWATTTMGRPSFGRWGPAINIRPPEFGVNAGRDSSQHAGILPMIENVKFCKIATQIQDMLAISPLLRTEDRCNLDGQLVVWYENLPWLLRTTDPCAEPLYMARCIMKWRYQNLRMLLHRPVLLSMASSGLNPHTQACDADLAAIETCRELAAATIEDIGREWTRNQMSGWNAVWFLYQAAMVPLVSVFWQWGNPRVPEWLKQIEAVLELLEAMEEWSLAARRSREVVLRMYEASRVIQAQGAAAHQLQQRGSQSPHSLSSTTASLGNMHINNDLLMGSSPGSAELYMTPIDLEPVEGLGMTGVLDHGGMWDLDGMLWGPSPSPSVHHGQHSTHPDDAVPTVAEYAAAFPTADVVDGFLQHHSAMEFGNMMGHHHHHHHAGAHAGQGQFGGMDGYTY
YP_001551773.1 1 114 0.138069298245614 PF05385.11:Adeno_E4:1:108 Early 4 ORF4 protein; E4 ORF4; Early E4 13 kDa protein 114 108 13 114 0 Human adenovirus C serotype 2 (HAdV-2) (Human adenovirus 2) SwissProt::P03240 1 SwissProt MVLPALPAPPVCDSQNECVGWLGVAYSAVVDVIRAAAHEGVYIEPEARGRLDALREWIYYNYYTERAKRRDRRRRSVCHARTWFCFRKYDYVRRSIWHDTTTNTISVVSAHSVQ
YP_009137094.1 1 222 0.0493220720720721 PF04544.12:Herpes_UL20:42:217 Protein UL20 222 176 13 130 4 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P10204 1 SwissProt MTMRDDLPLVDRDLVDEAAFGGEEGELPLEEQFSLSSYGTSDFFVSSAYSRLPPHTQPVFSKRVILFLWSFLVLKPLEMVAAGMYYGLTGRVVAPACILAAIVGYYVTWAVRALLLYVNIKRDRLPLSAPVFWGMSVFLGGTALCALFAAAHETFSPDGLFHFIATNQMLPPTDPLRTRALGIACAAGASMWVAAADSFAASANFFLARFWTRAILNAPVAF 2
YP_009137140.1 1 92 0.166465217391304 PF03229.13:Alpha_GJ:17:82 Envelope glycoprotein J 92 66 13 49 2 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P06480 0 SwissProt MSLRAVWHLGLLGSLVGAVLAATHRGPAANTTDPLTHAPVSPHPSPLGGFAVPLVVGGLCAVVLGAACLLELLRRTCRGWGRYHPYMDPVVV
YP_009268708.1 1 92 0.596451086956522 PF02711.14:Pap_E4:7:91 Protein E4; E1^E4 92 85 13 92 0 Human papillomavirus type 16 SwissProt::P06922 1 SwissProt MADPAAATKYPLLKLLGSTWPTTPPRPIPKPSPWAPKKHRRLSSDQDQSQTPETPATPLSCCTETQWTVLQSSLHLTAHTKDGLTVIVTLHP
YP_095992.1 1 286 0.311748601398601 PF00415.18:RCC1:61:105,PF00415.18:RCC1:118:162,PF00415.18:RCC1:175:219 UVB-resistance protein UVR8 286 135 13 286 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 YP_095992.1 1 RefSeq MHLELHQINGNDPLGTIIGERNYQLPIPLPYTMKTVKQIHSGIWHTVIRGYDQYNRPQIATFGKNYDGQLGTGDCENRDTPTPIKLPPEMLTFHAIQTGFFHTIATGLDKNGFPIVASCGYNSDGQLGCGDKDNKNTLIPIKLPENMKSVEMVATGVKHTVIVGKDINNKPVVATCGHNGYGQLGTGDEENRLCLTPIGIPEDITSVDYVGAGAYHTVICGRNIKNQPIITLCGCNSDGELGFAPQKIAKKSSLMTNPAMFFPPRQDKSAINNLMKISKFSPCNLL
YP_111530.1 1 512 0.3580662109375 PF09119.10:SicP-binding:31:114 Effector protein BopA 512 84 13 512 0 Burkholderia pseudomallei (strain K96243) SwissProt::Q63K42 1 SwissProt MINVDAFVASARSGARVVVGGDARGPVVSAARLGMKERLFAFLAHVPLLKHCDAVRRYAEQVRMENRRSLEVFVLALSKRYGPEGAKAAFDYGARRDGAPLDQRRVRNMVSIAEHFHGTGDAKPLARQMVFRSWECRGLDHPGHASLTIKNQADADAGRHVYEHVSWWPNQRLGSKEHFDRIEPKTLDGYRIDKRSEISSATEQRLREGDAARRKILADGFKYANQDERHDARFFPRAGQKLDKDAEWGLSARKVYFPAIGFNHDRRDTDRPRAFVLFGLNEAAMLRDARTVKEGAKSGELMYQMISKKENCASMALRVLRAGGAEHFVPYTAAWISEDPNHAHAYALAVQARIDALNQRRADVERRCERLRDSASVRQAWRAFSEAGGASASPLAEDAGRGRASAHMRQARLDEHAREVERIGAYFAELSAGRSGKHRDRADAALADAMKRCAPSARDDVAALTRKASVLVETLGRHLDAPPPSDSSALRRLAAHAMIGRIEAFMAAAIAA
YP_232934.1 1 372 0.103880913978495 PF13918.6:PLDc_3:143:310,PF13091.6:PLDc_2:224:362,PF00614.22:PLDc:113:140,PF00614.22:PLDc:309:334 Envelope phospholipase F13; 37 kDa protein; Palmitoylated EV membrane protein; p37K; EC 3.1.1.- 372 248 13 372 0 Vaccinia virus (strain Western Reserve) (VACV) (Vaccinia virus (strain WR)) SwissProt::P04021 1 SwissProt MWPFASVPAGAKCRLVETLPENMDFRSDHLTTFECFNEIITLAKKYIYIASFCCNPLSTTRGALIFDKLKEASEKGIKIIVLLDERGKRNLGELQSHCPDINFITVNIDKKNNVGLLLGCFWVSDDERCYVGNASFTGGSIHTIKTLGVYSDYPPLATDLRRRFDTFKAFNSAKNSWLNLCSAACCLPVSTAYHIKNPIGGVFFTDSPEHLLGYSRDLDTDVVIDKLKSAKTSIDIEHLAIVPTTRVDGNSYYWPDIYNSIIEAAINRGVKIRLLVGNWDKNDVYSMATARSLDALCVQNDLSVKVFTIQNNTKLLIVDDEYVHITSANFDGTHYQNHGFVSFNSIDKQLVSEAKKIFERDWVSSHSKSLKI
ecocyc::MONOMER0-2761 1 409 0.293203667481663 PF00665.26:rve:232:351,PF01527.20:HTH_Tnp_1:8:87,PF13683.6:rve_3:324:389,PF13276.6:HTH_21:170:212 IS2 element transposase InsAB' 409 281 13 409 0 Escherichia coli K-12 substr. MG1655 ecocyc::MONOMER0-2761 1 ecocyc MIDVLGPEKRRRRTTQEKIAIVQQSFEPGMTVSLVARQHGVAASQLFLWRKQYQEGSLTAVAAGEQVVPASELAAAMKQIKELQRLLGKKTMENELLKEAVEYGRAKKVDSARALIARGWGVSLVSRCLRVSRAQLHVILRRTDDWMDGRRSRHTDDTDVLLRIHHVIGELPTYGYRRVWALLRRQAELDGMPAINAKRVYRIMRQNALLLERKPAVPPSKRAHTGRVAVKESNQRWCSDGFEFCCDNGERLRVTFALDCCDREALHWAVTTGGFNSETVQDVMLGAVERRFGNDLPSSPVEWLTDNGSCYRANETRQFARMLGLEPKNTAVRSPESNGIAESFVKTIKRDYISIMPKPDGLTAAKNLAEAFEHYNEWHPHSALGYRSPREYLRQRACNGLSDNRCLEI
CharProtDB::CH_122934 1 383 0.534253524804178 adenylate cyclase 383 0 12 383 0 Candida albicans CharProtDB::CH_122934 1 CharProtDB MSFLRRDKSKANFRDGSATGLEEPVSPTTHFSPNAPPPLDGNHGDHYHDPDSPRSSVVSLPQLIHNSATHHLKENYRGFHANKRPKGIANVPPLAQPIKPRFKKKSNSLLNKLIYSTKKEDDETATSGKESRSSSIISDEKRKSASSASSGSSRQKFRFSSFDSNLSTSSSSPPKDKKASISDTVSDSSTVTASMSNMPTISIDLNLDEMHDIIKSPETPAPTVGLPTQKAEKKASPTAIKNWQAPESWDVKAPIKKEEPHAPKIEEVAENDVAIDNVLEKKRLPVLYGTHQVPHVTNSKDIKSSHIIRVFKEDNTFTTILCPLETTTSELLAIVQKKFFLESTTNFQLSVCIGNCVKVLEDFEKPLMIQMGVLLLSGLYRRG
NP_001002005.2 1 677 0.346619202363368 PF00876.18:Innexin:51:248 pannexin-2 677 198 12 585 4 Mus musculus NP_001002005.2 1 RefSeq MHHLLEQSADMATALLAGEKLRELILPGSQDDKAGALAALLLQLKLELPFDRVVTIGTVLVPILLVTLVFTKNFAEEPIYCYTPHNFTRDQALYARGYCWTELRDALPGVDASLWPSLFEHKFLPYALLAFAAIMYVPALGWEFLASTRLTSELNFLLQEIDNCYHRAAEGRAPKIEKQIQSKGPGITEREKREIIENAEKEKSPEQNLFEKYLERRGRSNFLAKLYLARHVLILLLSVVPISYLCTYYATQKQNEFTCALGASPDGPVGSAGPTVRVSCKLPSVQLQRIIAGVDIVLLCFMNLIILVNLIHLFIFRKSNFIFDKLNKVGIKTRRQWRRSQFCDINILAMFCNENRDHIKSLNRLDFITNESDLMYDNVVRQLLAALAQSNHDTTPTVRDSGIQTVDPSINPAEPDGSAEPPVVKRPRKKMKWIPTSNPLPQPFKEQLAIMRVENSKTEKPKPVRRKTATDTLIAPLLDAGARAAHHYKGSGGDSGPSSAPPAASEKKHTRHFSLDVHPYILGTKKAKTEAVPPALPASRSQEGGFLSQTEECGLGLAAAPTKDAPLPEKEIPYPTEPALPGLPSGGSFHVCSPPAAPAAASLSPGSLGKADPLTILSRNATHPLLHISTLYEAREEEEGGPCAPSDMGDLLSIPPPQQILIATFEEPRTVVSTVEF
NP_001003917.2 1 839 0.269810250297974 PF04109.16:APG9:37:525 autophagy-related protein 9A isoform a 839 489 12 727 5 Mus musculus NP_001003917.2 1 RefSeq MAQFDTEYQRLEASYSDSPPGEEDLLVHVAEGSKSPWHHIENLDLFFSRVYNLHQKNGFTCMLIGEMFELMQFLFVVAFTTFLVSCVDYDILFANKMVNHSLHPTEPVKVTLPDAFLPAQVCSARIQENGSLITILVIAGVFWIHRLIKFIYNICCYWEIHSFYLHALRIPMSALPYCTWQEVQARIVQTQKEHQICIHKRELTELDIYHRILRFQNYMVALVNKSLLPLRFRLPGLGEVVFFTRGLKYNFELILFWGPGSLFLNEWSLKAEYKRGGQRLELAQRLSNRILWIGIANFLLCPLILIWQILYAFFSYAEVLKREPGALGARCWSLYGRCYLRHFNELEHELQSRLNRGYKPASKYMNCFLSPLLTLLAKNGAFFAGSILAVLIALTIYDEDVLAVEHVLTTVTLLGVTVTVCRSFIPDQHMVFCPEQLLRVILAHIHYMPDHWQGNAHRSQTRDEFAQLFQYKAVFILEELLSPIVTPLILIFCLRPRALEIIDFFRNFTVEVVGVGDTCSFAQMDVRQHGHPQWLSGGQTEASVYQQAEDGKTELSLMHFAITNPGWQPPRESTAFLGFLKEQVQRDGAAAGLAQGGLLPENALFTSIQSLQSESEPLSLIANVVAGSSCRGPSLSRDLQGSRHRADVASALRSFSPLQPGAAPQGRVPSTMTGSGVDARTASSGSSVWEGQLQSLVLSEYASTEMSLHALYMHQLHKQQTQAEPERHVWHRRESDESGESAPEEGGEGARAPQPIPRSASYPCATPRPGAPETTALHGGFQRRYGGITDPGTVPRGPSHFSRLPLGGWAEDGQPASRHPEPVPEEGSEDELPPQVHKV
NP_001007254.2 1 604 0.171115562913907 Endogenous retrovirus group 3 member 1 Env polyprotein; ERV-3 envelope protein; ERV3 envelope protein; ERV3-1 envelope protein; Envelope polyprotein; HERV-R envelope protein; ERV-R envelope protein; HERV-R_7q21.2 provirus ancestral Env polyprotein 604 0 12 604 0 Homo sapiens (Human) SwissProt::Q14264 1 SwissProt MLGMNMLLITLFLLLPLSMLKGEPWEGCLHCTHTTWSGNIMTKTLLYHTYYECAGTCLGTCTHNQTTYSVCDPGRGQPYVCYDPKSSPGTWFEIHVGSKEGDLLNQTKVFPSGKDVVSLYFDVCQIVSMGSLFPVIFSSMEYYSSCHKNRYAHPACSTDSPVTTCWDCTTWSTNQQSLGPIMLTKIPLEPDCKTSTCNSVNLTILEPDQPIWTTGLKAPLGARVSGEEIGPGAYVYLYIIKKTRTRSTQQFRVFESFYEHVNQKLPEPPPLASNLFAQLAENIASSLHVASCYVCGGMNMGDQWPWEARELMPQDNFTLTASSLEPAPSSQSIWFLKTSIIGKFCIARWGKAFTDPVGELTCLGQQYYNETLGKTLWRGKSNNSESPHPSPFSRFPSLNHSWYQLEAPNTWQAPSGLYWICGPQAYRQLPAKWSGACVLGTIRPSFFLMPLKQGEALGYPIYDETKRKSKRGITIGDWKDNEWPPERIIQYYGPATWAEDGMWGYRTPVYMLNRIIRLQAVLEIITNETAGALNLLAQQATKMRNVIYQNRLALDYLLAQEEGVCGKFNLTNCCLELDDEGKVIKEITAKIQKLAHIPVQTWKG
NP_001007685.1 1 244 0.731480737704918 Krueppel-like factor 2 351 0 12 244 0 Rattus norvegicus NP_001007685.1 1 RefSeq MALSEPILPSFATFASPCERGLQERWPRNEPEAGSTDEDLNSVLDFILSMGLDGLGAENPPEPPPQPPPPAFYYPEPGAPPPYGTPAAGLGTELLRPDLDAPQGPALHGRFLLAPPGRLVKAEPPEVDGGGYGCAAGLARGPRGLKLEGALGATGACMRGPAGRPPPPSDTPPLSPDGPPRLPAPGPRNPFPPPFGPGPSFGGPGPALHYGPPAPGAFGLFDDAAAALGLAPPATRGLLTPPSS
NP_001010887.2 100 275 0.00749261363636364 PF05875.12:Ceramidase:5:161 Alkaline ceramidase 2; AlkCDase 2; Alkaline CDase 2; haCER2; Acylsphingosine deacylase 3-like; N-acylsphingosine amidohydrolase 3-like; EC 3.5.1.-; EC 3.5.1.23 275 157 12 136 2 Homo sapiens (Human) SwissProt::Q5QJU3 1 SwissProt VLMCALAMWFPRRYLPKIFRNDRGRFKVVVSVLSAVTTCLAFVKPAINNISLMTLGVPCTALLIAELKRCDNMRVFKLGLFSGLWWTLALFCWISDRAFCELLSSFNFPYLHCMWHILICLAAYLGCVCFAYFDAASEIPEQGPVIKFWPNEKWAFIGVPYVSLLCANKKSSVKIT
NP_001012493.1 368 737 0.0186848648648649 PF00001.21:7tm_1:48:307 relaxin receptor 2 precursor 737 260 12 212 7 Rattus norvegicus NP_001012493.1 1 RefSeq KTFRYCSYVPHVRICMPSTDGISSSEDLLANSILRVSVWVIAFITCAGNFLVIAVRSLIKAENTTHAMSIKILCCADCLMGVYLFSVGVFDIKYRGQYQKYALLWMESVPCRLLGFLATLSTEVSVLLLTFLTLEKFLVIVFPFSNLRLGKRQTAVVLASIWVAGFLIAAVPFTSEDYFGNFYGKNGVCFPLHYDQAEDFGSRGYSLGIFLGVNLLAFLVITFSYVTMFCSIQKTALQTAEVRSHIGKEVAVANRFFFIVFSDAICWIPVFVVKILSLLQVEIPGTITSWIVVFFLPVNSALNPILYTLTTSLFKDKLKQLLHKHRRKSIFKVKKKSLSASIVWTDDSSLKLGVLNKIALGDSIMKPVSP
NP_001028414.1 1 341 0.111315542521994 PF15014.6:CLN5:34:332 Ceroid-lipofuscinosis neuronal protein 5 homolog; Protein CLN5 341 299 12 341 0 Mus musculus (Mouse) SwissProt::Q3UMW8 1 SwissProt MLRGGPCGAHWRPALALALLGLATILGASPTSGQRWPVPYKRFSFRPKTDPYCQAKYTFCPTGSPIPVMKDNDVIEVLRLQAPIWEFKYGDLLGHFKLMHDAVGFRSTLTGKNYTIEWYELFQLGNCTFPHLRPDKSAPFWCNQGAACFFEGIDDKHWKENGTLSVVATISGNTFNKVAEWVKQDNETGIYYETWTVRAGPGQGAQTWFESYDCSNFVLRTYKKLAEFGTEFKKIETNYTKIFLYSGEPIYLGNETSIFGPKGNKTLALAIKKFYGPFRPYLSTKDFLMNFLKIFDTVIIHRQFYLFYNFEYWFLPMKPPFVKITYEETPLPTRHTTFTDL
NP_001034766.1 1 371 0.135637466307278 PF01545.21:Cation_efflux:70:277 zinc transporter 2 isoform 1 371 208 12 236 6 Mus musculus NP_001034766.1 1 RefSeq MQTMDKQNLLESTRGARSFLGSLWKSEASRIPPVDLPAVELAVQSNHYCHAQKDSGSHPDPEKQRARRKLYVASAICLVFMIGEIIGGYLAQSLAIMTDAAHLLTDFASMLISLFALWVSSRPATKTMNFGWHRAEILGALLSVLSIWVVTGVLVYLAVQRLISGDYEIKGDTMLITSGCAVAVNLIMGLALHQSGHGHSHGNSRDDSSQQQNPSVRAAFIHVIGDLLQSVGVLVAAYIIYFKPEYKYVDPICTFLFSILVLGTTLTILRDVILVLMEGTPKGVDFTTVKNLLLSVDGVEALHSLHIWALTVAQPVLSVHIAIAQNADAQAVLKVARDRLQGKFNFHTMTIQIEKYSEDMKNCQACQGPLE
NP_001037349.1 1 118 0.319952542372881 Prothoracicotropic hormone; PTTH 224 0 12 95 1 Bombyx mori (Silk moth) SwissProt::P17219 1 SwissProt MITRPIILVILCYAILMIVQSFVPKAVALKRKPDVGGFMVEDQRTHKSHNYMMKRARNDVLGDKENVRPNPYYTEPFDPDTSPEELSALIVDYANMIRNDVILLDNSVETRTRKRGNI
NP_001073883.2 1 2028 0.557055276134121 PF05622.12:HOOK:16:586 Protein Daple; Coiled-coil domain-containing protein 88C; Dvl-associating protein with a high frequency of leucine residues; hDaple; Hook-related protein 2; HkRP2 2028 571 12 2028 0 Homo sapiens (Human) SwissProt::Q9P219 1 SwissProt MDVTVSELLELFLQSPLVTWVKTFGPFGSGSQDNLTMYMDLVDGIFLNQIMLQIDPRPTNQRINKHVNNDVNLRIQNLTILVRNIKTYYQEVLQQLIVMNLPNVLMIGRDPLSGKSMEEIKKVLLLVLGCAVQCERKEEFIERIKQLDIETQAGIVAHIQEVTHNQENVFDLQWLELPDVAPEELEALSRSMVLHLRRLIDQRDECTELIVDLTQERDYLQAQHPPSPIKSSSADSTPSPTSSLSSEDKQHLAVELADTKARLRRVRQELEDKTEQLVDTRHEVDQLVLELQKVKQENIQLAADARSARAYRDELDSLREKANRVERLELELTRCKEKLHDVDFYKARMEELREDNIILIETKAMLEEQLTAARARGDKVHELEKENLQLKSKLHDLELDRDTDKKRIEELLEENMVLEIAQKQSMNESAHLGWELEQLSKNADLSDASRKSFVFELNECASSRILKLEKENQSLQSTIQGLRDASLVLEESGLKCGELEKENHQLSKKIEKLQTQLEREKQSNQDLETLSEELIREKEQLQSDMETLKADKARQIKDLEQEKDHLNRAMWSLRERSQVSSEARMKDVEKENKALHQTVTEANGKLSQLEFEKRQLHRDLEQAKEKGERAEKLERELQRLQEENGRLARKVTSLETATEKVEALEHESQGLQLENRTLRKSLDTLQNVSLQLEGLERDNKQLDAENLELRRLVETMRFTSTKLAQMERENQQLEREKEELRKNVDLLKALGKKSERLELSYQSVSAENLRLQQSLESSSHKTQTLESELGELEAERQALRRDLEALRLANAQLEGAEKDRKALEQEVAQLEKDKKLLEKEAKRLWQQVELKDAVLDDSTAKLSAVEKESRALDKELARCRDAAGKLKELEKDNRDLTKQVTVHARTLTTLREDLVLEKLKSQQLSSELDKLSQELEKVGLNRELLLQEDDSGSDTKYKILEGRNESALKTTLAMKEEKIVLLEAQMEEKASLNRQLESELQMLKKECETLRQNQGEGQHLQNSFKHPAGKTAASHQGKEAWGPGHKEATMELLRVKDRAIELERNNAALQAEKQLLKEQLQHLETQNVTFSSQILTLQKQSAFLQEHNTTLQTQTAKLQVENSTLSSQSAALTAQYTLLQNHHTAKETENESLQRQQEQLTAAYEALLQDHEHLGTLHERQSAEYEALIRQHSCLKTLHRNLELEHKELGERHGDMLKRKAELEEREKVLTTEREALQQEQRTNALAMGENQRLRGELDRVNFLHHQLKGEYEELHAHTKELKTSLNNAQLELNRWQARFDELKEQHQTMDISLTKLDNHCELLSRLKGNLEEENHHLLSQIQLLSQQNQMLLEQNMENKEQYHEEQKQYIDKLNALRRHKEKLEEKIMDQYKFYDPPPKKKNHWIGAKALVKLIKPKKEGSRERLKSTVDSPPWQLESSDPASPAASQPLRSQAENPDTPALGSNCAEERDAHNGSVGKGPGDLKPKRGSPHRGSLDRTDASTDLAMRSWPSELGSRTCSTSATTTAPSNSTPIARHPGRTKGYNSDDNLCEPSLEFEVPNHRQYVSRPSSLESSRNTSSNSSPLNLKGSSEQLHGRSESFSSEDLIPSRDLATLPREASTPGRNALGRHEYPLPRNGPLPQEGAQKRGTAPPYVGVRPCSASPSSEMVTLEEFLEESNRSSPTHDTPSCRDDLLSDYFRKASDPPAIGGQPGPPAKKEGAKMPTNFVAPTVKMAAPTSEGRPLKPGQYVKPNFRLTEAEAPPSVAPRQAQPPQSLSLGRPRQAPVPPASHAPASRSASLSRAFSLASADLLRASGPEACKQESPQKLGAPEALGGRETGSHTLQSPAPPSSHSLARERTPLVGKAGSSCQGPGPRSRPLDTRRFSLAPPKEERLAPLHQSATAPAIATAGAGAAAAGSGSNSQLLHFSPAAAPAARTKPKAPPRSGEVATITPVRAGLSLSEGDGVPGQGCSEGLPAKSPGRSPDLAPHLGRALEDCSRGSVSKSSPASPEPGGDPQTVWYEYGCV
NP_001074644.1 1 649 0.754414637904468 inositol-trisphosphate 3-kinase (EC 2.7.1.127) 942 0 12 649 0 Mus musculus BRENDA::B2RXC2 1 BRENDA MAVYCYALNSLVIMNSTNELKSGGPRPSGSETPQPSGRAALSPGSVFSPGRGASFLFPPAESLSLEEPGSPGGWRSGRRRLNSSSGSGGGSSSSNSSSSSGVGSPSWAGRLRGDAQQVVAARILSPPGPEEAQRKLRILQRELQNVQVNQKVGMFEAQIQAQSSAIQAPRSPRLGRARSPSPCPFRSSSQPPERVLAPCSPSEERRTKSWGEQCTETPDTNSGRRSRLSTHPSKDKEGVAPLLGPASPTRLGTQSPSTSVRMERGTPASPRCGSPTPMETDKRVAPSLERFGTSLTLATKVAASAASAGPHPGHDSALMETGCELGGMRPWEAQMERRGQFLGKETGSTPEPVRTHMREPPGRVGRGIHSVGGQGSWTPEVIKRPEERAVTAQSSEPSEDPRWSRLPVDLDSVGPEKGGNRIPGMRGPQQTLDSEREGSPALGLLGGSQAAQPGARGVEEDVHYGRMLEPLPPGEVTTKLKEPQCLPGDRMGMQPESSIVWPSALEEAPLIWTRDTGVQSKGTWGSQLPDGDAHPSCQEMPPDQKDKASLKEACSPSNIPAIPAVIITDMGAQEDGGLEEIQGSPRGPLPLRKLSSSSASSTGFSSSYDDSEEDISSDPERTLDPNSAFLHTLDQQKPRVSKSWRKIKN
NP_001074813.2 484 1049 0.371561307420494 PF08596.10:Lgl_C:388:509 syntaxin-binding protein 5 1116 122 12 566 0 Mus musculus NP_001074813.2 1 RefSeq EKSRNKDDRQNTDIVDEDPYAIQIISWCPESRMLCIAGVSAHVIIYRFSKQEVLTEVIPMLEVRLLYEINDVDTPEGEQPPPLSTPVGSSNPQPIPPQSHPSTSSSSSDGLRDNVPCLKVKNSPLKQSPGYQTELVIQLVWVGGEPPQQITSLALNSSYGLVVFGNCNGIAMVDYLQKAVLLNLSTIELYGSNDPYRREPRSPRKSRQPSGAGLCDITEGTVVPEDRCKSPTSAKMSRKLSLPTDLKPDLDVKDNSFSRSRSSSVTSIDKESRETISALHFCETLTRKADSSPSPCLWVGTTVGTAFVITLNLPPGPEQRLLQPVIVSPSGTILRLKGAILRMAFLDATGCLMSPAYEPWKEHNVAEEKDEKEKLKKRRPVSVSPSSSQEISENQYAVICSEKQAKVMSLPTQSCAYKQNITETSFVLRGDIVALSNSVCLACFCANGHIMTFSLPSLRPLLDVYYLPLTNMRIARTFCFANNGQALYLVSPTEIQRLTYSQETCENLQEMLGELFTPVETPEAPNRGFFKGLFGGGAQSLDREELFGESSSGKASRSLAQHIPGP
NP_001077474.1 1 979 0.297358426966292 Protein SMAX1-LIKE 6; AtSMXL6; Protein D53-like 2; AtD53-like 2; Protein D53-like SMXL 6 979 0 12 979 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LML2 1 SwissProt MPTPVTTARECLTEEAARALDDAVVVARRRSHAQTTSLHAVSALLAMPSSILREVCVSRAARSVPYSSRLQFRALELCVGVSLDRLPSSKSPATEEDPPVSNSLMAAIKRSQANQRRHPESYHLQQIHASNNGGGGCQTTVLKVELKYFILSILDDPIVNRVFGEAGFRSSEIKLDVLHPPVTQLSSRFSRGRCPPLFLCNLPNSDPNREFPFSGSSGFDENSRRIGEVLGRKDKKNPLLIGNCANEALKTFTDSINSGKLGFLQMDISGLSLISIEKEISEILADGSKNEEEIRMKVDDLGRTVEQSGSKSGIVLNLGELKVLTSEANAALEILVSKLSDLLKHESKQLSFIGCVSSNETYTKLIDRFPTIEKDWDLHVLPITASTKPSTQGVYPKSSLMGSFVPFGGFFSSTSNFRVPLSSTVNQTLSRCHLCNEKYLQEVAAVLKAGSSLSLADKCSEKLAPWLRAIETKEDKGITGSSKALDDANTSASQTAALQKKWDNICQSIHHTPAFPKLGFQSVSPQFPVQTEKSVRTPTSYLETPKLLNPPISKPKPMEDLTASVTNRTVSLPLSCVTTDFGLGVIYASKNQESKTTREKPMLVTLNSSLEHTYQKDFKSLREILSRKVAWQTEAVNAISQIICGCKTDSTRRNQASGIWLALLGPDKVGKKKVAMTLSEVFFGGKVNYICVDFGAEHCSLDDKFRGKTVVDYVTGELSRKPHSVVLLENVEKAEFPDQMRLSEAVSTGKIRDLHGRVISMKNVIVVVTSGIAKDNATDHVIKPVKFPEEQVLSARSWKLQIKLGDATKFGVNKRKYELETAQRAVKVQRSYLDLNLPVNETEFSPDHEAEDRDAWFDEFIEKVDGKVTFKPVDFDELAKNIQEKIGSHFERCFGSETHLELDKEVILQILAASWSSLSSGEEEGRTIVDQWMQTVLARSFAEAKQKYGSNPMLGVKLVASSSGLASGVELPAKVDVIW 2
NP_001077584.1 1 615 0.269180325203252 PF03547.18:Mem_trans:9:610 Auxin efflux carrier family protein 615 602 12 420 9 Arabidopsis thaliana NP_001077584.1 1 RefSeq MITWHDLYTVLTAVIPLYVAMILAYGSVRWWKIFSPDQCSGINRFVAIFAVPLLSFHFISSNNPYAMNLRFIAADTLQKLIMLTLLIIWANFTRSGSLEWSITIFSLSTLPNTLVMGIPLLIAMYGEYSGSLMVQIVVLQCIIWYTLLLFLFEYRGAKILIMEQFPETGASIVSFKVESDVVSLDGHDFLETDAQIGDDGKLHVTVRKSNASRRSFYGGGGTNMTPRPSNLTGAEIYSLNTTPRGSNFNHSDFYSMMGFPGGRLSNFGPADMYSVQSSRGPTPRPSNFEESCAMASSPRFGYYPGGAPGSYPAPNPEFSTGNKTGSKAPKENHHHVGKSNSNDAKELHMFVWGSNGSPVSDRAGLQVDNGANEQVGKSDQGGAKEIRMLISDHTQNAGPMNGDYGGEEESERVKEVPNGLHKLRCNSTAELNPKEAIETGETVPVKHMPPASVMTRLILIMVWRKLIRNPNTYSSLIGLIWALVAFRWDVAMPKIIQQSISILSDAGLGMAMFSLGLFMALQPKLIACGNSTATFAMAVRFFTGPAVMAVAAMAIGLRGDLLRVAIVQAALPQGIVPFVFAKEYNVHPAILSTGVIFGMLIALPITLVYYILLGL
NP_001078484.4 328 1756 0.293861441567529 PF01504.18:PIP5K:1167:1333,PF01504.18:PIP5K:1336:1389,PF00118.24:Cpn60_TCP1:69:314 1-phosphatidylinositol-3-phosphate 5-kinase FAB1A 1756 467 12 1429 0 Arabidopsis thaliana NP_001078484.4 1 RefSeq SDDDGDEGDRGDWGYLRPSNSFNEKDFHSKDKSSGAMKNVVEGHFRALVAQLLEVDNLPMVNEGDEEGWLDIITSLSWEAATLLKPDTSKSGGMDPGGYVKVKCIPCGRRSESMVVKGVVCKKNVAHRRMTSKIEKPRLLILGGALEYQRISNQLSSFDTLLQQEMDHLKMAVAKIDSHNPDILLVEKSVSRFAQEYLLAKDISLVLNIKRSLLERISRCTGAQIVPSIDQLTSPKLGYCDLFHVEKFVETHVSPCQVAKKMAKTLMFFDGCPKPLGCTILLKGAHEDELKKVKHVIQYGVFAAYHLALETSFLADEGASIHELPLQTPITVALPDKPSMVNRSISTIPGFTVSSAEKSPTTELRGEPHKANGDLTGNFTSSKTHFQGKLDGNDRIDPSERLLHNLDTVYCKPPETITSKDDGLVPTLESRQLSFHVEEPSVQKDQWSVLSGATEQVTDGGYTNDSAVIGNQNFNRQEQMESSKGDFHPSASDHQSILVSLSTRCVWKGSVCERAHLLRIKYYGSFDKPLGRFLRDNLFDQDQCCPSCTMPAEAHIHCYTHRQGSLTISVKKLPELLPGQREGKIWMWHRCLKCPRINGFPPATRRIVMSDAAWGLSFGKFLELSFSNHAAASRVANCGHSLHRDCLRFYGFGRMVACFRYASINIYAVTLPPAKLYFNYENQEWLQKESKEVIKKAEVLFNEVQEALSQISAKTMGAGSKGSTPNKIKLSLEELAGLLEQRKKEYKDSLQQMLNVVKDGQPTIDILLINKLRRLIIFDSYAWDECLAGAANMVRNNYLEAPKNSAPKVMGRNVSLEKLSDEKVKSIPTHVAICNDSLLQDADYETCLNQGKSFADTSGKFAIPEDVGSDRPPDCRMEFDPSEGGKDNFVESSQVVKPAHTESQFQATDLSDTLDAAWIGEQTTSENGIFRPPSRAASTNGTQIPDLRLLGSESELNFKGGPTNDEHTTQVQLPSPSFYYSLNKNYSLNSRKHIMAEDRPVYVSSYRELEWRSGARLLLPLGCNDLVLPVYDDEPTSIIAYALTSSEYKAQMSGSDKSRDRLDSGGSFSLFDSVNLLSLNSLSDLSVDMSRSLSSADEQVSQLLHSSLYLKDLHARISFTDEGPPGKVKYSVTCYYAKEFEALRMICCPSETDFIRSLGRCRKWGAQGGKSNVFFAKSLDDRFIIKQVTKTELESFIKFGPAYFKYLTESISTKSPTSLAKILGIYQVSSKHLKGGKEFKMDVLVMENLLFKRNFTRLYDLKGSTRARYNPDTSGSNTVLLDQNLVEAMPTSPIFVGSKAKRLLERAVWNDTSFLASIHVMDYSLLVGVDEERNELVLGIIDFMRQYTWDKHLETWVKTSGLLGGPKNSTPTVISPQQYKKRFRKAMTAYFLMVPDQWSPAAVVPSNSSSAEVKEEEEKDNPQAVGNKS
NP_001094027.1 1 165 0.677489090909091 PF00010.26:HLH:110:162 oligodendrocyte transcription factor 2 323 53 12 165 0 Rattus norvegicus NP_001094027.1 1 RefSeq MDSDASLVSSRPSSPEPDDLFLPARSKGGSSSGFTGGTVSSSTPSDCPPELSSELRGAMGTAGAHPGDKLGGGGFKSSSSSTSSSTSSAATSSTKKDKKQMTEPELQQLRLKINSRERKRMHDLNIAMDGLREVMPYAHGPSVRKLSKIATLLLARNYILMLTNS
NP_001094288.1 1 217 0.270900921658986 PF14719.6:PID_2:59:200,PF00640.23:PID:125:192 PTB-containing, cubilin and LRP1-interacting protein isoform 2 217 142 12 217 0 Homo sapiens NP_001094288.1 1 RefSeq MWQPATERLQHFQTMLKSKLNVLTLKKEPLPAVIFHEPEAIELCTTTPLMKTRTHSGCKVTYLGKVSTTGMQFLSGCTEKPVIELWKKHTLAREDVFPANALLEIRPFQVWLHHLDHKGEATVHMDTFQVARIAYCTADHNVSPNIFAWVYREINDDLSYQMDCHAVECESKLEAKKLAHAMMEAFRKTFHSMKSDGRIHSNSSSEEVSQELESDDG
NP_001097016.2 54 222 0.706305917159764 PF02173.17:pKID:128:159 Cyclic-AMP response element binding protein B, isoform P 281 32 12 169 0 Drosophila melanogaster NP_001097016.2 1 RefSeq NPQSTTAGGPTGATNNAQGGGVSSVLTTTANCNIQYPIQTLAQHGLQVQSVIQANPSGVIQTAAGTQQQQQALAAATAMQKVVYVAKPPNSTVIHTTPGNAVQIPPTFPCKIKPEPNTQHPEDSDESLSDDDSQHHRSELTRRPSYNKIFTEISGPDMSDNSGIAEDQT
NP_001103792.1 1 177 0.552179096045198 B-cell differentiation antigen CD72 isoform 4 300 0 12 177 0 Mus musculus NP_001103792.1 1 RefSeq MADAITYADLRFVKVPLKNSASNHLGQDCEAYEDGELTYENVQVSPVPGGPPGLASPALADKADLQVSRQFQEGTRIWEATNSSLQQQLREKISQLGQKEVELQKARKELISSQDTLQEKQRTHEDAEQQLQACQAERAKTKENLKTEEERRRDLDQRLTSTRETLRRFFSDSSDTC
NP_001120843.1 33 408 0.414372606382979 PF10497.9:zf-4CXXC_R1:267:365 cell division cycle-associated 7-like protein isoform 3 408 99 12 376 0 Homo sapiens NP_001120843.1 1 RefSeq VPMETLSSEESCDSFDSLESGKQVVESDLSDDGKASLVSEEEEDEEEDKATPRRSRSRRSSIGLRVAFQFPTKKLANKPDKNSSSEQLFSSARLQNEKKTILERKKDCRQVIQREDSTSESEDDSRDESQESSDALLKRTMNIKENKAMLAQLLAELNSMPDFFPVRTPTSASRKKTVRRAFSEGQITRRMNPTRSARPPEKFALENFTVSAAKFAEEFYSFRRRKTIGGKCREYRRRHRISSFRPVEDITEEDLENVAITVRDKIYDKVLGNTCHQCRQKTIDTKTVCRNQGCCGVRGQFCGPCLRNRYGEDVRSALLDPDWVCPPCRGICNCSYCRKRDGRCATGILIHLAKFYGYDNVKEYLESLQKELVEDN
NP_001135946.1 1 102 0.433630392156863 PF11092.8:Alveol-reg_P311:36:102 neuronal regeneration-related protein isoform b 102 67 12 102 0 Homo sapiens NP_001135946.1 1 RefSeq MKGVWNYSALSRREDETRTQRSRMTDRVPCSKCFQVYYPELFVWVSQEPFPNKDMEGRLPKGRLPVPKEVNRKKNDETNAASLTPLGSSELRSPRISYLHFF
NP_001136233.1 1 286 0.548623076923077 small kinetochore-associated protein isoform b 286 0 12 286 0 Homo sapiens NP_001136233.1 1 RefSeq MAAPEAPPLDRVFRTTWLSTECDSHPLPPSYRKFLFETQAADLAGGTTVAAGNLLNESEKDCGQDRRAPGVQPCRLVTMTSVVKTVYSLQPPSALSGGQPADTQTRATSKSLLPVRSKEVDVSKQLHSGGPENDVTKITKLRRENGQMKATDTATRRNVRKGYKPLSKQKSEEELKDKNQLLEAVNKQLHQKLTETQGELKDLTQKVELLEKFRDNCLAILESKGLDPALGSETLASRQESTTDHMDSMLLLETLQEELKLFNETAKKQMEELQIAWMNHGILHQM
NP_001138116.1 1 164 0.636103048780488 spatzle, isoform L 270 0 12 146 1 Drosophila melanogaster NP_001138116.1 1 RefSeq MMTPMWISLFKVLLLLFAFFATTSADSAPFMPIPTQHDDPTQKQKQNQNQSPIPETNRHYHQYHSLIQPDQYFKRTDTEVQSEQPIPPRHPSDTKYRPPQSPARPLRNDTKEHNPCAKDESQHLRNFCTNVDDYPDLSGLTHKLKNNFAKFFSNDLQPTDVSSR
NP_001138229.1 1 212 0.512078773584906 PF05281.11:Secretogranin_V:59:155 Neuroendocrine protein 7B2; Pituitary polypeptide; Secretogranin V; Secretogranin-5; Secretory granule endocrine protein I 212 97 12 212 0 Homo sapiens (Human) SwissProt::P05408 1 SwissProt MVSRMVSTMLSGLLFWLASGWTPAFAYSPRTPDRVSEADIQRLLHGVMEQLGIARPRVEYPAHQAMNLVGPQSIEGGAHEGLQHLGPFGNIPNIVAELTGDNIPKDFSEDQGYPDPPNPCPVGKTADDGCLENTPDTAEFSREFQLHQHLFDPEHDYPGLGKWNKKLLYEKMKGGERRKRRSVNPYLQGQRLDNVVAKKSVPHFSDEDKDPE
NP_001138358.1 1 138 0.723057971014493 Cbp/p300-interacting transactivator 1; Melanocyte-specific protein 1 193 0 12 138 0 Homo sapiens (Human) SwissProt::Q99966 1 SwissProt MPTTSRPALDVKGGTSPAKEDANQEMSSVAYSNLAVKDRKAVAILHYPGVASNGTKASGAPTSSSGSPIGSPTTTPPTKPPSFNLHPAPHLLASMHLQKLNSQYQGMAAATPGQPGEAGPLQNWDFGAQAGGAESLSP
NP_001158889.1 1 303 0.160456435643564 PF00153.27:Mito_carr:21:96,PF00153.27:Mito_carr:107:200,PF00153.27:Mito_carr:207:297 mitochondrial 2-oxoglutarate/malate carrier protein isoform 2 303 261 12 303 0 Homo sapiens NP_001158889.1 1 RefSeq MAATASAGAGGIDGKPRTSPKMGATVFVQPLDLVKNRMQLSGEGAKTREYKTSFHALTSILKAEGLRGIYTGLSAGLLRQATYTTTRLGIYTVLFERLTGADGTPPGFLLKAVIGMTAGATGAFVGTPAEVALIRMTADGRLPADQRRGYKNVFNALIRITREEGVLTLWRGCIPTMARAVVVNAAQLASYSQSKQFLLDSGYFSDNILCHFCASMISGLVTTAASMPVDIAKTRIQNMRMIDGKPEYKNGLDVLFKVVRYEGFFSLWKGFTPYYARLGPHTVLTFIFLEQMNKAYKRLFLSG
NP_001161974.1 193 418 0.38533982300885 zinc finger protein 57 isoform 2 418 0 12 226 0 Mus musculus NP_001161974.1 1 RefSeq ASNQAGNQASNQRLKSRVPPTTPRSQAPALKYVKVIQGPVARAKARNSGASTLNVRSNSITVVRSREKISCPYCHITFTMRTCLLTHLKIHFRRQPNQHFCCKESAHSSNTLRMQKIYTCPVCDSSFRGKESLLDHLCCQRPIRFSKCWEILGHLLGYLHEPVVLGNIFKVRDSSGKRMESRRRRRKRACTENPETEGLSGKGRVAPWEMEGATSPESPVTEEDSD
NP_001177921.1 1 549 0.095592349726776 PF13000.7:Acatn:75:284,PF13000.7:Acatn:287:545 Acetyl-coenzyme A transporter 1; AT-1; Acetyl-CoA transporter 1; Solute carrier family 33 member 1 549 469 12 311 11 Homo sapiens (Human) SwissProt::O00400 1 SwissProt MSPTISHKDSSRQRRPGNFSHSLDMKSGPLPPGGWDDSHLDSAGREGDREALLGDTGTGDFLKAPQSFRAELSSILLLLFLYVLQGIPLGLAGSIPLILQSKNVSYTDQAFFSFVFWPFSLKLLWAPLVDAVYVKNFGRRKSWLVPTQYILGLFMIYLSTQVDRLLGNTDDRTPDVIALTVAFFLFEFLAATQDIAVDGWALTMLSRENVGYASTCNSVGQTAGYFLGNVLFLALESADFCNKYLRFQPQPRGIVTLSDFLFFWGTVFLITTTLVALLKKENEVSVVKEETQGITDTYKLLFAIIKMPAVLTFCLLILTAKIGFSAADAVTGLKLVEEGVPKEHLALLAVPMVPLQIILPLIISKYTAGPQPLNTFYKAMPYRLLLGLEYALLVWWTPKVEHQGGFPIYYYIVVLLSYALHQVTVYSMYVSIMAFNAKVSDPLIGGTYMTLLNTVSNLGGNWPSTVALWLVDPLTVKECVGASNQNCRTPDAVELCKKLGGSCVTALDGYYVESIICVFIGFGWWFFLGPKFKKLQDEGSSSWKCKRNN
NP_001180442.1 1 501 0.162225349301397 PF01545.21:Cation_efflux:113:295 zinc transporter 6 isoform 1 501 183 12 399 5 Homo sapiens NP_001180442.1 1 RefSeq MGTIHLFRKPQRSFFGKLLREFRLVAADRRSWKILLFGVINLICTGFLLMWCSSTNSIALTAYTYLTIFDLFRDGVSPFWLGWSQTPDLKWSTHLGLPKCWDNRRELPCLSNSLMTCLISYWVTLRKPSPVYSFGFERLEVLAVFASTVLAQLGALFILKESAERFLEQPEIHTGRLLVGTFVALCFNLFTMLSIRNKPFAYVSEAASTSWLQEHVADLSRSLCGIIPGLSSIFLPRMNPFVLIDLAGAFALCITYMLIEINNYFAVDTASAIAIALMTFGTMYPMSVYSGKVLLQTTPPHVIGQLDKLIREVSTLDGVLEVRNEHFWTLGFGSLAGSVHVRIRRDANEQMVLAHVTNRLYTLVSTLTVQIFKDDWIRPALLSGPVAANVLNFSDHHVIPMPLLKGTDDLNPVTSTPAKPSSPPPEFSFNTPGKNVNPVILLNTQTRPYGFGLNHGHTPYSSMLNQGLGVPGIGATQGLRTGFTNIPSRYGTNNRIGQPRP
NP_001192050.1 201 480 0.586136785714285 autophagy-related protein 13 isoform f 480 0 12 280 0 Homo sapiens NP_001192050.1 1 RefSeq FERTPPIMGIIIDHFVDRPYPSSSPMHPCNYRTAGEDTGVIYPSVEDSQEVCTTSFSTSPPSQLMVPGKEGGVPLAPNQPVHGTQADQERLATCTPSDRTHCAATPSSSEDTETVSNSSEGRASPHDVLETIFVRKVGAFVNKPINQVTLTSLDIPFAMFAPKNLELEDTDPMVNPPDSPETESPLQGSLHSDGSSGGSSGNTHDDFVMIDFKPAFSKDDILPMDLGTFYREFQNPPQLSSLSIDIGAQSMAEDLDSLPEKLAVHEKNVREFDAFVETLQ
NP_001192285.1 1 494 0.663040688259108 PF02161.15:Prog_receptor:1:494 progesterone receptor 913 494 12 494 0 Bos taurus NP_001192285.1 1 RefSeq MTELKAKGPRAPHVAGTAPSPTQAGAPLRGRPDAGPFQAGEASGPTPTASGLPLSLDGLIFPRSCQAQDLDGKTPDPESLADVEGAYSGGEAAEGAAARPPEKDGGLLDSVLDTLLAPPGPERSPASPAVCEAPSPWCLFGPELVQDARAAPAAQGVLLPLMSRPESKAGDTPGAAAGQKVLPRGLAPCRQLLAPTASSHPWPAPAPRPSPQPAVVELEEDDSDPEGSSGPPLKGKARPAGGDAISAPGTAAGGVAPVPKEDARFSAPRAAPAEHDAPAAPGRASVATAVMDFIHVPILPLNSAFLAARTRQLLEGDSYDGGAAGAFAPPRGSPSASAALGAPGDFPDCAYQPDSEPKDDAFSLYGDPQPPALKIKEEEEGGAEAAARSPRPYLLAGASPAVFADFPLAPPSRPGEVAVPAAATGAPGSSAAVSGSALECVLYKAEGAPPQPGPFAPPPCKAPAAGACLLPRDLPSTSAAAAAAAGATPALYQP
NP_001193488.1 1 94 0.832743617021276 DNA-directed RNA polymerases I and III subunit RPAC2 isoform 3 94 0 12 94 0 Homo sapiens NP_001193488.1 1 RefSeq MGPMGWMKCPLASTNKRFLINTIKNTLPSHKEQDHEQKEGDKEPAKSQAQKEENPKKHRSHPYKHSFRARGSASYSPPRKRSSQDKYEKRSNRR
NP_001243367.1 1 306 0.24326045751634 PF00134.23:Cyclin_N:200:288 CDK5 and ABL1 enzyme substrate 1 isoform 3 306 89 12 306 0 Homo sapiens NP_001243367.1 1 RefSeq MRQHDTRNGRIVLISGRRSFCSIFSVLPYRDSTQVGDLKLDGGRQSTGAVSLKEIIGLEGVELGADGKTVSYTQFLLPTNAFGARRNTIDSTSSFSQFRNLSHRSLSIGRASGTQGSLDTGSDLGDFMDYDPNLLDDPQWPCGKHKRVLIFPSYMTTVIDYVKPSDLKKDMNETFKEKFPHIKLTLSKIRSLKREMRKLAQEDCGLEEPTVAMAFVYFEKLALKGKLNKQNRKLCAGACVLLAAKIGSDLKKHEVKHLIDKLEEKFRLNRRELIAFEFPVLVALEFALHLPEHEVMPHYRRLVQSS
NP_001245405.1 1 257 0.478075097276265 PF08347.11:CTNNB1_binding:9:62 pangolin, isoform Q 494 54 12 257 0 Drosophila melanogaster NP_001245405.1 1 RefSeq MPHTHSRHGSSGDDLCSTDEVKIFKDEGDREDEKISSENLLVEEKSSLIDLTESEEKGHKISRPDHSPVFNKLDTHAPSFNMGYLVSPYSYANGSPSGLPVTMANKIGLPPFFCHNADPLSTPPPAHCGIPPYQLDPKMGLTRPALYPFAGGQYPYPMLSSDMSQVASWHTPSVYSASSFRTPYPSSLPINTTLASDFPFRFSPSLLPSVHATSHHVINAHSAIVGVSSKQECGVQDPTTNNRYPRNLEAKHTSNAQ
NP_001245665.1 1 1158 0.361312607944732 mushroom body defect, isoform I 2113 0 12 1158 0 Drosophila melanogaster NP_001245665.1 1 RefSeq MDTRSWRKVLLQWIGECHFIESNYITLEQSDLDSFFSVFIQKIQETENVKGKNELQDQPTEQSPLVQEFLAHNYPEFIAQQDDKEVDLPLDCLYVYTLLLHYSCVKKPSLFFHNICNKLPELTQTCIASFFRETVDRLLTREYLSQAIANVAVVYRQGVSTSVSPCLPSSSLSPDPRSDDAPCPSTPSSSSSQPSSSTPQLRNHREQLRLNGCEMPPPSTPKTELLEQRTKELRGIRTQLEVVRYEKALLEEQQMEKDELIKVLNKEKMMAKMELEKLRNVKLTEEHHDNESHHIMPYEFEHMKGCLLKEIGLKESLIAEITDKLHDLRVENSELSEKLNLAGKRLLEYTDRIRFLESRVDDLTRIVSSRDVMISSLESDKQELDKCLKEARDDLHNRIEVLNASSDLLDCSLSPNTTPENLASSVIDKQLREKEHENAELKEKLLNLNNSQRELCQALSSFLQKHNIDHEFPVEWTSSSLLSTISAIESKFVNTLEKSTQMKKECDVQSVCVEKLLEKCKLLSVSLGCQPKELDGFEATIPEAMESGFESSRECETILSCCHMKVVDIASKNNDLELDNERLNDKCAELKSIIDRGDQHLADINLQLIEKEKQIKDVGAEIQELRKRNINLENMLSQIADKEASAASHAQHLKQCGELLRAKYEVCRNELIAKNAAQDELVRMMMVPDGETLNGRVRQLIDLEMMHDEHNKMYAQMLKQLNELSAKHDNMTHSHLDFVKRTEIELETKNAQIMAFDEHNNHFDRFLTRIFTLLRSRNCPKSTTMGSATNFLESMHIEKRFENIEMLIEGQLLSADDLKRELDDLRSKNEELAKQNINGIIKRNKFITSLEVNTEKVKQYITDLEEEAFKRKQKVVQLENTLSKEQSNAKEMAQRLDIAQQEIKDYHVEAIRFINTIRDRLQQDFNGVNTPQQLGTCMTEFLKMYDQMEVRYEESSSLVEKLTESQAKLEMQVAELQVELENKDTNQHSGALIKQLNDTIQNLEKVNAKLSEDNTVSHTVHSKLNESLLKAQKELDLRAKIIENLEASERNLSMKLCELKDLKNKLKSSDEKIAQIKETYEEQIKALQAKCDMEAKKNEHLERNQNQSLTQLKEDALENCVLMSTKLEELQAKLQEGQQLVDSQKLELDMNRKELALV
NP_001261027.1 261 1130 0.317076091954022 PF00629.23:MAM:28:188,PF00629.23:MAM:245:429,PF12810.7:Gly_rich:518:771 anaplastic lymphoma kinase, isoform B 1701 600 12 847 1 Drosophila melanogaster NP_001261027.1 1 RefSeq TSPNANTYAPHTDATPSSEYEYELGVKCNFETPCSWTWGNYSDGFQVITGTELSKRNLTGLLPGPAADSIDDANGHFLYARVNPSSRPLNLTSPEFSTTMEKCFLEVYMHQSDMSHGLSRVVVELLHTAESSWVPAEILGDNVRQWTRKVYRLGRVSRDFRIVFEVVPDLRVGQKGHVALDNLRMVNCFPEGTKSEKCSTSQVKCTSSKVPVCIHLPRICDITRDCDEAEDEQQSCDKIPYGGRCDFEEDWCGWRDSGKTTLTWSRHTGSSPTHDTGPDGDHTMQHLQNNTSGYYMLVNMNQHMNNSEKNSIIGFASNAIMVSKTFNPPPSVHGNPDSPYRNSCVVRFFIHQFGKNPGSINLSVVEMKEKENITTTLWWSTKNQGSDWMRAEYVLPNITSKYYLQFEARMGMRIYSDVAVDDFSLSPECFGLNIPEDHLGGYNYWDVRQNLKSPTYKDFEYTNYLELTTCDTRGMIGPSQAQCEAAYREQNKTHVLREVHVVEDQSSYKGMQKWKVPHEGHYTIIAKGASGGLGSGGVGSSRGSVAVAILELHKNEELYFLVGQQGENACIKSMGVLKEAGCGTDHDLDLAQYSFRSKQDMVKNIYIENGAGGGGGGSYVFLLNQAKNEAVPLLVAGGGGGLGIGQYIDEDFQHGQKAKPLQAPESGQINGEPLNKKTAGPGGGWRAKEDQALSPTYGAALLQGGRGGHSCYVELADNGTSVHRHGQGGFGGGGGGCNTGGGGGGYAGGDVYLTESNGEGGSSYISPSRSLREISEIHAGASSGPGAIIIIPAIEGCGCDYRCVALDEFRSKVRCICPDGWSLKRDNHTACEIREEAGKSSFQYLVSILMISLAVLFICIAALIFMLYNR
NP_001263146.1 1 566 0.299325265017667 PF00134.23:Cyclin_N:268:368 Cyclin G 566 101 12 566 0 Drosophila melanogaster (Fruit fly) SwissProt::Q95TJ9 1 SwissProt MSVPVRYSSAAAEYAAEVDCELESTLQQQQQLHLQQQYEQYQHYQYQREQDIAYYCQLQAARQQEQLMQQRTSMSSSVMPGLALPQDHQDHPAALLNGPHNNNIGLAMDAHSINAILVDDEQPSTSAQAAAAAAASAGGSAGAGSGSGLGGAIGGGKLANGINRNAEMPTDWMRIADEGRYGTPGAAGLEYQKYEQQQQLEDLAESEAGAVGGASNNNGESSSSLKKLEDQLHALTSDELYETLKEYDVLQDKFHTVLLLPKESRREVTAGGRDGSAYVLRCLKMWYELPSDVLFSAMSLVDRFLDRMAVKPKHMACMSVASFHLAIKQLDLKPIPAEDLVTISQCGCTAGDLERMAGVIANKLGVQMGHAPITSVSYLRIYYALFRNLAKEIGGDFFKFYQQLIKLEELENRLEILMCDVKTTVITPSTLALVLICLHLDFHIKESYTRGSPELKHVFEYILFLQQYMRIPDRVFTCGFSIVSGILSHYNGQNKAPYKQRLVWKLSSRTLRVLRPINRFSSDLPTIEEGIPNALDDGLRSRTESISSEEEEDWPTSPIIPIFEQC
NP_001264242.1 1 854 0.61767412177986 Formin-1; Limb deformity protein homolog 1419 0 12 854 0 Homo sapiens (Human) SwissProt::Q68DA7 1 SwissProt MEGTHCTLQLHKPITELCYISFCLPKGEVRGFSYKGTVTLDRSNKGFHNCYQVREESDIISLSQEPDEHPGDIFFKQTPTKDILTELYKLTTERERLLTNLLSSDHILGITMGNQEGKLQELSVSLAPEDDCFQSAGDWQGELPVGPLNKRSTHGNKKPRRSSGRRESFGALPQKRTKRKGRGGRESAPLMGKDKICSSHSLPLSRTRPNLWVLEEKGNLLPNGALACSLQRRESCPPDIPKTPDTDLGFGSFETAFKDTGLGREVLPPDCSSTEAGGDGIRRPPSGLEHQQTGLSESHQDPEKHPEAEKDEMEKPAKRTCKQKPVSKVVAKVQDLSSQVQRVVKTHSKGKETIAIRPAAHAEFVPKADLLTLPGAEAGAHGSRRQGKERQGDRSSQSPAGETASISSVSASAEGAVNKVPLKVIESEKLDEAPEGKRLGFPVHTSVPHTRPETRNKRRAGLPLGGHKSLFLDLPHKVGPDSSQPRGDKKKPSPPAPAALGKVFNNSASQSSTHKQTSPVPSPLSPRLPSPQQHHRILRLPALPGEREAALNDSPCRKSRVFSGCVSADTLEPPSSAKVTETKGASPAFLRAGQPRLVPGETLEKSLGPGKTTAEPQHQSPPGISSEGFPWDGFNEQTPKDLPNRDGGAWVLGYRAGPACPFLLHEEREKSNRSELYLDLHPDHSLTEQDDRTPGRLQAVWPPPKTKDTEEKVGLKYTEAEYQAAILHLKREHKEEIENLQAQFELRAFHIRGEHAMITARLEETIENLKHELEHRWRGGCEERKDVCISTDDDCPPKTFRNVCVQTDRETFLKPCESESKTTRSNQLVPKKLNISSLSQLSPPNDHKDIHAAL
NP_001267468.1 334 838 0.436125940594059 E3 ubiquitin-protein ligase RNF19A; Double ring-finger protein; Dorfin; RING finger protein 19A; p38; EC 2.3.2.31 838 0 12 459 2 Homo sapiens (Human) SwissProt::Q9NV58 1 SwissProt KEISDLHYLSPSGCTFWGKKPWSRKKKILWQLGTLVGAPVGIALIAGIAIPAMIIGIPVYVGRKIHNRYEGKDVSKHKRNLAIAGGVTLSVIVSPVVAAVTVGIGVPIMLAYVYGVVPISLCRSGGCGVSAGNGKGVRIEFDDENDINVGGTNTAVDTTSVAEARHNPSIGEGSVGGLTGSLSASGSHMDRIGAIRDNLSETASTMALAGASITGSLSGSAMVNCFNRLEVQADVQKERYSLSGESGTVSLGTVSDNASTKAMAGSILNSYIPLDKEGNSMEVQVDIESKPSKFRHNSGSSSVDDGSATRSHAGGSSSGLPEGKSSATKWSKEATAGKKSKSGKLRKKGNMKINETREDMDAQLLEQQSTNSSEFEAPSLSDSMPSVADSHSSHFSEFSCSDLESMKTSCSHGSSDYHTRFATVNILPEVENDRLENSPHQCSISVVTQTASCSEVSQLNHIAEEHGNNGIKPNVDLYFGDALKETNNNHSHQTMELKVAIQTEI
NP_001277987.1 1 326 0.414721165644172 PF01299.17:Lamp:127:274 Macrosialin 326 148 12 303 1 Mus musculus P31996 1 SwissProt/TReMBL MRLPVCLILLGPLIAQGTEEDCPHKKAVTLLPSFTMTPTATESTASPTTSHRPTTTSHGNVTVHTSSGPTTVTHNPATTTSHGNATISHATVSPTTNGTATSPRSSTVGPHPGPPPPSPSPRSKGALGNYTWANGSQPCVQLQAQIQIRILYPIQGGRKAWGISVLNPNKTKVQGGCDGTHPHLSLSFPYGQLTFGFKQDLHQSPSTVYLDYMAVEYNVSFPQAAQWTFMAQNSSLRELQAPLGQSFCCGNASIVLSPAVHLDLLSLRLQAAQLPDKGHFGPCFSCNRDQSLLLPLIIGLVLLGLLTLVLIAFCITRRRQSTYQPL
NP_001290386.1 1 1089 0.153942699724518 TELO2-interacting protein 1 homolog; Protein SMG10 1089 0 12 1089 0 Homo sapiens (Human) SwissProt::O43156 1 SwissProt MAVFDTPEEAFGVLRPVCVQLTKTQTVENVEHLQTRLQAVSDSALQELQQYILFPLRFTLKTPGPKRERLIQSVVECLTFVLSSTCVKEQELLQELFSELSACLYSPSSQKPAAVSEELKLAVIQGLSTLMHSAYGDIILTFYEPSILPRLGFAVSLLLGLAEQEKSKQIKIAALKCLQVLLLQCDCQDHPRSLDELEQKQLGDLFASFLPGISTALTRLITGDFKQGHSIVVSSLKIFYKTVSFIMADEQLKRISKVQAKPAVEHRVAELMVYREADWVKKTGDKLTILIKKIIECVSVHPHWKVRLELVELVEDLLLKCSQSLVECAGPLLKALVGLVNDESPEIQAQCNKVLRHFADQKVVVGNKALADILSESLHSLATSLPRLMNSQDDQGKFSTLSLLLGYLKLLGPKINFVLNSVAHLQRLSKALIQVLELDVADIKIVEERRWNSDDLNASPKTSATQPWNRIQRRYFRFFTDERIFMLLRQVCQLLGYYGNLYLLVDHFMELYHQSVVYRKQAAMILNELVTGAAGLEVEDLHEKHIKTNPEELREIVTSILEEYTSQENWYLVTCLETEEMGEELMMEHPGLQAITSGEHTCQVTSFLAFSKPSPTICSMNSNIWQICIQLEGIGQFAYALGKDFCLLLMSALYPVLEKAGDQTLLISQVATSTMMDVCRACGYDSLQHLINQNSDYLVNGISLNLRHLALHPHTPKVLEVMLRNSDANLLPLVADVVQDVLATLDQFYDKRAASFVSVLHALMAALAQWFPDTGNLGHLQEQSLGEEGSHLNQRPAALEKSTTTAEDIEQFLLNYLKEKDVADGNVSDFDNEEEEQSVPPKVDENDTRPDVEPPLPLQIQIAMDVMERCIHLLSDKNLQIRLKVLDVLDLCVVVLQSHKNQLLPLAHQAWPSLVHRLTRDAPLAVLRAFKVLRTLGSKCGDFLRSRFCKDVLPKLAGSLVTQAPISARAGPVYSHTLAFKLQLAVLQGLGPLCERLDLGEGDLNKVADACLIYLSVKQPVKLQEAARSVFLHLMKVDPDSTWFLLNELYCPVQFTPPHPSLHPVQLHGASGQQNPYTTNVLQLLKELQ
NP_001291687.1 1 337 0.256402077151335 PF03232.13:COQ7:49:192 5-demethoxyubiquinone hydroxylase, mitochondrial isoform 2 337 144 12 337 0 Mus musculus NP_001291687.1 1 RefSeq MSAAGAIAAASVGRLRTGVRRPFSEYGRGLIIRCHSSGMTLDNINRAAVDRIIRVDHAGEYGANRIYAGQMAVLGRTSVGPVIQKMWDQEKNHLKKFNELMIAFRVRPTVLMPLWNVAGFALGAGTALLGKEGAMACTVAVEESIANHYNNQIRMLMEEDPEKYEELLQVIKQFRDEELEHHDTGLDHDAELPFQCLNSWKHIPSYMSSPGRDNDHEKKSHQNTKWRTWETAMCRVMREAWVQIPALPLQAIGSQAVNIACLGLSVPTCKVEMKTEAALKIVLHGRDEQAPHREGPRTATEELFWLASISLHNAANRQGRGVLYLACSLCKLCAQWL
NP_001293669.1 759 1533 0.729075096774192 Partitioning defective protein 3 1533 0 12 775 0 Caenorhabditis elegans NP_001293669.1 1 RefSeq CNPGQISRDLSRITVDASSPSPSSRMSSHTAPDSLLPSPATRGTSSSGADSSHSRQSSASSAVPAVPARLTERDSIVSDGTSRNDESELPDSADPFNREGLGRKSLSEKRGMGAAADPQHIKLFQDIKHQRQNSAPTSSTQKRSKSQPRSSSQRNYRSPMKLVDLPTTAAASASTNSQNLDDSDMLNRRSQSMESINRPVESILRGTGQIPTGSSSKVQFMQAASPDQHPFPPGAALLRLKNEESRSRDKSRRKSMGNPFSAMRNFFGFGSKSRDASPEKTPTESVQLRSVERPKSIIDERNNGSSERAPPPLPPHQSQRRGSGGNVFVDYGEPYGLIPQYPHNTSKIMATSAQNGIRLISYTKNQSSSPPPPPPAGGLSPLQLGNSKFYSSYREPSITQRPRLPDMSRTPTLSSTIDTRPIAITRVGAQSSMKTNTFTDNRVPAVIHRSTRRPMSTMDYQHLMRTMSVPEYHHKPPVEVSRKPVERCVVSIPPNTMKTSPTINKFPNNQRDINRVAVVDVVTPTTITCSTRGSRTLAVEQSAPLRSSSPRTDHRRYGSQPLRPSNEANRSSSSPSVVRRPRPLTVGDGALRVEPLPLDHHQLGSNMPRRRSMRMLDLENSMVDRPDSLFQDTAVGSARLRLQQTSGEKPTRHVVEEPAARRANTVAEIRDRLIVFPSTRFPIAASSMRVSSAAQPLANSVNLRSVSNNKINDNSAERISLRARKKLHREAVTRSEFFLPPSYSNENIKLFNSLLPPSTSSIMTTKTPSPTTPKN
NP_001295082.1 1 1368 0.412695833333334 PF00621.20:RhoGEF:429:605 rho guanine nucleotide exchange factor 10 isoform 3 1368 177 12 1368 0 Homo sapiens NP_001295082.1 1 RefSeq MRPPGFLSRAPSLNRAERGIWSCSMDQREPLPPAPAENEMKYDTNNNEEEEGEQFDFDSGDEIPEADRQAPSAPETGGAGASEAPAPTGGEDGAGAETTPVAEPTKLVLPMKVNPYSVIDITPFQEDQPPTPVPSAEEENVGLHVPCGYLVPVPCGYAVPSNLPLLLPAYSSPVIICATSLDEEAETPEVTEDRQPNSLSSEEPPTSEDQVGREDSALARWAADPANTAWMENPEEAIYDDVPRENSDSEPDEMIYDDVENGDEGGNSSLEYGWSSSEFESYEEQSDSECKNGIPRSFLRSNHKKQLSHDLTRLKEHYEKKMRDLMASTVGVVEIQQLRQKHELKMQKLVKAAKDGTKDGLERTRAAVKRGRSFIRTKSLIAQDHRSSLEEEQNLFIDVDCKHPEAILTPMPEGLSQQQVVRRYILGSVVDSEKNYVDALKRILEQYEKPLSEMEPKVLSERKLKTVFYRVKEILQCHSLFQIALASRVSEWDSVEMIGDVFVASFSKSMVLDAYSEYVNNFSTAVAVLKKTCATKPAFLEFLKEQEASPDRTTLYSLMMKPIQRFPQFILLLQDMLKNTSKGHPDRLPLQMALTELETLAEKLNERKRDADQRCEVKQIAKAINERYLNKLLSSGSRYLIRSDDMIETVYNDRGEIVKTKERRVFMLNDVLMCATVSSRPSHDSRVMSSQRYLLKWSVPLGHVDAIEYGSSAGTGEHSRHLAVHPPESLAVVANAKPNKVYMGPGQLYQDLQNLLHDLNVIGQITQLIGNLKGNYQNLNQSVAHDWTSGLQRLILKKEDEIRAADCCRIQLQLPGKQDKSGRPTFFTAVFNTFTPAIKESWVNSLQMAKLALEEENHMGWFCVEDDGNHIKKEKHPLLVGHMPVMVAKQQEFKIECAAYNPEPYLNNESQPDSFSTAHGFLWIGSCTHQMGQIAIVSFQNSTPKVIECFNVESRILCMLYVPVEEKRREPGAPPDPETPAVRASDVPTICVGTEEGSISIYKSSQGSKKVRLQHFFTPEKSTVMSLACTSQSLYAGLVNGAVASYARAPDGSWDSEPQKVIKLGVLPVRSLLMMEDTLWAASGGQVFIISVETHAVEGQLEAHQEEGMVISHMAVSGVGIWIAFTSGSTLRLFHTETLKHLQDINIATPVHNMLPGHQRLSVTSLLVCHGLLMVGTSLGVLVALPVPRLQGIPKVTGRGMVSYHAHNSPVKFIVLATALHEKDKDKSRDSLAPGPEPQDEDQKDALPSGGAGSSLSQGDPDAAIWLGDSLGSMTQKSDLSSSSGSLSLSHGSSSLEHRSEDSTIYDLLKDPVSLRSKARRAKKAKASSALVVCGGQGHRRVHRKARQPHQEELAPTVMVWQIPLLNI
NP_001299585.1 46 473 0.77982476635514 ETS domain-containing transcription factor ERF isoform 2 473 0 12 428 0 Homo sapiens NP_001299585.1 1 RefSeq VGLAGGAVPQSAPPVPSGGSHFRFPPSTPSEVLSPTEDPRSPPACSSSSSSLFSAVVARRLGRGSVSDCSDGTSELEEPLGEDPRARPPGPPDLGAFRGPPLARLPHDPGVFRVYPRPRGGPEPLSPFPVSPLAGPGSLLPPQLSPALPMTPTHLAYTPSPTLSPMYPSGGGGPSGSGGGSHFSFSPEDMKRYLQAHTQSVYNYHLSPRAFLHYPGLVVPQPQRPDKCPLPPMAPETPPVPSSASSSSSSSSSPFKFKLQPPPLGRRQRAAGEKAVAGADKSGGSAGGLAEGAGALAPPPPPPQIKVEPISEGESEEVEVTDISDEDEEDGEVFKTPRAPPAPPKPEPGEAPGASQCMPLKLRFKRRWSEDCRLEGGGGPAGGFEDEGEDKKVRGEGPGEAGGPLTPRRVSSDLQHATAQLSLEHRDS
NP_001305687.1 1 1121 0.343523193577163 PF01424.22:R3H:997:1060,PF01422.17:zf-NF-X1:455:470,PF01422.17:zf-NF-X1:506:524,PF01422.17:zf-NF-X1:567:585,PF01422.17:zf-NF-X1:632:654,PF01422.17:zf-NF-X1:695:705,PF01422.17:zf-NF-X1:722:740,PF01422.17:zf-NF-X1:833:854,PF01422.17:zf-NF-X1:864:883 transcriptional repressor NF-X1 isoform 4 1121 213 12 1121 0 Homo sapiens NP_001305687.1 1 RefSeq MAEAPPVSGTFKFNTDAAEFIPQEKKNSGLNCGTQRRLDSNRIGRRNYSSPPPCHLSRQVPYDEISAVHQHSYHPSGSKPKSQQTSFQSSPCNKSPKSHGLQNQPWQKLRNEKHHIRVKKAQSLAEQTSDTAGLESSTRSESGTDLREHSPSESEKEVVGADPRGAKPKKATQFVYSYGRGPKVKGKLKCEWSNRTTPKPEDAGPESTKPVGVFHPDSSEASSRKGVLDGYGARRNEQRRYPQKRPPWEVEGARPRPGRNPPKQEGHRHTNAGHRNNMGPIPKDDLNERPAKSTCDSENLAVINKSSRRVDQEKCTVRRQDPQVVSPFSRGKQNHVLKNVETHTGSLIEQLTTEKYECMVCCELVRVTAPVWSCQSCYHVFHLNCIKKWARSPASQADGQSGWRCPACQNVSAHVPNTYTCFCGKVKNPEWSRNEIPHSCGEVCRKKQPGQDCPHSCNLLCHPGPCPPCPAFMTKTCECGRTRHTVRCGQAVSVHCSNPCENILNCGQHQCAELCHGGQCQPCQIILNQVCYCGSTSRDVLCGTDVGKSDGFGDFSCLKICGKDLKCGNHTCSQVCHPQPCQQCPRLPQLVRCCPCGQTPLSQLLELGSSSRKTCMDPVPSCGKVCGKPLPCGSLDFIHTCEKLCHEGDCGPCSRTSVISCRCSFRTKELPCTSLKSEADATFMCDKRCNKKRLCGRHKCNEICCVDKEHKCPLICGRKLRCGLHRCEEPCHRGNCQTCWQASFDELTCHCGASVIYPPVPCGTRPPECTQTCARVHECDHPVYHSCHSEEKCPPCTFLTQKWCMGKHEFRSNIPCHLVDISCGLPCSATLPCGMHKCQRLCHKGECLVDEPCKQPCTTPRADCGHPCMAPCHTSSPCPVTACKAKVELQCECGRRKEMVICSEASSTYQRIAAISMASKITDMQLGGSVEISKLITKKEVHQARLECDEECSALERKKRLAEAFHISEDSDPFNIRSSGSKFSDSLKEDARKDLKFVSDVEKEMETLVEAVNKGKNSKKSHSFPPMNRDHRRIIHDLAQVYGLESVSYDSEPKRNVVVTAIRGKSVCPPTTLTGVLEREMQARPPPPIPHHRHQSDKNPGSSNLQKITKEPIIDYFDVQD
NP_001307181.1 1 214 0.422663551401869 PF04949.13:Transcrip_act:2:120 RAB6-interacting golgin isoform c 214 119 12 214 0 Homo sapiens NP_001307181.1 1 RefSeq MEEKNKRKKALLAKAIAERSKRTQAETMKLKRIQKELQALDDMVSADIGILRNRIDQASLDYSYARKRFDRAEAEYIAAKLDIQRKTEIKEQLTEHLCTIIQQNELRKAKKLEELMQQLDVEADEETLELEVEVERLLHEQEVESRRPVVRLERPFQPAEESVTLEFAKENRKCQEQAVSPKVDDQCGNSSSIPFLSPNCPNQEGNDISAALAT
NP_001308546.1 1 135 0.7354 PF06387.11:Calcyon:38:98 neuron-specific vesicular protein calcyon isoform 2 135 61 12 135 0 Homo sapiens NP_001308546.1 1 RefSeq MAPLQTVRSVPNRRSGRAWARGAQEGSAPPTLTRPLPQHKICTPLTLEMYYTEMDPERHRSILAAIGAYPLSRKHGTETPAAWGDGYRAAKEERKGPTQAGAAAAATEPPGKPSAKAEKEAARKAAGSAAPPPAQ
NP_001310491.1 1 190 0.01527 PF01066.21:CDP-OH_P_transf:2:62 cardiolipin synthase (CMP-forming) isoform 3 190 61 12 98 4 Homo sapiens NP_001310491.1 0 RefSeq MLSMTRIGLAPVLGYLIIEEDFNIALGVFALAGLTDLLDGFIARNWANQRSALGSALDPLADKILISILYVSLTYADLIPVPLTYMIISRDVMLIAAVFYVRYRTLPTPRTLAKYFNPCYATARLKPTFISKVNTAVQLILVAASLAAPVFNYADSIYLQILWCFTAFTTAASAYSYYHYGRKTVQVIKD
NP_001323963.1 187 441 0.572352941176471 PF05499.12:DMAP1:133:199 SWR1-complex protein 4 441 67 12 255 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VZL6 1 SwissProt VANHPLMKEPYDITRDRERKRALSMVLSQSRHQEKKDAEILAEAKRITEMRLAARRAEEPDVSANENAGLDKADGVVPGRSVSPTSNSQLPATAVAPSTLTMADYASTLASLRMLHVYLRTYGLEQMVQAASSAVGLRTIKRVEQTLQDLGVNLKPKVPTKTVCDEHLELRKEILTLLNLQKQLQYKESEGSSHREGSYAAMPDTPKDRVFAPDPFSFGAERPIKKEQKRKGPGRQADTPSPAHKRPRKLKASDL
NP_001324467.1 1 305 0.102733770491803 PF14360.6:PAP2_C:171:240 Phosphatidylinositol:ceramide inositolphosphotransferase 2; Inositol-phosphorylceramide synthase 2; AtIPCS2; IPC synthase 2; Protein ENHANCING RPW8-MEDIATED HR-LIKE CELL DEATH 1; Sphingolipid synthase 2; EC 2.7.8.- 305 70 12 236 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SH93 1 SwissProt MTLYIRRESSKLWKRFCSEISTEIGLLAENWKYLLAGLICQYIHGLAAKGVHYIHRPGPTLQDLGFFLLPELGQERSYISETVFTSVFLSFFLWTFHPFILKTKKIYTVLIWCRVLAFLVACQFLRVITFYSTQLPGPNYHCREGSKVSRLPWPKSALEVLEINPHGVMYGCGDLIFSSHMIFTLVFVRTYQKYGTKRFIKLFGWLTAIVQSLLIIASRKHYSVDVVVAWYTVNLVVFCLDKKLPELPDRTAVLLPVISKDRTKEENHKLLNGNGVDPADWRPRAQVNGKIDSNGVHTDNTMNGA
NP_001327083.1 310 647 0.412005917159763 PF03469.14:XH:202:334 Protein INVOLVED IN DE NOVO 2; Protein RNA-DIRECTED DNA METHYLATION 12 647 133 12 338 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VZ79 1 SwissProt HYRELNAIQERTMSHIQKIVDDHEKLKRLLESERKKLEIKCNELAKREVHNGTERMKLSEDLEQNASKNSSLELAAMEQQKADEEVKKLAEDQRRQKEELHEKIIRLERQRDQKQAIELEVEQLKGQLNVMKHMASDGDAEVVKEVDIIFKDLGEKEAQLADLDKFNQTLILRERRTNDELQEAHKELVNIMKEWNTNIGVKRMGELVTKPFVDAMQQKYCQQDVEDRAVEVLQLWEHYLKDSDWHPFKRVKLENEDREVEVIDDRDEKLRELKADLGDGPYNAVTKALLEINEYNPSGRYITTELWNFKADKKATLEEGVTCLLDQWEKAKRKRGMA
NP_001328878.1 1 1080 0.223458333333332 alpha-glucan, water dikinase (EC 2.7.9.4) 1278 0 12 1080 0 Arabidopsis thaliana BRENDA::Q9STV0 1 BRENDA MATSKSQQFQLIEGMELQITVTGLPNGSSVRAEFHLKNCTRAWILHWGCIYQGNNHWYIPSEHSSKQGALQTTFVKSGDAYVVILELRDPRVRAIEFVLKDGSHNRWLRQHNGNFRVEIPWNDLHAHHRIPKTLIERRAHKIWDRKGRPQSSAREQQIDYDNAVRELHAELARGISLDELQANSTVPVEKEETSEPHHTMIQSYRRKHDVQKWLQKYTEPINRSGSVKSSALAELSKRSVGQENLVSQKSFHVRNYEITVLQRDVKGDCRLWIATNMAGPTVLHWGVAKSSAGEWLIPPPDVLPEKSKFVHGACQTQFTDMSSREHSYQFIDINLKRGGFVGIQFVIWSGGYWVNNNGANFVVNLKSADSTSGKLDVDEKYVLKWLLDEISEREKEAERSLMHRFNIATELTERCKDEGEGGCIGIMVWMRFMATRHLTWNKNYNVKPREISEALERFTNLMEKIYLQQPNKREIVRLTMALVGRGGQGDVGQRIRDEILVIQRNNHCKSGMMEEWHQKLHNNSSADDVIICEALLNYVRSDFRIDAYWQTLQTNGLTKERLASYDRPIVSEPRFRSDSKEGLIRDLTMYLKTLKAVHSGADLESAIDTFLSPSKGHHVFAVNGLSPKLQDLLNLVKRLVREENTEPLIEKLVDARIQLHPALRAPRTRAKDLLFLDIALESCFKTTIEKRLISLNFNNPPEIIYVICVVLENLCLSIVNNEEIIFCTKDWYRVSEAYRPHDVQWALQTKAVLDRLQLVLADRCQHYFTIIQPTAKYLGQLLRVDKHGIDVFTEEVIRAGPGAVLSTLVNRFDPSLRKIANLGCWQVISSADAYGFVVCVNELIVVQNKFYSKPTVIIASKVTGEEEIPAGVVAVLTPSMIDVLSHVSIRARNSKICFATCFDQNVLSNLKSKEGRAISIHTKSTGLVISDGNNSDVSVRHIFISSVPRGVISKGKKFCGHYVISSKEFTDERVGSKSYNIKFLRERVPSWIKIPTSAALPFGTFENILSDDSNKDVARRISVLKDSLNRGDLTKLKSIQEAILQMSAPMALRNELITKLRSERMPYLGDESGWNRSWVA
NP_001979.2 1 1202 0.466056821963394 PF17902.1:SH3_10:404:468 Envoplakin 2033 65 12 1202 0 Homo sapiens Q92817 1 SwissProt/TReMBL MFKGLSKGSQGKGSPKGSPAKGSPKGSPSRHSRAATQELALLISRMQANADQVERDILETQKRLQQDRLNSEQSQALQHQQETGRSLKEAEVLLKDLFLDVDKARRLKHPQAEEIEKDIKQLHERVTQECAEYRALYEKMVLPPDVGPRVDWARVLEQKQKQVCAGQYGPGMAELEQQIAEHNILQKEIDAYGQQLRSLVGPDAATIRSQYRDLLKAASWRGQSLGSLYTHLQGCTRQLSALAEQQRRILQQDWSDLMADPAGVRREYEHFKQHELLSQEQSVNQLEDDGERMVELRHPAVGPIQAHQEALKMEWQNFLNLCICQETQLQHVEDYRRFQEEADSVSQTLAKLNSNLDAKYSPAPGGPPGAPTELLQQLEAEEKRLAVTERATGDLQRRSRDVAPLPQRRNPPQQPLHVDSICDWDSGEVQLLQGERYKLVDNTDPHAWVVQGPGGETKRAPAACFCIPAPDPDAVARASRLASELQALKQKLATVQSRLKASAVESLRPSQQAPSGSDLANPQAQKLLTQMTRLDGDLGQIERQVLAWARAPLSRPTPLEDLEGRIHSHEGTAQRLQSLGTEKETAQKECEAFLSTRPVGPAALQLPVALNSVKNKFSDVQVLCSLYGEKAKAALDLERQIQDADRVIRGFEATLVQEAPIPAEPGALQERVSELQRQRRELLEQQTCVLRLHRALKASEHACAALQNNFQEFCQDLPRQQRQVRALTDRYHAVGDQLDLREKVVQDAALTYQQFKNCKDNLSSWLEHLPRSQVRPSDGPSQIAYKLQAQKRLTQEIQSRERDRATASHLSQALQAALQDYELQADTYRCSLEPTLAVSAPKRPRVAPLQESIQAQEKNLAKAYTEVAAAQQQLLQQLEFARKMLEKKELSEDIRRTHDAKQGSESPAQAGRESEALKAQLEEERKRVARVQHELEAQRSQLLQLRTQRPLERLEEKEVVEFYRDPQLEGSLSRVKAQVEEEGKRRAGLQADLEVAAQKVVQLESKRKTMQPHLLTKEVTQVERDPGLDSQAAQLRIQIQQLRGEDAVISARLEGLKKELLALEKREVDVKEKVVVKEVVKVEKNLEMVKAAQALRLQMEEDAARRKQAEEAVAKLQARIEDLERAISSVEPKVIVKEVKKVEQDPGLLQESSRLRSLLEEERTKNATLARELSDLHSKYSVVEKQRPKVQLQERVHEIFQV
NP_002446.3 1 466 0.421782832618026 PF10568.9:Tom37:172:293,PF17171.4:GST_C_6:323:386,PF17172.4:GST_N_4:172:267 Metaxin-1; Mitochondrial outer membrane import complex protein 1 466 186 12 443 1 Homo sapiens (Human) SwissProt::Q13505 1 SwissProt MLLGGPPRSPRSGTSPKGPWSSTGHVQFGKSPQTWPRRTRPRSPEPAAPSGVRGSTWTRRRDSPRRAGPTALSRYVGHLWMGRRPPSPEARGPVPRSSAASRARRSLASPGISPGPLTATIGGAVAGGGPRQGRAEAHKEVFPGQRVGKMAAPMELFCWSGGWGLPSVDLDSLAVLTYARFTGAPLKVHKISNPWQSPSGTLPALRTSHGEVISVPHKIITHLRKEKYNADYDLSARQGADTLAFMSLLEEKLLPVLVHTFWIDTKNYVEVTRKWYAEAMPFPLNFFLPGRMQRQYMERLQLLTGEHRPEDEEELEKELYREARECLTLLSQRLGSQKFFFGDAPASLDAFVFSYLALLLQAKLPSGKLQVHLRGLHNLCAYCTHILSLYFPWDGAEVPPQRQTPAGPETEEEPYRRRNQILSVLAGLAAMVGYALLSGIVSIQRATPARAPGTRTLGMAEEDEEE
NP_002912.2 1 295 0.0583542372881356 PF00001.21:7tm_1:34:210 RPE-retinal G protein-coupled receptor isoform 1 295 177 12 137 7 Homo sapiens NP_002912.2 0 RefSeq MAETSALPTGFGELEVLAVGMVLLVEALSGLSLNTLTIFSFCKTPELRTPCHLLVLSLALADSGISLNALVAATSSLLRVSHRRWPYGSDGCQAHGFQGFVTALASICSSAAIAWGRYHHYCTRSQLAWNSAVSLVLFVWLSSAFWAALPLLGWGHYDYEPLGTCCTLDYSKGDRNFTSFLFTMSFFNFAMPLFITITSYSLMEQKLGKSGHLQVNTTLPARTLLLGWGPYAILYLYAVIADVTSISPKLQMVPALIAKMVPTINAINYALGNEMVCRGIWQCLSPQKREKDRTK
NP_003067.3 1 290 0.56467551724138 SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily D member 1; 60 kDa BRG-1/Brm-associated factor subunit A; BRG1-associated factor 60A; BAF60A; SWI/SNF complex 60 kDa subunit 515 0 12 290 0 Homo sapiens (Human) SwissProt::Q96GM5 1 SwissProt MAARAGFQSVAPSGGAGASGGAGAAAALGPGGTPGPPVRMGPAPGQGLYRSPMPGAAYPRPGMLPGSRMTPQGPSMGPPGYGGNPSVRPGLAQSGMDQSRKRPAPQQIQQVQQQAVQNRNHNAKKKKMADKILPQRIRELVPESQAYMDLLAFERKLDQTIMRKRLDIQEALKRPIKQKRKLRIFISNTFNPAKSDAEDGEGTVASWELRVEGRLLEDSALSKYDATKQKRKFSSFFKSLVIELDKDLYGPDNHLVEWHRTATTQETDGFQVKRPGDVNVRCTVLLMLDY
NP_003211.1 1 437 0.52361533180778 PF03299.14:TF_AP-2:211:405 Transcription factor AP-2-alpha; AP2-alpha; AP-2 transcription factor; Activating enhancer-binding protein 2-alpha; Activator protein 2; AP-2 437 195 12 437 0 Homo sapiens (Human) SwissProt::P05549 1 SwissProt MLWKLTDNIKYEDCEDRHDGTSNGTARLPQLGTVGQSPYTSAPPLSHTPNADFQPPYFPPPYQPIYPQSQDPYSHVNDPYSLNPLHAQPQPQHPGWPGQRQSQESGLLHTHRGLPHQLSGLDPRRDYRRHEDLLHGPHALSSGLGDLSIHSLPHAIEEVPHVEDPGINIPDQTVIKKGPVSLSKSNSNAVSAIPINKDNLFGGVVNPNEVFCSVPGRLSLLSSTSKYKVTVAEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLREKLDKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYVCETEFPAKAVAEFLNRQHSDPNEQVTRKNMLLATKQICKEFTDLLAQDRSPLGNSRPNPILEPGIQSCLTHFNLISHGFGSPAVCAAVTALQNYLTEALKAMDKMYLSNNPNSHTDNNAKSSDKEEKHRK
NP_003212.2 1 460 0.521955217391304 PF03299.14:TF_AP-2:230:424 Transcription factor AP-2-beta; AP2-beta; Activating enhancer-binding protein 2-beta 460 195 12 460 0 Homo sapiens (Human) SwissProt::Q92481 1 SwissProt MHSPPRDQAAIMLWKLVENVKYEDIYEDRHDGVPSHSSRLSQLGSVSQGPYSSAPPLSHTPSSDFQPPYFPPPYQPLPYHQSQDPYSHVNDPYSLNPLHQPQQHPWGQRQRQEVGSEAGSLLPQPRAALPQLSGLDPRRDYHSVRRPDVLLHSAHHGLDAGMGDSLSLHGLGHPGMEDVQSVEDANNSGMNLLDQSVIKKVPVPPKSVTSLMMNKDGFLGGMSVNTGEVFCSVPGRLSLLSSTSKYKVTVGEVQRRLSPPECLNASLLGGVLRRAKSKNGGRSLRERLEKIGLNLPAGRRKAANVTLLTSLVEGEAVHLARDFGYICETEFPAKAVSEYLNRQHTDPSDLHSRKNMLLATKQLCKEFTDLLAQDRTPIGNSRPSPILEPGIQSCLTHFSLITHGFGAPAICAALTALQNYLTEALKGMDKMFLNNTTTNRHTSGEGPGSKTGDKEEKHRK
NP_003837.1 1 259 0.137042471042471 PF05648.14:PEX11:1:250 Peroxisomal membrane protein 11B; Peroxin-11B; Peroxisomal biogenesis factor 11B; Protein PEX11 homolog beta; PEX11-beta 259 250 12 236 1 Homo sapiens (Human) SwissProt::O96011 1 SwissProt MDAWVRFSAQSQARERLCRAAQYACSLLGHALQRHGASPELQKQIRQLESHLSLGRKLLRLGNSADALESAKRAVHLSDVVLRFCITVSHLNRALYFACDNVLWAGKSGLAPRVDQEKWAQRSFRYYLFSLIMNLSRDAYEIRLLMEQESSACSRRLKGSGGGVPGGSETGGLGGPGTPGGGLPQLALKLRLQVLLLARVLRGHPPLLLDVVRNACDLFIPLDKLGLWRCGPGIVGLCGLVSSILSILTLIYPWLRLKP
NP_003914.1 133 321 0.689413756613757 Forkhead box protein H1; Forkhead activin signal transducer 1; Fast-1; hFAST-1; Forkhead activin signal transducer 2; Fast-2 365 0 12 189 0 Homo sapiens (Human) SwissProt::O75593 1 SwissProt NGGARGAFAKDLGPYVLHGRPYRPPSPPPPPSEGFSIKSLLGGSGEGAPWPGLAPQSSPVPAGTGNSGEEAVPTPPLPSSERPLWPLCPLPGPTRVEGETVQGGAIGPSTLSPEPRAWPLHLLQGTAVPGGRSSGGHRASLWGQLPTSYLPIYTPNVVMPLAPPPTSCPQCPSTSPAYWGVAPETRGPP
NP_004216.2 385 1052 0.194842964071856 PF08477.13:Roc:27:156 Malignant fibrous histiocytoma-amplified sequence 1; Malignant fibrous histiocytoma-amplified sequence with leucine-rich tandem repeats 1 1052 130 12 668 0 Homo sapiens (Human) SwissProt::Q9Y4C4 1 SwissProt CMKGIPYIAAYQKELAHSQPAVQPRLKLLLMGHKAAGKTLLRHCLTEERVEGCPGGGDKEKCYPPSPPPVSKGIEVTSWTADASRGLRFIVYDLAGDESYEVIQPFFLSPGALYVLVVNLATYEPRHFPTTVGSFLHRVGARVPHAVVCIVGTHADLCGERELEEKCLDIHRQIALQEKHDAEGLSRLAKVVDEALARDFELRSASPHAAYYGVSDKNLRRRKAHFQYLLNHRLQILSPVLPVSCRDPRHLRRLRDKLLSVAEHREIFPNLHRVLPRSWQVLEELHFQPPQAQRLWLSWWDSARLGLQAGLTEDRLQSALSYLHESGKLLYFEDSPALKEHVFHNLTRLIDILNVFFQRDPSLLLHKLLLGTSGEGKAEGESSPPMARSTPSQELLRATQLHQYVEGFLLHGLLPAHVIRLLLKPHVQAQQDLQLLLELLEKMGLCYCLNKPKGKPLNGSTAWYKFPCYVQNEVPHAEAWINGTNLAGQSFVAEQLQIEYSFPFTFPLGLFARYSVQINSHVVHRSDGKFQIFAYRGKVPVVVSYRPARGVLQPDTLSIASHASLPNIWTAWQAITPLVEELNVLLQEWPGLHYTVHILCSKCLKRGSPNPHAFPGELLSQPRPEGVAEIICPKNGSERVNVALVYPPTPTVISPCSKKNVGEKHRNQ
NP_004259.3 1 651 0.32491797235023 PF10156.9:Med17:139:437 Mediator of RNA polymerase II transcription subunit 17; Activator-recruited cofactor 77 kDa component; ARC77; Cofactor required for Sp1 transcriptional activation subunit 6; CRSP complex subunit 6; Mediator complex subunit 17; Thyroid hormone receptor-associated protein complex 80 kDa component; Trap80; Transcriptional coactivator CRSP77; Vitamin D3 receptor-interacting protein complex 80 kDa component; DRIP80 651 299 12 651 0 Homo sapiens (Human) SwissProt::Q9NVC6 1 SwissProt MSGVRAVRISIESACEKQVHEVGLDGTETYLPPLSMSQNLARLAQRIDFSQGSGSEEEEAAGTEGDAQEWPGAGSSADQDDEEGVVKFQPSLWPWDSVRNNLRSALTEMCVLYDVLSIVRDKKFMTLDPVSQDALPPKQNPQTLQLISKKKSLAGAAQILLKGAERLTKSVTENQENKLQRDFNSELLRLRQHWKLRKVGDKILGDLSYRSAGSLFPHHGTFEVIKNTDLDLDKKIPEDYCPLDVQIPSDLEGSAYIKVSIQKQAPDIGDLGTVNLFKRPLPKSKPGSPHWQTKLEAAQNVLLCKEIFAQLSREAVQIKSQVPHIVVKNQIISQPFPSLQLSISLCHSSNDKKSQKFATEKQCPEDHLYVLEHNLHLLIREFHKQTLSSIMMPHPASAPFGHKRMRLSGPQAFDKNEINSLQSSEGLLEKIIKQAKHIFLRSRAAATIDSLASRIEDPQIQAHWSNINDVYESSVKVLITSQGYEQICKSIQLQLNIGVEQIRVVHRDGRVITLSYQEQELQDFLLSQMSQHQVHAVQQLAKVMGWQVLSFSNHVGLGPIESIGNASAITVASPSGDYAISVRNGPESGSKIMVQFPRNQCKDLPKSDVLQDNKWSHLRGPFKEVQWNKMEGRNFVYKMELLMSALSPCLL
NP_004953.1 1 361 0.50801135734072 Growth/differentiation factor 10; GDF-10; Bone morphogenetic protein 3B; BMP-3B; Bone-inducing protein; BIP 478 0 12 361 0 Homo sapiens (Human) SwissProt::P55107 1 SwissProt MAHVPARTSPGPGPQLLLLLLPLFLLLLRDVAGSHRAPAWSALPAAADGLQGDRDLQRHPGDAAATLGPSAQDMVAVHMHRLYEKYSRQGARPGGGNTVRSFRARLEVVDQKAVYFFNLTSMQDSEMILTATFHFYSEPPRWPRALEVLCKPRAKNASGRPLPLGPPTRQHLLFRSLSQNTATQGLLRGAMALAPPPRGLWQAKDISPIVKAARRDGELLLSAQLDSEERDPGVPRPSPYAPYILVYANDLAISEPNSVAVTLQRYDPFPAGDPEPRAAPNNSADPRVRRAAQATGPLQDNELPGLDERPPRAHAQHFHKHQLWPSPFRALKPRPGRKDRRKKGQEVFMAASQVLDFDEKT
NP_005241.1 147 345 0.715930653266331 forkhead box protein L1 345 0 12 199 0 Homo sapiens NP_005241.1 1 RefSeq PGPGAPEAKRPRAETHQRSAEAQPEAGSGAGGSGPAISRLQAAPAGPSPLLDGPSPPAPLHWPGTASPNEDAGDAAQGAAAVAVGQAARTGDGPGSPLRPASRSSPKSSDKSKSFSIDSILAGKQGQKPPSGDELLGGAKPGPGGRLGASLLAASSSLRPPFNASLMLDPHVQGGFYQLGIPFLSYFPLQVPDTVLHFQ
NP_005759.4 1 487 0.0223492813141684 PF03062.19:MBOAT:127:437 Lysophospholipid acyltransferase 5; LPLAT 5; 1-acylglycerophosphocholine O-acyltransferase; 1-acylglycerophosphoserine O-acyltransferase; Lysophosphatidylcholine acyltransferase; LPCAT; Lyso-PC acyltransferase; Lysophosphatidylcholine acyltransferase 3; Lyso-PC acyltransferase 3; Lysophosphatidylserine acyltransferase; LPSAT; Lyso-PS acyltransferase; Membrane-bound O-acyltransferase domain-containing protein 5; O-acyltransferase domain-containing protein 5; EC 2.3.1.-; EC 2.3.1.23; EC 2.3.1.n6 487 311 12 334 7 Homo sapiens (Human) SwissProt::Q6P1A2 1 SwissProt MASSAEGDEGTVVALAGVLQSGFQELSLNKLATSLGASEQALRLIISIFLGYPFALFYRHYLFYKETYLIHLFHTFTGLSIAYFNFGNQLYHSLLCIVLQFLILRLMGRTITAVLTTFCFQMAYLLAGYYYTATGNYDIKWTMPHCVLTLKLIGLAVDYFDGGKDQNSLSSEQQKYAIRGVPSLLEVAGFSYFYGAFLVGPQFSMNHYMKLVQGELIDIPGKIPNSIIPALKRLSLGLFYLVGYTLLSPHITEDYLLTEDYDNHPFWFRCMYMLIWGKFVLYKYVTCWLVTEGVCILTGLGFNGFEEKGKAKWDACANMKVWLFETNPRFTGTIASFNINTNAWVARYIFKRLKFLGNKELSQGLSLLFLALWHGLHSGYLVCFQMEFLIVIVERQAARLIQESPTLSKLAAITVLQPFYYLVQQTIHWLFMGYSMTAFCLFTWDKWLKVYKSIYFLGHIFFLSLLFILPYIHKAMVPRKEKLKKME
NP_005760.1 1 386 0.127094300518135 PF00685.27:Sulfotransfer_1:44:357,PF13469.6:Sulfotransfer_3:45:298 Carbohydrate sulfotransferase 4; Galactose/N-acetylglucosamine/N-acetylglucosamine 6-O-sulfotransferase 3; GST-3; High endothelial cells N-acetylglucosamine 6-O-sulfotransferase; HEC-GlcNAc6ST; L-selectin ligand sulfotransferase; LSST; N-acetylglucosamine 6-O-sulfotransferase 2; GlcNAc6ST-2; Gn6st-2; EC 2.8.2.- 386 314 12 366 1 Homo sapiens (Human) SwissProt::Q8NCG5 1 SwissProt MLLPKKMKLLLFLVSQMAILALFFHMYSHNISSLSMKAQPERMHVLVLSSWRSGSSFVGQLFGQHPDVFYLMEPAWHVWMTFKQSTAWMLHMAVRDLIRAVFLCDMSVFDAYMEPGPRRQSSLFQWENSRALCSAPACDIIPQDEIIPRAHCRLLCSQQPFEVVEKACRSYSHVVLKEVRFFNLQSLYPLLKDPSLNLHIVHLVRDPRAVFRSRERTKGDLMIDSRIVMGQHEQKLKKEDQPYYVMQVICQSQLEIYKTIQSLPKALQERYLLVRYEDLARAPVAQTSRMYEFVGLEFLPHLQTWVHNITRGKGMGDHAFHTNARDALNVSQAWRWSLPYEKVSRLQKACGDAMNLLGYRHVRSEQEQRNLLLDLLSTWTVPEQIH
NP_005876.2 62 910 0.131763250883392 E3 ubiquitin-protein ligase MARCH6; Doa10 homolog; Membrane-associated RING finger protein 6; Membrane-associated RING-CH protein VI; MARCH-VI; Protein TEB-4; RING finger protein 176; RING-type E3 ubiquitin transferase MARCH6; EC 2.3.2.27 910 0 12 559 13 Homo sapiens (Human) SwissProt::O60337 1 SwissProt TPIYSPDMPSRLPIQDIFAGLVTSIGTAIRYWFHYTLVAFAWLGVVPLTACRIYKCLFTGSVSSLLTLPLDMLSTENLLADCLQGCFVVTCTLCAFISLVWLREQIVHGGAPIWLEHAAPPFNAAGHHQNEAPAGGNGAENVAADQPANPPAENAVVGENPDAQDDQAEEEEEDNEEEDDAGVEDAADANNGAQDDMNWNALEWDRAAEELTWERMLGLDGSLVFLEHVFWVVSLNTLFILVFAFCPYHIGHFSLVGLGFEEHVQASHFEGLITTIVGYILLAITLIICHGLATLVKFHRSRRLLGVCYIVVKVSLLVVVEIGVFPLICGWWLDICSLEMFDATLKDRELSFQSAPGTTMFLHWLVGMVYVFYFASFILLLREVLRPGVLWFLRNLNDPDFNPVQEMIHLPIYRHLRRFILSVIVFGSIVLLMLWLPIRIIKSVLPNFLPYNVMLYSDAPVSELSLELLLLQVVLPALLEQGHTRQWLKGLVRAWTVTAGYLLDLHSYLLGDQEENENSANQQVNNNQHARNNNAIPVVGEGLHAAHQAILQQGGPVGFQPYRRPLNFPLRIFLLIVFMCITLLIASLICLTLPVFAGRWLMSFWTGTAKIHELYTAACGLYVCWLTIRAVTVMVAWMPQGRRVIFQKVKEWSLMIMKTLIVAVLLAGVVPLLLGLLFELVIVAPLRVPLDQTPLFYPWQDWALGVLHAKIIAAITLMGPQWWLKTVIEQVYANGIRNIDLHYIVRKLAAPVISVLLLSLCVPYVIASGVVPLLGVTAEMQNLVHRRIYPFLLMVVVLMAILSFQVRQFKRLYEHIKNDKYLVGQRLVNYERKSGKQGSSPPPPQSSQE
NP_006568.2 1 397 0.163268261964736 PF01762.21:Galactosyl_T:156:348 N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 2; Beta-1,3-N-acetylglucosaminyltransferase 1; BGnT-1; Beta-1,3-Gn-T1; Beta3Gn-T1; Beta-1,3-galactosyltransferase 7; Beta-1,3-GalTase 7; Beta3Gal-T7; Beta3GalT7; b3Gal-T7; Beta-3-Gx-T7; UDP-Gal:beta-GlcNAc beta-1,3-galactosyltransferase 7; UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 2; BGnT-2; Beta-1,3-Gn-T2; Beta-1,3-N-acetylglucosaminyltransferase 2; Beta3Gn-T2; UDP-galactose:beta-N-acetylglucosamine beta-1,3-galactosyltransferase 7; EC 2.4.1.149 397 193 12 379 1 Homo sapiens (Human) SwissProt::Q9NY97 1 SwissProt MSVGRRRIKLLGILMMANVFIYFIMEVSKSSSQEKNGKGEVIIPKEKFWKISTPPEAYWNREQEKLNRQYNPILSMLTNQTGEAGRLSNISHLNYCEPDLRVTSVVTGFNNLPDRFKDFLLYLRCRNYSLLIDQPDKCAKKPFLLLAIKSLTPHFARRQAIRESWGQESNAGNQTVVRVFLLGQTPPEDNHPDLSDMLKFESEKHQDILMWNYRDTFFNLSLKEVLFLRWVSTSCPDTEFVFKGDDDVFVNTHHILNYLNSLSKTKAKDLFIGDVIHNAGPHRDKKLKYYIPEVVYSGLYPPYAGGGGFLYSGHLALRLYHITDQVHLYPIDDVYTGMCLQKLGLVPEKHKGFRTFDIEEKNKNNICSYVDLMLVHSRKPQEMIDIWSQLQSAHLKC
NP_008828.1 1 178 0.476086516853933 PF04617.13:Hox9_act:1:178 Homeobox protein Hox-C9; Homeobox protein Hox-3B 260 178 12 178 0 Homo sapiens (Human) SwissProt::P31274 1 SwissProt MSATGPISNYYVDSLISHDNEDLLASRFPATGAHPAAARPSGLVPDCSDFPSCSFAPKPAVFSTSWAPVPSQSSVVYHPYGPQPHLGADTRYMRTWLEPLSGAVSFPSFPAGGRHYALKPDAYPGRRADCGPGEGRSYPDYMYGSPGELRDRAPQTLPSPEADALAGSKHKEEKADLD
NP_009547.2 1 180 0.329844444444444 PF08586.10:Rsc14:7:106 Chromatin structure-remodeling complex protein RSC14; Low dye-binding protein 7; Remodel the structure of chromatin complex subunit 14 180 100 12 180 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38210 1 SwissProt MSGSNMGYYDVLAGLSALEKSSQVVFSATELQQLTQQSHATDKGIEGSENSKAKVSKPKRVAVHGYLGGKVSLADAAQVEYEVGHSLLGSYVPRQQLEALSSVDFSHHFHRTLECKAALETHDVFLAGAGQLSLPFQSHIESPRNSEAKRKRKVIICKRCQSRFIGSHRRSQLREHACVD
NP_009577.1 1 410 0.0711846341463414 PF02133.15:Transp_cyt_pur:103:410 uracil permease 633 308 12 228 8 Saccharomyces cerevisiae CharProtDB::CH_091494 1 CharProtDB MPDNLSLHLSGSSKRLNSRQLMESSNETFAPNNVDLEKEYKSSQSNITTEVYEASSFEEKVSSEKPQYSSFWKKIYYEYVVVDKSILGVSILDSFMYNQDLKPVEKERRVWSWYNYCYFWLAECFNINTWQIAATGLQLGLNWWQCWITIWIGYGFVGAFVVLASRVGSAYHLSFPISSRASFGIFFSLWPVINRVVMAIVWYSVQAYIAATPVSLMLKSIFGKDLQDKIPDHFGSPNATTYEFMCFFIFWAASLPFLLVPPHKIRHLFTVKAVLVPFASFGFLIWAIRRAHGRIALGSLTDVQPHGSAFSWAFLRSLMGCMANFSTMVINAPDFSRFSKNPNSALWSQLVCIPFLFSITCLIGILVTAAGYEIYGINYWSPLDVLEKFLQTTYNKGTRAGVFLISFVFA
NP_009821.3 1 106 0.204052830188679 PF17050.5:AIM5:45:102 MICOS complex subunit MIC12; Altered inheritance of mitochondria protein 5, mitochondrial; Found in mitochondrial proteome protein 51; Mitochondrial contact site complex 12 kDa subunit 106 58 12 106 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38341 1 SwissProt MSKLGPLARSVKWTLSVGVIGSVFYLYRYSNNGYFYDHDATWLKQDHQVQDLVDRKEVVPGETRNRKLVVTDDGTAWSRTMGESIKDIWNEQIRNSVDWIYSWGKN
NP_009863.2 1 615 0.0691252032520326 PF07690.16:MFS_1:70:490,PF06609.13:TRI12:93:477 Glutathione exchanger 1 615 421 12 361 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25596 1 SwissProt MSSSVVGASSNKKSGIRQSCEIIERERHSNDDTYSMTSTFFKLKENEIMSAQFDSLKYKILLISTAFVCGFGISLDYTLRSTYTGYATNSYSEHSLLSTVQVINAVVSVGSQVVYSRLSDHFGRLRLFLVATIFYIMGTIIQSQATRLTMYAAGSVFYNCGYVGTNLLLTLILSDFSSLKWRMFYQYASYWPYIIIPWISGNIITAANPQKNWSWNIAMWAFIYPLSALPIIFLILYMKYKSSKTAEWRSLKEQARKERTGGLFENLVFLFWKLDIVGILLITVSLGCILVPLTLANETSQKWHNSKIIATLVSGGCLFFIFLYWEAKFAKSPLLPFKLLSDRGIWAPLGVTFFNFFTFFISCDYLYPVLLVSMKESSTSAARIVNLPDFVAATASPFYSLLVAKTRKLKLSVIGGCAAWMVCMGLFYKYRGGSGSHEGVIAASVIMGLSGLLCSNSVIVILQAMTTHSRMAVITGIQYTFSKLGAAIGASVSGAIWTQTMPNQLYKNLGNDTLAEIAYASPYTFISDYPWGSPERDAVVESYRYVQRIIMTVGLACTVPFFAFTMFMRDPELIDKATHEEFTEDGLVVLPDEENIFSQIKALFRHNRSNKKLGC
NP_009966.2 1 923 0.174796641386782 PF03105.19:SPX:1:334,PF03600.16:CitMHS:495:713 Inorganic phosphate transporter PHO87 923 553 12 649 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25360 1 SwissProt MRFSHFLKYNAVPEWQNHYLDYNELKNLIYTLQTDELKQETPTGDLNDDADSQTPGPIADIESNIAAGEPSSSKRRFTHKLKRKLFGSKTPSGSKRGDSDEKAIDGNNINEETIELDELSPQGKTTSFNKNFIRKKFFESRSSSVSSEGKTLFSSYDTFVTNLSDEKLKVDDFYKRMEAKFYERFDHLINDLEKEGIVTRLNETFNPEIQALPPLREIISGTSETHSSNNPFEIHSSNIDSELRNRFDYSEEEMDEDDDVDVFADTTDNTALLNYSQFNIKSQKKSLLKQTIINLYIDLCQLKSFIELNRMGFSKITKKSDKVLHMNTRQELIESEEFFKDTYIFQHETLSSLNSKIAQLIEFYAVLMGQPGNVDSCKQELKSYLHDHIVWERSNTWKDMLGLSSQNNDIITIEDEAEKLMQEKLQIEYFKYPLPKPINLKFTKIENLAVPKLFFGKRAMKIGFIIIVTGVLLGVKTFNDPVEHRCMALVECCAFLWASEAIPLHITGLLVPLLTVLFRVLKDDDGKVMGAAAASTEILGTMWSSTIMILLAGFTLGEALSQYNVAKVLASWLLALAGTKPRNVLLMAMSVVFFLSMWISNVASPVLTYSLLTPLLDPLDYTSPFAKALVMGVALSADIGGMASPISSPQNIISMQYLKPYGIGWGQFFAVALPTGILSMLCSWALMILTFKIGKTKLEKFKPIRTRFTIKQYFIIIVTIATILLWCVESQIESAFGSSGEIAVIPIVLFFGTGLLSTKDFNTFPWSIVVLAMGGIALGKAVSSSGLLVTIARALQKKIQNDGVFAILCIFGILMLVVGTFVSHTVSAIIIIPLVQEVGDKLSDPKAAPILVFGCALLASCGMGLASSGFPNVTAISMTDKKGNRWLTVGAFISRGVPASLLAFVCVITLGYGISSSVLKGST
NP_010297.1 1 647 0.282511282843895 PF16997.5:Wap1:253:636 Protein RAD61; Radiation sensitivity protein 61 647 384 12 647 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99359 1 SwissProt MRAYGKRGPVLRTPFRSNKGLPSSSDVEFSDDDVNSVIPDVSSTISSSIADHPIEGLLDEPRKAQDSSSSFDGANEKPSSQLDSKRNDQNVKIITSSDTSMAFMKDEKLSAFNFLDGSKASKRKRRRTYQKHDANITSSIEPDVQDEDSITMHNEFESIRKIYNDINEFILKLPRADDDILNKMLENEMKMDDSIENNSIRTSKDKKYGKFRTILINKNKENEIMGEEVDQKANTLSLNNADNSNAEKEGLTSTNHYNELKNMGDTIKYQDDIEFLLSNSKSNDNTTVPINEYFKKLLNLSLMIINDEEFFQYAKRYFKKEIIKLSFAQFRSDFPELILLQGYLLHKVSESQSDFPPSFDNFSIELSKDDGKIRTKKNKHIKKLSHLNFEDFLRKTQFKTGLYYSLSLWEMHGNLSLDIIKRISILASNKDLFSRHVKTFIPLLEKLITASEFCHMYIEQPEMFDSLISNLNNQFKDMLDDDSLIKILILLTNMEVHNYTLWKEADMIFQSSMNTILESIHPLTDAKVDNILLHLGLCLNICSRENSRLKLDGKLWYDMKTIFVKMIRDGSDTENRLVQGLFYLNFSFLIKQRKENSNLDPGELNLLLVELEAFKSETSQFNEGISNKIEIALNYLKSIYTSERITI
NP_010546.1 1 170 0.543657647058823 PF05839.11:Apc13p:7:112 Anaphase-promoting complex subunit SWM1; Anaphase-promoting complex subunit 13; Spore wall maturation protein 1 170 106 12 170 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12379 1 SwissProt MSSSSYRDSYFQYRHLPAPHHILYAEWNQDILALPDEVANITMAMKDNTRTDAEEGRAPQDGERNSNVRESAQGKALMTSEQNSNRYWNSFHDEDDWNLFNGMELESNGVVTFAGQAFDHSLNGGTNSRNDGANEPRKETITGSIFDRRITQLAYARNNGWHELALPQSR
NP_010595.1 1 383 0.577984073107049 GTPase-interacting component 2 383 0 12 383 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06648 1 SwissProt MTSASITNTGNETMNLPQMRSIWLDEDEEAEKLYGLQAQQFMGSDDEENLGITFINSDKPVLSNKKNIELPPLSPNSHPSCHHRRSNSNSAKSKESSSSSSSANKTNHKKVFLKLNLLKKKLLGAQPDIRGKGISTPFDFQHISHADTRNGFQDEQLQEPSSLSTEIKDDYTSSSSKRDSKSLNKAFVTERIPANRESKLISRSHENKTSRLSVARSISVTSSNYSKNTQGNNHSINGRVVSTSTMATSIFEYSPNASPKQFKNKSHALGHRYTNSTDSSESSLDFLKNYNFPTLLEDKPILDFLPRSQRSSAYRSLLETPNSNKDSAKAFFPSRQSPLPKRRNSIATPSPQSKFSYSDSPVNHRKSFDDVLYSFNQLEPLQT
NP_010648.3 1 283 0.318928621908127 PF13862.6:BCIP:48:241 Protein BCP1 283 194 12 283 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06338 1 SwissProt MVQAIKLNDLKNRKRKNVEEENGSDESEIDISSTDSENEEEQNGEEEIVNIDFDFFGGNPEVDFHALKNLLRQLFGPQESTRIQLSSLADLILGSPTTTIKTDGKESDPYCFLSFVDFKANHLSDYVKYLQKVDMRLSTFFKTMIDSGNKNCALVLSERLINMPPEVVPPLYKITLEDVATALGDDKHYDFYIIVTRKYEVNFDTDDDTDSGKRNKNKDERSKKRVKADEVDYFHEEDRFFEKYAKIHFESEAKKGVISSYMILDHEGLVKSIDELETEISTW
NP_010776.1 1 533 0.332349155722326 WD repeat-containing protein PAC11 533 0 12 533 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40960 1 SwissProt MERLKQLEEKRRQLKELRERRKQASLFPGSETMGHHPTEVHAKATMVSVSVQTDMEEGSKIQEPQSAYLRRKEVITYDKGIQTDQIEEEQLQENENHTTTDAVAIETTAADENNKDKAENDQPRLELAKPFLVEEAAATLSNASFARLETEVSASGQQAPSNMQQDKDNLMQWNMVSENLQSETDCDCIAQEYDPGKGVLVVVYLRLPPADLQYASSEAAWSVVNVVKCDNASGRNGLLIDMVEFRGTRIMTATILRRYHPESNVISILLATLTGKIILYELRLKQKKPETPVVYVVQRNMVARHYFQHPVVAVIETSSVQDQERVLVAADNGNIMELSCLDLTVLRKPQQLRPVPLSQLLSLENDTCTYTERLQRLAKFDEVGIACMAYTSEDPQYVWIGGEDGGIYKVFWDQPGPLYLSLDNNGFQPAENHSTRVTGLEFHWDDARRLMLLLSCSTDWTVRLWDARAGKAIIGAPLLLGGPVLRARWLEKNNGGENSRTLRCQVWCADGRLVVVNWAFDAKTSLYTATVIS
NP_010945.1 174 394 0.582926696832579 Transcription corepressor MIG3; Multicopy inhibitor of growth protein 3 394 0 12 221 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39943 1 SwissProt ASVYPSTSPFQYLKSGFPEDPASTPYVHSSGSSLALGELSSNSSIFSKSRRNLAAMSGPDSLSSSKNQSSASLLSQTSHPSKSFSRPPTDLSPLRRIMPSVNTGDMEISRTVSVSSSSSSLTSVTYDDTAAKDMGMGIFFDRPPVTQKACRSNHKYKVNAVSRGRQHERAQFHISGDDEDSNVHRQESRASNTSPNVSLPPIKSILRQIDNFNSAPSYFSK
NP_011648.3 1 175 0.137654857142857 PF01145.25:Band_7:32:174 Prohibitin-1 287 143 12 175 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40961 1 SwissProt MSNSAKLIDVITKVALPIGIIASGIQYSMYDVKGGSRGVIFDRINGVKQQVVGEGTHFLVPWLQKAIIYDVRTKPKSIATNTGTKDLQMVSLTLRVLHRPEVLQLPAIYQNLGLDYDERVLPSIGNEVLKSIVAQFDAAELITQREIISQKIRKELSTRANEFGIKLEDVSITHM
NP_011928.1 1 314 0.598495541401273 GTPase-interacting component 1 314 0 12 314 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38785 1 SwissProt MTEGKRLQQMELPQMKSIWIDEDQEMEKLYGFQVRQRFMNGPSTDSDEDADEDLGIVLVDSKKLALPNKNNIKLPPLPNYMTINPNINSNHKSLTNKKKNFLGMFKKKDLLSRRHGSAKTAKQSSISTPFDFHHISHANGKREDNPLESHEEKHDVESLVKFTSLAPQPRPDSNVSSKYSNVVMNDSSRIVSSSTIATTMDSHHDGNETNNTPNGNKQLDSPTDLEMTLEDLRNYTFPSVLGDSVSEKTNPSSPSVSSFSGKFKPRELSALHTPELGNCFNVDQSLNSPGNRISVDDVLKFYYQCSETSTPRNT
NP_012175.1 1 721 0.326444937586685 PF06862.12:UTP25:249:720 U3 small nucleolar RNA-associated protein 25; U3 snoRNA-associated protein 25; U three protein 25 721 472 12 721 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40498 1 SwissProt MSDSSVREKNDNFRGYRKRGRQELRKIKRSSARTEGGSTETLEDVAEDIDHRSDEDEVSDVDSGDDFDIEDEEGKKEKVYDALLTILKSEHPEPKRRRREADESNKAPAEVGEDEHENTEHGPVDDQLEIENGLLGNHEDDNDDDSSGDEKDIDSEDEQDPFESHFNQVPEKFVDKLSNAFKTKSVKYKSVKGSLSDSESYIYAKPVVIGEEALVESPYRSSSIYSYFLKQRLKVQNGLLDKKTDPLTALQKKLVDPMFQYKDILYEYDSYEKDEDEYRDLYALHVLNHIYKTRDRILKNNQRLQDNPDTEHLDQGFTRPKVLIVVPTREVAYRVVDKIISKSGIDQVDKKGKFYDQFRDDSLPPKSKPKSFQHIFRGNTNDFFVVGLKFTRKAIKLYSNFYQSDIIVCSPLGIQMILENTDKKKRQDDFLSSIELMVIDQLHSIEYQNISHIFTIFDHLNKIPDQQHEADFSRIRMWYINEQAKLFRQTMVFTKYISPAANSLINGRCRNMAGRWKNHKVIGSENSSIGQSGLKIRQIFQRFDIIGNSIIEEPDYRFKFFTSVIIPGIVKSTGYEDGILIYIPDYTDFIRIRNYMKEKTTILFGDINEYSSQRQLNANRSLFQQGRLKVMLYTERLHHYRRYEIKGVKSVVFYKPPNNPEFYNEVVRFIGKNAFLGNTDLNISTVRCIYSKLDGLSLERIVGTKRAAVLSHAQKEIYEFK
NP_012261.1 296 701 0.195116502463054 ER-retained PMA1-suppressing protein 1; EC 5.3.4.1 701 0 12 383 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40557 1 SwissProt KFPNITEGELEKKANRDIDFLQERGRVTNNDIHLVFSYDPETVVIEDFDILEYLIEPLSKIPNIYLHQIDKNLINLSRNLFGRMYEKINYDASQTQKVFNKEYFTMNTVTQLPTFFMFKDGDPISYVFPGYSTTEMRNIDAIMDWVKKYSNPLVTEVDSSNLKKLISFQTKSYSDLAIQLISSTDHKHIKGSNKLIKNLLLASWEYEHIRMENNFEEINERRARKADGIKKIKEKKAPANKIVDKMREEIPHMDQKKLLLGYLDISKEKNFFRKYGITGEYKIGDVIIIDKSNNYYYNKDNFGNSLTSNNPQLLREAFVSLNIPSKALYSSKLKGRLINSPFHNVLSFLDIIHGNGMPGYLIVIVLFIAILKGPSIYRRYKVRKHYRAKRNAVGILGNMEKKKNQD
NP_012392.1 1 158 0.192041139240506 PF02466.19:Tim17:14:121 Mitochondrial import inner membrane translocase subunit TIM17; Mitochondrial inner membrane protein MIM17; Mitochondrial protein import protein 2 158 108 12 92 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39515 1 SwissProt MSADHSRDPCPIVILNDFGGAFAMGAIGGVVWHGIKGFRNSPLGERGSGAMSAIKARAPVLGGNFGVWGGLFSTFDCAVKAVRKREDPWNAIIAGFFTGGALAVRGGWRHTRNSSITCACLLGVIEGVGLMFQRYAAWQAKPMAPPLPEAPSSQPLQA
NP_012481.3 1 478 0.353710460251046 PF11711.8:Tim54:20:466 Mitochondrial import inner membrane translocase subunit TIM54 478 447 12 478 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47045 1 SwissProt MSSESGKPIAKPIRKPGYTNPALKALGIPALRLPSRNWMIFWSVLTVSIGGIAYDKYKQRQILSHATDLVKPLAEESMEVDKVPRKITVFIAPPPNDYLESSLKVWRRYVKPVLYYAGLDYELVQEDRQGIIRTNVANRIRELRKEILASTDGQPVKEPNQTVAKPSGSSTSKISSLLPFNKIIQDPAEEDDSFDPEIGKKFKENFDWRNVIGIFYTMPKPKHIISEDALTKDPILSGGVICLGRGAYKEYIAGIHEGLLGPIEKTEKTGSTEPKMTGVVEANQIESKVSESGATELVDAEKETALEEAKVQDDLKVDEENSSEDSQKFLKPFISSDQYPDLQIASELQTPNGEFIRNPNTNIPLLINQPLLVIPIPNLIGFTTIPRRIHRFYQKRFYVEDVCSSVVNCVRQTRIRPFDIAKDIDLAKDEEKDWPQNWVKQGKEKNSEWTQELVCDPRITKHMFVYEKPPKEEPESDI
NP_012733.1 1 468 0.174063034188034 PF06472.15:ABC_membrane_2:116:383 Peroxisomal long-chain fatty acid import protein 1; Peroxisomal ABC transporter 2 853 268 12 468 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34230 1 SwissProt MISTASAFYQKHRVNLLRSSYIILLLATLYNSNSSSSNNKTDKKDSESTVLENKKIEEGKETAVDREEDESSKEELTIVSKHSTDSEDGAIIIDKESKTNHKGGERKGKVDFLFKLLLHDKKCLILFITQAILLNIRTLLSLRVATLDGQLVSTLVRAQYANFTKILLGKWMILGIPASFINSLISYTTKLCAVTINRKVSDFLLSKYLSNHHTFYSVASAESVSEIQDNLTKDIYTFSMNSSLLLNQLLKPMLDLILCSFKLLTSNTSVMGEGTLALGLIVYASNSLLKLIQPNFTRLTMASASLESWFRSLHSNLHSSNEEIALLRGQKRELENVDYSFYRLVLFLNREIKARAIYDVATAFVIKYTWGAAGLVLCSIPIFFKNKPSEDTLQLKEPGNDMTADFITNRRLLVTASSSIGRFVELKRNIQQLRGIRLRLNKFNDLLDANKGDDEKEPRDERCIVEYD
NP_013365.1 1 827 0.385104957678356 PF07964.11:Red1:124:822 Protein RED1 827 699 12 827 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P14291 1 SwissProt/TReMBL MEGLKKKIFGVCLKNDLAQTRNETKGIHYGLMTLETSKQLQEFLHLLVIKREVIQNFELLFHIINVAVKITDSNLPSDDIWHFILKLRFSSEINIDEDSKVLNYLLETGIAMENPVSWKCLAVISSILSSVPQSKKIITNLIETEHAKKIGQLFDNIQDLQQGNFLVEILSNCFKKSASNSKKVEKIPQLWQSRSKNKFFFENEFYPFSSKNGSLQTCQFLCNNFMSTLSFTGILRQVSYSGSETLKNLRIFKKKDDENSYFIQCIYNKIYLWLDEKAPLEFERKKIRITKNLKNKIQIKLRQPFHECVRTTADKTALLFNKTKGFQLEFEDEKLGETFFHNVNNIPKISEVQNFLVLDYIEEEPENEGEEEEQIGRADEQKEDEEEESLDELSTPMVYPIKSSIPHNHNEKVQLVTPDRSVSIRSDEWDLKSNTEDEEGNVLADLKISSTKETKRQTDYVHIDSEDQSPVVSAQMRKMRRESTKTLEILRQEFKDKDVQNKEDQSEQIQNPFVNTSSLVVGKSCLVNPKEKPNIDQTVVGITELKSNSSIKKRDINILDTIFGQPPSKKQKQFHKKEKKKQQKKLTNFKPIIDVPSQDKRNLRSNAPTKPKSIKVSKLRTDKKVTGEKSSPETAAEKVDDQTVRSNDEQAMSRATKEKCFPDVNEGKEITKDDAKVSLESKKNNETFVDSSVVEKHTPPDKDCNNCNITDILESTTVIDLQSPHGLSAPGQNTFTNKLQEQIYSSINHFSNELVRKISIINQELNKKILKELSEKYQKLFSELQDNFQNDTNEMLKFMGEIKDMMNLPEDQLVHAIRTRKFDNNKR
NP_013730.1 1 397 0.458641309823678 Sporulation-specific protein 20 397 0 12 397 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04359 1 SwissProt MGFRKILASKSHHSRHHNQHHKNLKLQNHRYVLISNITGSHETKYLSPFRMDNCSGSRRRDRLHVKLKSLRNKIHKQLHPNCRFDDATKTSDDKCVSYEVPERDGLATISLEEVFPKSNRCQIPEENLGETDSVIHRDLGNFANENDYPQWRKVESQYNLENVQPEEDEIVDRLRSEIRSTKLKSVKTTSRTLEKAIEARCTGKRVLQQLSCQSNQLTKIESNCDMLKIQSNVADRKIDELAHENRSLLALKSPNPFRKKREREKRDQIYNLKLKHRHLQQETMKRAQDSDKNLAINLSSEYGRYGQGVERQRILRDAQKYQFEADEEDNQMEIDLYGNLEQIKAVSGDLKIMAHAFGREFEAQNTRMFDIENNVQQADNALQAKRYRLEKVIGKRW 2
NP_013917.1 1 388 0.508289175257732 Probable GTPase-activating protein GYL1; GYP5-like protein 1 720 0 12 388 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04322 1 SwissProt MNSNEDIHEERIEVPRTPHQTQPEKDSDRIALRDEISVPEGDEKAYSDEKVEMATTNASSNFGSNESAKDGESIGAFSNPHEALMQSKLREESQSKTILPSDDLSQQLETEESKVEEALKRITSPPLPPRADCIEESASALKSSLPPVLAGNKNDQAPLDRPQLPPRQVVNAETLHLKAPHGNATPSKSPTSAVGNSSSSTPPTLPPRRIEDPLDLAAQKHFLASTFKRNMLFYKSEDNSIKCDLDKNILNLKEDSKKINNNEIPEEVSSFWLKVIGDYQNILINDIETLHFQLSRGIPAAYRLVVWQLVSYAKSKSFDPIYETYLTEMAPFDVQEFENQLKMMDEVPSEYVKRISNVLKAYLLFDPECEFSTDIAYIINMILDVCEE
NP_014073.1 164 336 0.14334450867052 Palmitoyltransferase PFA3; Protein fatty acyltransferase 3; EC 2.3.1.225 336 0 12 150 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P42836 1 SwissProt LIYTCYELGTWFNSGSFNRELIDFHLLGVALLAVAVFISVLAFTCFSIYQVCKNQTTIEVHGMRRYRRDLEILNDSYGTNEHLENIFDLGSSMANWQDIMGTSWLEWILPIETFKYKKSKHTKDEKGLYFNVRPQVQDRLLSSRCLEDQLLRRVTPRPSLEADRASVEIIDAN
NP_014233.1 1 448 0.644316294642857 Bud neck protein 5 448 0 12 448 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53890 1 SwissProt MGLDQDKIKKRLSQIEIDINQMNQMIDENLQLVEPAEDEAVEDNVKDTGVVDAVKVAETALFSGNDGADSNPGDSAQVEEHKTAQVHIPTENEANKSTDDPSQLSVTQPFIAKEQITHTAIAIGDSYNSFVANSAGNEKAKDSCTENKEDGTVNIDQNRGEADVEIIENNDDEWEDEKSDVEEGRVDKGTEENSEIESFKSPMPQNNTLGGENKLDAELVLDKFSSANKDLDIQPQTIVVGGDNEYNHESSRLADQTPHDDNSENCPNRSGGSTPLDSQTKIFIPKKNSKEDGTNINHFNSDGDGQKKMANFETRRPTNPFRVISVSSNSNSRNGSRKSSLNKYDSPVSSPITSASELGSIAKLEKRHDYLSMKCIKLQKEIDYLNKMNAQGSLSMEDGKRLHRAVVKLQEYLDKKTKEKYEVGVLLSRHLRKQIDRGENGQFWIGTK
NP_014336.1 1 314 0.114663694267516 PF05175.14:MTS:113:202,PF03602.15:Cons_hypoth95:102:203 Mitochondrial MRF1 N(5)-glutamine methyltransferase MTQ1; EC 2.1.1.297 314 102 12 314 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53944 1 SwissProt MPRISTSLIRKASRIRPGLHLLLPECRTLEQAKLEYKWLTEELPPDKSIRWACLQRYKHVPLQYILRSQPFGALDIVCKPGVLIPRWETEEWVMAIIRALNNSMLSRHTIPLHICDTFTGTGCIALALSHGIANCTFTAIDVSTRAIKLVKENMLKNKVSGGKLVQHNILSSKASDEYPSHIDILTGNPPYIRKRDFNRDVKTSVKLFEPRLALVGELECYINLVNYWLPKTDSFFYEIGDVEQFNYVERRIKEDSYLSRIWSIGLKYDSNGKARVVYGFKATPKGRILHQIFASFGTIRHLATALSGHKANCN
NP_014595.2 1 356 0.0891705056179776 Outer spore wall protein LDS2; Lipid droplets in sporulation protein 2 356 0 12 264 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08218 1 SwissProt MSTRPQPDWYYHRHPYASTPLAEGEEPQLLPIQDQGNHKKSKIWMAYKAPIVRWYKNAMLVKDNFWKDLESSHQIIWYPYKGISESVGNSDYLHLFFLIFGYYLLNLLLIVAFTSILAWSLLVCIYLPFLGLFALPLAYMQTILISTTLCNSMVKGTDFVLFTRIYGVTFARKGLTELSEACETISFTPFVYRRSHRLGGLFSKRFYLVSLPQFFIFFFWYIFIAFMFLLLLLVPIVGPITINMLPFSPGMGFYYFEPYFVDVLHLDSRKLSKVYYKGFAKWLLYSISSGLLESIPILGGLFIGTNAVGASLWIVKEIKDRDQPAVPPSPPAEPEEPTVGSYAPPIQQSIAHINPP
NP_014694.1 1 412 0.34072572815534 PF12753.7:Nro1:1:410 Enhancer of translation termination 1 412 410 12 412 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08421 1 SwissProt MAKRPLGLGKQSREKKRKVESVEKKSDEPSRESTPVRSQMSVELDDDADLDDELAQLKGLWSKYFHSDRDDEYVLNGIVHECDRLLRLSEEDKEIKKTLNDIFHGIYALALSELTIFKAGDEEATEEKRKKDVSSFFESAIERVELGLSHFPESQFLKLVLAKIIFQRIPLEYISNLHLKSKDKKLDLVGQLEHGKKHFSIYENDTEFTFEILQMVNDLLDIVENFGREQSIQEGIDSDNEEEEELIDIELEPEHPVYPLQQSLEANYEWLRNHFDKLLDNTNTDVKIYASIANTLGELYLKKAEEPSKVFLSLQYDDGGSEKVSDKEAKNAQETALKHTKKALEYLEKAKLEDDPDTWVQVAEAYIDLGNLLDNESAEQEEAYKTAEEILGKANKASHGKFQDVLDNFLQG
NP_014836.3 1 376 0.171360372340426 Peroxisomal membrane protein PEX27; Peroxin-27 376 0 12 376 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08580 1 SwissProt MTSDPVNTNISSPTLTDRNADESWELLKREFNTLFSNLKTDSKEEGNFTDNKGVIAKKPIVLQDNDDSDFTQNQGKVATATSTTSDRSFKRTLGSIEMKKRYVKKNCQAKFVFNTLEGKEVCSKILQHTLGLLSLLLLTRKIRLLNFSSKLRLVIQQLSLFRYYLRFGNFAINLYKIIKRFRWLREMKKLHYKDQSILFYFKNFRFFDIIEAFYNLTDELILFHKLQSMFGKKNTSHANTNRLMTFVKEQHYILWEVLNILAINKNIEQWRQLIRDEIYLSIYNTSGNAIKEYELKYKLPTNDKVNLELRKNNITLDFYKIILNLLSNLINIKGKRDKYNSELAYEIISVGSGVTELLKLWNRAKVTSANEHTSAV
NP_014960.1 1 346 0.383371676300578 Superficial pseudohyphal growth protein 1 346 0 12 346 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12507 1 SwissProt MDEMHSSDTLLLRTPKSKKKIGLVIPSTPSKKCKYSSGFIAEDTTPSKRFRLYQAKFKTSSKNVKAQTLSVSIKKNQGEITNPFMTEGYNDYRNIVSPGLSFDNDCFSEHELVSPLSDISSINSTSPDVEKIDSLDPFGVDSFVWNCKPLVNKEALELHRMIHSSFPMSPLESNSDVPLLLPKLKKRLSPVNRSTFKPTRYEPSHRLLKPKKSILTVPAKSLNLIVSSSRGSLNDATIFATEINSTLSNEENKLPAISSIWEKLTIPVNSSIKEKYKKLKDQIYGQASNFGEDEDNEEDNEDDLPDAAVIRGYEFQSGRRDELTQCNELQSTKDYKKVQWAKVLEQ
NP_014989.3 1 180 0.543333333333333 Serine-rich protein TYE7; Basic-helix-loop-helix protein SGC1 291 0 12 180 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33122 1 SwissProt MNSILDRNVRSSETTLIKPESEFDNWLSDENDGASHINVNKDSSSVLSASSSTWFEPLENIISSASSSSIGSPIEDQFISSNNEESALFPTDQFFSNPSSYSHSPEVSSSIKREEDDNALSLADFEPASLQLMPNMINTDNNDDSTPLKNEIELNDSFIKTNLDAKETKKRAPRKRLTPF
NP_015050.1 30 291 0.177248091603054 PF02574.16:S-methyl_trans:17:253 Homocysteine S-methyltransferase 2; S-adenosylmethionine metabolism protein 4; S-methylmethionine:homocysteine methyltransferase 2; SMM:Hcy S-methyltransferase 2; EC 2.1.1.10 325 237 12 262 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08985 1 SwissProt NRGIKVANPVWSTIPFISESFWSDESSANRKIVKEMFNDFLNAGAEILMTTTYQTSYKSVSENTPIRTLSEYNNLLNRIVDFSRNCIGEDKYLIGCIGPWGAHICREFTGDYGAEPENIDFYQYFKPQLENFNKNDKLDLIGFETIPNIHELKAILSWDESILSRPFYIGLSVHEHGVLRDGTTMEEIAQVIKDLGDKINPNFSFLGINCVSFNQSPDILESLHQALPNMALLAYPNSGEVYDTEKKIWLPNSDKLNSWDTV
NP_015073.1 1 136 0.354082352941176 Autophagy-related protein 41; Interacting with cytoskeleton protein 2 136 0 12 136 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12048 1 SwissProt MSSVESSPISRYEDEVFPLSFSNVAFEPPMLSHSPDRSTYADDFSQSYQQELLTFPLSYPIVDESECTHTKDKTDSNIITSTEDDCMFDMEFNGNAASAVAAASKESNSASGFAFASNDAFANVAQQNYRLWLSSV
NP_015116.1 1 583 0.153857975986278 Ribosomal lysine N-methyltransferase 1; EC 2.1.1.- 583 0 12 583 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08961 1 SwissProt MSSDALKALLQWGASFGVIVPEELKFLYTDLKGIICVCEKDIDNPSIKIPPEIVISRNLPMKFFGLSESTKNINGWLKLFFAKIKFDRDNDTIVDNVRVNDKFKPYLDALPSRLNSPLVWNPSELKRLSSTNIGNSIHEKFEGIFKEWFELVSSSDMFDLERVADDVQTFHNLDELTYEALYEKILKITELQRPTIWYSFPAFLWSHLIFISRAFPEYVLNRNCPDNSIVLLPIVDLLNHDYRSKVKWYPENGWFCYEKIGTASQSRELSNNYGGKGNEELLSGYGFVLEDNIFDSVALKVKLPLDVVSTILETEPSLKLPLLSDYTTYAFENKDCVQQEKKATRSATDYINGVTYFINIQNEQCLEPLLDLFTYLSKAEEEDLHDLRARLQGIQMLRNALQSKLNSITGPPATDDSYAIDPYRVYCADVYTKGQKQILKEALTRLKKLEKTMLSENKHQLLTMSKILKNDPAFAETELPSLFSNEDGEEVIFESTYDLLILWILLKTKKNSYPTKYEWVGQQYTNFKQTAYISDDAKAFHTAYFEKQDDVDLAEVDHAIQFVVDNSFTRTSSTTEETILVRK
NP_015497.1 1 576 0.680849999999999 Protein BSP1; Binding of synaptojanin polyphosphoinositide phosphatase domain protein 1 576 0 12 576 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06604 1 SwissProt MTKYERDPELVNFLSKVEDLNSKRYSNIPSSKPAGEALSPVRSHNSGEYRRADMMTGKNVEGCDNLAYRSAYNYEMTFSPKKTHYSLSELNLERITPRPDLEGSASQKEKKFLISEEDYLLLQKLKASQTYNDSNADKNLPSFEKGPRMPSRGRPRPREKEIITIQYDFELPGRADIPSSSSSSSPPPLPTRRDHIKITDGNEEKPLLPTRPNKAEVTESPSSRSIKPDAVVPERVKPAPPVSRSTKPASFLSSLEDNKLTKAKSYNSEMETPKTTVKSSHIDYLDSIQLKPTTLSPTMKNKPKPTPPSPPAKRIPRSESFIKSMLNSNLTTTSKPSLPEKPQKLRNANLAAHKTKPSIPPKKVELNIVLPELRPVETSPTKQNFENSIDLPKLRSSNRNIKKEEEDSIPEAIKGIQNLKKTKQQKPAIPQKKSFLTNNSKNTTLKNGDDINKLNDEIEALSLRNNLKKRPPTAPQRKISLPEALRKVELMKKSKTEPVLESSNELSINAKLDAIIASRNLRASNTLPELSGVNTNIATSDKYTTSRDETVKETKPLVHPNKNRTRGPRRKLPTRV
NP_015525.1 1 294 0.266698979591837 AP-1-like transcription factor YAP8; Arsenic compound resistance protein 1; Arsenical-resistance protein ARR1 294 0 12 294 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06596 1 SwissProt MAKPRGRKGGRKPSLTPPKNKRAAQLRASQNAFRKRKLERLEELEKKEAQLTVTNDQIHILKKENELLHFMLRSLLTERNMPSDERNISKACCEEKPPTCNTLDGSVVLSSTYNSLEIQQCYVFFKQLLSVCVGKNCTVPSPLNSFDRSFYPIGCTNLSNDIPGYSFLNDAMSEIHTFGDFNGELDSTFLEFSGTEIKEPNNFITENTNAIETAAASMVIRQGFHPRQYYTVDAFGGDVLLSAMDIWSFMKVHPKVNTFDLEILGTELKKSATCSNFDILISLKHFIKVFSSKL
NP_032134.2 1 262 0.183414503816794 PF00688.18:TGFb_propeptide:106:210 Growth/differentiation factor 3; GDF-3; VG-1-related protein 2 366 105 12 262 0 Mus musculus (Mouse) SwissProt::Q07104 1 SwissProt MQPYQRLLALGFLLLTLPWGQTSEFQDSDLLQFLGLEKAPSPHRFQPVPRVLRKIIRAREAAAASGASQDLCYVKELGVRGNLLQLLPDQGFFLNTQKPFQDGSCLQKVLYFNLSAIKEKAKLTMAQLTLDLGPRSYYNLRPELVVALSVVQDRGVWGRSHPKVGRLLFLRSVPGPQGQLQFNLQGALKDWSSNRLKNLDLHLEILVKEDRYSRVTVQPENPCDRLLRSLHASLLVVTLNPKHCHPSSRKRRAAISVPKGFC
NP_033508.1 1 339 0.70129203539823 PF13837.6:Myb_DNA-bind_4:61:129 Undifferentiated embryonic cell transcription factor 1 339 69 12 339 0 Mus musculus (Mouse) SwissProt::Q6J1H4 1 SwissProt MLLRPRRLPAFSPPSPASPDAELRSAGDVPVTTSDAFATSGGMAEPGSPKAPVSPDSAQRTPWSARETELLLGTLLQPAMWRSLLLDRRQTLPTYRRVSAALARQQVRRTPAQCRRRYKFLKDKLRDSQGQPSGPFDNQIRQLMGLLGDDGPPRVRRRSTGPGRPQRRGRSSLSALAPAPAPVEQEAELPLAAENDEPAPALRFSSSTTKSAGAHRITSSPPLTSTDTLPPEPGHTFESSPTPTPDHDVETPNEPPGLSQGRASSPQVAPQSLNTALLQTLTHLGDISTVLGPLRDQLSTLNQHVEHLRGSFDQTVSLAVGFILGSAASERGILGDLRQ
NP_034029.2 1 902 0.294159977827051 PF08434.11:CLCA:24:288,PF00092.28:VWA:309:473,PF13519.6:VWA_2:310:417 Calcium-activated chloride channel regulator 3A-1; EC 3.4.-.- 902 430 12 902 0 Mus musculus (Mouse) SwissProt::Q9QX15 1 SwissProt MVPGLQVLLFLTLHLLQNTESSMVHLNSNGYEGVVIAINPSVPEDERLIPSIKEMVTQASTYLFEASQGRVYFRNISILVPMTWKSKPEYLMPKRESYDKADVIVADPHLQHGDDPYTLQYGQCGDRGQYIHFTPNFLLTDNLRIYGPRGRVFVHEWAHLRWGVFDEYNVDQPFYMSRKNTIEATRCSTRITGTNVVHNCERGNCVTRACRRDSKTRLYEPKCTFIPDKIQTAGASIMFMQNLNSVVEFCTEKNHNAEAPNLQNKMCNRRSTWDVIKTSADFQNAPPMRGTEAPPPPTFSLLKSRRRVVCLVLDKSGSMDKEDRLIRMNQAAELYLTQIVEKESMVGLVTFDSAAHIQNYLIKITSSSDYQKITANLPQQASGGTSICHGLQAGFQAITSSDQSTSGSEIVLLTDGEDNGIRSCFEAVSRSGAIIHTIALGPSAARELETLSDMTGGLRFYANKDLNSLIDAFSRISSTSGSVSQQALQLESKAFDVRAGAWINGTVPLDSTVGNDTFFVITWMVKKPEIILQDPKGKKYTTSDFQDDKLNIRSARLQIPGTAETGTWTYSITGTKSQLITMTVTTRARSPTMEPLLATAHMSQSTAQYPSRMIVYARVSQGFLPVLGANVTALIEAEHGHQVTLELWDNGAGADTVKNDGIYTRYFTDYHGNGRYSLKVRVQAQRNKTRLSLRQKNKSLYIPGYVENGKIVLNPPRPDVQEEAIEATVEDFNRVTSGGSFTVSGAPPDGDHARVFPPSKVTDLEAEFIGDYIHLTWTAPGKVLDNGRAHRYIIRMSQHPLDLQEDFNNATLVNASSLIPKEAGSKETFKFKPETFKIANGIQLYIAIQADNEASLTSEVSNIAQAVKLTSLEDSISALGDDISAISMTIWGLTVIFNSILN
NP_034419.2 243 505 0.606001520912548 Gap junction alpha-10 protein; Connexin-57; Cx57 505 0 12 263 0 Mus musculus (Mouse) SwissProt::Q9WUS4 1 SwissProt DGKSSSGNTENETGPPFHSTNYSGTQQCMICSSLPERISLLQANNKQQVIRVNIPRSKSMWQIPHPRQLEVDVSCGKRDWAEKIESCAQLHVHSPCPHDRSARIQHPGQQPCHSVFGPKNAMSQSWFGTMTASQHRPSSALETWERSQGPEASGRSLTDRQSHFQGSDGSARESGVWTDRLGPGSRKASFLSRLMSEKGQRHSDSGSSRSLNSSCLDFSHGENSPSPLPSATGHRASMVSKSSHVDSPPHSSFIIHETYVYVY
NP_034720.2 1 339 0.694958997050147 LIM domain-containing protein ajuba 547 0 12 339 0 Mus musculus (Mouse) SwissProt::Q91XC0 1 SwissProt MERLGEKASRLLEKLRLSDSGSAKFGRRKGEASRSGSDGTPGAGKGRLSGLGGPRKSGHRGANGGPGDEPLEPAREQGPLDAERNARGSFEAQRFEGSFPGGPPPTRALPLPLSSPPDFRLETTAPALSPRSSFASSSASDASKPSSPRGSLLLDGAGASGAGGSRPCSNRTSGISMGYDQRHGSPLPAGPCLFGLPLTTAPAGYPGGAPSAYPELHAALDRLCAHRSVGFGCQESRHSYPPALGSPGALTGAVVGTAGPLERRGAQPGRHSVTGYGDCAAGARYQDELTALLRLTVATGGREAGARGEPSGIEPSGLEESPGPFVPEASRSRIREPEA
NP_036040.1 1 123 0.447626016260163 PF02083.15:Urotensin_II:112:123 urotensin-2 preproprotein 123 12 12 123 0 Mus musculus NP_036040.1 1 RefSeq MDRVPFCCLLFIGLLNPLLSLPVTDTGERTLQLPVLEEDALRALEELERMALLQTLRQTMGTEAGESPGEAGPSTETPTPRGSMRKAFAGQNSNTVLSRLLARTRKQHKQHGAAPECFWKYCI
NP_036610.2 1 261 0.378701149425287 PF02893.20:GRAM:38:130 WW domain-binding protein 2; WBP-2 261 93 12 261 0 Homo sapiens (Human) SwissProt::Q969T9 1 SwissProt MALNKNHSEGGGVIVNNTESILMSYDHVELTFNDMKNVPEAFKGTKKGTVYLTPYRVIFLSKGKDAMQSFMMPFYLMKDCEIKQPVFGANYIKGTVKAEAGGGWEGSASYKLTFTAGGAIEFGQRMLQVASQASRGEVPSGAYGYSYMPSGAYVYPPPVANGMYPCPPGYPYPPPPPEFYPGPPMMDGAMGYVQPPPPPYPGPMEPPVSGPDVPSTPAAEAKAAEAAASAYYNPGNPHNVYMPTSQPPPPPYYPPEDKKTQ
NP_036739.2 422 1064 0.870838569206844 PF07142.12:DUF1388:95:121,PF07142.12:DUF1388:112:139,PF07142.12:DUF1388:118:145,PF07142.12:DUF1388:136:163,PF07142.12:DUF1388:142:169,PF07142.12:DUF1388:166:192,PF07142.12:DUF1388:186:210,PF07142.12:DUF1388:208:235,PF07142.12:DUF1388:232:259,PF07142.12:DUF1388:256:282,PF07142.12:DUF1388:268:295,PF07142.12:DUF1388:283:307,PF07142.12:DUF1388:292:318,PF07142.12:DUF1388:298:324,PF07142.12:DUF1388:316:343,PF07142.12:DUF1388:407:435 neurofilament heavy polypeptide 1064 278 12 643 0 Rattus norvegicus NP_036739.2 1 RefSeq LTEGLPKIPSMSTHIKVKSEEKIKVVEKSEKETVIVEEQTEEIQVTEEVTEEEDKEAQGEEEEEAEEGGEEAATTSPPAEEAASPEKETKSPVKEEAKSPAEAKSPAEAKSPAEAKSPAEVKSPAVAKSPAEVKSPAEVKSPAEAKSPAEAKSPAEVKSPATVKSPGEAKSPAEAKSPAEVKSPVEAKSPAEAKSPASVKSPGEAKSPAEAKSPAEVKSPATVKSPVEAKSPAEVKSPVTVKSPAEAKSPVEVKSPASVKSPSEAKSPAGAKSPAEAKSPVVAKSPAEAKSPAEAKPPAEAKSPAEAKSPAEAKSPAEAKSPAEAKSPVEVKSPEKAKSPVKEGAKSLAEAKSPEKAKSPVKEEIKPPAEVKSPEKAKSPMKEEAKSPEKAKTLDVKSPEAKTPAKEEAKRPADIRSPEQVKSPAKEEAKSPEKEETRTEKVAPKKEEVKSPVEEVKAKEPPKKVEEEKTPATPKTEVKESKKDEAPKEAQKPKAEEKEPLTEKPKDSPGEAKKEEAKEKKAAAPEEETPAKLGVKEEAKPKEKAEDAKAKEPSKPSEKEKPKKEEVPAAPEKKDTKEEKTTESKKPEEKPKMEAKAKEEDKGLPQEPSKPKTEKAEKSSSTDQKDSQPSEKAPEDKAAKGDK
NP_036904.1 83 169 0.482158620689655 sex-determining region Y protein 169 0 12 87 0 Rattus norvegicus NP_036904.1 1 RefSeq PQRSYTLQREVASTKLYNLLQWDNNLHTIIYGQDWARAAHQSSKNQKSIYLQPVDIPTGYPLQQKQQHQQQQHVHLQQQQQQQHQFH
NP_038722.3 1 117 0.852517094017094 PF01254.18:TP2:1:46,PF01254.18:TP2:49:116 Nuclear transition protein 2; TP-2; TP2 117 114 12 117 0 Mus musculus (Mouse) SwissProt::P11378 1 SwissProt MDTKMQSLPTTHPHPHSSSRPQSHTSNQCNQCTCSHHCRSCSQAGHAGSSSSPSPGPPMKHPKPSVHSRHSPARPSHRGSCPKNRKTFEGKVSKRKAVRRRKRTHRAKRRSSGRRYK
NP_038929.2 1 324 0.0961425925925926 PF02535.22:Zip:28:318 Zinc transporter ZIP1; Solute carrier family 39 member 1; Zinc-iron-regulated transporter-like; Zrt- and Irt-like protein 1; ZIP-1; mZIP1 324 291 12 192 6 Mus musculus (Mouse) SwissProt::Q9QZ03 1 SwissProt MGPWGEPELLVWRPEAVASEPSVPVGLEVKLGALVLLLLLTLICSLVPVCVLRRSGANHEASASGQKALSLVSCFAGGVFLATCLLDLLPDYLAAIDEALEALHVTLQFPLQEFILAMGFFLVLVMEQITLAYKEQTSPPHPEETRALLGTVNGGPQHWHDGPGIPQAGGTPAAPSALRACVLVFSLALHSVFEGLAVGLQRDRARAMELCLALLLHKGILAVSLSLRLLQSHLRVQVVAGCGILFSCMTPLGIGLGAALAESAGPLHQLAQSVLEGMAAGTFLYITFLEILPQELATSEQRILKVILLLAGFALLTGLLFVQI
NP_054727.1 1 627 0.376547049441786 PF05667.11:DUF812:1:597 Coiled-coil domain-containing protein 22 627 597 12 627 0 Homo sapiens (Human) SwissProt::O60826 1 SwissProt MEEADRILIHSLRQAGTAVPPDVQTLRAFTTELVVEAVVRCLRVINPAVGSGLSPLLPLAMSARFRLAMSLAQACMDLGYPLELGYQNFLYPSEPDLRDLLLFLAERLPTDASEDADQPAGDSAILLRAIGSQIRDQLALPWVPPHLRTPKLQHLQGSALQKPFHASRLVVPELSSRGEPREFQASPLLLPVPTQVPQPVGRVASLLEHHALQLCQQTGRDRPGDEDWVHRTSRLPPQEDTRAQRQRLQKQLTEHLRQSWGLLGAPIQARDLGELLQAWGAGAKTGAPKGSRFTHSEKFTFHLEPQAQATQVSDVPATSRRPEQVTWAAQEQELESLREQLEGVNRSIEEVEADMKTLGVSFVQAESECRHSKLSTAEREQALRLKSRAVELLPDGTANLAKLQLVVENSAQRVIHLAGQWEKHRVPLLAEYRHLRKLQDCRELESSRRLAEIQELHQSVRAAAEEARRKEEVYKQLMSELETLPRDVSRLAYTQRILEIVGNIRKQKEEITKILSDTKELQKEINSLSGKLDRTFAVTDELVFKDAKKDDAVRKAYKYLAALHENCSQLIQTIEDTGTIMREVRDLEEQIETELGKKTLSNLEKIREDYRALRQENAGLLGRVREA
NP_055260.1 1 66 0.300443939393939 PF06624.12:RAMP4:3:62 Stress-associated endoplasmic reticulum protein 1; Ribosome-attached membrane protein 4 66 60 12 43 1 Rattus norvegicus (Rat) SwissProt::Q9R2C1 0 SwissProt MVAKQRIRMANEKHSKNITQRGNVAKTSRNAPEEKASVGPWLLALFIFVVCGSAIFQIIQSIRMGM
NP_055680.3 1 769 0.229797009102731 PF12922.7:Cnd1_N:76:240 Condensin complex subunit 1; Chromosome condensation-related SMC-associated protein 1; Chromosome-associated protein D2; hCAP-D2; Non-SMC condensin I complex subunit D2; XCAP-D2 homolog 1401 165 12 769 0 Homo sapiens (Human) SwissProt::Q15021 1 SwissProt MAPQMYEFHLPLSPEELLKSGGVNQYVVQEVLSIKHLPPQLRAFQAAFRAQGPLAMLQHFDTIYSILHHFRSIDPGLKEDTLQFLIKVVSRHSQELPAILDDTTLSGSDRNAHLNALKMNCYALIRLLESFETMASQTNLVDLDLGGKGKKARTKAAHGFDWEEERQPILQLLTQLLQLDIRHLWNHSIIEEEFVSLVTGCCYRLLENPTINHQKNRPTREAITHLLGVALTRYNHMLSATVKIIQMLQHFEHLAPVLVAAVSLWATDYGMKSIVGEIVREIGQKCPQELSRDPSGTKGFAAFLTELAERVPAILMSSMCILLDHLDGENYMMRNAVLAAMAEMVLQVLSGDQLEAAARDTRDQFLDTLQAHGHDVNSFVRSRVLQLFTRIVQQKALPLTRFQAVVALAVGRLADKSVLVCKNAIQLLASFLANNPFSCKLSDADLAGPLQKETQKLQEMRAQRRTAAASAVLDPEEEWEAMLPELKSTLQQLLQLPQGEEEIPEQIANTETTEDVKGRIYQLLAKASYKKAIILTREATGHFQESEPFSHIDPEESEETRLLNILGLIFKGPAASTQEKNPRESTGNMVTGQTVCKNKPNMSDPEESRGNDELVKQEMLVQYLQDAYSFSRKITEAIGIISKMMYENTTTVVQEVIEFFVMVFQFGVPQALFGVRRMLPLIWSKEPGVREAVLNAYRQLYLNPKGDSARAKAQALIQNLSLLLVDASVGTIQCLEEILCEFVQKDELKPAVTQLLWERATEKVACCPL
NP_055864.2 174 914 0.459981106612685 PF04849.13:HAP1_N:1:180,PF12448.8:Milton:278:394 Trafficking kinesin-binding protein 2; Amyotrophic lateral sclerosis 2 chromosomal region candidate gene 3 protein 914 297 12 741 0 Homo sapiens (Human) SwissProt::O60296 1 SwissProt LLRIVSIASEESETDSSCSTPLRFNESFSLSQGLLQLEMLQEKLKELEEENMALRSKACHIKTETVTYEEKEQQLVSDCVKELRETNAQMSRMTEELSGKSDELIRYQEELSSLLSQIVDLQHKLKEHVIEKEELKLHLQASKDAQRQLTMELHELQDRNMECLGMLHESQEEIKELRSRSGPTAHLYFSQSYGAFTGESLAAEIEGTMRKKLSLDEESSLFKQKAQQKRVFDTVRIANDTRGRSISFPALLPIPGSNRSSVIMTAKPFESGLQQTEDKSLLNQGSSSEEVAGSSQKMGQPGPSGDSDLATALHRLSLRRQNYLSEKQFFAEEWQRKIQVLADQKEGVSGCVTPTESLASLCTTQSEITDLSSASCLRGFMPEKLQIVKPLEGSQTLYHWQQLAQPNLGTILDPRPGVITKGFTQLPGDAIYHISDLEEDEEEGITFQVQQPLEVEEKLSTSKPVTGIFLPPITSAGGPVTVATANPGKCLSCTNSTFTFTTCRILHPSDITQVTPSSGFPSLSCGSSGSSSSNTAVNSPALSYRLSIGESITNRRDSTTTFSSTMSLAKLLQERGISAKVYHSPISENPLQPLPKSLAIPSTPPNSPSHSPCPSPLPFEPRVHLSENFLASRPAETFLQEMYGLRPSRNPPDVGQLKMNLVDRLKRLGIARVVKNPGAQENGRCQEAEIGPQKPDSAVYLNSGSSLLGGLRRNQSLPVIMGSFAAPVCTSSPKMGVLKED
NP_055962.2 1 757 0.592623381770145 Centrosomal protein of 68 kDa; Cep68 757 0 12 757 0 Homo sapiens (Human) SwissProt::Q76N32 1 SwissProt MALGEEKAEAEASEDTKAQSYGRGSCRERELDIPGPMSGEQPPRLEAEGGLISPVWGAEGIPAPTCWIGTDPGGPSRAHQPQASDANREPVAERSEPALSGLPPATMGSGDLLLSGESQVEKTKLSSSEEFPQTLSLPRTTTICSGHDADTEDDPSLADLPQALDLSQQPHSSGLSCLSQWKSVLSPGSAAQPSSCSISASSTGSSLQGHQERAEPRGGSLAKVSSSLEPVVPQEPSSVVGLGPRPQWSPQPVFSGGDASGLGRRRLSFQAEYWACVLPDSLPPSPDRHSPLWNPNKEYEDLLDYTYPLRPGPQLPKHLDSRVPADPVLQDSGVDLDSFSVSPASTLKSPTNVSPNCPPAEATALPFSGPREPSLKQWPSRVPQKQGGMGLASWSQLASTPRAPGSRDARWERREPALRGAKDRLTIGKHLDMGSPQLRTRDRGWPSPRPEREKRTSQSARRPTCTESRWKSEEEVESDDEYLALPARLTQVSSLVSYLGSISTLVTLPTGDIKGQSPLEVSDSDGPASFPSSSSQSQLPPGAALQGSGDPEGQNPCFLRSFVRAHDSAGEGSLGSSQALGVSSGLLKTRPSLPARLDRWPFSDPDVEGQLPRKGGEQGKESLVQCVKTFCCQLEELICWLYNVADVTDHGTAARSNLTSLKSSLQLYRQFKKDIDEHQSLTESVLQKGEILLQCLLENTPVLEDVLGRIAKQSGELESHADRLYDSILASLDMLAGCTLIPDKKPMAAMEHPCEGV
NP_056285.1 1 580 0.597784310344827 PF00168.30:C2:20:148 Rab11 family-interacting protein 5; Rab11-FIP5; Gamma-SNAP-associated factor 1; Gaf-1; Phosphoprotein pp75; Rab11-interacting protein Rip11 653 129 12 580 0 Homo sapiens (Human) SwissProt::Q9BXF6 1 SwissProt MALVRGAEPAAGPSRWLPTHVQVTVLRARGLRGKSSGAGSTSDAYTVIQVGREKYSTSVVEKTHGCPEWREECSFELPPGALDGLLRAQEADAGPAPWAASSAAACELVLTTMHRSLIGVDKFLGQATVALDEVFGAGRAQHTQWYKLHSKPGKKEKERGEIEVTIQFTRNNLSASMFDLSMKDKPRSPFSKIRDKMKGKKKYDLESASAILPSSAIEDPDLGSLGKMGKAKGFFLRNKLRKSSLTQSNTSLGSDSTLSSASGSLAYQGPGAELLTRSPSRSSWLSTEGGRDSAQSPKLFTHKRTYSDEANQMRVAPPRALLDLQGHLDAASRSSLCVNGSHIYNEEPQGPVRHRSSISGSLPSSGSLQAVSSRFSEEGPRSTDDTWPRGSRSNSSSEAVLGQEELSAQAKVLAPGASHPGEEEGARLPEGKPVQVATPIVASSEAVAEKEGARKEERKPRMGLFHHHHQGLSRSELGRRSSLGEKGGPILGASPHHSSSGEEKAKSSWFGLREAKDPTQKPSPHPVKPLSAAPVEGSPDRKQSRSSLSIALSSGLEKLKTVTSGSIQPVTQAPQAGQMV
NP_057494.3 1 122 0.510364754098361 PF03357.21:Snf7:13:122 Charged multivesicular body protein 5; Chromatin-modifying protein 5; SNF7 domain-containing protein 2; Vacuolar protein sorting-associated protein 60; Vps60; hVps60 219 110 12 122 0 Homo sapiens (Human) SwissProt::Q9NZZ3 1 SwissProt MNRLFGKAKPKAPPPSLTDCIGTVDSRAESIDKKISRLDAELVKYKDQIKKMREGPAKNMVKQKALRVLKQKRMYEQQRDNLAQQSFNMEQANYTIQSLKDTKTTVDAMKLGVKEMKKAYKQ
NP_057543.2 1 189 0.353526455026455 PF11938.8:DUF3456:49:178 Marginal zone B- and B1-cell-specific protein; Mesenteric estrogen-dependent adipose 7; MEDA-7; Plasma cell-induced resident endoplasmic reticulum protein; Plasma cell-induced resident ER protein; pERp1; Proapoptotic caspase adapter protein 189 130 12 189 0 Homo sapiens (Human) SwissProt::Q8WU39 1 SwissProt MRLSLPLLLLLLGAWAIPGGLGDRAPLTATAPQLDDEEMYSAHMPAHLRCDACRAVAYQMWQNLAKAETKLHTSNSGGRRELSELVYTDVLDRSCSRNWQDYGVREVDQVKRLTGPGLSEGPEPSISVMVTGGPWPTRLSRTCLHYLGEFGEDQIYEAHQQGRGALEALLCGGPQGACSEKVSATREEL
NP_060154.3 223 3710 0.209019208715596 PF16910.5:VPS13_mid_rpt:347:568,PF16910.5:VPS13_mid_rpt:911:1103,PF16910.5:VPS13_mid_rpt:1426:1617,PF16909.5:VPS13_C:3058:3235,PF16908.5:VPS13:5:148,PF06650.12:SHR-BD:2501:2751,PF09333.11:ATG_C:3241:3323 vacuolar protein sorting-associated protein 13C isoform 1A 3710 1263 12 3488 0 Homo sapiens NP_060154.3 1 RefSeq SYQRSREQILDQLKNEILTSGNIPPNYQYIFQPISASAKLYMNPYAESELKTPKLDCNIEIQNIAIELTKPQYLSMIDLLESVDYMVRNAPYRKYKPYLPLHTNGRRWWKYAIDSVLEVHIRRYTQMWSWSNIKKHRQLLKSYKIAYKNKLTQSKVSEEIQKEIQDLEKTLDVFNIILARQQAQVEVIRSGQKLRKKSADTGEKRGGWFSGLWGKKESKKKDEESLIPETIDDLMTPEEKDKLFTAIGYSESTHNLTLPKQYVAHIMTLKLVSTSVTIRENKNIPEILKIQIIGLGTQVSQRPGAQALKVEAKLEHWYITGLRQQDIVPSLVASIGDTTSSLLKIKFETNPEDSPADQTLIVQSQPVEVIYDAKTVNAVVEFFQSNKGLDLEQITSATLMKLEEIKERTATGLTHIIETRKVLDLRINLKPSYLVVPQTGFHHEKSDLLILDFGTFQLNSKDQGLQKTTNSSLEEIMDKAYDKFDVEIKNVQLLFARAEETWKKCRFQHPSTMHILQPMDIHVELAKAMVEKDIRMARFKVSGGLPLMHVRISDQKMKDVLYLMNSIPLPQKSSAQSPERQVSSIPIISGGTKGLLGTSLLLDTVESESDDEYFDAEDGEPQTCKSMKGSELKKAAEVPNEELINLLLKFEIKEVILEFTKQQKEEDTILVFNVTQLGTEATMRTFDLTVVSYLKKISLDYHEIEGSKRKPLHLISSSDKPGLDLLKVEYIKADKNGPSFQTAFGKTEQTVKVAFSSLNLLLQTQALVASINYLTTIIPSDDQSISVAKEVQISTEKQQKNSTLPKAIVSSRDSDIIDFRLFAKLNAFCVIVCNEKNNIAEIKIQGLDSSLSLQSRKQSLFARLENIIVTDVDPKTVHKKAVSIMGNEVFRFNLDLYPDATEGDLYTDMSKVDGVLSLNVGCIQIVYLHKFLMSLLNFLNNFQTAKESLSAATAQAAERAATSVKDLAQRSFRVSINIDLKAPVIVIPQSSISTNAVVVDLGLIRVHNQFSLVSDEDYLNPPVIDRMDVQLTKLTLYRTVIQPGIYHPDIQLLHPINLEFLVNRNLAASWYHKVPVVEIKGHLDSMNVSLNQEDLNLLFRILTENLCEGTEDLDKVKPRVQETGEIKEPLEISISQDVHDSKNTLTTGVEEIRSVDIINMLLNFEIKEVVVTLMKKSEKKGRPLHELNVLQLGMEAKVKTYDMTAKAYLKKISMQCFDFTDSKGEPLHIINSSNVTDEPLLKMLLTKADSDGPEFKTIHDSTKQRLKVSFASLDLVLHLEALLSFMDFLSSAAPFSEPSSSEKESELKPLVGESRSIAVKAVSSNISQKDVFDLKITAELNAFNVFVCDQKCNIADIKIHGMDASISVKPKQTDVFARLKDIIVMNVDLQSIHKKAVSILGDEVFRFQLTLYPDATEGEAYADMSKVDGKLSFKVGCIQIVYVHKFFMSLLNFLNNFQTAKEALSTATVQAAERAASSMKDLAQKSFRLLMDINLKAPVIIIPQSSVSPNAVIADLGLIRVENKFSLVPMEHYSLPPVIDKMNIELTQLKLSRTILQASLPQNDIEILKPVNMLLSIQRNLAAAWYVQIPGMEIKGKLKPMQVALSEDDLTVLMKILLENLGEASSQPSPTQSVQETVRVRKVDVSSVPDHLKEQEDWTDSKLSMNQIVSLQFDFHFESLSIILYNNDINQESGVAFHNDSFQLGELRLHLMASSGKMFKDGSMNVSVKLKTCTLDDLREGIERATSRMIDRKNDQDNNSSMIDISYKQDKNGSQIDAVLDKLYVCASVEFLMTVADFFIKAVPQSPENVAKETQILPRQTATGKVKIEKDDSVRPNMTLKAMITDPEVVFVASLTKADAPALTASFQCNLSLSTSKLEQMMEASVRDLKVLACPFLREKRGKNITTVLQPCSLFMEKCTWASGKQNINIMVKEFIIKISPIILNTVLTIMAALSPKTKEDGSKDTSKEMENLWGIKSINDYNTWFLGVDTATEITESFKGIEHSLIEENCGVVVESIQVTLECGLGHRTVPLLLAESKFSGNIKNWTSLMAAVADVTLQVHYYNEIHAVWEPLIERVEGKRQWNLRLDVKKNPVQDKSLLPGDDFIPEPQMAIHISSGNTMNITISKSCLNVFNNLAKGFSEGTASTFDYSLKDRAPFTVKNAVGVPIKVKPNCNLRVMGFPEKSDIFDVDAGQNLELEYASMVPSSQGNLSILSRQESSFFTLTIVPHGYTEVANIPVARPGRRLYNVRNPNASHSDSVLVQIDATEGNKVITLRSPLQIKNHFSIAFIIYKFVKNVKLLERIGIARPEEEFHVPLDSYRCQLFIQPAGILEHQYKESTTYISWKEELHRSREVRCMLQCPSVEVSFLPLIVNTVALPDELSYICTHGEDWDVAYIIHLYPSLTLRNLLPYSLRYLLEGTAETHELAEGSTADVLHSRISGEIMELVLVKYQGKNWNGHFRIRDTLPEFFPVCFSSDSTEVTTVDLSVHVRRIGSRMVLSVFSPYWLINKTTRVLQYRSEDIHVKHPADFRDIILFSFKKKNIFTKNKVQLKISTSAWSSSFSLDTVGSYGCVKCPANNMEYLVGVSIKMSSFNLSRIVTLTPFCTIANKSSLELEVGEIASDGSMPTNKWNYIASSECLPFWPESLSGKLCVRVVGCEGSSKPFFYNRQDNGTLLSLEDLNGGILVDVNTAEHSTVITFSDYHEGSAPALIMNHTPWDILTYKQSGSPEEMVLLPRQARLFAWADPTGTRKLTWTYAANVGEHDLLKDGCGQFPYDANIQIHWVSFLDGRQRVLLFTDDVALVSKALQAEEMEQADYEITLSLHSLGLSLVNNESKQEVSYIGITSSGVVWEVKPKQKWKPFSQKQIILLEQSYQKHQISRDHGWIKLDNNFEVNFDKDPMEMRLPIRSPIKRDFLSGIQIEFKQSSHQRSLRARLYWLQVDNQLPGAMFPVVFHPVAPPKSIALDSEPKPFIDVSVITRFNEYSKVLQFKYFMVLIQEMALKIDQGFLGAIIALFTPTTDPEAERRRTKLIQQDIDALNAELMETSMTDMSILSFFEHFHISPVKLHLSLSLGSGGEESDKEKQEMFAVHSVNLLLKSIGATLTDVDDLIFKLAYYEIRYQFYKRDQLIWSVVRHYSEQFLKQMYVLVLGLDVLGNPFGLIRGLSEGVEALFYEPFQGAVQGPEEFAEGLVIGVRSLFGHTVGGAAGVVSRITGSVGKGLAAITMDKEYQQKRREELSRQPRDFGDSLARGGKGFLRGVVGGVTGIITKPVEGAKKEGAAGFFKGIGKGLVGAVARPTGGIVDMASSTFQGIQRAAESTEEVSSLRPPRLIHEDGIIRPYDRQESEGSDLLENHIKKLEGETYRYHCAIPGSKKTILMVTNRRVLCIKEVEILGLMCVDWQCPFEDFVFPPSVSENVLKISVKEQGLFHKKDSANQGCVRKVYLKDTATAERACNAIEDAQSTRQQQKLMKQSSVRLLRPQLPS
NP_060631.2 1 706 0.157781303116148 PF00795.22:CN_hydrolase:6:282,PF02540.17:NAD_synthase:339:603 Glutamine-dependent NAD(+) synthetase; NAD(+) synthase [glutamine-hydrolyzing]; NAD(+) synthetase; EC 6.3.5.1 706 542 12 706 0 Homo sapiens (Human) SwissProt::Q6IA69 1 SwissProt MGRKVTVATCALNQWALDFEGNLQRILKSIEIAKNRGARYRLGPELEICGYGCWDHYYESDTLLHSFQVLAALVESPVTQDIICDVGMPVMHRNVRYNCRVIFLNRKILLIRPKMALANEGNYRELRWFTPWSRSRHTEEYFLPRMIQDLTKQETVPFGDAVLVTWDTCIGSEICEELWTPHSPHIDMGLDGVEIITNASGSHQVLRKANTRVDLVTMVTSKNGGIYLLANQKGCDGDRLYYDGCAMIAMNGSVFAQGSQFSLDDVEVLTATLDLEDVRSYRAEISSRNLAASRASPYPRVKVDFALSCHEDLLAPISEPIEWKYHSPEEEISLGPACWLWDFLRRSQQAGFLLPLSGGVDSAATACLIYSMCCQVCEAVRSGNEEVLADVRTIVNQISYTPQDPRDLCGRILTTCYMASKNSSQETCTRARELAQQIGSHHISLNIDPAVKAVMGIFSLVTGKSPLFAAHGGSSRENLALQNVQARIRMVLAYLFAQLSLWSRGVHGGLLVLGSANVDESLLGYLTKYDCSSADINPIGGISKTDLRAFVQFCIQRFQLPALQSILLAPATAELEPLADGQVSQTDEEDMGMTYAELSVYGKLRKVAKMGPYSMFCKLLGMWRHICTPRQVADKVKRFFSKYSMNRHKMTTLTPAYHAENYSPEDNRFDLRPFLYNTSWPWQFRCIENQVLQLERAEPQSLDGVD
NP_061130.1 1 513 0.509964717348928 PF15997.5:DUF4772:7:108 Zinc finger protein 395; HD-regulating factor 2; HDRF-2; Huntington disease gene regulatory region-binding protein 2; HD gene regulatory region-binding protein 2; HDBP-2; Papillomavirus regulatory factor 1; PRF-1; Papillomavirus-binding factor 513 102 12 513 0 Homo sapiens (Human) SwissProt::Q9H8N7 1 SwissProt MASVLSRRLGKRSLLGARVLGPSASEGPSAAPPSEPLLEGAAPQPFTTSDDTPCQEQPKEVLKAPSTSGLQQVAFQPGQKVYVWYGGQECTGLVEQHSWMEGQVTVWLLEQKLQVCCRVEEVWLAELQGPCPQAPPLEPGAQALAYRPVSRNIDVPKRKSDAVEMDEMMAAMVLTSLSCSPVVQSPPGTEANFSASRAACDPWKESGDISDSGSSTTSGHWSGSSGVSTPSPPHPQASPKYLGDAFGSPQTDHGFETDPDPFLLDEPAPRKRKNSVKVMYKCLWPNCGKVLRSIVGIKRHVKALHLGDTVDSDQFKREEDFYYTEVQLKEESAAAAAAAAAGTPVPGTPTSEPAPTPSMTGLPLSALPPPLHKAQSSGPEHPGPESSLPSGALSKSAPGSFWHIQADHAYQALPSFQIPVSPHIYTSVSWAAAPSAACSLSPVRSRSLSFSEPQQPAPAMKSHLIVTSPPRAQSGARKARGEAKKCRKVYGIEHRDQWCTACRWKKACQRFLD
NP_061153.1 72 228 0.26446050955414 PF05605.12:zf-Di19:69:129,PF18574.1:zf_C2HC_14:14:46 E3 ubiquitin-protein ligase RNF114; RING finger protein 114; RING-type E3 ubiquitin transferase RNF114; Zinc finger protein 228; Zinc finger protein 313; EC 2.3.2.27 228 94 12 157 0 Homo sapiens (Human) SwissProt::Q9Y508 1 SwissProt APGVRAVELERQIESTETSCHGCRKNFFLSKIRSHVATCSKYQNYIMEGVKATIKDASLQPRNVPNRYTFPCPYCPEKNFDQEGLVEHCKLFHSTDTKSVVCPICASMPWGDPNYRSANFREHIQRRHRFSYDTFVDYDVDEEDMMNQVLQRSIIDQ
NP_061364.2 1 347 0.127885590778098 PF01531.16:Glyco_transf_11:23:337 Galactoside 2-alpha-L-fucosyltransferase 2; Alpha(1,2)FT 2; Fucosyltransferase 2; GDP-L-fucose:beta-D-galactoside 2-alpha-L-fucosyltransferase 2; Secretory blood group protein 2; EC 2.4.1.344; EC 2.4.1.69 347 315 12 324 1 Mus musculus (Mouse) SwissProt::Q9JL27 1 SwissProt MASAQVPFSFPLAHFLIFVFVTSTIIHLQQRIVKLQTLSEKELQAVQMSSPNAARTDMQQSAKLQGIFTINSIGRLGNQMGEYATLFALARMNGRLAFIPESMHNALAPIFRISLPVLHSDTARRIPWQNYHLNDWMEERYRHIPGQYVRFTGYPCSWTFYHHLRPEILKEFTLHDHVREEAQAFLRGLRVNGSQPSTFVGVHVRRGDYVHVMPKVWKGVVADRGYLEKALDRFRARYSSPVFVVTSNGMAWCRENINTSLGDVVFAGNGIEGSPAKDFALLTQCNHTIMTIGTFGIWAAYLAGGDTIYLANYTLPDSPFLKIFKPAAAFLPEWMGIPADLSPLLKH
NP_065109.1 1 504 0.256534722222222 PF01734.22:Patatin:11:176 Patatin-like phospholipase domain-containing protein 2; Adipose triglyceride lipase; Calcium-independent phospholipase A2; Desnutrin; IPLA2-zeta; Pigment epithelium-derived factor; TTS2.2; Transport-secretion protein 2; TTS2; EC 3.1.1.3 504 166 12 484 1 Homo sapiens (Human) SwissProt::Q96AD5 1 SwissProt MFPREKTWNISFAGCGFLGVYYVGVASCLREHAPFLVANATHIYGASAGALTATALVTGVCLGEAGAKFIEVSKEARKRFLGPLHPSFNLVKIIRSFLLKVLPADSHEHASGRLGISLTRVSDGENVIISHFNSKDELIQANVCSGFIPVYCGLIPPSLQGVRYVDGGISDNLPLYELKNTITVSPFSGESDICPQDSSTNIHELRVTNTSIQFNLRNLYRLSKALFPPEPLVLREMCKQGYRDGLRFLQRNGLLNRPNPLLALPPARPHGPEDKDQAVESAQAEDYSQLPGEDHILEHLPARLNEALLEACVEPTDLLTTLSNMLPVRLATAMMVPYTLPLESALSFTIRLLEWLPDVPEDIRWMKEQTGSICQYLVMRAKRKLGRHLPSRLPEQVELRRVQSLPSVPLSCAAYREALPGWMRNNLSLGDALAKWEECQRQLLLGLFCTNVAFPPEALRMRAPADPAPAPADPASPQHQLAGPAPLLSTPAPEARPVIGALGL
NP_065716.1 1 222 0.17379054054054 PF16959.5:Collectrin:24:171 Collectrin; Transmembrane protein 27 222 148 12 199 1 Homo sapiens (Human) SwissProt::Q9HBJ8 1 SwissProt MLWLLFFLVTAIHAELCQPGAENAFKVRLSIRTALGDKAYAWDTNEEYLFKAMVAFSMRKVPNREATEISHVLLCNVTQRVSFWFVVTDPSKNHTLPAVEVQSAIRMNKNRINNAFFLNDQTLEFLKIPSTLAPPMDPSVPIWIIIFGVIFCIIIVAIALLILSGIWQRRRKNKEPSEVDDAEDKCENMITIENGIPSDPLDMKGGHINDAFMTEDERLTPL
NP_068581.1 103 237 0.659648148148148 Homeobox protein TGIF2; 5'-TG-3'-interacting factor 2; TGF-beta-induced transcription factor 2; TGFB-induced factor 2 237 0 12 135 0 Homo sapiens (Human) SwissProt::Q9GZN2 1 SwissProt VALPRGSSPSVLAVSVPAPTNVLSLSVCSMPLHSGQGEKPAAPFPRGELESPKPLVTPGSTLTLLTRAEAGSPTGGLFNTPPPTPPEQDKEDFSSFQLLVEVALQRAAEMELQKQQDPSLPLLHTPIPLVSENPQ
NP_068752.2 1 388 0.559677577319588 PF15734.5:MIIP:39:377 Migration and invasion-inhibitory protein; IGFBP2-binding protein; Invasion-inhibitory protein 45; IIp45 388 339 12 388 0 Homo sapiens (Human) SwissProt::Q5JXC2 1 SwissProt MVEAEELAQLRLLNLELLRQLWVGQDAVRRSVARAASESSLESSSSYNSETPSTPETSSTSLSTSCPRGRSSVWGPPDACRGDLRDVARSGVASLPPAKCQHQESLGRPRPHSAPSLGTSSLRDPEPSGRLGDPGPQEAQTPRSILAQQSKLSKPRVTFSEESAVPKRSWRLRPYLGYDWIAGSLDTSSSITSQPEAFFSKLQEFRETNKEECICSHPEPQLPGLRESSGSGVEEDHECVYCYRVNRRLFPVPVDPGTPCRLCRTPRDQQGPGTLAQPAHVRVSIPLSILEPPHRYHIHRRKSFDASDTLALPRHCLLGWDIFPPKSEKSSAPRNLDLWSSVSAEAQHQKLSGTSSPFHPASPMQMLPPTPTWSVPQVPRPHVPRQKP
NP_068806.2 118 360 0.167715637860082 PF00483.23:NTP_transferase:2:111,PF00132.24:Hexapep:142:176 Mannose-1-phosphate guanyltransferase beta; GDP-mannose pyrophosphorylase B; GTP-mannose-1-phosphate guanylyltransferase beta; EC 2.7.7.13 360 145 12 243 0 Homo sapiens (Human) SwissProt::Q9Y5P6 1 SwissProt QAMVQFHRHHGQEGSILVTKVEEPSKYGVVVCEADTGRIHRFVEKPQVFVSNKINAGMYILSPAVLQRIQLQPTSIEKEVFPIMAKEGQLYAMELQGFWMDIGQPKDFLTGMCLFLQSLRQKQPERLCSGPGIVGNVLVDPSARIGQNCSIGPNVSLGPGVVVEDGVCIRRCTVLRDARIRSHSWLESCIVGWRCRVGQWVRMENVTVLGEDVIVNDELYLNGASVLPHKSIGESVPEPRIIM
NP_071390.1 1 160 0.812955 PF02188.17:GoLoco:65:84,PF02188.17:GoLoco:105:125,PF02188.17:GoLoco:133:154 G-protein-signaling modulator 3; Activator of G-protein signaling 4; G18.1b; Protein G18 160 63 12 160 0 Homo sapiens (Human) SwissProt::Q9Y4H4 1 SwissProt MEAERPQEEEDGEQGPPQDEEGWPPPNSTTRPWRSAPPSPPPPGTRHTALGPRSASLLSLQTELLLDLVAEAQSRRLEEQRATFYTPQNPSSLAPAPLRPLEDREQLYSTILSHQCQRMEAQRSEPPLPPGGQELLELLLRVQGGGRMEEQRSRPPTHTC
NP_071393.2 1 256 0.5496265625 FK506-binding protein-like; WAF-1/CIP1 stabilizing protein 39; WISp39 349 0 12 256 0 Homo sapiens (Human) SwissProt::Q9UIM3 1 SwissProt METPPVNTIGEKDTSQPQQEWEKNLRENLDSVIQIRQQPRDPPTETLELEVSPDPASQILEHTQGAEKLVAELEGDSHKSHGSTSQMPEALQASDLWYCPDGSFVKKIVIRGHGLDKPKLGSCCRVLALGFPFGSGPPEGWTELTMGVGPWREETWGELIEKCLESMCQGEEAELQLPGHSGPPVRLTLASFTQGRDSWELETSEKEALAREERARGTELFRAGNPEGAARCYGRALRLLLTLPPPGPPERTVLHA
NP_071427.2 1 317 0.206336593059937 PF04089.14:BRICHOS:96:185 Tenomodulin; TeM; hTeM; Chondromodulin-1-like protein; ChM1L; hChM1L; Chondromodulin-I-like protein; Myodulin; Tendin 317 90 12 297 1 Homo sapiens (Human) SwissProt::Q9H2S6 1 SwissProt MAKNPPENCEDCHILNAEAFKSKKICKSLKICGLVFGILALTLIVLFWGSKHFWPEVPKKAYDMEHTFYSNGEKKKIYMEIDPVTRTEIFRSGNGTDETLEVHDFKNGYTGIYFVGLQKCFIKTQIKVIPEFSEPEEEIDENEEITTTFFEQSVIWVPAEKPIENRDFLKNSKILEICDNVTMYWINPTLISVSELQDFEEEGEDLHFPANEKKGIEQNEQWVVPQVKVEKTRHARQASEEELPINDYTENGIEFDPMLDERGYCCIYCRRGNRYCRRVCEPLLGYYPYPYCYQGGRVICRVIMPCNWWVARMLGRV
NP_071717.1 1 317 0.210461514195584 PF04089.14:BRICHOS:96:185 Tenomodulin; TeM; mTeM; Chondromodulin-1-like protein; ChM1L; mChM1L; Chondromodulin-I-like protein; Myodulin; Tendin 317 90 12 297 1 Mus musculus (Mouse) SwissProt::Q9EP64 1 SwissProt MAKNPPENCEGCHILNAEALKSKKICKSLKICGLVFGILALTLIVLFWGSKHFWPEVSKKTYDMEHTFYSNGEKKKIYMEIDPITRTEIFRSGNGTDETLEVHDFKNGYTGIYFVGLQKCFIKTQIKVIPEFSEPEEEIDENEEITTTFFEQSVIWVPAEKPIENRDFLKNSKILEICDNVTMYWINPTLIAVSELQDFEEDGEDLHFPTSEKKGIDQNEQWVVPQVKVEKTRHTRQASEEDLPINDYTENGIEFDPMLDERGYCCIYCRRGNRYCRRVCEPLLGYYPYPYCYQGGRVICRVIMPCNWWVARMLGRV
NP_072108.1 1 114 0.384161403508772 PF15085.6:NPFF:57:114 Pro-FMRFamide-related neuropeptide FF; FMRFamide-related peptides 114 58 12 114 0 Rattus norvegicus (Rat) SwissProt::Q9WVA9 1 SwissProt MDSKWAAVLLLLLLLRNWGHAEEAGSWGEDQVFAEEDKGPHPSQYAHTPDRIQTPGSLMRVLLQAMERPRRNPAFLFQPQRFGRNAWGPWSKEQLSPQAREFWSLAAPQRFGKK
NP_076452.1 168 370 0.245877339901478 PF00341.17:PDGF:105:195 Platelet-derived growth factor D; PDGF-D; Iris-expressed growth factor; Spinal cord-derived growth factor B; SCDGF-B 370 91 12 203 0 Rattus norvegicus (Rat) SwissProt::Q9EQT1 1 SwissProt SFVEDFQPEAASEINWESVTSSFSGVSYHSPSVMDSTLTADALDKAIAEFDTVEDLLKYFNPASWQDDLENLYMDTPRYRGRSYHERKSKVDLDRLNDDVKRYSCTPRNHSVNLREELKLTNAVFFPRCLLVQRCGGNCGCGTLNWKSCTCSSGKTVKKYHEVLKFEPGHFKRRGKAKNMALVDIQLDHHERCDCICSSRPPR
NP_076891.4 108 233 0.483530952380953 PF10153.9:Efg1:4:34 rRNA-processing protein EFG1; Exit from G1 protein 1 233 31 12 126 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E705 1 SwissProt GADDKDLQQKLRATKIELCYVINFPKTEKYIALYPNDTPSTDPKGVELTNLRREQFLKLVAERMDANTLNVSFEEILKGKKLDEDSIGLTLSPDKDHEDGSQVSPTQDRKELDQVVGEDEKDDFFE
NP_077027.1 1 198 0.194505555555555 PF09769.9:ApoO:42:176 MICOS complex subunit MIC26; Apolipoprotein O; MICOS complex subunit MIC23; Protein FAM121B 198 135 12 178 1 Homo sapiens (Human) SwissProt::Q9BUR5 1 SwissProt MFKVIQRSVGPASLSLLTFKVYAAPKKDSPPKNSVKVDELSLYSVPEGQSKYVEEARSQLEESISQLRHYCEPYTTWCQETYSQTKPKMQSLVQWGLDSYDYLQNAPPGFFPRLGVIGFAGLIGLLLARGSKIKKLVYPPGFMGLAASLYYPQQAIVFAQVSGERLYDWGLRGYIVIEDLWKENFQKPGNVKNSPGTK
NP_077042.2 1 185 0.251653513513514 PF06387.11:Calcyon:1:179 Neuronal vesicle trafficking-associated protein 1; Brain neuron cytoplasmic protein 1/2; Neuron-enriched endosomal protein of 21 kDa; Neuron-specific protein family member 1 185 179 12 165 1 Rattus norvegicus (Rat) SwissProt::P02683 1 SwissProt MVKLGNNFAEKGTKQPLLEDGFDTIPLMTPLDVNQLQFPPPDKVVVKTKTEYEPDRKKGKARPPKIAEFTVSITEGVTERFKVSVLVLFALAFLTCVVFLVVYKVYKYDRACPDGFVLKNTQCIPEGLESYYTEQDSSAREKFYTVINHYNLAKQSITRSVSPWMSVLSEEKLSEQETEAAEKSA
NP_078932.2 241 622 0.247619895287958 PF01755.17:Glyco_transf_25:101:284 Procollagen galactosyltransferase 1; Collagen beta(1-O)galactosyltransferase 1; ColGalT 1; Glycosyltransferase 25 family member 1; Hydroxylysine galactosyltransferase 1; EC 2.4.1.50 622 184 12 382 0 Homo sapiens (Human) SwissProt::Q8NBJ5 1 SwissProt DLRKAASRNLAFYPPHPDYTWSFDDIIVFAFSCKQAEVQMYVCNKEEYGFLPVPLRAHSTLQDEAESFMHVQLEVMVKHPPAEPSRFISAPTKTPDKMGFDEVFMINLRRRQDRRERMLRALQAQEIECRLVEAVDGKAMNTSQVEALGIQMLPGYRDPYHGRPLTKGELGCFLSHYNIWKEVVDRGLQKSLVFEDDLRFEIFFKRRLMNLMRDVEREGLDWDLIYVGRKRMQVEHPEKAVPRVRNLVEADYSYWTLAYVISLQGARKLLAAEPLSKMLPVDEFLPVMFDKHPVSEYKAHFSLRNLHAFSVEPLLIYPTHYTGDDGYVSDTETSVVWNNEHVKTDWDRAKSQKMREQQALSREAKNSDVLQSPLDSAARDEL
NP_079408.3 1 1342 0.142592101341282 PF15911.5:WD40_3:508:564 WD repeat-containing protein 19; Intraflagellar transport 144 homolog 1342 57 12 1342 0 Homo sapiens (Human) SwissProt::Q8NEZ3 1 SwissProt MKRIFSLLEKTWLGAPIQFAWQKTSGNYLAVTGADYIVKIFDRHGQKRSEINLPGNCVAMDWDKDGDVLAVIAEKSSCIYLWDANTNKTSQLDNGMRDQMSFLLWSKVGSFLAVGTVKGNLLIYNHQTSRKIPVLGKHTKRITCGCWNAENLLALGGEDKMITVSNQEGDTIRQTQVRSEPSNMQFFLMKMDDRTSAAESMISVVLGKKTLFFLNLNEPDNPADLEFQQDFGNIVCYNWYGDGRIMIGFSCGHFVVISTHTGELGQEIFQARNHKDNLTSIAVSQTLNKVATCGDNCIKIQDLVDLKDMYVILNLDEENKGLGTLSWTDDGQLLALSTQRGSLHVFLTKLPILGDACSTRIAYLTSLLEVTVANPVEGELPITVSVDVEPNFVAVGLYHLAVGMNNRAWFYVLGENAVKKLKDMEYLGTVASICLHSDYAAALFEGKVQLHLIESEILDAQEERETRLFPAVDDKCRILCHALTSDFLIYGTDTGVVQYFYIEDWQFVNDYRHPVSVKKIFPDPNGTRLVFIDEKSDGFVYCPVNDATYEIPDFSPTIKGVLWENWPMDKGVFIAYDDDKVYTYVFHKDTIQGAKVILAGSTKVPFAHKPLLLYNGELTCQTQSGKVNNIYLSTHGFLSNLKDTGPDELRPMLAQNLMLKRFSDAWEMCRILNDEAAWNELARACLHHMEVEFAIRVYRRIGNVGIVMSLEQIKGIEDYNLLAGHLAMFTNDYNLAQDLYLASSCPIAALEMRRDLQHWDSALQLAKHLAPDQIPFISKEYAIQLEFAGDYVNALAHYEKGITGDNKEHDEACLAGVAQMSIRMGDIRRGVNQALKHPSRVLKRDCGAILENMKQFSEAAQLYEKGLYYDKAASVYIRSKNWAKVGDLLPHVSSPKIHLQYAKAKEADGRYKEAVVAYENAKQWQSVIRIYLDHLNNPEKAVNIVRETQSLDGAKMVARFFLQLGDYGSAIQFLVMSKCNNEAFTLAQQHNKMEIYADIIGSEDTTNEDYQSIALYFEGEKRYLQAGKFFLLCGQYSRALKHFLKCPSSEDNVAIEMAIETVGQAKDELLTNQLIDHLLGENDGMPKDAKYLFRLYMALKQYREAAQTAIIIAREEQSAGNYRNAHDVLFSMYAELKSQKIKIPSEMATNLMILHSYILVKIHVKNGDHMKGARMLIRVANNISKFPSHIVPILTSTVIECHRAGLKNSAFSFAAMLMRPEYRSKIDAKYKKKIEGMVRRPDISEIEEATTPCPFCKFLLPECELLCPGCKNSIPYCIATGRHMLKDDWTVCPHCDFPALYSELKIMLNTESTCPMCSERLNAAQLKKISDCTQYLRTEEEL
NP_079860.2 1 175 0.735399428571428 PF12301.8:CD99L2:31:172 CD99 antigen; Paired immunoglobin-like type 2 receptor-ligand; PILR-L; CD99 antigen 175 142 12 129 2 Mus musculus (Mouse) SwissProt::Q8VCN6 1 SwissProt MARAAMEAAATVVLALALLGAAARGAASDDFNLGDALEDPNMKPTPKAPTPKKPSGGFDLEDALPGGGGGGAGEKPGNRPQPDPKPPRPHGDSGGISDSDLADAAGQGGGGAGRRGSGDEGGHGGAGGAEPEGTPQGLVPGVVAAVVAAVAGAVSSFVAYQRRRLCFREGGSAPV
NP_079937.1 1 153 0.0759836601307189 PF04061.14:ORMDL:11:146 ORM1-like protein 3 153 136 12 107 2 Mus musculus NP_079937.1 1 RefSeq MNVGTAHSEVNPNTRVMNSRGIWLSYVLAIGLLHVVLLSIPFVSVPVVWTLTNLIHNLGMYIFLHTVKGTPFETPDQGKARLLTHWEQMDYGVQFTASRKFLTITPIVLYFLTSFYTKYDQVHFILNTVSLMTVLIPKLPQLHGVRIFGINKY
NP_081109.1 1 262 0.322470229007634 PF11945.8:WASH_WAHD:13:262 WASH complex subunit 1; WAS protein family homolog 1 475 250 12 262 0 Mus musculus (Mouse) SwissProt::Q8VDD8 1 SwissProt MTPVKTQCSLAGQLYAVPLIQPDLRREEAIQQVADALQYLQNISGDIFSRISRRVELSRRQLQAISERVSLAQAKIEKIKGSKKAIKVFSSAKYPAPEHLQEYGSIFTGALDPGLQRRPRYRIQSKHRPLDERALQEKLKYFPVCVNTKSEPEDEAEEGLGGLPSNISSISSLLLFNTTENLYKKYVFLDPLAGAVTKTHTMLGTEEEKLFDAPLSISKREQLERQAPENYFYVPDLGQVPEIDVPSYLPDLPGVADDLMYS
NP_081774.3 390 1369 0.661434897959184 serine/threonine-protein kinase SIK3 1369 0 12 980 0 Mus musculus NP_081774.3 1 RefSeq KTLRPGALPSMPQAMTFQAPVNLQAEQTGTAMNLSVPQVQLINPENQIIEPDGAVNLDSDEGEEPSPEALVRYLSMRRHTVGVADPRTEVMEDLQKLLPGFPGVNPQGPFLQVAPNMNFTHNLLPMQSLQPTGQLEYKEQSLLQPPTLQLLNGMGPLGRRASDGGANIQLHAQQLLKRPRGPSPLVTMTPAVPAVTPVDEESSDGEPDQEAVQRYLANRSKRHTLAMTSPTAEIPPDLQRQLGQQSFRSRVWPPHLVPDQHRSTYKDSNTLHLPTERFSPVRRFSDGAASIQAFKAHLEKMGNSSSIKQLQQECEQLQKMYGGQVDERTLEKTQQQHMLYQQEQHHQILQQQIQDSICPPQPSPPLQVACENQPALLTHQLQRLRIQPSSPPPNHPSNHLFRQPSNSPPPVSSAMITSHGATSPSQFQGLPSHGAIFQQQPENCSPPPSVALTCLGLQQASQSQPVTIQLQEPVDMLSNMAGTAAGSAGRSIPISPSASQIQIQHRASLMAPFSYGHRPLSKQLSADSAEAHSLNMNRFSPANYDQAHLHPHLFSDQSRGSPSSYSPSTGVGFPPTQALKVPPLDQFPTFPPSAQQQPPHYTTSALQQALLSPTPPDYPRHQQVPHILQGLLSPRHSLTGHSDIRLPPAEFAQLIKRQQQHRQQQQQQQQQQEYHELFRHMNQGDAVSLAPSLGGQNMTEQQALSYQNADSYHRHHTSPQHILQIRAQDCISQGPSPTPTHGYAHQPPLMHSESMEEDCLCEGLKEGFPDKSSSTLTKGCHNSPLLLCTSGPGDPEPLLGTVSQARELGIHPYGHQPTATTFSRNKVPSRESVLGNCLERSSPGQAMELPDHNGLGYPVRPLVSEHLRSRTLQRHHTIQNSDDAYVQLDTLPGMSLVAGKALSSARMSDAVLSQSSLMGSQQFQDEEDEECGVSLGHEHPGLGDGSQHLNSSRYPATCVTDIMLSHKHPEVSFSMEQAGV
NP_082069.1 1 213 0.56770234741784 PF15757.5:Amelotin:17:210 Amelotin 213 194 12 213 0 Mus musculus (Mouse) SwissProt::Q9D3J8 1 SwissProt MKTMILLLCLLGSAQSLPKQLNPASGVPATKPTPGQVTPLPQQQPNQVFPSISLIPLTQLLTLGSDLPLFNPAAGPHGAHTLPFTLGPLNGQQQLQPQMLPIIVAQLGAQGALLSSEELPLASQIFTGLLIHPLFPGAIPPSGQAGTKPDVQNGVLPTRQAGAKAVNQGTTPGHVTTPGVTDDDDYEMSTPAGLRRATHTTEGTTIDPPNRTQ
NP_082291.1 136 414 0.138572759856631 PF03798.16:TRAM_LAG1_CLN8:5:197 Ceramide synthase 5; CerS5; LAG1 longevity assurance homolog 5; Sphingosine N-acyltransferase CERS5; Translocating chain-associating membrane protein homolog 4; TRAM homolog 4; EC 2.3.1.-; EC 2.3.1.24 414 193 12 167 5 Mus musculus (Mouse) SwissProt::Q9D6K9 1 SwissProt PTLTKFCESMWRFTYYLCIFCYGIRFLWSMPWFWDTRQCWYNYPYQPLSRELYYYYITQLAFYWSLMFSQFIDVKRKDFLMMFIHHMIGIMLTTFSYVNNMVRVGALIFCLHDFADPLLEAAKMANYARRERLCTTLFVIFGAAFIVSRLAIFPLWILNTTLFESWEIIGPYPSWWLFNALLLILQVLHAIWSYLIVQTASKALSRGKVSKDDRSDVESSSEEEDETTHKNNLSGSSSSNGANCMNGYMGGSHLAEEQGTCKATGNLHFRASPHLHSCD
NP_082956.2 1 429 0.402994871794872 PF10498.9:IFT57:44:401 Intraflagellar transport protein 57 homolog; HIP1-interacting protein 429 358 12 429 0 Mus musculus (Mouse) SwissProt::Q8BXG3 1 SwissProt MAAAAAVIPPSGLDDGVSRARGEGAGEAVVERGPGAAYHMFVVMEDLVEKLKLLRYEEELLRKSNLKPPSRHYFALPTNPGEQFYMFCTLAAWLINKTGRAFEQPQEYDDPNATISNILSELRSFGRTADFPPSKLKSGYGEQVCYVLDCLAEEALKYIGFTWKRPSYPVEELEEETVPEDDAELTLSKVDEEFVEEETDNEENFIDLNVLKAQTYRLDTNESAKQEDILESTTDAAEWSLEVERVLPQLKVTIRTDNKDWRIHVDQMHQHKSGIESALKETKGFLDKLHNEISRTLEKIGSREKYINNQLEHLVQEYRGAQAQLSEARERYQQGNGGVTERTRLLSEVTEELEKVKQEMEEKGSSMTDGTPLVKIKQSLTKLKQETVQMDIRIGVVEHTLLQSKLKEKCNMTRDMHAAVTPESAIGFY
NP_109621.1 1 470 0.0948523404255319 PF07690.16:MFS_1:28:375 Monocarboxylate transporter 4 470 348 12 211 12 Mus musculus P57787 1 SwissProt/TReMBL MGGAVVDEGPTGIKAPDGGWGWAVLFGCFIITGFSYAFPKAVSVFFKELMHEFGIGYSDTAWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQIYLTTGVITGLGLALNFQPSLIMLNRYFNKRRPIANGLAAAGSPVFLCALSPLGQLLQDHYGWRGGFLILGGLLLNCCVCAALMRPLVAPQVGGGTEPRGPQRPPQRLLDLSVFRDRGFLIYAVAASIMVLGLFVPPVFVVSYAKDMGVPDTKAAFLLTILGFIDIFARPTAGFITGLKKVRPYSVYLFSFAMFFNGFTDLTGSTATDYGGLVVFCIFFGISYGMVGALQFEVLMAIVGTQKFSSAIGLVLLLEAVAVLIGPPSGGKLLDATKVYKYVFILAGAEVLTSSLVLLLGNFFCIGKRKRPEVTEPEEVASEEKLHKPPVDVGVDSREVEHFLKAEPEKNGEVVHTPETSV
NP_110416.1 1 377 0.130401061007958 PF04258.13:Peptidase_A22B:64:349 Minor histocompatibility antigen H13; Intramembrane protease 1; IMP-1; IMPAS-1; hIMP1; Presenilin-like protein 3; Signal peptide peptidase; EC 3.4.23.- 377 286 12 222 7 Homo sapiens (Human) SwissProt::Q8TCT9 1 SwissProt MDSALSDPHNGSAEAGGPTNSTTRPPSTPEGIALAYGSLLLMALLPIFFGALRSVRCARGKNASDMPETITSRDAARFPIIASCTLLGLYLFFKIFSQEYINLLLSMYFFVLGILALSHTISPFMNKFFPASFPNRQYQLLFTQGSGENKEEIINYEFDTKDLVCLGLSSIVGVWYLLRKHWIANNLFGLAFSLNGVELLHLNNVSTGCILLGGLFIYDVFWVFGTNVMVTVAKSFEAPIKLVFPQDLLEKGLEANNFAMLGLGDVVIPGIFIALLLRFDISLKKNTHTYFYTSFAAYIFGLGLTIFIMHIFKHAQPALLYLVPACIGFPVLVALAKGEVTEMFSYEESNPKDPAAVTESKEGTEASASKGLEKKEK
NP_112328.1 1 393 0.521558778625954 PF07763.13:FEZ:58:297 Fasciculation and elongation protein zeta-1; Zygin I; Zygin-1 393 240 12 393 0 Rattus norvegicus (Rat) SwissProt::P97577 1 SwissProt MEAPLVSLDEEFEDIRPCCTEDPEEKPQSLYGTSPHHLEDPSLSELENFSSEIISFKSMEDLVNEFDEKLNVCFRNYNAKTENLAPVKNQLQIQEEEETLRDEEVWDALTDNYIPSLSEDWRDPNIEALNGNSSDTEIHEKEEEDEFIEKSENDSGINEEPLLTADQVIEEIEEMMQNSPDPEEEVEVLEEEDGGEISSQADSVLLQEMQALTQTFNNNWSYEGLRHMSGSELTELLDQVEGAIRDFSEELVHQLARRDELEFEKEVKNSFITVLIEVQNKQKEQRELMKKRRKEKGLSLQSSRIEKGNQMPLKRFSMEGISNILQSGIRQTFGSSGADRQYLNTVIPYEKKSSPPSVEDLQMLTNILFAMKEDNEKVPTLLTDYILKVLCPT
NP_115612.4 397 1035 0.327988419405321 Ubiquitin carboxyl-terminal hydrolase 48; Deubiquitinating enzyme 48; Ubiquitin thioesterase 48; Ubiquitin-specific peptidase 48; Ubiquitin-specific protease 48; Ubiquitin-specific-processing protease 48; EC 3.4.19.12 1035 0 12 639 0 Homo sapiens (Human) SwissProt::Q86UV5 1 SwissProt QTRKPKCGKGTHCSRNAYMLVYRLQTQEKPNTTVQVPAFLQELVDRDNSKFEEWCIEMAEMRKQSVDKGKAKHEEVKELYQRLPAGAEPYEFVSLEWLQKWLDESTPTKPIDNHACLCSHDKLHPDKISIMKRISEYAADIFYSRYGGGPRLTVKALCKECVVERCRILRLKNQLNEDYKTVNNLLKAAVKGSDGFWVGKSSLRSWRQLALEQLDEQDGDAEQSNGKMNGSTLNKDESKEERKEEEELNFNEDILCPHGELCISENERRLVSKEAWSKLQQYFPKAPEFPSYKECCSQCKILEREGEENEALHKMIANEQKTSLPNLFQDKNRPCLSNWPEDTDVLYIVSQFFVEEWRKFVRKPTRCSPVSSVGNSALLCPHGGLMFTFASMTKEDSKLIALIWPSEWQMIQKLFVVDHVIKITRIEVGDVNPSETQYISEPKLCPECREGLLCQQQRDLREYTQATIYVHKVVDNKKVMKDSAPELNVSSSETEEDKEEAKPDGEKDPDFNQSNGGTKRQKISHQNYIAYQKQVIRRSMRHRKVRGEKALLVSANQTLKELKIQIMHAFSVAPFDQNLSIDGKILSDDCATLGTLGVIPESVILLKADEPIADYAAMDDVMQVCMPEEGFKGTGLLGH
NP_115830.1 1 368 0.321776630434783 PF10494.9:Stk19:163:365 Serine/threonine-protein kinase 19; Protein G11; Protein RP1; EC 2.7.11.1 368 203 12 368 0 Homo sapiens (Human) SwissProt::P49842 1 SwissProt MQKWFSAFDDAIIQRQWRANPSRGGGGVSFTKEVDTNVATGAPPRRQRVPGRACPWREPIRGRRGARPGGGDAGGTPGETVRHCSAPEDPIFRFSSLHSYPFPGTIKSRDMSWKRHHLIPETFGVKRRRKRGPVESDPLRGEPGSARAAVSELMQLFPRGLFEDALPPIVLRSQVYSLVPDRTVADRQLKELQEQGEIRIVQLGFDLDAHGIIFTEDYRTRVCDCVLKACDGRPYAGAVQKFLASVLPACGDLSFQQDQMTQTFGFRDSEITHLVNAGVLTVRDAGSWWLAVPGAGRFIKYFVKGRQAVLSMVRKAKYRELLLSELLGRRAPVVVRLGLTYHVHDLIGAQLVDCISTTSGTLLRLPET
NP_116582.1 1 885 0.383067118644068 PF03999.12:MAP65_ASE1:121:800 Anaphase spindle elongation protein 885 680 12 885 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50275 1 SwissProt METATSSPLPIKSRRNSENSGSTTVIPHMNPSLATPLTVSTMVNQSNSKEFMKLTPVRIRDFGSPLKNVSTNYHFLDSENGKGNTMDNMYRENFILISKDLEKLLENLNVIYQNIGYSNTEIITKEKIIFTTISNSIKQFFEQADEELKRLSAENGIEQDILNNILERINDPSGIKTIPDLYIRNAILLQESKTVPQSPKKPLSLLSKKAALDTAKKFVLGSFLPRLRDYLKSLITLKHLIQSVKENLPGLTEADNEAIAEFPELSTLTAYLLQIENGKGDIGLSMKFIIDNRKDILKGSAFKTINEESVKHMNEVIKIYEEEYERRFKSVLTKKVSISSICEQLGTPLATLIGEDFEQDLRSYGEEENSTSEIPNFHPVDRERMSKIDITLEKLQAIHKERADKKRLLMEQCQKLWTRLKISQEYIKTFMRNNSSLSTESLGRISKEVMRLEAMKKKLIKKLISDSWDKIQELWRTLQYSEESRSKFIIVFEELRNSATTLQEDELLLETCENELKRLEEKLTLYKPILKLISDFESLQEDQEFLERSSKDSSRLLSRNSHKILLTEEKMRKRITRHFPRVINDLRIKLEEADGLFDQPFLFKGKPLSEAIDIQQQEIEAKYPRCRVRMQRSKKGKCGANKENKVIKNTFKATESSIRVPIGLNLNDANITYKTPSKKTIQGLTKNDLSQENSLARHMQGTTKLSSPNRRATRLLAPTVISRNSKGNIERPTLNRNRSSDLSSSPRINHTHGEHAVKPRQLFPIPLNKVDTKGSHIPQLTKEKALELLKRSTGTTGKENVRSPERKSSLEDYAQKLSSPYKEPEHSIYKLSMSPEGKFQLNIQQKDIESGFDDTSMMEDENDKDFITWKNEQVSKLNGFSFTDI
NP_116704.1 85 361 0.55353393501805 Inner kinetochore subunit CNN1; CENP-T homolog; Co-purified with NNF1 protein 1; Constitutive centromere-associated network protein CNN1 361 0 12 277 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43618 1 SwissProt DTTTNKTQARNLIDELAYEESQPEENELLRSRSEKLTDNNIGNETQPDYTSLSQTVFAKLQERDKGLKSRKIDPIIIQDVPTTGHEDELTVHSPDKANSISMEVLRTSPSIGMDQVDEPPVRDPVPISITQQEEPLSEDLPSDDKEETEEAENEDYSFENTSDENLDDIGNDPIRLNVPAVRRSSIKPLQIMDLKHLTRQFLNENRIILPKQTWSTIQEESLNIMDFLKQKIGTLQKQELVDSFIDMGIINNVDDMFELAHELLPLELQSRIESYLF
NP_149974.2 1 484 0.115967561983471 PF01273.25:LBP_BPI_CETP:44:210,PF02886.17:LBP_BPI_CETP_C:363:457 BPI fold-containing family B member 1; Long palate, lung and nasal epithelium carcinoma-associated protein 1; von Ebner minor salivary gland protein; VEMSGP 484 262 12 461 1 Homo sapiens (Human) SwissProt::Q8TDL5 1 SwissProt MAGPWTFTLLCGLLAATLIQATLSPTAVLILGPKVIKEKLTQELKDHNATSILQQLPLLSAMREKPAGGIPVLGSLVNTVLKHIIWLKVITANILQLQVKPSANDQELLVKIPLDMVAGFNTPLVKTIVEFHMTTEAQATIRMDTSASGPTRLVLSDCATSHGSLRIQLLHKLSFLVNALAKQVMNLLVPSLPNLVKNQLCPVIEASFNGMYADLLQLVKVPISLSIDRLEFDLLYPAIKGDTIQLYLGAKLLDSQGKVTKWFNNSAASLTMPTLDNIPFSLIVSQDVVKAAVAAVLSPEEFMVLLDSVLPESAHRLKSSIGLINEKAADKLGSTQIVKILTQDTPEFFIDQGHAKVAQLIVLEVFPSSEALRPLFTLGIEASSEAQFYTKGDQLILNLNNISSDRIQLMNSGIGWFQPDVLKNIITEIIHSILLPNQNGKLRSGVPVSLVKALGFEAAESSLTKDALVLTPASLWKPSSPVSQ
NP_172751.1 1 346 0.281507803468209 PF00400.32:WD40:263:296 WD repeat-containing protein LWD1; Protein ANTHOCYANIN 11-A; AtAN11-A; Protein LIGHT-REGULATED WD1; WD repeat-containing protein AN11-A 346 34 12 346 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LPV9 1 SwissProt MGTSSDPIQDGSDEQQKRSEIYTYEAPWHIYAMNWSVRRDKKYRLAITSLLEQYPNRVEIVQLDESNGEIRSDPNLSFEHPYPPTKTIFIPDKECQRPDLLATSSDFLRLWRIADDHSRVELKSCLNSNKNSEFCGPLTSFDWNEAEPRRIGTSSTDTTCTIWDIEREAVDTQLIAHDKEVFDIAWGGVGVFASVSADGSVRVFDLRDKEHSTIIYESSEPDTPLVRLGWNKQDPRYMATIIMDSAKVVVLDIRFPALPVVELQRHQASVNAIAWAPHSSCHICTAGDDSQALIWDISSMGQHVEGGLDPILAYTAGAEIEQLQWSSSQPDWVAIAFSTKLQILRV
NP_172762.2 1 608 0.504883388157894 PF15862.5:Coilin_N:6:194 Coilin; Atcoilin 608 189 12 608 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWK8 1 SwissProt MEEEKVRVRLVFEDRRILSKYQKKQGLTRSWVVLNRKCHRTISEFSDHIFHTFSLCEACPHGLSLSMEGFVLPPFESSCVLKDKDIVCVKKKKESLLEIVGEDSDENVYNAIEVEERPQIRPGEMLLANEEFQKETGGYESESEEDELEEEAEEFVPEKKASKKRKTSSKNQSTKRKKCKLDTTEESPDERENTAVVSNVVKKKKKKKSLDVQSANNDEQNNDSTKPMTKSKRSSQQEESKEHNDLCQLSAETKKTPSRSARRKKAKRQWLREKTKLEKEELLQTQLVVAPSQKPVITIDHQATKEKHCETLENQQAEEVSDGFGDEVVPVEVRPGHIRFKPLAGTDEASLDSEPLVENVLWNGNMTKKKGQKWGTEKSGFSKRYAQDFNEDATTQPAEAETLANCPIDYEQLVAYTGSVKKGDVIAYRLIELTSSWTPEVSSFRVGKISYYDPDSKMVTLMPVQEFPIEKKTEEDDDFCMQPDTSLYKEDGSLEIEFSALLDVRSVKTSSSDSAEVAKSALPEPDQSAKKPKLSANKELQTPAKENGEVSPWEELSEALSAKKAALSQANNGWNKKGSSSGGSWSYKALRGSAMGPVMNYLRSQKEI
NP_176873.1 1 197 0.556117766497462 Zinc finger protein 6 197 0 12 197 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39265 1 SwissProt MATETSSLKLFGINLLETTSVQNQSSEPRPGSGSGSESRKYECQYCCREFANSQALGGHQNAHKKERQLLKRAQMLATRGLPRHHNFHPHTNPLLSAFAPLPHLLSQPHPPPHMMLSPSSSSSKWLYGEHMSSQNAVGYFHGGRGLYGGGMESMAGEVKTHGGSLPEMRRFAGDSDRSSGIKLENGIGLDLHLSLGP
NP_177130.1 1 285 0.309191228070176 PF01126.20:Heme_oxygenase:116:281 Heme oxygenase 3, chloroplastic; EC 1.14.14.18 285 166 12 285 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9L4 1 SwissProt MATTRLNPSCHFPASTRLSCESYLGLRTTGRISYARTLTAPRGYLAVKANGGQASVVTAAAITEKQQKKYPGESKGFVEEMRFVAMRLHTKDQAREGEKESRSPEEGPVAKWEPTVEGYLHFLVDSKLVYDTLEGIIDGSNFPTYAGFKNTGLERAESLRKDLEWFKEQGYEIPEPMAPGKTYSEYLKDLAENDPQAFICHFYNIYFAHSAGGQMIGTKVSKKILDNKELEFYKWDGQLSQLLQNVRQKLNKVAEWWTREEKSHCLEETEKSFKFSGEILRLILS
NP_177419.1 1 188 0.241151595744681 PF02466.19:Tim17:63:170 Mitochondrial import inner membrane translocase subunit TIM23-2 188 108 12 188 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38820 1 SwissProt MAANNRSDHGSDENTRLYNPYQNYEVPINKSQYLYKLPTSPEFLFTEEALRQRRSWGENLTFYTGTAYLGGSVAGASVGVITGVKSFESGDTTKLKINRILNSSGQTGRTWGNRIGIIGLVYAGIESGIVAATDRDDVWTSVVAGLGTGAVCRAARGVRSAAVAGALGGLAAGAVVAGKQIVKRYVPI
NP_179268.2 1 249 0.432295983935743 PF07716.15:bZIP_2:73:129,PF00170.21:bZIP_1:79:122 Basic leucine zipper 23; AtbZIP23; bZIP protein 23 249 57 12 249 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GTS2 1 SwissProt MDDGELEFSNSNMGGELPSCSMDSFFDELLRDSHACTHTHTCNPPGPENTHTHTCLHVHTKILPDKVSTDDTSESSGKKRPLGNREAVRKYREKKKAKAASLEDEVMRLKAVNNQLLKRLQGQAALEAEVTRLKCLLVDIRGRIDGEIGAFPYQKPAVTNVPYSYMMHPCNMQCDVDNLYCLQNGNNGEGASMNEQGLNGCEFDQLECLANQNLAGKEIPVCSNGIGTFTVNGSGVNKRKGEPRAAKAV
NP_179360.1 1 421 0.105110213776722 PF03478.18:DUF295:349:395 F-box protein At2g17690; Protein SUPPRESSOR OF DRM1 DRM2 CMT3 421 47 12 421 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q3EBY8 1 SwissProt MGDWSKLPEELLGLIALRLYSVIELIRFRSICKSWRSSASGVNKNHSLSSPLIYFKPLQIILARAQANGQILSKYHGTVLSRATFFRVTLASSPDQGWLIKSDTDLKYRNFHLLNCLSRKALGRSRKLISLSEFIVSEIQESYAVVGRRTRETASEFKRVFLVRVQGGDHRVLVIGIDGKIRFWKGGIWNGIKKQVAQFSDFVLDEGLTYAVDTKGIMWWISSAYDIIRYGTKLHENITNGSCGEKRFVRCRGELYIVDRLIDENLLKRKADSYDDNAIVHFRNADYTNADLWEDGNGNDDFFAAQAHRFIHVLHDNLGNVSCKPFERDPPKTIGFKVYKNDEELLKWVEVKSLGDKAIVIATDACFSVSAHEFYGCLPNSIYFTDKKEEEVKVFKLDDGSITTMSESEQSCFQMFVPSFL
NP_180298.1 148 335 0.396804255319149 NAC domain-containing protein 40; ANAC040; Protein NTM1-like 8 335 0 12 165 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XIN7 1 SwissProt LVVCRLRKNADFRASSTQKMEDGVVQDDGYVGQRGGLEKEDKSYYESEHQIPNGDIAESSNVVEDQADTDDDCYAEILNDDIIKLDEEALKASQAFRPTNPTHQETISSESSSKRSKCGIKKESTETMNCYALFRIKNVAGTDSSWRFPNPFKIKKDDSQRLMKNVLATTVFLAILFSFFWTVLIARN
NP_181270.1 1 273 0.042781684981685 PF03649.13:UPF0014:26:259 Protein ALUMINUM SENSITIVE 3; ABC transporter I family member 16; ABC transporter ABCI.16; AtABCI16; ybbM homolog protein 273 234 12 115 7 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUT3 0 SwissProt MDLKWDDFFNDYEWLIVFLKGMVKPAAALVVVLLAVILSYSQNLSLEGEMIYSVSRSFLQLSVIGFVLQFIFNQENSGWIILAYLFMVSVAGYTAGQRARHVPRGKYVAGLSILAGTSITMFLLVLLNVFPFTPRYMIPIAGMLVGNAMTVTGVTMKQLRDDIKMQLNLVETALALGATPRQATLQQVKRALVISLSPVLDSCKTVGLISLPGAMTGMIMGGASPLEAIQLQIVVMNMMVGAATVSSITSTYLCWPSFFTKAYQLQTHVFSSD
NP_181467.1 347 740 0.129595431472081 PF01061.24:ABC2_membrane:90:298 ABC-2 type transporter family protein 740 209 12 256 6 Arabidopsis thaliana NP_181467.1 1 RefSeq RELEGSAGGTRGLIEFNKKWQEMKKQSNRQPPLTPPSSPYPNLTLKEAIAASISRGKLVSGGESVAHGGATTNTTTLAVPAFANPMWIEIKTLSKRSMLNSRRQPELFGIRIASVVITGFILATVFWRLDNSPKGVQERLGFFAFAMSTMFYTCADALPVFLQERYIFMRETAYNAYRRSSYVLSHAIVSFPSLIFLSVAFAATTYWAVGLDGGLTGLLFYCLIILASFWSGSSFVTFLSGVVPSVMLGYTIVVAILAYFLLFSGFFINRNRIPDYWIWFHYMSLVKYPYEAVLQNEFSDATKCFVRGVQIFDNTPLGELPEVMKLKLLGTVSKSLGVTISSTTCLTTGSDILRQQGVVQLSKWNCLFITVAFGFFFRILFYFTLLLGSKNKRR
NP_181477.1 1 394 0.157497969543147 PF01545.21:Cation_efflux:107:300,PF16916.5:ZT_dimer:311:380 Metal tolerance protein 11; AtMTP11 394 264 12 305 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80632 1 SwissProt MVEPASPDSDEGISLLEFHGNGDRSWQLNFDDFQVSPEHKEKKSPSKLHNCLGCLGPEDNVADYYQQQVEMLEGFTEMDELAERGFVPGMSKEEQDNLAKSETLAIRISNIANMLLFAAKVYASVTSGSLAIIASTLDSLLDLLSGFILWFTAFSMQTPNPYQYPIGKKRMQPLGILVFASVMATLGLQIILESLRTMLSSHKEFNLTKEQESWVVGIMLSVTLVKLLLVLYCRSFTNEIVKAYAQDHFFDVITNIIGLIAVILANYIDYWIDPVGAIILALYTIRTWSMTVLENVNSLVGKSARPEYLQKLTYLCWNHHKAIRHIDTVRAYTFGSHYFVEVDIVLPADMPLQVAHDIGESLQEKLELLEEIERAFVHLDYEYTHKPEHARSHC
NP_186763.2 160 388 0.599887336244542 myb domain protein 106 388 0 12 229 0 Arabidopsis thaliana NP_186763.2 1 RefSeq IKMGIDPVTHKHKNETLSSSTGQSKNAATLSHMAQWESARLEAEARLARESKLLHLQHYQNNNNLNKSAAPQQHCFTQKTSTNWTKPNQGNGDQQLESPTSTVTFSENLLMPLGIPTDSSRNRNNNNNESSAMIELAVSSSTSSDVSLVKEHEHDWIRQINCGSGGIGEGFTSLLIGDSVGRGLPTGKNEATAGVGNESEYNYYEDNKNYWNSILNLVDSSPSDSATMF
NP_186827.2 1 1083 0.158909325946445 Protein TPLATE 1176 0 12 1083 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4J8D3 1 SwissProt MDILFAQIQADLRSNDALRQSSALLQALQQSAAGRDISVIAKSAVEEIVASPASAVCKKLAFDLIRSTRLTPDLWDTVCSGVKTDLHFPDPDVTAAAVSILAALPAFSLPKLISDCSSEIASCFDSPSDNLRFSITETLGCILARDDLVTLCENNVGLLDKVSNWWARIGQNMLDKSDAVSKVAFESVGRLFQEFDSKRMSRLAGDKLVDSENSLAIRSKWVSSMVDIVWRKRSALMARSLVLPVETFRATVFPLVFAVKAVASGSVEVIRQLSKASSAAAAANATVVDSNAEKLVGVSDLVTHLAPFLASSLDPALIFEVGINMLYLADVAGGKPEWASQSIIAILTLWDRQEFSSARESIVRAVVTNLHLLDLHMQVSLFRRLLLMVRNLRAESDRMHALACICRTALCVHLFARESARRGQKPLPGTDIISLFEDARIKDDLNSVTSKSLFREELVAMLVESCFQLSLPLPEQKNSGMESRVIGALAYGTGYGALNWTEPALEVVEVCRPCVKWDCDGRTYAVDCYLKLLVRLCHIYDTRGGVKRLKDGASQDQILNETRLQNLQRELVKDLQEVNTPRILGRLIWTIAEHIDLEGLDPLLADDPDDPLNIIIANIHKVLFNLDAAATTSNRLQDVQAVLLCAQRMGSRHARAGQLLTKELEEYRNHAAADTVSKHQTRLILQRIKYVSNLPERKWAGVSETRGDYPFSHHKLTVQFYEPSAAQDRKLEGLIHKAILELWRPKPTELTLFLTKGVDSTSIKVPPTAYPLTGSSDPCYIEAYHLADTNDGRVTLHLKIINLTELELNRVDIRVGLSGALYFMDGSPQAVRQLRNLVSQDPVQCSVTVGVSQFERCGFWVQVLYYPFRGARGEYDGDYIEEDPQIMKQKRGSKAELGEPVILRCQPYKIPLTELLLPHKISPVEFFRLWPSLPAVAEYTGTYMYEGSGFMATAAQQYGASPFLSGLKSLSSKPFHRVCSHIIRTVAGFQLCYAAKTWHGGFVGMMIFGASEVSRNMDLGDETTTMMCKFVVRASEASITKQIESDIQGWCDDLTDGGVEYMPEDEVKATAAEKLKISMERIA
NP_187096.2 1 393 0.17414096692112 PF04757.14:Pex2_Pex12:21:303 Peroxisome biogenesis protein 12; Peroxin-12; AtPEX12; Pex12p; Protein ABERRANT PEROXISOME MORPHOLOGY 4 393 283 12 393 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M841 1 SwissProt MLFQVGGEGTRPTFFEMAAAQQLPASLRAALTYSLGVFALRRSFLHKILDYEDEFFAALMLILEGHSLRTTDGSFAESLYGLRRKSARLRLRKDSARKDSSEEVQHSGLEKRQRILSVVFLVVLPYFKSKLHAIYNKEREARLRESLWGAEDQGFDEADFFTGDDSIVSREPSGNEELSVRVQLATKIKKFIAVCYPWIHASSEGLSFTYQLLYLLDATGFYSLGLQALGIQVCRATGQELMDTSSRISKIRNHERERLRGPPWLKTVQGALLSCSYAVLDYAQTGLIAAVFIFKMMEWWYQSAEERLSAPTVYPPPPPPPAPKMAKEGIPLPPDRSLCALCLQKRANPSVVTVSGFVFCYSCVFKYVSKYKRCPVTLIPASVDQIRRLFQDT
NP_187955.2 1 429 0.551672027972028 YTH domain-containing protein ECT2; Protein EVOLUTIONARILY CONSERVED C-TERMINAL REGION 2 667 0 12 429 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJE5 1 SwissProt MATVAPPADQATDLLQKLSLDSPAKASEIPEPNKKTAVYQYGGVDVHGQVPSYDRSLTPMLPSDAADPSVCYVPNPYNPYQYYNVYGSGQEWTDYPAYTNPEGVDMNSGIYGENGTVVYPQGYGYAAYPYSPATSPAPQLGGEGQLYGAQQYQYPNYFPNSGPYASSVATPTQPDLSANKPAGVKTLPADSNNVASAAGITKGSNGSAPVKPTNQATLNTSSNLYGMGAPGGGLAAGYQDPRYAYEGYYAPVPWHDGSKYSDVQRPVSGSGVASSYSKSSTVPSSRNQNYRSNSHYTSVHQPSSVTGYGTAQGYYNRMYQNKLYGQYGSTGRSALGYGSSGYDSRTNGRGWAATDNKYRSWGRGNSYYYGNENNVDGLNELNRGPRAKGTKNQKGNLDDSLEVKEQTGESNVTEVGEADNTCVVPDREQ 3
NP_188246.1 1 204 0.480061764705882 PF00111.27:Fer2:119:166 Photosynthetic NDH subunit of subcomplex B 3, chloroplastic; Protein PnsB3; NDH-DEPENDENT CYCLIC ELECTRON FLOW 4 204 48 12 204 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LU21 1 SwissProt MGSVQLSGSGLVASLPPNHSFSHKTKLNKPNSYFFRSKHNAARTKTVRAISTAPASQPPAADEPDEPPAVDFAFVHSVLLPDGTPDVHWRRANGGQKLRDIMLDSNIELYGPYSKPLSNCAGVGTCATCMVEIVNGKELLNPRTDIEKEKLKRKPKNWRLACQTNVGNPDSTGLVVIQQLPEWKAHEWNIPKNIPNDDDLETST
NP_188487.1 1 166 0.0626903614457831 PF01277.17:Oleosin:44:152 Oleosin family protein 166 109 12 100 3 Arabidopsis thaliana NP_188487.1 1 RefSeq MAERFSSGEAQYWPNYGSTATTTVSNSPISSFFHQLRSHSPTSSQLFGFLALFISTGILLFLLGVSVTAAVLGFIVFLPLIIISSPIWIPVFVVVGGFLTVSGFLVGTVALVSWTYRYFRGMHPVGSNQMDYARSRIYDTASHVKDYAREYGGYFHGRAKDAAPGA
NP_188827.1 1 121 0.144467768595041 B-box domain protein 31; AtBBX31; Microprotein 1B 121 0 12 121 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LRM4 1 SwissProt MCRGLNNEESRRSDGGGCRSLCTRPSVPVRCELCDGDASVFCEADSAFLCRKCDRWVHGANFLAWRHVRRVLCTSCQKLTRRCLVGDHDFHVVLPSVTTVGETTVENRSEQDNHEVPFVFL
NP_190006.2 269 956 0.75991555232558 Serine/threonine-protein kinase Nek5; NimA-related protein kinase 5; AtNEK6; AtNek5; EC 2.7.11.1 956 0 12 688 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WPH8 1 SwissProt YRPTLSAASITPEKPLNSREGRRSMAESQNSNSSSEKDNFYVSDKNIRYVVPSNGNKVTETDSGFVDDEDILDHVQQSAENGNLQSVSATKPDGHGILKPVHSDQRPDVIQPRHPKTIRNIMMVLKEEKARENGSPMRSNRSRPSSVPTQKNNVETPSKIPKLGDIAHSSKTNASTPIPPSKLASDSARTPGSFPPKHHMPVIDSSPKLKPRNDRISPSPAAKHEAEEAMSVKRRQRTPPTLPRRTSLIAHQSRQLGADISNMAAKETAKLHPSVPSESETNSHQSRVHASPVSTTPEPKRTSVGSAKGMQSESSNSISSSLSMQAFELCDDASTPYIDMTEHTTPDDHRRSCHSEYSYSFPDISSEMMIRRDEHSTSMRLTEIPDSVSGVQNTIAHHQPEREQGSCPTVLKDDSPATLQSYEPNTSQHQHGDDKFTVKEFVSSVPGPAPLPLHVEPSHQVNSHSDNKTSVMSQNSALEKNNSHSHPHPVVDDVIHVIRHSSFRVGSDQPVMESVEVGVQNVDMGKLINVVRDEMEVRKGATPSESPTTRSIISEPDSRTEPRPKEPDPITNYSETKSFNSCSDSSPAETRTNSFVPEEETTPTPPVKETLDIKSFRQRAEALEGLLELSADLLEQSRLEELAIVLQPFGKNKVSPRETAIWLAKSLKGMMIEDINNNNSSGSSRNCS
NP_190928.1 1 175 0.375976 PF13912.6:zf-C2H2_6:48:73,PF13912.6:zf-C2H2_6:93:117 Zinc finger protein ZAT18 175 51 12 175 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LFG0 1 SwissProt MKRDRSDYEESMKHIDIVESLMMLSRSFVVKQIDVKQSTGSKTNHNNHFECKTCNRKFDSFQALGGHRASHKKPKLIVDQEQVKHRNKENDMHKCTICDQMFGTGQALGGHMRKHRTSMITEQSIVPSVVYSRPVFNRCSSSKEILDLNLTPLENDLVLIFGKNLVPQIDLKFVN
NP_192037.2 118 302 0.54975945945946 Homeodomain-like superfamily protein 302 0 12 185 0 Arabidopsis thaliana NP_192037.2 1 RefSeq PPRPKRKASHPYPIKAPKNVAYTSLPSSSTLPLLEPGYLYSSDSKSLMGNQAVCASTSSSWNHESTNLPKPVIEEEPGVSATAPLPNNRCRQEDTERVRAVTKPNNEESCEKPHRVMPNFAEVYSFIGSVFDPNTSGHLQRLKQMDPINMETVLLLMQNLSVNLTSPEFAEQRRLISSYSAKALK
NP_192096.1 1 652 0.331101840490797 PF07676.12:PD40:443:473,PF07676.12:PD40:487:515,PF00930.21:DPPIV_N:8:156 tolB protein-like protein 652 209 12 652 0 Arabidopsis thaliana NP_192096.1 1 RefSeq METPKGTIIFTTVGRTHYGFDVFSLNIATSVERRLTDGVSVNFNAQFVNDKSDDVVFVSERNGSARIYKTRSGISKPEQIPGAPESYFHDRPIITQNNRLYFISAHEQPDRYFKNWSALYTVELNSAKREVTRVTPPDTADFSPAVSQSGDFLAVASYGTRSWGGEFHEINTDITVFKASKPETRVVICERGGWPTWSGDSTVFFHHQADDGWWSIFRVDIPENFTEYTDFPITPIRVTPSGLHCFTPAAFRDGKRIALATRRRGVNHRHIEIYDLENTTFQPVTESLNPSFHHYNPFVSPDSEFLGYHRFRGESTQGESIVPNIESIVSPIKTLRLLRINGSFPSSSPNGDLIALNSDFDINGGIKVSKSDGSKRWTLIKDRTAFYNSWSPTERHVIYTSLGPIFSPARIAVQIARIKFDPSDLTADKEDLPCDVKILTLENTGNNAFPSCSPDGKSIVFRSGRSGHKNLYIVDAVNGESNGGGIRRLTDGPWIDTMPCWSPKGDLIGFSSNRHNPENTAVFGAYVVRPDGTGLRRIQISGPEGSEEAARERVNHVSFNKDGDWLVFAANLSGVTAEPVTMPNQFQPYGDLYVVKLDGTGLRRLTWNGYEDGTPTWHTADELDLSQLNLNGQDGDKLEGQFEEPLWISCDI
NP_192136.1 1 165 0.0945757575757575 PF01190.17:Pollen_Ole_e_I:42:134 root hair specific 13 165 93 12 165 0 Arabidopsis thaliana NP_192136.1 1 RefSeq MAFSRLSFAASLIVFSSLIISSVAYYGNEADPETGKLIPIAVEGIIKCKSGGKTYPIQGATARIACVKVDAYGNELVPISILSSKTDAKGYFIATIFPSQLRAGRTVTKCKTYLYKSPLADCDFPTDVNKGVRGQPLSTYRILQDKSFKLYWAGPFFYTSEPTYY
NP_192933.2 1 313 0.17558338658147 PGR5-like protein 1B, chloroplastic; Ferredoxin-plastoquinone reductase 2 313 0 12 272 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GYC7 1 SwissProt MAFTLTIPRFSAISRKPITCSSSRTQCPAPFTHGRSISLRRRLTLLPLKASTDQSGQVGGEEVDSKILPYCSINKNEKRTIGEMEQEFLQAMQSFYYEGKAIMSNEEFDNLKEELMWEGSSVVMLSSDEQRFLEASMAYVSGNPILSDEEYDKLKMKLKMDGSEIVCEGPRCSLRSKKVYSDLAIDYFKMFLLNVPATVVALGLFFFLDDITGFEITYLLELPEPFSFIFTWFAAVPAIVYLALSLTKLILKDFLILKGPCPNCGTENVSFFGTILSIPNDSNTNNVKCSGCGTEMVYDSGSRLITLPEGGKA
NP_194423.1 122 389 0.439844776119403 Transcription factor MYB97; Myb-related protein 97; AtMYB97 389 0 12 268 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S773 1 SwissProt FQRQGLPLYPPEYSQNNHQQQMYPQQPSSPLPSQTPASSFTFPLLQPPSLCPKRCYNTAFSPKASYISSPTNFLVSSPTFLHTHSSLSSYQSTNPVYSMKHELSSNQIPYSASLGVYQVSKFSDNGDCNQNLNTGLHTNTCQLLEDLMEEAEALADSFRAPKRRQIMAALEDNNNNNNFFSGGFGHRVSSNSLCSLQGLTPKEDESLQMNTMQDEDITKLLDWGSESEEISNGQSSVITTENNLVLDDHQFAFLFPVDDDTNNLPGIC
NP_195151.1 1 374 0.130031016042781 PF08392.12:FAE1_CUT1_RppA:71:365,PF00195.19:Chal_sti_synt_N:148:279 3-ketoacyl-CoA synthase 16; KCS-16; Very long-chain fatty acid condensing enzyme 16; VLCFA condensing enzyme 16; EC 2.3.1.199 493 295 12 328 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYZ0 1 SwissProt MDYPMKKVKIFFNYLMAHRFKLCFLPLMVAIAVEASRLSTQDLQNFYLYLQNNHTSLTMFFLYLALGSTLYLMTRPKPVYLVDFSCYLPPSHLKASTQRIMQHVRLVREAGAWKQESDYLMDFCEKILERSGLGQETYVPEGLQTLPLQQNLAVSRIETEEVIIGAVDNLFRNTGISPSDIGILVVNSSTFNPTPSLSSILVNKFKLRDNIKSLNLGGMGCSAGVIAIDAAKSLLQVHRNTYALVVSTENITQNLYMGNNKSMLVTNCLFRIGGAAILLSNRSIDRKRAKYELVHTVRVHTGADDRSYECATQEEDEDGIVGVSLSKNLPMVAARTLKINIATLGPLVLPISEKFHFFVRFVKKKFLNPKLKHY
NP_195804.1 1 270 0.602326666666667 PF04844.13:Ovate:206:262,PF13724.6:DNA_binding_2:1:45 Transcription repressor OFP1; Ovate family protein 1; AtOFP1 270 102 12 270 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZW2 1 SwissProt MGNNYRFKLSELIPNAWFYKLRDMSKSKKKNLQSQPNSTTSKKKHHAVPTPTSTTPLSPRPPRRPSHSSKAPPSHPPRKSSGNRLRHRATVDSKSSTTSGDSTTTETGSFSPDFRSDQVLLPDESLTGSWHSPCSSKLSKTATFTPPPELELRPIITKTAATARKTAVNSPAGVRLRMRSPRISVSSSARRSGSSARRSRAVVKASVDPKRDFKESMEEMIAENKIRATKDLEELLACYLCLNSDEYHAIIINVFKQIWLDLNLPPPHSK
NP_196039.1 1 406 0.507628325123152 Protein PHYTOCHROME KINASE SUBSTRATE 4 406 0 12 406 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FYE2 1 SwissProt MAQTTVTVVATKRDALDPYIKILQNRSNDIDVSFSSYLKPDNNEQQQKENEDTELSIFEARSYFSENGSNDSRCQTRNLSGPRFSSVASAKVSSFTVGQTASSEASWNSQTGLLSNKNRQGSDRDGRRSSKKGPRWFFRRRACPCSSSKSVQVQESKPRIAVPKTGSDRIVSNRIVHSHQTISSPEPIRLTIPSNTVTRSIDYTANKEARAPVSNFSFPTLNETSQLSENPKNPVLNHIKPVRIEPALLPIKPVLNPTSPKGVIIDEEATSDASSDLFEIESFSTQTAARPWAPPVRDSMEETVSEYGYEPSEASVTWSVMTAEPASAVAANFSRIALSSSSTAFSGYDKKRTGLLNCHCEKAVMVNGDKRLVQPVKSVGVQNDVAGKVLCNNGSSKLSVTSRPRQ
NP_196409.1 1 728 0.264321428571428 PF00609.19:DAGK_acc:508:664,PF00781.24:DAGK_cat:361:461,PF00130.22:C1_1:150:210 Diacylglycerol kinase 1; AtDGK1; DAG kinase 1; Diglyceride kinase 1; DGK 1; EC 2.7.1.107 728 319 12 705 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39017 1 SwissProt MDDDGELGMFFPSWTSKNPIDTVESRGLMFSCFVAALVGILTIAYTAFQWRRNINLSWTKAIARSKKNPKARHKVPVAPHSWELDPIARAKNLNCCVCLKSMSPSQAIVASESFFHRCTICGAAAHFNCSSSAPKDCKCVSMVGFEHVVHQWAVRWTEGADQTDDSSFCSYCDESCSSSFLGGSPIWCCLWCQRLVHVDCHSNMSNETGDICDLGPLRRLILCPLYVKELTRNPSGGFLSSITHGANELASTALASIRIQSKKYKQTNETSADTGNSGSNCDESTESTADTGPTVNGAHAVLENSISVMNGDSSNGDSDSNGKLEKKPSVKRTGSFGQKEYHALRSKLKYELADLPSDARPLLVFINKKSGAQRGDSLRQRLHLHLNPVQVFELSSVQGPEVGLFLFRKVPHFRVLVCGGDGTAGWVLDAIEKQNFISPPAVAILPAGTGNDLSRVLNWGGGLGSVERQGGLSTVLQNIEHAAVTVLDRWKVSILNQQGKQLQPPKYMNNYIGVGCDAKVALEIHNLREENPERFYSQFMNKVLYAREGARSIMDRTFEDFPWQVRVEVDGVDIEVPEDAEGILVANIGSYMGGVDLWQNEDETYENFDPQSMHDKIVEVVSISGTWHLGKLQVGLSRARRLAQGSAVKIQLCAPLPVQIDGEPWNQQPCTLTISHHGQAFMLKRAAEEPLGHAAAIITDVLENAETNQVINASQKRTLLQEMALRLT
NP_197719.1 1 250 0.6120384 PF03634.13:TCP:39:157 TCP family transcription factor 250 119 12 250 0 Arabidopsis thaliana NP_197719.1 1 RefSeq MSINNNNNNNNNNNDGLMISSNGALIEQQPSVVVKKPPAKDRHSKVDGRGRRIRMPIICAARVFQLTRELGHKSDGQTIEWLLRQAEPSIIAATGTGTTPASFSTASVSIRGATNSTSLDHKPTSLLGGTSPFILGKRVRADEDSNNSHNHSSVGKDETFTTTPAGFWAVPARPDFGQVWSFAGAPQEMFLQQQHHHQQPLFVHQQQQQQAAMGEASAARVGNYLPGHLNLLASLSGGSPGSDRREEDPR
NP_199073.1 1 290 0.230845172413793 PF00067.22:p450:33:286 Cytochrome P450 71A16; Marneral oxidase; EC 1.14.-.- 497 254 12 272 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FH66 1 SwissProt MEMMILISLCLTTFLTILLFFKSLLKRPNSNLPPSPWRLPVIGNLHQLSLHPHRALSSLSARHGPLMLLRFGRVPVLIVSSADVAHDVMKTHDLKFANRPITKSAHKISNGGRDLVFAPYGEYWRNVKSLCTIHLLSNKMVQSSEKRREEEITLLMETLEEASLSSSSVNLSKLITNMVSDIMGKVVLGKKYSGEEGTIDVKTITKSFLDAVGLSPVGEYIPSLAWIGKITGSDGKLEKITKQFGDFIEKVLQEHEDTTADKETPDFVDMLLTIQRDETAQCQLDKSDLK
NP_199202.1 1 424 0.221672169811321 PF00743.19:FMO-like:27:345,PF13738.6:Pyr_redox_3:26:225,PF13434.6:K_oxygenase:91:224,PF07992.14:Pyr_redox_2:26:225,PF13450.6:NAD_binding_8:27:62 Probable indole-3-pyruvate monooxygenase YUCCA5; Flavin-containing monooxygenase YUCCA5; Protein SUPPRESSOR OF ER 1; EC 1.14.13.168 424 320 12 401 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LKC0 1 SwissProt MENMFRLMGSEDSSDRRRCIWVNGPVIVGAGPSGLATAACLREEGVPFVVLERADCIASLWQKRTYDRIKLHLPKKVCQLPKMPFPEDYPEYPTKRQFIEYLESYANKFEITPQFNECVQSARYDETSGLWRIKTTSSSSSGSEMEYICRWLVVATGENAEKVVPEIDGLTTEFEGEVIHSCEYKSGEKYRGKSVLVVGCGNSGMEVSLDLANHNANASMVVRSSVHVLPREILGKSSFEISMMLMKWFPLWLVDKILLILAWLILGNLTKYGLKRPTMGPMELKIVSGKTPVLDIGAMEKIKSGEVEIVPGIKRFSRSHVELVDGQRLDLDAVVLATGYRSNVPSWLQENDLFSKNGFPKSPFPNAWKGKSGLYAAGFTRKGLAGASADAVNIAQDIGNVWREETKRQKMRTRVGHRRCISVA
NP_199318.2 145 1135 0.1728 PF00931.22:NB-ARC:9:218,PF07725.12:LRR_3:426:445 Disease resistance protein (TIR-NBS-LRR class) 1344 230 12 991 0 Arabidopsis thaliana NP_199318.2 1 RefSeq IYSKLLEIEKMINKQPLDIRCVGIWGMPGIGKTTLAKAVFDQMSGEFDAHCFIEDYTKAIQEKGVYCLLEEQFLKENAGASGTVTKLSLLRDRLNNKRVLVVLDDVRSPLVVESFLGGFDWFGPKSLIIITSKDKSVFRLCRVNQIYEVQGLNEKEALQLFSLCASIDDMAEQNLHEVSMKVIKYANGHPLALNLYGRELMGKKRPPEMEIAFLKLKECPPAIFVDAIKSSYDTLNDREKNIFLDIACFFQGENVDYVMQLLEGCGFFPHVGIDVLVEKSLVTISENRVRMHNLIQDVGRQIINRETRQTKRRSRLWEPCSIKYLLEDKEQNENEEQKTTFERAQVPEEIEGMFLDTSNLSFDIKHVAFDNMLNLRLFKIYSSNPEVHHVNNFLKGSLSSLPNVLRLLHWENYPLQFLPQNFDPIHLVEINMPYSQLKKLWGGTKDLEMLKTIRLCHSQQLVDIDDLLKAQNLEVVDLQGCTRLQSFPATGQLLHLRVVNLSGCTEIKSFPEIPPNIETLNLQGTGVSNLEQSDLKPLTSLMKISTSYQNPGKLSCLELNDCSRLRSLPNMVNLELLKALDLSGCSELETIQGFPRNLKELYLVGTAVRQVPQLPQSLEFFNAHGCVSLKSIRLDFKKLPVHYTFSNCFDLSPQVVNDFLVQAMANVIAKHIPRERHVTGFSQKTVQRSSRDSQQELNKTLAFSFCAPSHANQNSKLDLQPGSSSMTRLDPSWRNTLVGFAMLVQVAFSEGYCDDTDFGISCVCKWKNKEGHSHRREINLHCWALGKAVERDHTFVFFDVNMRPDTDEGNDPDIWADLVVFEFFPVNKQRKPLNDSCTVTRCGVRLITAVNCNTSIENISPVLSLDPMEVSGNEDEEVLRVRYAGLQEIYKALFLYIAGLFNDEDVGLVAPLIANIIDMDVSYGLKVLAYRSLIRVSSNGEIVMHYLLRQMGKEILHTESKKTDKLVDNIQSSMIATKEIEITRSKSRRKN
NP_200359.1 1 766 0.195242428198434 PF03009.17:GDPD:371:662 Glycerophosphodiester phosphodiesterase GDPDL4; Glycerophosphodiester phosphodiesterase-like 4; ATGDPDL4; Glycerophosphodiesterase-like 1; Protein SHV3-LIKE 1; EC 3.1.4.46 766 292 12 743 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJ62 1 SwissProt MINMRDNPTMHVLQASKFLFLALILIQLLSTQLFAQRSKSPWQTLTGDAPLVIARGGFSGLLPDSSLDAYSFVSQTSVPGAVLWCDVQLTKDAIGLCFPDVKMMNASNIQDVYPKRKTSYLLNGVPTQDWFTIDFNFKDLTKVILKQGILSRSAAFDGNSYGISTVKDISTQLKPEGFWLNVQHDAFYAQHNLSMSSFLLSISKTVIIDYLSSPEVNFFRNIGRRFGRNGPKFVFRFLEKDDVEVSTNQTYGSLAGNLTFLKTFASGVLVPKSYIWPIESQYLLPRTSFVQDAHKAGLEVYASGFGNDFDLAYNYSFDPLAEYLSFMDNGDFSVDGLLSDFPLTASSAVDCFSHLGSNASSQVDFLVISKNGASGDYPGCTDLAYTKAIKDGADVIDCSLQMSSDGIPFCLSSINLGESTNVVQSPFRNRSTTVPEIGSLPGIYSFSLAWSEIQTLRPAIENPYSREFTMFRNPRERSSGKFVSLSDFLNLAKNSSSLTGVLISVENATYLREKQGLDAVKAVLDTLTEAGYSNKTTTTRVMIQSTNSSVLIDFKKQSRYETVYKVEETIRDILDTAIEDIKKFADAVVISKKSVFPTSESFTTGQTKLVERLQKFQLPVYVEVFRNEFVSQPWDFFADATVEINSHVTGAGINGTITEFPLTAARYKRNSCLTRKDVPPYMIPVQPAGLLTIVSPASLPPAEAPSPVFTDADVTEPPLPPVSARAPTTTPGPQSTGEKSPNGQTRVALSLLLSAFATVFASLLLL
NP_200570.1 128 333 0.54663786407767 Transcription factor MYB36; Myb-related protein 36; AtMYB36 333 0 12 206 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKL2 1 SwissProt DSITDSTENNLSNNNNNKSPQNLSNSALERLQLHMQLQNLQSPFSSFYNNPILWPKLHPLLQSTTTNQNPKLASQESFHPLGVNVDHQHNNTKLAQINNGASSLYSENVEQSQNPAHEFQPNFGFSQDLRLDNHNMDFMNRGVSKELFQVGNEFELTNGSSWWSEEVELERKTTSSSSWGSASVLDQTTEGMVMLQDYAQMSYHSV
NP_200670.1 136 607 0.448336652542372 PF00642.24:zf-CCCH:119:139,PF18044.1:zf-CCCH_4:118:138 zinc finger (CCCH-type) family protein 607 22 12 472 0 Arabidopsis thaliana NP_200670.1 1 RefSeq IFPCLSPVFSARMKVLERLLKGNDDLNEVNGQEESEPEVEVEVEVSPPRGSERKEYPVDPTLPDIKNGVYGTDEFRMYAFKIKPCSRAYSHDWTECPFVHPGENARRRDPRKYHYSCVPCPEFRKGSCSRGDTCEYAHGIFECWLHPAQYRTRLCKDETNCSRRVCFFAHKPEELRPLYPSTGSGVPSPRSSFSSCNSSTAFDMGPISPLPIGATTTPPLSPNGVSSPIGGGKTWMNWPNITPPALQLPGSRLKSALNAREIDFSEEMQSLTSPTTWNNTPMSSPFSGKGMNRLAGGAMSPVNSLSDMFGTEDNTSGLQIRRSVINPQLHSNSLSSSPVGANSLFSMDSSAVLASRAAEFAKQRSQSFIERNNGLNHHPAISSMTTTCLNDWGSLDGKLDWSVQGDELQKLRKSTSFRLRAGGMESRLPNEGTGLEEPDVSWVEPLVKEPQETRLAPVWMEQSYMETEQTVA
NP_200788.1 1 306 0.407985947712419 PF01429.19:MBD:115:167,PF01429.19:MBD:176:227 Methyl-CpG-binding domain-containing protein 7; AtMBD7; MBD07; Methyl-CpG-binding protein MBD7 306 105 12 306 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJF4 1 SwissProt MQTRSSSSPSANHRRETQLQIADPTSFCGKIMPGWTVVNRPRSSNNGVVDTYFIEPGTGRQFSSLEAIHRHLAGEVNDRRLTRAGSFFQDKTRVYEGSRTKQDHCGVEYASKGFRLPRGWSVEEVPRKNSHYIDKYYVERKTGKRFRSLVSVERYLRESRNSIEQQLRVLQNRRGHSKDFRLPDGWIVEEKPRRSSSHIDRSYIEPGTGNKFRSMAAVERYLISVGNITLDSVSMVHSERLPLLMNRNGIRFQSEVIDPNPPKKVKWVLTGSGGNMFTANVRGSNVSSLVKHTWSEAFVSLIEDRS
NP_200975.1 1 307 0.18212345276873 Protein ORANGE, chloroplastic; AtOR; DnaJ-like cysteine-rich domain-containing protein OR 307 0 12 261 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKF4 1 SwissProt MSSLGRILSVSYPPDPYTWRFSQYKLSSSLGRNRRLRWRFTALDPESSSLDSESSADKFASGFCIIEGPETVQDFAKMQLQEIQDNIRSRRNKIFLHMEEVRRLRIQQRIKNTELGIINEEQEHELPNFPSFIPFLPPLTAANLKVYYATCFSLIAGIILFGGLLAPTLELKLGIGGTSYADFIQSLHLPMQLSQVDPIVASFSGGAVGVISALMVVEVNNVKQQEHKRCKYCLGTGYLACARCSSTGALVLTEPVSAIAGGNHSLSPPKTERCSNCSGAGKVMCPTCLCTGMAMASEHDPRIDPFD
NP_201099.1 1 372 0.475943279569893 PF02701.15:zf-Dof:71:127 Dof zinc finger protein DOF5.6; AtDOF5.6; Protein HIGH CAMBIAL ACTIVITY 2; Protein PHLOEM EARLY DOF HCA2 372 57 12 372 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FM03 1 SwissProt MGLTSLQVCMDSDWLQESESSGGSMLDSSTNSPSAADILAACSTRPQASAVAVAAAALMDGGRRLRPPHDHPQKCPRCESTHTKFCYYNNYSLSQPRYFCKTCRRYWTKGGTLRNIPVGGGCRKNKKPSSSNSSSSTSSGKKPSNIVTANTSDLMALAHSHQNYQHSPLGFSHFGGMMGSYSTPEHGNVGFLESKYGGLLSQSPRPIDFLDSKFDLMGVNNDNLVMVNHGSNGDHHHHHNHHMGLNHGVGLNNNNNNGGFNGISTGGNGNGGGLMDISTCQRLMLSNYDHHHYNHQEDHQRVATIMDVKPNPKLLSLDWQQDQCYSNGGGSGGAGKSDGGGYGNGGYINGLGSSWNGLMNGYGTSTKTNSLV
NP_201558.3 328 798 0.249161358811041 PF13041.6:PPR_2:187:236,PF13812.6:PPR_3:10:58,PF13812.6:PPR_3:156:202,PF01535.20:PPR:15:45,PF01535.20:PPR:51:79,PF01535.20:PPR:85:115,PF01535.20:PPR:156:184,PF01535.20:PPR:191:218 Pentatricopeptide repeat-containing protein At5g67570, chloroplastic; Protein DELAYED GREENING 1; Protein EMBRYO DEFECTIVE 1408 798 182 12 471 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJW6 1 SwissProt LRQKNWDPVLEPDLVVYNAILNACVPTLQWKAVSWVFVELRKNGLRPNGATYGLAMEVMLESGKFDRVHDFFRKMKSSGEAPKAITYKVLVRALWREGKIEEAVEAVRDMEQKGVIGTGSVYYELACCLCNNGRWCDAMLEVGRMKRLENCRPLEITFTGLIAASLNGGHVDDCMAIFQYMKDKCDPNIGTANMMLKVYGRNDMFSEAKELFEEIVSRKETHLVPNEYTYSFMLEASARSLQWEYFEHVYQTMVLSGYQMDQTKHASMLIEASRAGKWSLLEHAFDAVLEDGEIPHPLFFTELLCHATAKGDFQRAITLINTVALASFQISEEEWTDLFEEHQDWLTQDNLHKLSDHLIECDYVSEPTVSNLSKSLKSRCGSSSSSAQPLLAVDVTTQSQGEKPEEDLLLQDTTMEDDNSANGEAWEFTETELETLGLEELEIDDDEESSDSDSLSVYDILKEWEESSKKE
NP_207508.1 1 357 0.167366946778712 PF04963.13:Sigma54_CBD:69:247,PF04552.13:Sigma54_DBD:255:354,PF00309.20:Sigma54_AID:5:48 RNA polymerase factor sigma-54 414 323 12 357 0 Helicobacter pylori 26695 NP_207508.1 1 RefSeq MAILRANLSPKNKLNATLKGWLPILQSELEDLEEVLKQNALDNPLIKIENKRIKNFSDRFSAKKSSDHLENFATASKSLFETLEAQIIPPLFPTETSQKIAMDIISGLNNEGYFEENIEERARILGVESEVYEKVRKRFSYLNPAGIGAKDVKESFLFQLESRELDDNELYEETRKIILNLEKHHEFSKDFYYEKALKILKSFKNPPAIEFLEKEIEVIPELFIVEVDNGIIVRLNDESYPTISLEENRFKDSGYLKEKLKEAKDLIDALNLRKATIYKIGLMLLEYQYDFFKGKELRPLKLLDLANEFNHSVSTISRAISNKYLACERGVFPIKHFFSIALDNSETSNAVIKDYLL
NP_208131.1 1 166 0.0083777108433735 PF01618.16:MotA_ExbB:70:161 biopolymer transport protein ExbB 166 92 12 97 3 Helicobacter pylori 26695 NP_208131.1 0 RefSeq MSVSHVALILRKLFYHRQGVFMGGFSVGMLKDYVDIFVFAVLGVASFLALWFAIERVIFYSKVDLKAYDDIDALNLDLTKNLTILYVIFSNAPYVGLLGTVLGIMVIFYDMGVSGGMDAKTIMVGLSLALKATALGLAVAIPTLIAYNSLLRKSDVLSEKFRIMKK
NP_212162.1 1 349 0.220055014326648 hypothetical protein 349 0 12 326 1 Borreliella burgdorferi B31 NP_212162.1 1 RefSeq MKQKYENYFKKRLILNLLIFLLLACSSESIFSQLGNLQKIKHEYNILGSSSPRGISLVGETLYIAAMHLFKKENGKIEKIDLSNSYEFINDIVNISGKTYLLAQNKEEELEVCELNGKDWTLKFKKPLKAYKFLKSVGRDGVKEAYILAIDKNNREKIFDLQGSDKTPPQATENDKFYQISNEENLITGNSLKIWQMNNNTYTNIDYQQAKEIMPIIKTSIRGSSEVLVMTGGYNNLDTKFKVYSNTNNYTTPIFIQDEVGEFSSYFAREFNDAILIGSNNGFAEFTKNKEGIFALRAPSKSVEPGAYNGSQLSKTGLNDIIPVSNNTIYILTQGKGLWKLENRKLTKE
NP_212318.1 1 81 0.0953259259259259 PF02599.16:CsrA:1:51 carbon storage regulator 81 51 12 81 0 Borreliella burgdorferi B31 NP_212318.1 1 RefSeq MLVLSRKANESIKINSDIEVLILEIKKDAVKIAIKAPENIKIFRSEIYEFIIEENKKSLLKDKHNISKIKSLFNHYFKNEN
NP_212458.1 1 119 0.135070588235294 hypothetical protein 119 0 12 119 0 Borreliella burgdorferi B31 NP_212458.1 1 RefSeq MKKLILLNLIFISCYTINLEKLTKETPYGVYLREAQKAVNVNDYNSALKAYEKMIQNFAHNSNIVATGKYEIAFIYYTTNKTEKAKKIFEELIGNNMEMPKWIKPLAKKILNKIENNKK
NP_212584.1 1 419 0.186089737470167 PF04552.13:Sigma54_DBD:266:409,PF04963.13:Sigma54_CBD:83:256,PF00309.20:Sigma54_AID:3:46 RNA polymerase sigma-54 factor 419 362 12 419 0 Borreliella burgdorferi B31 NP_212584.1 1 RefSeq MIKQKLKISQNLNSIQIQTIKILSLNQKELTKLILEESENNECLEINSNKIFFETLKTYRFKKVFYKEDDMIKNQHDIALEKTQTNTSLKEHLLLQLRIQRINEDEIKIGEILINNLNSKGFHIINPYDLFKKEEKEKVKKIIELIQKFDPIGICVPNIIESLILQAKHHKLETNIIKILEKAELLEKTQKKLKEELKIRSKEFNTALEIIRQKLNPNPTLEFKDPNDTNFYVDPDILIINHNNKFKIKIKEVNIFKKELKRTSENPQKQKKAKWLIESLRYRDEILAKIGIAIYTLQKEFLRRGFKSLRPMNLSILSEKISVSKSTISRAIKNKYLKCEWGTILIKELFSSVGGAKTNEFSKLSIKITVKKLLEANKKMSDKEISVILKSKGISISRRTVNKYRNELKSEKGRTYYGT
NP_214709.1 1 211 0.171117061611374 PF00196.19:GerE:150:205 two component transcriptional regulator 211 56 12 211 0 Mycobacterium tuberculosis H37Rv NP_214709.1 1 RefSeq MAPVNVISVAVVASDPLTRDGALARLSSHRELDVRAWQAGCETSVLLVLATTITAPLLCQIEDVQKDGPSHAPKLVVVADEFSAEQVFRMIKLGLTGLLYRSQSTFDCIVETIRLSAEGRLRLPERVQRYLVGRIKSTPTAEPDTPCAAALAEREVAVLRLLADGLSTHQVAVQLNYCERTIKNIVHDIVTRLKLRNRTHAVAHALRAGLI
NP_215250.1 109 250 0.228032394366197 Anti-sigma-L factor RslA; Regulator of SigL; Sigma-L anti-sigma factor RslA 250 0 12 119 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJ67 1 SwissProt RRRRTRLITWVASSAAAAVLAIGVLVGVQGHSAAPQRAAVSALPMAQVGTQLLASTVSISGEPWGTFINLRCVCLAPPYASHDTLAMVVVGRDGSQTRLATWLAEPGHTATPAGSISTPVDQIAAVQVVAADTGQVLLQRSL
NP_215416.1 1 175 0.556009142857144 Uncharacterized membrane protein ArfC 175 0 12 152 1 Mycobacterium bovis (strain BCG / Pasteur 1173P2) SwissProt::A1KH33 1 SwissProt MEHVHWWLAGLAFTLGMVLTSTLMVRPVEHQVLVKKSVRGSSAKSKPPTARKPAVKSGTKREESPTAKTKVATESAAEQIPVAGEPAAEPIPVAGEPAARIPVVPYAPYGPGSARAGADGSGPQGWLVKGRSDTRLYYTPEDPTYDPTVAQVWFQDEESAARAFFTPWRKSTRRT
NP_216999.1 1 580 0.292339310344828 PF12710.7:HAD:40:223,PF01553.21:Acyltransferase:312:438 bifunctional L-3-phosphoserine phosphatase/1-acyl-sn-glycerol-3-phosphate acyltransferase 580 311 12 580 0 Mycobacterium tuberculosis H37Rv NP_216999.1 1 RefSeq MSAADEQGEERATRKSAPDLRLPGSVAEILASPAGPKVGAFFDLDGTLVAGFTAVILTQERLRRRDMGVGELLGMVQAGLNHTLGRIEFEDLIGKAAAALAGRLLTDLEEIGERLFAQRIESRIYPEMRELVRAHVARGHTVVLSSSALTIQVGPVARFLGINNMLTNKFETNEDGILTGGVLKPILWCPGKATAVQRFAAEHDIDLKDSYFYADGDEDVALMYLVGNPRPTNPEGKMAAVAKRRGWPILKFNSRGGVGIRRQLRTLAGLSTIVPVAAGAVGIGVLTGSRRRGVNFFTSTFSQLLLATSGVHLNVIGKENLTAQRPAVFIFNHRNQVDPVIAGALVRDNWVGVGKKELASDPIMGTLGKLLDGVFIDRDDPVAAVETLHTVEERARNGLSIVIAPEGTRLDTTEVGSFKKGPFRIAMAAKIPIVPIVIRNAEIVASRNSTTINPGTVDVAVFPPIPVDDWTLDALPDRIAEVRQLYLDTLADWPVDGLPAVDLYAEQKAARKARAQVAKATAKRVPAKKAPAKSAANKGAAATKAATKKASPKAKPSESKIAGKDGEASASPSSSAKGRS
NP_217667.1 364 806 0.323 PF01568.21:Molydop_binding:367:422,PF00384.22:Molybdopterin:206:276 NADH-quinone oxidoreductase subunit G; NADH dehydrogenase I subunit G; NDH-1 subunit G; EC 7.1.1.- 806 127 12 443 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WIV9 1 SwissProt PHSAEEADFLAARIAGRHMAVSYADLESAPVVLLVGFEPEDESPIVFLRLRKAARRHRVPVYTIAPFATGGLHKMSGRLIKTVPGGEPAALDDLATGAVGDLLATPGAVIIVGERLATVPGGLSAAARLADTTGARLAWVPRRAGERGALEAGALPTLLPGGRPLADEVARAQVCAAWHIAELPAAAGRDADGILAAAADETLAALLVGGIEPADFADPDAVLAALDATGFVVSLELRHSTVTERADVVFPVAPTTQKAGAFVNWEGRYRTFEPALRGSTLQAGQSDHRVLDALADDMGVHLGVPTVEAAREELAALGIWDGKHAAGPHIAATGPTQPEAGEAILTGWRMLLDEGRLQDGEPYLAGTARTPVVRLSPDTAAEIGAADGEAVTVSTSRGSITLPCSVTDMPDRVVWLPLNSAGSTVHRQLRVTIGSIVKIGAGS
NP_220256.1 1 146 0.0865335616438356 hypothetical protein 219 0 12 146 0 Chlamydia trachomatis D/UW-3/CX NP_220256.1 1 RefSeq MTTNSTQDTLYLSLHGGIDSAIPYPVRRVEQLLQFSFLPELQFQNAAVKQRIQRLCYREEKRLAVSSLAKWLGQLHKQRLRAPKNPPVAICWINSYVGYGVFARESIPAWSYIGEYTGILRRRQALWLDENDYCFRYPVPRYSFRY
NP_233171.1 1 367 0.229006811989101 PF00990.21:GGDEF:205:355,PF01590.26:GAF:20:150,PF13185.6:GAF_2:44:147 diguanylate cyclase 627 282 12 367 0 Vibrio cholerae O1 biovar El Tor str. N16961 NP_233171.1 1 RefSeq MAPILSHSIPIPSSMQANWQQMLNLLAEVLKVSATLIMRLRHHDLDVFCTSVGSDNPYQVGMTERLGTGLYCETVVNTRQILLVSNADLDPLWKDNPDLELGMRAYCGVPLQWPNGELFGSLCVTDRQARQFLSTDQQLIKTFAESIEAQLKTLYQRETLLQMNQDLHFKVRHKMQSIASLNQSLHQEIDKRRAAEQQIEYQRSHDLGTGFLNRTALEQQLAMQLAQLAEHEELAVIHIGFANARQLQARLGYHLWDDVLKQLRERLGPVTEGELLTARPNSTNLTLILKAHPLDTQLNQLCHRLIHAGQAQFVTEGLPVHLNPYIGVALSRETRDPQQLLRHAVSSMLACKDSGYKVFFHSPALAD
NP_249611.1 1 542 0.0451391143911439 PF03706.13:LPG_synthase_TM:42:329 alanylphosphatidylglycerol synthase (EC 2.3.2.11) 881 288 12 217 15 Pseudomonas aeruginosa BRENDA::Q9I537 0 BRENDA MRTDAPVPEHPAPPSSPASPQRIRLIDRITAYRQPIGLVFTLLLFGLALVACYHLLREIDPGALHDAIADVPRPALLGALSATALGFVILLGYEWSASRFAGVTLPMRSLATGGFSAFAIGNAVGLSLLSGGSVRYRLYSRHGIGAAEIARMTLFASLSLGCALPVLAALAALCDLDDAASALHLPRALVAVIAIAVLSLAVGLVAFLARHRLPGERPSPDSLLVRLGRRSLRLPGLRLSLLQLLITALDVAAAATVLYLLLPETPPFAAFLLVYLLALAAGVLSHVPGGVGVFEAVLLAAFAGQLGAAPLAAALLLYRLIYVVLPLLLACLLLLFLEARRLWVTRQAIRVASGFAAPILAILVFLSGVVLLFSGATPAIDTRLEHLGFLIPHRLIDASHLVASLIGVLCLLLAQGLRRRLSAAWALTLVLLLVGALLSLLKGFDWEEASLLSLTAALLAMFRRSFYRPSRLMEVPFSPLYVGASICVVGASVWLLLFANQDVHYSNQLWWQFALDADAPRALRAALGSCLLLLALALGWLL
NP_250949.1 154 340 0.241025668449198 PF13377.6:Peripla_BP_3:26:183,PF00532.21:Peripla_BP_1:9:178 transcriptional regulator PtxS 340 175 12 187 0 Pseudomonas aeruginosa PAO1 NP_250949.1 1 RefSeq VDRQLAELDTDLVGLDNADAVEQALDHLQHRGFRDILLVTEPLDGTSSRIERVQAFNASIGRRPALKGQVLQTDDFFRDGLRAFLSASGPGPKALFTCNGVATLCATRQLRDLGCRLFDEVGLLALDELDWYPLVGSGITALAQPTDEIGRTAFERLLARLEGDREPARRVTFPAQLIVRGSTHPRG
NP_253290.1 1 187 0.163076470588235 transcriptional regulator NfxB 187 0 12 164 1 Pseudomonas aeruginosa PAO1 NP_253290.1 1 RefSeq MTLISHDERLIKALAVAIVDRPRATLKELAEAAGVSKATLHRFCGTRDNLVQMLEDHGETVLNQIIQACDLEHAEPLEALQRLIKEHLTHRELLVFLVFQYRPDFLDPHGEGARWQSYLEALDAFFLRGQQKGVFRIDITAAVFTELFITLVYGMVDAERRGRAASSNSAHTLEQMFLHGASNPARS
NP_268972.1 1 910 0.318376813186813 PF03372.23:Exo_endo_phos:552:771 hypothetical protein 910 220 12 890 1 Streptococcus pyogenes M1 GAS NP_268972.1 1 RefSeq MINKKCIIPVSLLTLAITLTSVEEVTSRQNLTYANEIVTQRPKRESVISDKSNFPVISPYLASVDFGERKTPLPTPDKGVKVTTEQSIAQVRKGPEERPYTVTGKITSVINGWGGYGFYIQDSEGIGLYVYPQKDLGYSKGDIVQLTGTLTRFKGDLQLQQVTAHKKLELSFPTSVKEAVISELETTTPSTLVKLSHVTVGELSTDQYNNTSFLVRDDSGKSIVVHIDHRTGVKGADVVTKISQGDLINLTAILSIVDGQLQLRPFSLEQLEVVKKVTSSNSDASSRNIVKIGEIQGASHTSPLLKKAVTVEQVVVTYLDDSTHFYVQDLNGDGDLATSDGIRVFAKNAKVQVGDVLTISGEVEEFFGRGYEERKQTDLTITQIVAKAVTKTGTAQVPSPLVLGKDRIAPANIIDNDGLRVFDPEEDAIDYWESMEGMLVAVDDAKILGPMKNKEIYVLPGSSTRPLNNSGGVLLPANSYNTDVIPVLFKKGKQIIKAGDSYKGRLAGPVSYSYGNYKVFVDDSKNMPSLMDGHLKPEKTNLQKDLSKLSIASYNIENFSANPSSTKDEKVKRIAESFIHDLNAPDIIGLIEVQDNNGPTDDGTTDATQSAQRLIDAIKKLGGPTYRYVDIAPENNVDGGQPGGNIRTGFLYQPERVSLSDKPKGGARDALTWVNGELNLSVGRIDPTNAAWKDVRKSLAAEFIFQGRKVVVVANHLNSKRGDNALYGCVQPVTFKSEQRRHVLANMLAQFAKEGAKHQANIVMLGDFNDFEFTKTIQLIEEGDMVNLVSRHDISDRYSYFHQGNNQTLDNILVSRHLLDHYEFDMVHVNSPFMEAHGRASDHDPLLLQLSFSKENDKAESSKQSVKAKKTSKGKLLPKTGDSLVYVITLLGTASLLVPILLLTKGKKES
NP_273588.1 1 528 0.0209856060606061 PF02652.14:Lactate_perm:4:525 L-lactate permease 528 522 12 245 13 Neisseria meningitidis MC58 NP_273588.1 1 RefSeq MALFLSIFPIVLLIWLMVKKNSMPSYVALPITAVLIYAIKLFYFDDAGMLLNATAASGLVKTLTPITVIFGAIMFNRMMETTGCIDVIRKWLATISPNPVAQLMIIGWAFAFMIEGASGFGTPAAIAAPILMSLGFNPLKVAIFTLVMNSVPVSFGAVGTPTWFGFAPLNLSAEDILAIGRQTGVMHFFAGFVIPVIGLGFIVPWSEIRKNLGFVAIAVFSCTIPYVALAMVNEEFPSLVAGAIGLMVSVFAANQGWGLSKDHAKDPNAEKVPFAQVAKALAPLGMLIGMLVVTRIKQLGIKGILTSKEEWFSFQLPFDLSKITVSDSLTITFGNIFGQDVSASYQTLYVPAWIPFVLTVWICILLYKTKFKDAWTIYSVTFNQTKKPLLALMGALIMVQLMLVGGDNSMVKIIGKEFAAMAGEHWVYFSPYLGAIGAFFSGSNTVSNLTFGPIQQQIALDTGLSVTLILALQSVGGAMGNMVCLNNIIAVCTVLDVKNSEGAIIKKTVIPMAIYGVIAVVAAMIFFL
NP_301391.1 1 408 0.30194068627451 PF00823.19:PPE:7:158,PF12484.8:PE_PPE_C:332:398 serine-rich protein 408 219 12 408 0 Mycobacterium leprae TN NP_301391.1 1 RefSeq MFDFMVYSPEVNAFLMSRGPGSTPLWGAAEAWISLAEQLMEAAQEVSDTIVVAVPASFAGETSDMLASRVSTFVAWLDGNAENAGLIARVLHAVAYAFEEARAGMVPLLTVLGNIIHTMALKAINWFGQVSTTVAALEADYDLMWVQNSTAMTTYRDTVLRETGKMENFEPAPQLVSRYCMDRRDSVNSFHSSSSSDSLYESIDNLYDSVAQSEEHGSDSMSQSYNTCGSVAQSELCDSPFGTPSQSSQSNDLSATSLTQQLGGLDSIISSASASLLTTNSISSSTASSIMPIVASQVTETLGRSQVAVEKMIQSISSTAVSVDVAASKVVAGVGQAVSVGALRVPENWATASQPVMATAHSVPAGCSAITTAVSGPLEGVTQPAEEVLTASVAGGSGTGGPAFNEAV
NP_350180.1 34 181 0.326962162162162 PF02915.17:Rubrerythrin:29:142 Reverse rubrerythrin-2; revRbr 2; NADH peroxidase; NPXase; Npx; Rubperoxin 2; Rpr 2; EC 1.11.1.1 181 114 12 148 0 Clostridium acetobutylicum (strain ATCC 824 / DSM 792 / JCM 1419 / LMG 5710 / VKM B-1787) SwissProt::Q97D83 1 SwissProt EVKDEGEGWADEHKIGIAKGVDKEVLEGLRANFTGECTEVGMYLAMARQADREGYPEVAEAYKRIAFEEAEHASKFAELLGEVVVADTKTNLQMRVDAEKGACEGKKELATLAKKLNYDAIHDTVHEMCKDEARHGSAFRGLLNRYFK
NP_388923.1 1 192 0.218251041666667 PF06338.11:ComK:10:158 Competence transcription factor; CTF; Competence protein K 192 149 12 192 0 Bacillus subtilis (strain 168) SwissProt::P40396 1 SwissProt MSQKTDAPLESYEVNGATIAVLPEEIDGKICSKIIEKDCVFYVNMKPLQIVDRSCRFFGSSYAGRKAGTYEVTKISHKPPIMVDPSNQIFLFPTLSSTRPQCGWISHVHVKEFKATEFDDTEVTFSNGKTMELPISYNSFENQVYRTAWLRTKFQDRIDHRVPKRQEFMLYPKEERTKMIYDFILRELGERY
NP_414597.1 1 199 0.184971859296482 PF05099.13:TerB:46:170 co-chaperone protein DjlA 271 125 12 176 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11570-MONOMER 1 ecocyc MQYWGKIIGVAVALLMGGGFWGVVLGLLIGHMFDKARSRKMAWFANQRERQALFFATTFEVMGHLTKSKGRVTEADIHIASQLMDRMNLHGASRTAAQNAFRVGKSDNYPLREKMRQFRSVCFGRFDLIRMFLEIQIQAAFADGSLHPNERAVLYVIAEELGISRAQFDQFLRMMQGGAQFGGGYQQQTGGGNWQQAQR
NP_415229.1 1 1397 0.384816750178954 PF05593.14:RHS_repeat:361:391,PF05593.14:RHS_repeat:425:463,PF05593.14:RHS_repeat:489:511,PF05593.14:RHS_repeat:535:568,PF05593.14:RHS_repeat:575:611,PF05593.14:RHS_repeat:637:674,PF05593.14:RHS_repeat:939:984,PF03527.14:RHS:1143:1180 rhs element protein RhsC 1397 286 12 1397 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10848-MONOMER 1 ecocyc MSGKPAARQGDMTQYGGSIVQGSAGVRIGAPTGVACSVCPGGVTSGHPVNPLLGAKVLPGETDIALPGPLPFILSRTYSSYRTKTPAPVGSLGPGWKMPADIRLQLRDNTLILSDNGGRSLYFEHLFPGEDGYSRSESLWLVRGGVAKLDEGHRLAALWQALPEELRLSPHRYLATNSPQGPWWLLGWCERVPEADEVLPAPLPPYRVLTGLVDRFGRTQTFHREAAGEFSGEITGVTDGAGRHFRLVLTTQAQRAEEARQQAISGGTEPSAFPDTLPGYTEYGRDNGIRLSAVWLTHDPEYPENLPAAPLVRYGWTPRGELAAVYDRSNTQVRSFTYDDKYRGRMVAHRHTGRPEICYRYDSDGRVTEQLNPAGLSYTYQYEKDRITITDSLNRREVLHTQGEGGLKRVVKKEHADGSVTQSQFDAVGRLRAQTDAAGRTTEYSPDVVTGLITRITTPDGRASAFYYNHHSQLTSATGPDGLEIRREYDEWGRLIQETAPDGDITRYRYDNPHSDLPCATEDATGSRKTMTWSRYGQLLSFTDCSGYVTRYDHDRFGQVTAVHREEGLSQYRAYDSRGQLIAVKDTQGHETRYEYNAAGDLTTVIAPDGSRNGTQYDAWGKAICTTQGGLTRSMEYDAAGRVIRLTSENGSHTTFRYDVLDRLIQETGFDGRTQRYHHDLTGKLIRSEDEGLVTHWHYDEADRLTHRTVNGETAERWQYDERGWLTDISHISEGHRVTVHYGYDSKGRLASEHLTVHHPQTNELLWQHETRHAYNAQGLANRCIPDSLPAVEWLTYGSGWLSGMKLGDTPLVEYTRDRLHRETLRSFGRYELTTAYTPAGQLQSQHLNSLLSDRDYTWNDNGELIRISSPRQTRSYSYSTTGRLTGVHTTAANLDIRIPYTTDPAGNRLPDPELHPDSALSMWPDNRIARDAHYLYRYDRHGRLTEKTDLIPEGVIRTDDERTHRYHYDSQHRLVHYTRTQYAEPLVESRYLYDPLGRRVAKRVWRRERDLTGWMSLSRKPQVTWYGWDGDRLTTIQNDRTRIQTIYQPGSFTPLIRVETATGELAKTQRRSLADTLQQSGGEDGGSVVFPPVLVQMLDRLESEILADRVSEESRRWLASCGLTVAQMQSQMDPVYTPARKIHLYHCDHRGLPLALISTEGTTAWYAEYDEWGNLLNEENPHQLQQLIRLPGQQYDEESGLYYNRHRYYDPLQGRYITQDPIGLKGGWNFYQYPLNPISNIDPLGLETLKCIKPLHSMGGTGERSGPDIWGNPFYHQYLCVPDGKGDYTCGGQDQRGESKGDGLWGPGKASNDTKEAAGRCDLVETDNSCVENCLKGKFKEVRPRYSVLPDIFTPINLGLFKNCQDWSNDSLETCKMKCSGNNIGRFIRFVFTGVM 1
NP_415273.1 1 313 0.125535782747604 PF01545.21:Cation_efflux:22:213 Zn2+/Cd2+/Ni2+/Cu2+ exporter 313 192 12 205 5 Escherichia coli K-12 substr. MG1655 ecocyc::B0752-MONOMER 1 ecocyc MAHSHSHTSSHLPEDNNARRLLYAFGVTAGFMLVEVVGGFLSGSLALLADAGHMLTDTAALLFALLAVQFSRRPPTIRHTFGWLRLTTLAAFVNAIALVVITILIVWEAIERFRTPRPVEGGMMMAIAVAGLLANILSFWLLHHGSEEKNLNVRAAALHVLGDLLGSVGAIIAALIIIWTGWTPADPILSILVSLLVLRSAWRLLKDSVNELLEGAPVSLDIAELKRRMCREIPEVRNVHHVHVWMVGEKPVMTLHVQVIPPHDHDALLDQIQHYLMDHYQIEHATIQMEYQPCHGPDCHLNEGVSGHSHHHH
NP_415682.1 1 78 0.256196153846154 PF10798.8:YmgB:27:63 putative two-component system connector protein YcgZ 78 37 12 78 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6604-MONOMER 1 ecocyc MHQNSVTLDSAGAITRYFAKANLHTQQETLGEIVTEILKDGRNLSRKSLCAKLLCRLEHATGEEEQKHYNALIGLLFE
NP_416431.2 1 183 0.167612021857924 PF02899.17:Phage_int_SAM_1:90:164 DNA-binding transcriptional regulator FliZ 183 75 12 183 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11356-MONOMER 1 ecocyc MMVQHLKRRPLSRYLKDFKHSQTHCAHCRKLLDRITLVRDGKIVNKIEISRLDTLLDENGWQTEQKSWAALCRFCGDLHCKTQSDFFDIIGFKQFLFEQTEMSPGTVREYVVRLRRLGNHLHEQNISLDQLQDGFLDEILAPWLPTTSTNNYRIALRKYQHYQRQTCTRLVQKSSSLPSSDIY
NP_416760.1 120 550 0.0547505800464037 PF02366.18:PMT:3:118 lipid IVA 4-amino-4-deoxy-L-arabinosyltransferase (EC 2.4.2.43) 550 116 12 233 9 Escherichia coli K-12 substr. MG1655 ecocyc::G7170-MONOMER 1 ecocyc LSLFIVYAIGTYAVLDPFIAFWLVAGMCSFWLAMQAQTWKGKSAGFLLLGITCGMGVMTKGFLALAVPVLSVLPWVATQKRWKDLFIYGWLAVISCVLTVLPWGLAIAQREPNFWHYFFWVEHIQRFALDDAQHRAPFWYYVPVIIAGSLPWLGLLPGALYTGWKNRKHSATVYLLSWTIMPLLFFSVAKGKLPTYILSCFASLAMLMAHYALLAAKNNPLALRINGWINIAFGVTGIIATFVVSPWGPMNTPVWQTFESYKVFCAWSIFSLWAFFGWYTLTNVEKTWPFAALCPLGLALLVGFSIPDRVMEGKHPQFFVEMTQESLQPSRYILTDSVGVAAGLAWSLQRDDIIMYRQTGELKYGLNYPDAKGRFVSGDEFANWLNQHRQEGIITLVLSVDRDEDINSLAIPPADAIDRQERLVLIQYRPK
NP_416881.1 1 565 0.118379292035398 PF07694.12:5TM-5TMR_LYT:29:192,PF06580.13:His_kinase:359:438,PF02518.26:HATPase_c:456:552 sensor histidine kinase PyrS (EC 2.7.13.3) 565 341 12 432 6 Escherichia coli K-12 substr. MG1655 ecocyc::G7243-MONOMER 1 ecocyc MHEIFNMLLAVFDRAALMLICLFFLIRIRLFRELLHKSAHSPKELLAVTAIFSLFALFSTWSGVPVEGSLVNVRIIAVMSGGILFGPWVGIITGVIAGIHRYLIDIGGVTAIPCFITSILAGCISGWINLKIPKAQRWRVGILGGMLCETLTMILVIVWAPTTALGIDIVSKIGIPMILGSVCIGFIVLLVQSVEGEKEASAARQAKLALDIANKTLPLFRHVNSESLRKVCEIIRDDIHADAVAITNTDHVLAYVGVGEHNYQNGDDFISPTTRQAMNYGKIIIKNNDEAHRTPEIHSMLVIPLWEKGVVTGTLKIYYCHAHQITSSLQEMAVGLSQIISTQLEVSRAEQLREMANKAELRALQSKINPHFLFNALNAISSSIRLNPDTARQLIFNLSRYLRYNIELKDDEQIDIKKELYQIKDYIAIEQARFGDKLTVIYDIDEEVNCCIPSLLIQPLVENAIVHGIQPCKGKGVVTISVAECGNRVRIAVRDTGHGIDPKVIERVEANEMPGNKIGLLNVHHRVKLLYGEGLHIRRLEPGTEIAFYIPNQRTPVASQATLLL
NP_417146.2 116 422 0.226905537459283 PF01266.24:DAO:2:275 L-2-hydroxyglutarate dehydrogenase 422 274 12 307 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12387-MONOMER 1 ecocyc REWLNADELREREPNITGLGGIFVPSSGIVSYRDVTAAMAKIFQSRGGEIIYNAEVSGLNEHKNGVVIRTRQGGEYEASTLISCSGLMADRLVKMLGLEPGFIICPFRGEYFRLAPEHNQIVNHLIYPIPDPAMPFLGVHLTRMIDGSVTVGPNAVLAFKREGYRKRDFSFSDTLEILGSSGIRRVLQNHLRSGLGEMKNSLCKSGYLRLVQKYCPRLSLSDLQPWPAGVRAQAVSPDGKLIDDFLFVTTPRTIHTCNAPSPAATSAIPIGAHIVSKVQTLLASQSNPGRTLRAARSVDALHAAFNQ
NP_417566.1 1 220 0.0109427272727273 PF09335.11:SNARE_assoc:48:173 DedA family protein YqjA 220 126 12 85 6 Escherichia coli K-12 substr. MG1655 ecocyc::G7609-MONOMER 0 ecocyc MELLTQLLQALWAQDFETLANPSMIGMLYFVLFVILFLENGLLPAAFLPGDSLLVLVGVLIAKGAMGYPQTILLLTVAASLGCWVSYIQGRWLGNTRTVQNWLSHLPAHYHQRAHHLFHKHGLSALLIGRFIAFVRTLLPTIAGLSGLNNARFQFFNWMSGLLWVLILTTLGYMLGKTPVFLKYEDQLMSCLMLLPVVLLVFGLAGSLVVLWKKKYGNRG
NP_417999.1 1 300 0.044676 PF00528.22:BPD_transp_1:116:299,PF12911.7:OppC_N:17:69 dipeptide ABC transporter membrane subunit DppC (EC 7.4.2.9) 300 237 12 185 5 Escherichia coli K-12 substr. MG1655 ecocyc::DPPC-MONOMER 1 ecocyc MSQVTENKVISAPVPMTPLQEFWHYFKRNKGAVVGLVYVVIVLFIAIFANWIAPYNPAEQFRDALLAPPAWQEGGSMAHLLGTDDVGRDVLSRLMYGARLSLLVGCLVVVLSLIMGVILGLIAGYFGGLVDNIIMRVVDIMLALPSLLLALVLVAIFGPSIGNAALALTFVALPHYVRLTRAAVLVEVNRDYVTASRVAGAGAMRQMFINIFPNCLAPLIVQASLGFSNAILDMAALGFLGMGAQPPTPEWGTMLSDVLQFAQSAWWVVTFPGLAILLTVLAFNLMGDGLRDALDPKLKQ
NP_418206.1 1 321 0.0221286604361371 PF02653.16:BPD_transp_2:45:313 ribose ABC transporter membrane subunit 321 269 12 146 8 Escherichia coli K-12 substr. MG1655 ecocyc::RBSC-MONOMER 0 ecocyc MTTQTVSGRRYFTKAWLMEQKSLIALLVLIAIVSTLSPNFFTINNLFNILQQTSVNAIMAVGMTLVILTSGIDLSVGSLLALTGAVAASIVGIEVNALVAVAAALALGAAIGAVTGVIVAKGRVQAFIATLVMMLLLRGVTMVYTNGSPVNTGFTENADLFGWFGIGRPLGVPTPVWIMGIVFLAAWYMLHHTRLGRYIYALGGNEAATRLSGINVNKIKIIVYSLCGLLASLAGIIEVARLSSAQPTAGTGYELDAIAAVVLGGTSLAGGKGRIVGTLIGALILGFLNNGLNLLGVSSYYQMIVKAVVILLAVLVDNKKQ
NP_443111.4 288 724 0.69205766590389 La-related protein 4; La ribonucleoprotein domain family member 4 724 0 12 437 0 Homo sapiens (Human) SwissProt::Q71RC2 1 SwissProt SSIYSHPIQTQAQYASPVFMQPVYNPHQQYSVYSIVPQSWSPNPTPYFETPLAPFPNGSFVNGFNSPGSYKTNAAAMNMGRPFQKNRVKPQFRSSGGSEHSTEGSVSLGDGQLNRYSSRNFPAERHNPTVTGHQEQTYLQKETSTLQVEQNGDYGRGRRTLFRGRRRREDDRISRPHPSTAESKAPTPKFDLLASNFPPLPGSSSRMPGELVLENRMSDVVKGVYKEKDNEELTISCPVPADEQTECTSAQQLNMSTSSPCAAELTALSTTQQEKDLIEDSSVQKDGLNQTTIPVSPPSTTKPSRASTASPCNNNINAATAVALQEPRKLSYAEVCQKPPKEPSSVLVQPLRELRSNVVSPTKNEDNGAPENSVEKPHEKPEARASKDYSGFRGNIIPRGAAGKIREQRRQFSHRAIPQGVTRRNGKEQYVPPRSPK
NP_445798.1 1 142 0.0773943661971831 PF15056.6:NRN1:31:115 Neuritin 142 85 12 142 0 Rattus norvegicus (Rat) SwissProt::O08957 1 SwissProt MGLKLNGRYISLILAVQIAYLVQAVRAAGKCDAVFKGFSDCLLKLGDSMANYPQGLDDKTNIKTVCTYWEDFHSCTVTALTDCQEGAKDMWDKLRKESKNLNIQGSLFELCGSGNGAAGSLLPALSVLLVSLSAALATWLSF 2
NP_459546.1 1 103 0.20341359223301 diguanylate cyclase 103 0 12 103 0 Salmonella enterica subsp. enterica serovar Typhimurium str. LT2 NP_459546.1 1 RefSeq MVAQGILLKERINPPHLASSPTRHSYLNSELLKSEPIVNLSTAQLIGYEVLTQLPSEHDSEVFFQQLMLMEGIETSEQRSIAFYAGATMEQGYLWPAILPDIY
NP_476948.1 1 180 0.421921666666667 PF02234.19:CDI:53:93 dacapo 245 41 12 180 0 Drosophila melanogaster NP_476948.1 1 RefSeq MVSARVLNPVMISEFCKMSSSPAVSRNLACGRQLNRIKRDLFGSSKSAEGTANKTPFNSELERHQELATQKWGFDFRAGCPLAEKSPYIWERVSFQESSFAPEMYTLTRAAHVRPSADASPSDMDILVNERSERENFGSNLVNSSLESNTDNESCYDSQDESLAMRLSSSSTTSTSSIVL
NP_477292.1 1 513 0.469284990253411 miranda, isoform B 799 0 12 513 0 Drosophila melanogaster NP_477292.1 1 RefSeq MSFSKAKLKRFNDVDVAICGSPAASNSSAGSAGSATPTASSAAAAPPTVQPERKEQIEKFFKDAVRFASSSKEAKEFAIPKEDKKSKGLRLFRTPSLPQRLRFRPTPSHTDTATGSGSGASTAASTPLHSAATTPVKEAKSASRLKGKEALQYEIRHKNELIESQLSQLDVLRRHVDQLKEAEAKLREEHELATSKTDRLIEALTSENLSHKALNEQMGQEHADLLERLAAMEQQLQQQHDEHERQVEALVAESEALRLANELLQTANEDRQKVEEQLQAQLSALQADVAQAREHCSLEQAKTAENIELVENLQKTNASLLADVVQLKQQIEQDALSYGQEAKSCQAELECLKVERNTLKNDLANKCTLIRSLQDELLDKNCEIDAHCDTIRQLCREQARHTEQQQAVAKVQQQVESDLESAVEREKSYWRAELDKRQKLAENELIKIELEKQDVMVLLETTNDMLRMRDEKLQKCEEQLRNGIDYYIQLSDALQQQLVQLKQDMAKTITEKY
NP_477499.1 258 805 0.334052554744525 fused 805 0 12 548 0 Drosophila melanogaster NP_477499.1 1 RefSeq IFIAETQAEAAKESPFTNPEAKVKSSKQSDPEVGDLDEALAALDFGESRQENLTTSRDSINAIAPSDVEHLETDVEDNMQRVVVPFADLSYRDLSGVRAMPMVHQPVINSHTCFVSGNSNMILNHMNDNFDFQASLRGGGVAAKPIVAPTVRQSRSKDLEKRKLSQNLDNFSVRLGHSVDHEAQRKATEIATQEKHNQENKPPAEAISYANSQPPQQQPQQLKHSMHSTNEEKLSSDNTPPCLLPGWDSCDESQSPPIENDEWLAFLNRSVQELLDGELDSLKQHNLVSIIVAPLRNSKAIPRVLKSVAQLLSLPFVLVDPVLIVDLELIRNVYVDVKLVPNLMYACKLLLSHKQLSDSAASAPLTTGSLSRTLRSIPELTVEELETACSLYELVCHLVHLQQQFLTQFCDAVAILAASDLFLNFLTHDFRQSDSDAASVRLAGCMLALMGCVLRELPENAELVERIVFNPRLNFVSLLQSRHHLLRQRSCQLLRLLARFSLRGVQRIWNGELRFALQQLSEHHSYPALRGEAAQTLDEISHFTFFVT
NP_495957.1 296 598 0.672292079207921 Transcription factor dpl-1 598 0 12 303 0 Caenorhabditis elegans SwissProt::Q22703 1 SwissProt IANRKKVEAEKEEKRKQQQLIADQMSMNLSQAQYVEPTSSLAQMSYSSRFNRQLQEHLINDGSEDRSAAAGIMERDYDMDKNVNQGSASRGPMYNTYSPQKIRAQVNTPLQVPPVTKRYYVQKTQGPMKHDMTPVVRTVNRPYSTVPPDRRLSTGATSVNSGPVKYYVPQGHQPMHQPVGQRYRVRPQQPQMSHMGQPHQVQQRVVYPAGSISGHQLQPGQRIVTQRIVAPGGPHPPGTIVRKVIRKIVVNNPKQSPAQQVIQKKMMEQDMCTFERKTEQPMTSAQAAALIQHPQPEEYDYFQ
NP_497265.1 1 240 0.37241625 Dauer larva development regulatory growth factor daf-7; Abnormal dauer formation protein 7 350 0 12 240 0 Caenorhabditis elegans SwissProt::P92172 1 SwissProt MFMASSLPVFIFLLSLPHGLTFNCTNSGVCIEKMKQHRTEYLKNEILDQLNMKEAPKGLKPMDPEMKSVYLEMYRDLLEKDEQDMGVEMSFYTAKDPSYGENPSQLVAKFDVTNDLERSDILQATLTVSIEIPAKDSGMLQDVQVQVYEKNEDGSMGEMVTSGIFATKGSERISIQLPIDTVKSWFTISPIQGIFVKAMLDGRNVALHPQQTTADVDNMRLQLSTRPKGSRKRRSHAKPV
NP_523918.1 1 79 0.132255696202532 PF06377.11:Adipokin_hormo:23:77 Adipokinetic hormone 79 55 12 59 1 Drosophila melanogaster P61855 1 SwissProt/TReMBL MNPKSEVLIAAVLFMLLACVQCQLTFSPDWGKRSVGGAGPGTFFETQQGNCKTSNEMLLEIFRFVQSQAQLFLDCKHRE
NP_524061.1 564 1265 0.355683618233618 PF12455.8:Dynactin:8:271 dynactin 1, p150 subunit 1265 264 12 702 0 Drosophila melanogaster NP_524061.1 1 RefSeq NEKESLQDPSLKMVTETIDYKQMFAESKAYTRAIDVQLRQIELSQANEHVQMLTAFMPESFMSRGGDHDSILVILLISRIVFKCDIVVSQTRERFPPVDAITREAVTQGHAVQQYAFKCRLLHYVHSLQCALHQILYGLNSCQPDTLLRAGSSLPEMVAQEKIVDGIIELLKSNQLDENSTTDNIEKCVAFFNAMNSVLLAGEQLLNEIQMIRDCVASLGAACESILSDTAIAKVIIQEAGATSDSVLLIQFLNENMESVRQQVKLIKRRLPSDQHVIKSGLSQHKVEAMRGLAQNISRIMSAMHQATKQSLAAIVSTIESDNAAEHTLPQEKYWALLTASCERIYEQDDRGPTQNFKTLLAQANSDLQLIAQHLLDKEYDIISAANNASNQQKSGAHSTPITQRAQLIKKQLEQKNVLAATLENREADVKQLKVAAKMKQNELSEMQIRKDLAEKKLSVLQNEYEHAVDKWKQKYEETSLQLQLKEKEFEETMDHLQSDIDALESEKSDLRDKLKLNSTTGKVQPGSESHSPHNISLSGNTSTAPGISNVSYSAPAGTAPVVAEEVELLKNAFNQERNQRLRLQAQDMRAKLSQFEPLHVPQPQDQRITALESELTRMKHAWVLSLLQVRSQDSVNSGTRIDAVALQRRNQPVPLKGEISSKASQLASDILTEYLQRKPHRATHGQFASFPTVDVKRVLQI
NP_524080.1 284 678 0.694201518987342 Mitogen-activated protein kinase kinase kinase 7; TGF-beta-activated kinase 1; dTAK1; EC 2.7.11.25 678 0 12 395 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9V3Q6 1 SwissProt TFVNQQIVTKESDGTVAAQPDSLSSQEGELSPSSTQLTPTTAANANVNAIAISKTTTSSMTENTSSTSSDITPTNSGQLDNNPLFYMVTNRWDAIPEEESNESRNDSFNLTSSAEATQRLETIRNGMILMACKPMEQLTLDVEANGFDLSPSESSSSSTNAKSDGRERLTVTDTKPVMMTTDLSNNNGGIHAHSNGLLSHANGWQARDEELQEQEHEQEIVNSLDVDVDPDEDENDGTEQSLAEILDPELQPEPPIPNDAESQLIYRDHRHMAKEYLSVDTNLYYAQDFKDKLIVQMDRTEREQKQELLRKMKDKEGLQSLYNNLQQQYASRQLAAGHHPQPHPHPHPNQLQHPHSHPPMHFLQDEGCGLLPGSVCGGSESVEEGWVVIPPHHNA
NP_524513.1 64 179 0.584297413793104 PF07527.13:Hairy_orange:20:57 Enhancer of split m8 protein; E(spl)m8 179 38 12 116 0 Drosophila melanogaster (Fruit fly) SwissProt::P13098 1 SwissProt QQKTPKKVAQEEQSLPLDSFKNGYMNAVNEVSRVMASTPGMSVDLGKSVMTHLGRVYKNLQQFHEAQSAADFIQNSMDCSSMDKAPLSPASSGYHSDCDSPAPSPQPMQQPLWRPW
NP_542400.2 1 459 0.0746714596949892 PF07690.16:MFS_1:90:405 Proton-coupled folate transporter; G21; Heme carrier protein 1; PCFT/HCP1; Solute carrier family 46 member 1 459 316 12 218 11 Homo sapiens (Human) SwissProt::Q96NT5 1 SwissProt MEGSASPPEKPRARPAAAVLCRGPVEPLVFLANFALVLQGPLTTQYLWHRFSADLGYNGTRQRGGCSNRSADPTMQEVETLTSHWTLYMNVGGFLVGLFSSTLLGAWSDSVGRRPLLVLASLGLLLQALVSVFVVQLQLHVGYFVLGRILCALLGDFGGLLAASFASVADVSSSRSRTFRMALLEASIGVAGMLASLLGGHWLRAQGYANPFWLALALLIAMTLYAAFCFGETLKEPKSTRLFTFRHHRSIVQLYVAPAPEKSRKHLALYSLAIFVVITVHFGAQDILTLYELSTPLCWDSKLIGYGSAAQHLPYLTSLLALKLLQYCLADAWVAEIGLAFNILGMVVFAFATITPLMFTGYGLLFLSLVITPVIRAKLSKLVRETEQGALFSAVACVNSLAMLTASGIFNSLYPATLNFMKGFPFLLGAGLLLIPAVLIGMLEKADPHLEFQQFPQSP
NP_563789.1 1 266 0.0429857142857143 PF04116.13:FA_hydroxylase:113:247 Methylsterol monooxygenase 2-2; Sterol 4-alpha-methyl-oxidase 1; AtSMO1; Sterol 4-alpha-methyl-oxidase 2-2; EC 1.14.18.9 266 135 12 179 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VWZ8 1 SwissProt MASFVESGWQYLVTHFSDFQLACIGSFLLHESVFFLSGLPFIFLERQGFLSKYKIQTKNNTPAAQGKCITRLLLYHFSVNLPLMLASYPVFRAMGMRSSFPLPSWKEVSAQILFYFIIEDFVFYWGHRILHSKWLYKNVHSVHHEYATPFGLTSEYAHPAEILFLGFATIVGPALTGPHLITLWLWMVLRVLETVEAHCGYHFPWSLSNFLPLYGGADFHDYHHRLLYTKSGNYSSTFVYMDWIFGTDKGYRRLKTLKENGDMKQT
NP_564103.1 1 441 0.593080272108843 Protein FREE1; FYVE domain protein required for endosomal sorting 1; FYVE domain-containing protein 1 601 0 12 441 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ASS2 1 SwissProt MQQGDYNSYYHHQYSQFQNPTPNPNPNPNPSPPAPATVAGPTDLTRNTYASAPPFTGGYGSADYSNYSQNYTPYGQNSEHVPPSAPSFTSPSQPPPSPPATSLNPNSYSTFNQPPPPPTIHPQPLSSYGSFDSTAPYQQPTSQHMYYSPYDQHQTSGYSSAPPPSSAPAPNPNPAPYSSSLYSAPPYSSGGSSIPPSYEKPSVKFDQSGYDGYNRSRSDLGSDLYGKRSDSGEYPAFEDSYGDGVYAYQGGKVEPYGSRGTAPKSSNSTLFDDYGRSISFSSSGRDSSVSSNSAKIVRAVPKADVQEDSTGGVQKFRVKLLAETYGQTTTDVLCQIGLDGLRMLDPSTSRTLRIYPLENITRCEKLDSSILAFWSKTPVDIEAKRIRLQSNSYTTNTLLDTVTAAMFQAKEIGGSSRPPTSGKLIEQTAEKKKGLGDWMNI
NP_564439.1 146 564 0.413678042959427 NAC domain-containing protein 16; ANAC016; Protein NTM1-like 3 564 0 12 396 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A4FVP6 1 SwissProt EELGRCKNAKEYYALYKLYKKSGAGPKNGEQYGAPFQEEEWVDSDSEDADSVAVPDYPVVRYENGPCVDDTKFCNPVKLQLEDIEKLLNEIPDAPGVNQRQFDEFVGVPQGNSAEVIQSTLLNNSSGEYIDPRTNGMFLPNGQLYNRDSSFQSHLNSFEATSGMAPLLDNEKEEYIEMNDLLIPELGASSTEKSTEFLNHGEFGDVNEYDQLFNDISVFQGTSTDLSCLSNFTNNTSGQRQQLLYEQFQYQTPENQLNNYMHPSTTLNQFTDNMWFKDDQAALYVQPPQSSSGAFTSQSTGVMPESMNPTMSVNPQYKEGQNGGGTRSQFSSALWELLESIPSTPASACEGPLNQTFVRMSSFSRIRFNGTSVTSRKVTVAKKRISNRGFLLLSIMGALCAIFWVFKATVGVMGRPLLS
NP_564559.1 1 300 0.488781666666667 PF00249.31:Myb_DNA-binding:5:55,PF00538.19:Linker_histone:122:178 Telomere repeat-binding factor 1; AtTRB1; MYB transcription factor 300 108 12 300 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VWK4 1 SwissProt MGAPKQKWTQEEESALKSGVIKHGPGKWRTILKDPEFSGVLYLRSNVDLKDKWRNMSVMANGWGSREKSRLAVKRTFSLPKQEENSLALTNSLQSDEENVDATSGLQVSSNPPPRRPNVRLDSLIMEAIATLKEPGGCNKTTIGAYIEDQYHAPPDFKRLLSTKLKYLTSCGKLVKVKRKYRIPNSTPLSSHRRKGLGVFGGKQRTSSLPSPKTDIDEVNFQTRSQIDTEIARMKSMNVHEAAAVAAQAVAEAEAAMAEAEEAAKEAEAAEAEAEAAQAFAEEASKTLKGRNICKMMIRA
NP_564749.1 1 264 0.524281060606061 PF00010.26:HLH:146:193 transcription factor BIG PETAL P (BPE) 264 48 12 264 0 Arabidopsis thaliana NP_564749.1 1 RefSeq MDPSGMMNEGGPFNLAEIWQFPLNGVSTAGDSSRRSFVGPNQFGDADLTTAANGDPARMSHALSQAVIEGISGAWKRREDESKSAKIVSTIGASEGENKRQKIDEVCDGKAEAESLGTETEQKKQQMEPTKDYIHVRARRGQATDSHSLAERARREKISERMKILQDLVPGCNKVIGKALVLDEIINYIQSLQRQVEFLSMKLEAVNSRMNPGIEVFPPKEFGQQAFENPEIQFGSQSTREYSRGASPEWLHMQIGSGGFERTS
NP_564896.1 1 596 0.466422483221476 PF02301.18:HORMA:17:221 Meiosis-specific protein ASY1; Protein ASYNAPTIC 1; AtASY1 596 205 12 596 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HRV8 1 SwissProt MVMAQKLKEAEITEQDSLLLTRNLLRIAIFNISYIRGLFPEKYFNDKSVPALDMKIKKLMPMDAESRRLIDWMEKGVYDALQRKYLKTLMFSICETVDGPMIEEYSFSFSYSDSDSQDVMMNINRTGNKKNGGIFNSTADITPNQMRSSACKMVRTLVQLMRTLDKMPDERTIVMKLLYYDDVTPPDYEPPFFRGCTEDEAQYVWTKNPLRMEIGNVNSKHLVLTLKVKSVLDPCEDENDDMQDDGKSIGPDSVHDDQPSDSDSEISQTQENQFIVAPVEKQDDDDGEVDEDDNTQDPAENEQQLARVKDWINSRHLDTLELTDILANFPDISIVLSEEIMDQLVTEGVLSKTGKDMYIKKRDKTPESEFTFVKEEADGQISPGKSVAPEDYLYMKALYHSLPMKYVTITKLHNMLDGEANQTAVRKLMDRMTQEGYVEASSNRRLGKRVIHSSLTEKKLNEVRKVLATDDMDVDVTETINKTNGPDAKVTADVSTCGGIHSIGSDFTRTKGRSGGMQQNGSVLSEQTISKAGNTPISNKAQPAASRESFAVHGGAVKEAETVNCSQASQDRRGRKTSMVREPILQYSKRQKSQAN
NP_564995.1 1 195 0.244118461538462 PF05042.13:Caleosin:16:182 Probable peroxygenase 4; AtPXG4; Caleosin-4; EC 1.11.2.3 195 167 12 172 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAB7 1 SwissProt MASSISTGVKFVPEEDNFLQRHVAFFDRNKDGIVYPSETFQGFRAIGCGYLLSAVASVFINIGLSSKTRPGKGFSIWFPIEVKNIHLAKHGSDSGVYDKDGRFVASKFEEIFTKHAHTHRDALTNEELKQLLKANKEPNDRKGWLAGYTEWKVLHYLCKDKNGLLHKDTVRAAYDGSLFEKLEKQRSSKTSKKHP
NP_565292.1 1 439 0.565568109339408 Protein PHYTOCHROME KINASE SUBSTRATE 1 439 0 12 439 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SWI1 1 SwissProt MVTLTPSSASTPKTSFDFMKNNNSHSSLYVSSSSYLSSKEDALVTTKKLMEPSKTLNMSINPKQEEFGDEKKMVKKAPEDPEIGVFGAEKYFNGDMDSDQGSSVLSLTNPEVERTVVDSKQSAKKSTGTPSVRSESSWNSQSVLLQNKLVNSCNSSFKEKKNSNGQIQKVTNNKKSFLANLGCKCACSDGDSVDVEEKTSVKRSADPNISVITMRSSADMNTELIKIQKQEELSQRKSLEVFGSPVAIEKKSSVVQKKLPLPPWKSRTEEDDTKSEGSDSSSDLFEIEGLTGNPKPFLTRQGSDPASPTCYAPSEVSVEWSIVTASAADFSVMSECATSPVRRNRPTQIPRIPITAKSAPQRRKSSSSSGGNGFLMSCKSHKSVMVSGDLDRRSSMNKTQPSYVPRFPMETTKPKSFETRRRISNSSISHTQSSLLYSQ
NP_565534.1 1 219 0.187217351598174 Mediator of RNA polymerase II transcription subunit 18 219 0 12 219 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJZ6 1 SwissProt MSMECVVQGIIETQHVEALEILLQGLCGVQRERLRVHELCLRSGPNLGVVSSEVRLLCDLDQPEPTWTVKHVGGAMRGAGADQISVLVRNMIESKVSKNALRMFYALGYKLDHELLKVGFAFHFQRTAHISVSVSSVNKMPKVHAIDEAVPVTPGMQIVDVTAPATSENYSEVAAAVSSFCEFLAPLVHLSKPSISTGVVPTAAAAAASLMSDGGGTTL
NP_565672.1 155 434 0.5513025 Double-stranded RNA-binding protein 2; dsRNA-binding protein 2; AtDRB2 434 0 12 280 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SKN2 1 SwissProt QLAKETSSSMPEPENIDELEQVIIARALINYRIKENIGTGSSSSAPVPFAKKFFMQNLRPTSPQPSPATTSRILPFICPKQPSRSSRSSLAATSGIDRIMAAALESRSYQRPQQRFANPPYVPMRQFRSQCHGMAPPVTIRTAVPVFSAPPMPPPPCTNNTQLPSSVYVPSLMRTAPPVRIAPPVTIRTAVPVFASAPPVRIRTAVKPTVEAGETRISSVQEKESIPVLPDSLEIGVEGSTITITDCEKTASKETERAEFKDSSKGEPETARERLENLKI
NP_565962.1 171 716 0.513368681318681 PF00642.24:zf-CCCH:136:156 CCCH-type zinc finger protein with ARM repeat domain-containing protein 716 21 12 546 0 Arabidopsis thaliana NP_565962.1 1 RefSeq VPPKLEGVKLMLQELLSADGSSTAERNLRVVTNVPNRSSSPCHSPTGENGGSGSGSPLGSPFKLKSTEFKKEYPVDPSLPDIKNSIYATDEFRMYSFKVRPCSRAYSHDWTECPFVHPGENARRRDPRKFHYSCVPCPDFRKGACRRGDMCEYAHGVFECWLHPAQYRTRLCKDGTGCARRVCFFAHTPEELRPLYASTGSAVPSPRSNADYAAALSLLPGSPSGVSVMSPLSPSAAGNGMSHSNMAWPQPNVPALHLPGSNLQSSRLRSSLNARDIPTDEFNMLADYEQQQLLNEYSNALSRSGRMKSMPPSNLEDLFSAEGSSSPRFTDSALASAVFSPTHKSAVFNQFQQQQQQQQSMLSPINTSFSSPKSVDHSLFSGGGRMSPRNVVEPISPMSARVSMLAQCVKQQQQQQQQQQQQHQFRSLSSRELRTNSSPIVGSPVNNNTWSSKWGSSNGQPDWGMSSEALGKLRSSSSFDGDEPDVSWVQSLVKETPAEAKEKAATSSSGEHVMKQPNPVEPVMDHAGLEAWIEQMQLDQLVAQQN
NP_565988.1 1 118 0.452222881355932 Transcription factor PAR1; Basic helix-loop-helix protein 165; AtbHLH165; bHLH 165; Protein HELIX-LOOP-HELIX 1; Protein PHYTOCHROME RAPIDLY REGULATED 1; bHLH transcription factor bHLH165 118 0 12 118 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJH0 1 SwissProt MEETLATPDATRRSLSPSCSATVKSRAAGFERRTKRRLSETNASVREDREEAEEEEDEVKEKIEALQRIIPGGAALGVDALFEETAGYILSLQCQIKTIKVLTSFLQRIDQEDMKFGG
NP_566306.3 1 273 0.290072527472527 PF04526.13:DUF568:112:212 auxin-induced in root cultures-like protein 273 101 12 273 0 Arabidopsis thaliana NP_566306.3 1 RefSeq MSLCLKIPLIKHQTTPEQNSAMASSSSSLLILAVACFVSLISPAISQQACKSQNLNSAGPFDSCEDLPVLNSYLHYTYNSSNSSLSVAFVATPSQANGGWVAWAINPTGTKMAGSQAFLAYRSGGGAAPVVKTYNISSYSSLVEGKLAFDFWNLRAESLSGGRIAIFTTVKVPAGADSVNQVWQIGGNVTNGRPGVHPFGPDNLGSHRVLSFTEDAAPGSAPSPGSAPAPGTSGSTTPGTAAGGPGNAGSLTRNVNFGVNLGILVLLGSIFIF
NP_566627.1 1 187 0.348595721925134 Protein disulfide-isomerase SCO2; Protein SNOWY COTYLEDON 2; EC 5.3.4.1 187 0 12 187 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93YN0 1 SwissProt MFRLYPNCSLPSHRPLVFLPRLPSRSLRCRAAADIPLGDGIRLPREADSTSDTARSRDVSVAAGGNGEGAKWRKRRLLWSKSGESYLVDDGDALPLPMTYPDTSPVSPDVIDRRLQCDPVVEDCREVVYEWTGKCRSCQGSGTVSYYKKRGKEVICKCIPCQGIGYVQKITSRTDIEVMEDLDNEPS
NP_566724.1 144 462 0.490155485893417 PF13920.6:zf-C3HC4_3:266:313,PF14447.6:Prok-RING_4:268:312,PF13639.6:zf-RING_2:267:307,PF00097.25:zf-C3HC4:268:306 Putative E3 ubiquitin-protein ligase XBAT35; Ankyrin repeat domain and RING finger-containing protein XBAT35; Protein XB3 homolog 5; RING-type E3 ubiquitin transferase XBAT35; EC 2.3.2.27 462 48 12 319 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q4FE47 1 SwissProt GPTFLDLFAPQLLSRRVWVVIVPTGSRNPTKPFKLELVVYASLQDAQPRTVMPLWKANLEEPKAKQSDTSVMIVDNSTIPSRRMKKRRVCASHGRRRPQVVRQTRLKFAPSTEGDSQQLKWFCDACKGIPQPMHPPVFLQAPPSAPPPPSEDGLAMGMNASLHTTMSDPSNLNHHSIGQASSSSGPSSSTAPPSGKASAFGFNSHGIGIVLESSPSAPPLTDDDIATVDDGPIHYPSIDSTPVDLPSAASLPASTEGERKEDGNTGTCAICLDAPSEAVCVPCGHVAGCMSCLKEIKSKNWGCPVCRAKIDQVIKLYRV
NP_566806.1 1 676 0.0415715976331362 PF03169.15:OPT:37:657 YELLOW STRIPE like 6 676 621 12 366 13 Arabidopsis thaliana NP_566806.1 1 RefSeq MGTEIPRSAEISEALLPPESEKTVTATEEHVPEWKEQITIRGLTVSALLGTLFCIITHKLNLTVGIIPSLNVAAGLLGFFFVKSWTGFLSKLGFTVKPFTKQENTVIQTCVVACYGLAFSGGFGSYLIAMDEKTYKLIGADYPGNHAEDVINPGLWWMIGFLFVVSFLGLFSLVPLRKVMVLDYKLTYPSGTATAMLINSFHTNTGAELAGNQVKCLGKYLSLSLIWSCFKWFFSGIGDACGFDNFPTLGLTLFKNTFYFDFSPTYIGCGLICPHIVNCSVLLGAIISWGILWPFVSQHAGDWYPADLGSNDFKGLYGYKVFIAIAIILGDGLYNLVKIIAVTVKELCSSRSRRLNLPIVTDGVDDSEASEILLVKKKRDEVFLKDRIPLEFAIAGYVGLAAISTATIPIIFPPLKWYFVLCSYFIAPALAFCNSYGTGLTDWSLASTYGKIGLFIIASVVGSDGGVIAGLAACGVMMSIVSTAADLMQDFKTGYLTLSSAKSMFVSQLVGTAMGCVIAPLTFWLFWTAFDIGDPNGPYKAPYAVIFREMAILGIEGFAELPKHCLALCYGFFIAALIVNLLRDITPPKISQFIPIPMAMAVPFYIGAYFAIDMFVGTVILFVWERINRKDAEDFAGAVASGLICGDGIWTIPSAILSILRINPPICMYFGPSSAR
NP_567175.2 1 541 0.0796269870609982 PF07690.16:MFS_1:138:499,PF05977.13:MFS_3:145:308 Ascorbate transporter, chloroplastic; Phosphate transporter PHT4;4; AtPHT4;4; Probable anion transporter 2 541 362 12 317 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GX78 1 SwissProt MALGGLISNRNFGSFIGSGNGCQRLGKSGAEVSKLFPNALLCRNHQPLQASLHHESGHMRRSFGCFLQPRMDSVIRFRNSIKINRSRAYYKSEESDITEGVVPSADGSAEAILVEGNLQNASPWWQQFPRRWVIVLLCFSSFLLCNMDRVNMSIAILPMSQEYNWSSATVGLIQSSFFWGYLLTQILGGIWADKFGGKVVLGFGVVWWSFATIMTPIAARLGLPFLLVVRAFMGIGEGVAMPAMNNMLSKWIPVSERSRSLALVYSGMYLGSVTGLAFSPMLITKFGWPSVFYSFGSLGSIWFLLWLKFAYSSPKDDPDLSEEEKKVILGGSKPREPVTVIPWKLILSKPPVWALIISHFCHNWGTFILLTWMPTYYNQVLKFNLTESGLLCVLPWLTMAVFANIGGWIADTLVSRGLSITNVRKIMQSIGFLGPAFFLSQLSHVKTPAMAVLCMACSQGSDAFSQSGLYSNHQDIGPRYAGVLLGLSNTAGVLAGVFGTAATGYILQRGSWDDVFKVAVALYLIGTLVWNLFATGEKILD
NP_567682.1 1 115 0.461278260869565 E3 ubiquitin-protein ligase AIRP1; Protein ABA INSENSITIVE RING PROTEIN 1; AtAIRP1; RING-type E3 ubiquitin transferase AIRP1; EC 2.3.2.27 153 0 12 115 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93ZF6 1 SwissProt MGCCCCLPSIPESSRTIDEHLPLSRATPSSLSNAYSSPLSPPIPLAITNINLQTSPPKLPRTQGNSSEASPGLTQVVPEKKTWHVDDLTDFELKKQYREAIDECPICLEEYEIDN
NP_568161.1 86 226 0.564032624113475 Probable transcriptional regulator RABBIT EARS 226 0 12 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LHS9 1 SwissProt QQSLSPSSTDQATPPECDRQQQVLDVGSKVLVQEETRKPNGTKREISDVCNNNVLESSMKRYEHDNGEVKTDLSVGLLSTEFDPRKKQLINGSSSSWKRAKTDVSRFPMMLGLVIGISEINGHHEELDLELRLGADPPKVN
NP_568756.2 1 608 0.223003453947369 PF00781.24:DAGK_cat:165:243 Ceramide kinase; AtCERK; Protein ACCELERATED CELL DEATH 5; EC 2.7.1.138 608 79 12 608 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6USK2 1 SwissProt MEEGRDDEYCSFSNSGDRDGGLSGCFFLDHVGQVLLSRNHDGLSWKCLDSSDCEGTTCLGIIICENSETEIKFSDIYAVEFVSYGLVHSPKLGLRHAKECFRERLLNTQEMYRFTVHGFQSSPKEPCLWNLAAFTFGHMDLQTCQSWMDQLNYSLIKEVERPRNLLVFVHPKSGKGNGSKVWETVSKIFIRAKVNTKVIVTERAGHAFDVMASIQNKELHTYDGIIAVGGDGFFNEILNGYLLSRLKVPLPPSPSDSFNSVQSRGSSSVPEPGDEVHETDQKEHYPLLPDSVQEVMNFRTVNGSCEGIEDPDHPFSSERPRFGLIPAGSTDAIVMCTTGARDPVTSALHIILGRKLFLDAMQVVRWKTASTSTIEPYIRYAASFAGYGFYGDVISESEKYRWMGPKRYDYVGTKIFLKHRSYEAEVMFEEAESENSKASLHTRSKTWPFRNTTRSEKILCRANCKICNSKVGWNSASTTLNPCPEKTRWCRTKGRFLSIGAAVMSNRNERAPDGLVVDAHLSDGFLHLILIKDCSRPKYLWHLTELAKRGGEPLNFEFVEYHKTRAFTFTSFGEESVWNLDGEIFEAHQLSAQVLRGLIPLFASGPEI
NP_568807.1 134 483 0.714897142857142 ADP-ribosylation factor GTPase-activating protein AGD5; ARF GAP AGD5; Protein ARF-GAP DOMAIN 5; AtAGD5; Protein MODIFIED TRANSPORT TO THE VACUOLE 4; Protein NEVERSHED; Protein ZIGA3 483 0 12 350 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FL69 1 SwissProt RVEQERRKSVERSGPGYEHGHSSSPVNLFEERKTIPASRTRNNVAATRINLPVPPQGPSQVIKPQQKMESAATPVEREKQAVNVAPASDPPKVDFATDLFNMLSMDDSTTNTSEATPGDTPADDNSWAGFQSAGSGQTAEKIVTAKPAESSSPPASSSDFEDLFKDTPNLTTQQAPKDVKGDIMSLFEKTNIVSPFAMHQQQVAMLAQQQALYMAAAKAAGGTPNGVNQQAIANALNVASANWSNPGGYQIPGMTNPVGGQADLQKLMQNMNMNANMNTRPAQPQENTLQYPSSSFYTMGQANQVNGMTPNSTGKPQSSSATQPTSTTPSSQSGKDFDFSSLMDGMFTKH
NP_571501.1 64 263 0.80803 PF08347.11:CTNNB1_binding:3:147 lymphoid enhancer-binding factor 1 365 145 12 200 0 Danio rerio NP_571501.1 1 RefSeq NSNSHDAARQSQITPDSYHEKHRDHPDDGKLQDLYSKGHPYPSYPGYIMMTNMNNEPYMNNGSLSPPIPRTSNKVPVVQPSHAVHPLTPLITYSDEHFAPGPHSGHHPQDVNPKQAGMPRHHPGPDIPNFYPLSPGGVGQMTPPLGWFSHHMVPGPPGPHATGIPHPAIVNPQVKQEHDTDLMHMKPQHEQRKEQEPKRP
NP_571684.1 74 206 0.763778947368421 hairy and enhancer of split related-7 206 0 12 133 0 Danio rerio NP_571684.1 1 RefSeq SKEEEGEEKSQFMEGFSSCLQKAARFLLEEGGLEGSVTSMLCQRLAHPTIRLPVRGHSRKQHAESNPQHHARRPHHKNTVSKAGHPSACRNTKEPQASRAAFRSTDSNTKHSTAQPTSRHPEPASQTVWRPWP
NP_571931.2 1 289 0.485248096885813 Krueppel-like factor 2 380 0 12 289 0 Danio rerio NP_571931.2 1 RefSeq MALSGTILPSISTFSAQKEKCWENRWKDELDRSMHLSCIADLSNMDNLNRITDDEDLDKYLDLEFILANTAGSDNLGLGMAGDYRMQESRNMYNPTTYSVPEINPSPPPPYTTSLMAELLQSDIDTYCQPSLQGRFLVRPAFPTQDISECIKVEPCMDSYGPVRGMVPKVKQEGNGACMRSYEQPRLANSPQGAGSMTPPQSPAELINTDCQSHSQMCHALTFSQSYQGNTGFPHAAPPQMQLPYQSTHHFSMCDDGLAMPNANQRVLLTPPSSPLELDAKPKRGRRTW
NP_592936.1 224 564 0.682746920821114 Iron-sensing transcription factor 1; Transcription factor gaf2; Gaf-2 564 0 12 341 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10134 1 SwissProt FNGNANESQHNLKRMSSGDSGSSVKQQSTRDGPFSKSFPNGNGHASGNSGEGLAEHGMNTGVLPPASTFPSYNSNFTGFLPSSFNPSPLMTLSRLAAGEPDNNGKVYYSYGPTQEQSILPLPENKHEGLPPYQNEYVPNGIRANQVVYPGQLVAVGNDSSKQLSESTTSNTDNNGVATANQSNPLGMKFHLPPILPVGESVCLPPRTSAKPRIAEGIASLLNPEEPPSNSDKQPSMSNGPKSEVSPSQSQQAPLIQSSTSPVSLQFPPEVQGSNVDKRNYALNVLSQLRSQHDLMIQELHNLNQHIQQIDEWLRSSDNENMASEHIKSSTPAVVASGALQT
NP_593431.1 1 1157 0.274714606741573 PF08429.11:PLU-1:780:1130,PF02373.22:JmjC:513:628,PF02928.16:zf-C5HC2:716:765 Multicopy suppressor of chk1 protein 1 1588 517 12 1157 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9UT79 1 SwissProt MRKNSSHENQSSENIIEFPYVDFEELNVHSNIFSELEHAKPSTQQQQQQQNISNETTSTGPRICISRDEFKAVNLLTKEEINVRVTPKKEEFSRGLDFISDLYDQTARKSGAVRVIPPDNWKCPLTINTTTFKFLTRKNNPSSMSLVSNYPLDAISSQQKFHGNDKTLEKNSAKATINKSNSTAETSSTATVEPYDSNDLYRIFDRPDAVVLSYIFVLGKAVDLLQIKQWLQLSKQKNLLEFEFWSQAAQHYKLDVNSLRNAYNLYAETGVTTRTGNDGGSPINRPAKRVKRQNHIPKCKLCAQEGSSLVTCCICQSNYHYACVEAPFAPFSDIHYWTCNSCIPSSLKILWKEVDYHCISSFLQSSNELASSLKKQLPSFLAQTPLTLPSNTKTPPASARQSSRRTRSTSGKGFETKISINLDSDIKLLNTLSPLETFFWCCSFPSTASTSSPFSYYPESLPTPLLGRAVNTTAFPTSRQNAYYNDPWNLYFIHFSKLSPLRFTPPGILTSTISLGQPLTCQGWQRDSMSLFGMHYHHYGAQRIWYVIPEVDGPKYEKLLNDLSPSFIQEKPETLIKSKILLPISMLISNGIQVLTFVQNSNEFVITSPNTYYTVLDTGFSLSESVPFATKEWIQDMHAENSFNMYKNLHISAPFSLDHILLANATLDKTVHSAYWLMTCLKDRVDRELTLRNEFRKRHPLLTWIPTPLESSVMACAFCKTFAYLASIEEKNGTKTACLSHKDECFPNTDSDLTVLVRYDDNALLAAYSKVVERAHKADTWLENYKEALGSDNSRPSLKVLKTLLNEAETICCPLQEVSLVRNLVKTAQQWLDKFAIIFKKKSMVKKEKRKPKRGSATHSHLESPSEEVEDLNSSNINEADLLINLVEEAEQFTFDFPEMAVAFEKAESLKIFREKANAMKERSLSYEECLAIVEEGESLQLKTPELLYFKQYMEKTEWIDSFNQISQKTDSTMEELVELIERGEKIGLTSDNENMATALLLKEKSENWMKQVEGLLSQETLSTSKLFQLKSEANSICINRGLLEQLNEVLQKSENFHTQLVSLISRARDPDYYSRPTIEEAKTVLAESENLTNKPEEYTVAQKLLTQTYEWVRRGKRLFGKANAPLEIFNQHLEFVEQRNTNAMVDEGSDAPFHVG
NP_594809.1 1 323 0.250288544891641 PF02144.16:Rad1:2:262 checkpoint clamp complex protein Rad1 323 261 12 323 0 Schizosaccharomyces pombe CharProtDB::CH_125176 1 CharProtDB MFQAETVCLKQIQSTLRCIDFSKECTIEITSRGLRFAVEESQSLQAHAFLDKSLFQTFNFQGDSDGDTYMFQTMISPLLQSLSIYTDGKERISTSAWDQPTVNIMHKRGVICKVQYNGPGCPFIWEVEEMAGYATACELLTMECEDDVDINRLASTLCTKIIMKSNWLYDALVELDNNMGENLIIHTSSQKSTFLLRCVGALSTTEIEYPNEKSVLESFETDSENTYSYRFSLIRHALKALQVGSKVNLRIDENGTLSIQIMLVGQEGLCTFVDFCIVPLDLVSEDEEEDEEEEPAESNQSDNNVLRNDPNYRGDAETEDEDS
NP_595617.1 167 517 0.561428774928775 meiotic forkhead transcription factor Mei4 517 0 12 351 0 Schizosaccharomyces pombe CharProtDB::CH_124476 1 CharProtDB SVRLHRSHSTDSNSKKRPSSKCHEIKPLTTREIPLARKRSRLNSFNSSTSTSGSSSNVAAEVSNDASQPSNQDSSLNSNIVKPPLPPSNVQSNSSSSENVPKPNAETQEDLPTIDAHESSLYENVNDSRLYEVPACRNMALNTGYSDADPGYLRTSFRSNSHNSLPYSANEEEDVLQADFLVSQQSSMVSSYVSSRDPHSMPYYRREPIPLRPSSRFYEYTRPTYGRTDTSCSAPGAFCSTQINSPSSYINYSKCAPSSPTLSLQKHREHVKSLLYVPDLTPSFDGSDPWNPSSQLLSEPLFDQHSFQSSLDDLMSVTCFRDSPELNHESSGYSSAPLMPSNRAFINDFSL
NP_595668.1 1 180 0.695046111111112 PF10376.9:Mei5:72:176 Swi5-dependent recombination DNA repair protein 1; DNA repair protein dds20; Meiotically up-regulated gene 13 protein 299 105 12 180 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9USV1 1 SwissProt MSQTINSELNENATSQCKEDLKVSLSESDLRDSQGQLGIENPPKCNNSGNHSDNLGFIEQSETVHPENEKALTPDLRDTKIHTSLPITTPFSKKRAREAKNILLKPFKSPLRQTASPQVADTNLKPSLAVTNLNSDETNTSSEPVTSPLRTTPNSIKRQKRLFKSPISNCLNPKSDPEIT
NP_597705.2 1 1099 0.317494267515924 PF16070.5:TMEM132:436:779,PF15705.5:TMEM132D_N:49:179,PF15706.5:TMEM132D_C:887:972 Transmembrane protein 132D; Mature oligodendrocytes transmembrane protein; Mature OL transmembrane protein 1099 561 12 1076 1 Homo sapiens (Human) SwissProt::Q14C87 1 SwissProt MCPSEMGTLWHHWSPVLISLAALFSKVTEGRGILESIQRFSLLPTYLPVTYHINNADVSFFLKEANQDIMRNSSLQSRVESFLIYKSRRLPVLNASYGPFSIEQVVPQDLMLPSNPFGFTNKFSLNWKLKAHILRDKVYLSRPKVQVLFHIMGRDWDDRSAGEKLPCLRVFAFRETREVRGSCRLQGDLGLCVAELELLSSWFSPPTVVAGRRKSVDQPEGTPVELYYTVHPGGERGDCVREDARRSNGIRTGHSDIDESGPPLQRIGSIFLYQTHRKPSLRELRLDNSVAIHYIPKTVRKGDVLTFPVSISRNSTEDRFTLRAKVKKGVNIIGVRASSPSIWDVKERTDYTGKYAPAVIVCQKKAAGSENSADGASYEVMQIDVEVEEPGDLPATQLVTWQVEYPGEITSDLGVSKIYVSPKDLIGVVPLAMEAEILNTAILTGKTVAVPVKVVSVEDDGTVTELLESVECRSSDEDVIKVSDRCDYVFVNGKEMKGKVNVVVNFTYQHLSSPLEMTVWVPRLPLQIEVSDTELNQIKGWRVPIVSSRRPAGDSEEEEDDERRGRGCTLQYQHAMVRVLTQFVAEAAGPGGHLAHLLGSDWQVDITELINDFMQVEEPRIAKLQGGQILMGQELGMTTIQILSPLSDTILAEKTITVLDEKVTITDLGVQLVTGLSLSLQLSPGSNRAIFATAVAQELLQRPKQEAAISCWVQFSDGSVTPLDIYDGKDFSLMATSLDEKVVSIHQDPKFKWPIIAAETEGQGTLVKVEMVISESCQKSKRKSVLAVGTANIKVKFGQNDANPNTSDSRHTGAGVHMENNVSDRRPKKPSQEWGSQEGQYYGSSSMGLMEGRGTTTDRSILQKKKGQESLLDDNSHLQTIPSDLTSFPAQVDLPRSNGEMDGNDLMQASKGLSDLEIGMYALLGVFCLAILVFLINCVTFALKYRHKQVPFEEQEGMSHSHDWVGLSNRTELLENHINFASSQDEQITAIDRGMDFEESKYLLSTNSQKSINGQLFKPLGPIIIDGKDQKSEPPTSPTSKRKRVKFTTFTAVSSDDEYPTRNSIVMSSEDDIKWVCQDLDPGDCKELHNYMERLHENV
NP_598872.1 1 132 0.803571212121212 ELL-associated factor 2 isoform a 132 0 12 132 0 Mus musculus NP_598872.1 1 RefSeq MWNLPRTSNLVQHSPSEEKMSPTSLMDDIERELKAEASLMDQMSSCDSSSDSKSSSSSSSEDSSSDSEDDDQFSPLGPRKYSSEHPSMSAGPQYRTSEADATCHRLQDHSTLLMSTLRSDLQLSESESDSED
NP_611262.1 116 595 0.0606752083333333 PF00916.20:Sulfate_transp:9:316 uncharacterized protein 595 308 12 284 9 Drosophila melanogaster NP_611262.1 1 RefSeq NQYATISPDYAVLVCFLAGCIVLLLGLLNMGVLVRFISIPVITGFTMAAATTIGSAQINNIVGLTSPSNDLLPAWKNFFTHLTSIRLWDALLGVSSLVFLLLMTRVKDIKWGNRIFWKYLGLSRNALAVIFGTFLAYILSRDGNQPFRVTGNITAGVPPFRLPPFSTTVDGEYVSFGEMISTVGASLGSIPLISILEIVAISKAFSKGKIVDASQEMVALGMCNIMGSFVLSMPVTGSFTRTAVNNASGVKTPLGGAVTGALVLMALAFLTQTFYFIPKCTLAAIIIAAMISLVELHKIKDMWKSKKKDLFPFVVTVLTCMFWSLEYGILCGIGANMVYILYSSARPHVDIKLEKINGHEVSVVDVKQKLDYASAEYLKEKVVRFLNNQNGETQLVVIKGEEINSIDYTVAMNIVSMKGDLEALNCAMICWNWNIASAGVVCRLNNDLRPIFKFDLSLEEVVAGHFDSPSNTASTVTIEA
NP_612144.1 1 594 0.361063636363637 PF13923.6:zf-C3HC4_2:561:592,PF00097.25:zf-C3HC4:561:591 E3 ubiquitin-protein ligase DTX3L; B-lymphoma- and BAL-associated protein; Protein deltex-3-like; RING-type E3 ubiquitin transferase DTX3L; Rhysin-2; Rhysin2; EC 2.3.2.27 740 32 12 594 0 Homo sapiens (Human) SwissProt::Q8TDB6 1 SwissProt MASHLRPPSPLLVRVYKSGPRVRRKLESYFQSSKSSGGGECTVSTQEHEAPGTFRVEFSERAAKERVLKKGEHQILVDEKPVPIFLVPTENSIKKNTRPQISSLTQSQAETPSGDMHQHEGHIPNAVDSCLQKIFLTVTADLNCNLFSKEQRAYITTLCPSIRKMEGHDGIEKVCGDFQDIERIHQFLSEQFLESEQKQQFSPSMTERKPLSQQERDSCISPSEPETKAEQKSNYFEVPLPYFEYFKYICPDKINSIEKRFGVNIEIQESSPNMVCLDFTSSRSGDLEAARESFASEFQKNTEPLKQECVSLADSKQANKFKQELNHQFTKLLIKEKGGELTLLGTQDDISAAKQKISEAFVKIPVKLFAANYMMNVIEVDSAHYKLLETELLQEISEIEKRYDICSKVSEKGQKTCILFESKDRQVDLSVHAYASFIDAFQHASCQLMREVLLLKSLGKERKHLHQTKFADDFRKRHPNVHFVLNQESMTLTGLPNHLAKAKQYVLKGGGMSSLAGKKLKEGHETPMDIDSDDSKAASPPLKGSVSSEASELDKKEKGICVICMDTISNKKVLPKCKHEFCAPCINKAMSYKP
NP_620277.1 176 503 0.542091158536585 Carboxyl-terminal PDZ ligand of neuronal nitric oxide synthase protein; C-terminal PDZ ligand of neuronal nitric oxide synthase protein; Nitric oxide synthase 1 adaptor protein 503 0 12 328 0 Rattus norvegicus (Rat) SwissProt::O54960 1 SwissProt DGQEDGESERNSDGSGDPGRQLTGAERVSTATAEETDIDAVEVPLPGNDILEFSRGVTDLDAIGKDGGSHIDTTVSPHPQEPMLAASPRMLLPSSSSSKPPGLGTGTPLSTHHQMQLLQQLLQQQQQQTQVAVAQVHLLKDQLAAEAAARLEAQARVHQLLLQNKDMLQHISLLVKQVQELELKLSGQSTMGSQDSLLEITFRSGALPVLCESTTPKPEDLHSPLLGAGLADFAHPVGSPLGRRDCLVKLECFRFLPAEDNQPMAQGEPLLGGLELIKFRESGIASEYESNTDESEERDSWSQEELPRLLNVLQRQELGDSLDDEIAV
NP_620523.2 1 767 0.203798956975228 PF00998.23:RdRP_3:263:744 RNA-directed RNA polymerase; Protein p88; EC 2.7.7.48 767 482 12 767 0 Red clover necrotic mosaic virus (RCNMV) SwissProt::P22956 1 SwissProt MGFINLSLFDVDKLMVWVSKFNPGKILSAICNLGIDCWNRFRKWFFGLNFDAHMWAVDAFIPLMPHYTEQMERVVDDFCSETPESKLEDCLELDTSVNEFFDEEVYKKDEEGVMKLQRSAARKHIKRVRPGMMQAAIKAVETRIRNRHTIFGDDMGKVDEAAVRATASDICGEFKINEHHTNALVYAAAYLAMTPDQRSIDSVKLAYNPKSQARRTLVSAIRENKAVAGFKSLEDFLGGPLSFPVEDAPYPILGIPEIRVAEKRASRVMKSKRVVGLPAVSAGLKVCVHQTSLHNMIVSLERRVFRVKNSAGELVVPPKPIQNAFDSISYFREEWLRKLSHKGQILKSSLADVVACYSSEKRKLYQKAADSLEKKPVQWRDSKVQAFIKVEKLECDTKDPVPRTIQPRSKRYNLAIGQYLRLNEKKMLDSIDDVFKEKTVLSGLDNRAQGRAIAHKWRKYQNPIGIGLDASRFDQHCSVDALKFEQTFYKACFPGDQQLETLLKWQLSNTGSALLPTGELVRYRTKGCRMSGDINTGLGNKILMCSMVHAFLKETGVRASLANNGDDCVLFCEKGDYEQINRNLEQWFLCRGFEMTVEKPVDVLEKVAFCRSQPVCIATQWAMVRQLGSLSRDCFSTQDWLNPKTFKDAMNALGQCNGIINDGVPIHMAQAKLMHRIGGNRKFNLDALHKQMEYSWRDRLGKRTNLLWSEVEDATRLSYFRAFGIEPYIQRIVEEYFSQVEITCEGRSTNVLPTHYSRIHKDLIKAR
NP_620584.2 1 384 0.0803549479166666 PF04258.13:Peptidase_A22B:61:372 Signal peptide peptidase-like 3; SPP-like 3; Intramembrane protease 2; IMP-2; Presenilin homologous protein 1; PSH1; Presenilin-like protein 4; EC 3.4.23.- 384 312 12 186 9 Homo sapiens (Human) SwissProt::Q8TCT6 1 SwissProt MAEQTYSWAYSLVDSSQVSTFLISILLIVYGSFRSLNMDFENQDKEKDSNSSSGSFNGNSTNNSIQTIDSTQALFLPIGASVSLLVMFFFFDSVQVVFTICTAVLATIAFAFLLLPMCQYLTRPCSPQNKISFGCCGRFTAAELLSFSLSVMLVLIWVLTGHWLLMDALAMGLCVAMIAFVRLPSLKVSCLLLSGLLIYDVFWVFFSAYIFNSNVMVKVATQPADNPLDVLSRKLHLGPNVGRDVPRLSLPGKLVFPSSTGSHFSMLGIGDIVMPGLLLCFVLRYDNYKKQASGDSCGAPGPANISGRMQKVSYFHCTLIGYFVGLLTATVASRIHRAAQPALLYLVPFTLLPLLTMAYLKGDLRRMWSEPFHSKSSSSRFLEV
NP_650528.1 1 555 0.0859679279279279 PF00083.24:Sugar_tr:104:508,PF07690.16:MFS_1:134:423,PF07690.16:MFS_1:369:507 uncharacterized protein 555 405 12 316 11 Drosophila melanogaster NP_650528.1 1 RefSeq MAVDYVLEDLMGKLGEFGKYQFLQFFLQVLSGLTAGMHMLSLVTVAAVPEHRCFIEGVDNSSLSVTPWNSSAILAAIPLKPNGELESCLMFDPSSPGTNTTINCERYVYDTTYYKTSRTIDWNFVCDRRWMGAIVQTVFMLGVFTGAVTLGGLADKVGRKTVFCWSALFQLIIGVGVAFIPEYFSFMVARYLLGIVGSAGAYICGFVLTMELVGPTKRTVCGITFQAVFAGGIMLVAGWGALIPDRQWLQVIYGLHGCLFLGHWWWLDESPRWLWMQGRAAEAVDIVAKGLRINGSGIPVDKEYYVQKAKQQAAAEEKSSAGLSDLFRTPNLRMKTLNVCLCWFANSLVYYGLSLSAGKLYGNPYLILFIMGLVEFPSYITIVFVLDRLGRRSITSTLMLGGGLCCIVAAYIAQGSTTSTAVVMAGKLLIAGSFAVIYNYSAELFPTVVRNSAMGLGSMCARLSGALTPLITLLDSFDPKIPAVLFGVVALISGFWVMFLPETMNQPMPESIEDGENFGKGDTWFSQCAGRKKRQNSVYPDDPEQMVPLKNIESK
NP_651375.1 1 416 0.177397115384615 PF02958.20:EcKinase:52:335,PF01636.23:APH:209:309,PF01633.20:Choline_kinase:165:299,PF07914.11:DUF1679:143:331 uncharacterized protein 416 284 12 416 0 Drosophila melanogaster NP_651375.1 1 RefSeq MPENADTEQFNADELEAPAWLNAQFITDVLRTYEKCPELEVTDLKITPASAQGDHYASVMFRTTAEYTTSKGKFCKPLIIKTMPEQEGHKKDMLSDSHLFSTEINAYTKALPEFERILREAGDDTKLFVPCIYHSLEPRQVLIFEDLVPQGYFVIRDRPINMNEYKNVFSKLAKWHAVSMKVLNEQPDILKDFKYGLMEMPSIMSDPMVTTGMDNFLKMMDQIPELTKYKPHFEKIKENYIQRMGDVMQEYRKNVQSDGYYVMCHGDFHGRNMMFNKNEEVMFVDFQICNLCPITIDLSYSVYMLMEPEQRWDLGKDLINFYFSVLEDTLKKVGYKGKMPTNDGLWKQIHRHKFYDFFLLTTFSPMIVAVKANTFKIHELIQDPEIRQKSYLYDPYVQDVKKLLGKYEEMGYFNDL
NP_653177.3 1 247 0.240141295546559 PF03364.20:Polyketide_cyc:94:222 coenzyme Q-binding protein COQ10 homolog A, mitochondrial isoform a 247 129 12 247 0 Homo sapiens NP_653177.3 1 RefSeq MAWAGSRRVPAGTRAAAERCCRLSLSPGAQPAPPPGPLPPPRPMRFLTSCSLLLPRAAQILAAEAGLPSSRSFMGFAAPFTNKRKAYSERRIMGYSMQEMYEVVSNVQEYREFVPWCKKSLVVSSRKGHLKAQLEVGFPPVMERYTSAVSMVKPHMVKAVCTDGKLFNHLETIWRFSPGIPAYPRTCTVDFSISFEFRSLLHSQLATMFFDEVVKQNVAAFERRAATKFGPETAIPRELMFHEVHQT
NP_660286.1 1 524 0.131864122137405 PF01435.18:Peptidase_M48:281:451 Metalloendopeptidase OMA1, mitochondrial; Metalloprotease-related protein 1; MPRP-1; Overlapping with the m-AAA protease 1 homolog; EC 3.4.24.- 524 171 12 501 1 Homo sapiens (Human) SwissProt::Q96E52 1 SwissProt MSFICGLQSAARNHVFFRFNSLSNWRKCNTLASTSRGCHQVQVNHIVNKYQGLGVNQCDRWSFLPGNFHFYSTFNNKRTGGLSSTKSKEIWRITSKCTVWNDAFSRQLLIKEVTAVPSLSVLHPLSPASIRAIRNFHTSPRFQAAPVPLLLMILKPVQKLFAIIVGRGIRKWWQALPPNKKEVVKENIRKNKWKLFLGLSSFGLLFVVFYFTHLEVSPITGRSKLLLLGKEQFRLLSELEYEAWMEEFKNDMLTEKDARYLAVKEVLCHLIECNKDVPGISQINWVIHVVDSPIINAFVLPNGQMFVFTGFLNSVTDIHQLSFLLGHEIAHAVLGHAAEKAGMVHLLDFLGMIFLTMIWAICPRDSLALLCQWIQSKLQEYMFNRPYSRKLEAEADKIGLLLAAKACADIRASSVFWQQMEFVDSLHGQPKMPEWLSTHPSHGNRVEYLDRLIPQALKIREMCNCPPLSNPDPRLLFKLSTKHFLEESEKEDLNITKKQKMDTLPIQKQEQIPLTYIVEKRTGS
NP_660303.1 1 156 0.315108333333333 Protein odd-skipped-related 1 266 0 12 156 0 Homo sapiens (Human) SwissProt::Q8TAX0 1 SwissProt MGSKTLPAPVPIHPSLQLTNYSFLQAVNGLPTVPSDHLPNLYGFSALHAVHLHQWTLGYPAMHLPRSSFSKVPGTVSSLVDARFQLPAFPWFPHVIQPKPEITAGGSVPALKTKPRFDFANLALAATQEDPAKLGRGEGPGSPAGGLGALLDVTKL
NP_689472.3 1 1910 0.54020717277487 PF15292.6:Treslin_N:208:1004 Treslin; TopBP1-interacting checkpoint and replication regulator; TopBP1-interacting, replication-stimulating protein 1910 797 12 1910 0 Homo sapiens (Human) SwissProt::Q7Z2Z1 1 SwissProt MACCHKVMLLLDTAGGAARHSRVRRAALRLLTYLSCRFGLARVHWAFKFFDSQGARSRPSRVSDFRELGSRSWEDFEEELEARLEDRAHLPGPAPRATHTHGALMETLLDYQWDRPEITSPTKPILRSSGRRLLDVESEAKEAEAALGGLVNAVFLLAPCPHSQRELLQFVSGCEAQAQRLPPTPKQVMEKLLPKRVREVMVARKITFYWVDTTEWSKLWESPDHLGYWTVCELLHHGGGTVLPSESFSWDFAQAGEMLLRSGIKLSSEPHLSPWISMLPTDATLNRLLYNSPEYEASFPRMEGMLFLPVEAGKEIQETWTVTLEPLAMHQRHFQKPVRIFLKGSVAQWSLPTSSTLGTDSWMLGSPEESTATQRLLFQQLVSRLTAEELHLVADVDPGEGRPPITGVISPLSASAMILTVCRTKEAEFQRHVLQTAVADSPRDTASLFSDVVDSILNQTHDSLADTASAASPVPEWAQQELGHTTPWSPAVVEKWFPFCNISGASSDLMESFGLLQAASANKEESSKTEGELIHCLAELYQRKSREESTIAHQEDSKKKRGVPRTPVRQKMNTMCRSLKMLNVARLNVKAQKLHPDGSPDVAGEKGIQKIPSGRTVDKLEDRGRTLRSSKPKDFKTEEELLSYIRENYQKTVATGEIMLYACARNMISTVKMFLKSKGTKELEVNCLNQVKSSLLKTSKSLRQNLGKKLDKEDKVRECQLQVFLRLEMCLQCPSINESTDDMEQVVEEVTDLLRMVCLTEDSAYLAEFLEEILRLYIDSIPKTLGNLYNSLGFVIPQKLAGVLPTDFFSDDSMTQENKSPLLSVPFLSSARRSVSGSPESDELQELRTRSAKKRRKNALIRHKSIAEVSQNLRQIEIPKVSKRATKKENSHPAPQQPSQPVKDTVQEVTKVRRNLFNQELLSPSKRSLKRGLPRSHSVSAVDGLEDKLDNFKKNKGYHKLLTKSVAETPVHKQISKRLLHRQIKGRSSDPGPDIGVVEESPEKGDEISLRRSPRIKQLSFSRTHSASFYSVSQPKSRSVQRVHSFQQDKSDQRENSPVQSIRSPKSLLFGAMSEMISPSEKGSARMKKRSRNTLDSEVPAAYQTPKKSHQKSLSFSKTTPRRISHTPQTPLYTPERLQKSPAKMTPTKQAAFKESLKDSSSPGHDSPLDSKITPQKRHTQAGEGTSLETKTPRTPKRQGTQPPGFLPNCTWPHSVNSSPESPSCPAPPTSSTAQPRRECLTPIRDPLRTPPRAAAFMGTPQNQTHQQPHVLRAARAEEPAQKLKDKAIKTPKRPGNSTVTSSPPVTPKKLFTSPLCDVSKKSPFRKSKIECPSPGELDQKEPQMSPSVAASLSCPVPSTPPELSQRATLDTVPPPPPSKVGKRCRKTSDPRRSIVECQPDASATPGVGTADSPAAPTDSRDDQKGLSLSPQSPPERRGYPGPGLRSDWHASSPLLITSDTEHVTLLSEAEHHGIGDLKSNVLSVEEGEGLRTADAEKSSLSHPGIPPSPPSCGPGSPLMPSRDVHCTTDGRQCQASAQLDNLPASAWHSTDSASPQTYEVELEMQASGLPKLRIKKIDPSSSLEAEPLSKEESSLGEESFLPALSMPRASRSLSKPEPTYVSPPCPRLSHSTPGKSRGQTYICQACTPTHGPSSTPSPFQTDGVPWTPSPKHSGKTTPDIIKDWPRRKRAVGCGAGSSSGRGEVGADLPGSLSLLESEGKDHGLELSIHRTPILEDFELEGVCQLPDQSPPRNSMPKAEEASSWGQFGLSSRKRVLLAKEEADRGAKRICDLREDSEVSKSKEGSPSWSAWQLPSTGDEEVFVSGSTPPPSCAVRSCLSASALQALTQSPLLFQGKTPSSQSKDPRDEDVDVLPSTVEDSPFSRAFSRRRPISRTYTRKKLMGTWLEDL
NP_690591.1 1 248 0.0967677419354839 PF04103.15:CD20:47:208 membrane-spanning 4-domains subfamily A member 6A isoform 1 248 162 12 159 4 Homo sapiens NP_690591.1 0 RefSeq MTSQPVPNETIIVLPSNVINFSQAEKPEPTNQGQDSLKKHLHAEIKVIGTIQILCGMMVLSLGIILASASFSPNFTQVTSTLLNSAYPFIGPFFFIISGSLSIATEKRLTKLLVHSSLVGSILSALSALVGFIILSVKQATLNPASLQCELDKNNIPTRSYVSYFYHDSLYTTDCYTAKASLAGTLSLMLICTLLEFCLAVLTAVLRWKQAYSDFPGSVLFLPHSYIGNSGMSSKMTHDCGYEELLTS
NP_694845.1 198 2210 0.13182305017387 PF06317.11:Arena_RNA_pol:1:2010 RNA-directed RNA polymerase L; Protein L; Large structural protein; Replicase; Transcriptase; EC 2.7.7.48; EC 3.1.-.- 2210 2010 12 2013 0 Lymphocytic choriomeningitis virus (strain Armstrong) (LCMV) SwissProt::P14240 1 SwissProt SGMDSMKILKDARSTHNDEIMRMCHEGINPNMSCDDVVFGINSLFSRFRRDLESGKLKRNFQKVNPEGLIKEFSELYENLADSDDILTLSREAVESCPLMRFITAETHGHERGSETSTEYERLLSMLNKVKSLKLLNTRRRQLLNLDVLCLSSLIKQSKFKGLKNDKHWVGCCYSSVNDRLVSFHSTKEEFIRLLRNRKKSKVFRKVSFEELFRASISEFIAKIQKCLLVVGLSFEHYGLSEHLEQECHIPFTEFENFMKIGAHPIMYYTKFEDYNFQPSTEQLKNIQSLRRLSSVCLALTNSMKTSSVARLRQNQIGSVRYQVVECKEVFCQVIKLDSEEYHLLYQKTGESSRCYSIQGPDGHLISFYADPKRFFLPIFSDEVLYNMIDIMISWIRSCPDLKDCLTDIEVALRTLLLLMLTNPTKRNQKQVQSVRYLVMAIVSDFSSTSLMDKLREDLITPAEKVVYKLLRFLIKTIFGTGEKVLLSAKFKFMLNVSYLCHLITKETPDRLTDQIKCFEKFFEPKSQFGFFVNPKEAITPEEECVFYEQMKRFTSKEIDCQHTTPGVNLEAFSLMVSSFNNGTLIFKGEKKLNSLDPMTNSGCATALDLASNKSVVVNKHLNGERLLEYDFNKLLVSAVSQITESFVRKQKYKLSHSDYEYKVSKLVSRLVIGSKGEETGRSEDNLAEICFDGEEETSFFKSLEEKVNTTIARYRRGRRANDKGDGEKLTNTKGLHHLQLILTGKMAHLRKVILSEISFHLVEDFDPSCLTNDDMKFICEAVEGSTELSPLYFTSVIKDQCGLDEMAKNLCRKFFSENDWFSCMKMILLQMNANAYSGKYRHMQRQGLNFKFDWDKLEEDVRISERESNSESLSKALSLTKCMSAALKNLCFYSEESPTSYTSVGPDSGRLKFALSYKEQVGGNRELYIGDLRTKMFTRLIEDYFESFSSFFSGSCLNNDKEFENAILSMTINVREGFLNYSMDHSKWGPMMCPFLFLMFLQNLKLGDDQYVRSGKDHVSTLLTWHMHKLVEVPFPVVNAMMKSYVKSKLKLLRGSETTVTERIFRQYFEMGIVPSHISSLIDMGQGILHNASDFYGLLSERFINYCIGVIFGERPEAYTSSDDQITLFDRRLSDLVVSDPEEVLVLLEFQSHLSGLLNKFISPKSVAGRFAAEFKSRFYVWGEEVPLLTKFVSAALHNVKCKEPHQLCETIDTIADQAIANGVPVSLVNSIQRRTLDLLKYANFPLDPFLLNTNTDVKDWLDGSRGYRIQRLIEELCPNETKVVRKLVRKLHHKLKNGEFNEEFFLDLFNRDKTEAILQLGDLLGLEEDLNQLADVNWLNLNEMFPLRMVLRQKVVYPSVMTFQEERIPSLIKTLQNKLCSKFTRGAQKLLSEAINKSAFQSCISSGFIGLCKTLGSRCVRNKNRENLYIKKLLEDLTTDDHVTRVCNRDGITLYICDKQSHPEAHRDHICLLRPLLWDYICISLSNSFELGVWVLAEPTKGKNNSENLTLKHLNPCDYVARKPESSRLLEDKVNLNQVIQSVRRLYPKIFEDQLLPFMSDMSSKNMRWSPRIKFLDLCVLIDINSESLSLISHVVKWKRDEHYTVLFSDLANSHQRSDSSLVDEFVVSTRDVCKNFLKQVYFESFVREFVATTRTLGNFSWFPHKEMMPSEDGAEALGPFQSFVSKVVNKNVERPMFRNDLQFGFGWFSYRMGDVVCNAAMLIRQGLTNPKAFKSLKDLWDYMLNYTKGVLEFSISVDFTHNQNNTDCLRKFSLIFLVRCQLQNPGVAELLSCSHLFKGEIDRRMLDECLHLLRTDSVFKVNDGVFDIRSEEFEDYMEDPLILGDSLELELLGSKRILDGIRSIDFERVGPEWEPVPLTVKMGALFEGRNLVQNIIVKLETKDMKVFLAGLEGYEKISDVLGNLFLHRFRTGEHLLGSEISVILQELCIDRSILLIPLSLLPDWFAFKDCRLCFSKSRSTLMYEIVGGRFRLKGRSCDDWLGGSVAEDID
NP_722473.1 1 230 0.730296956521739 Protein atonal homolog 8; Helix-loop-helix protein mATH-6; mATH6; Okadin 322 0 12 230 0 Mus musculus (Mouse) SwissProt::Q99NA2 1 SwissProt MKHIPVLEDGPWKTVCVKELNGLKKLKRKGKEPVRRANGYKTFRLDLEAPELGATVSTTAATNGLRDRTQPFPIATPVPASVAPAVPPGGGTDTAREFRGIRAPEVSDARKRGFALGTVGPGLPTPPPPPASQSLAPGDPEAHSFREQALRPRILLCAPPARPTQSAPLAPPAAPQESPVRPAPPTRPGESSYSSISHVIYNNHPDSSASPRKRPGEATAASTEIKALQQ
NP_726599.2 1 1106 0.661366726943942 PF12938.7:M_domain:615:849,PF10427.9:Ago_hook:389:525,PF00627.31:UBA:551:585 gawky, isoform I 1381 407 12 1106 0 Drosophila melanogaster NP_726599.2 1 RefSeq MREALFSQDGWGCQHVNQDTNWEVPSSPEPANKDAPGPPMWKPSINNGTDLWESNLRNGGQPAAQQVPKPSWGHTPSSNLGGTWGEDDDGADSSSVWTGGAVSNAGSGAAVGVNQAGVNVGPGGVVSSGGPQWGQGVVGVGLGSTGGNGSSNITGSSGVATGSSGNSSNAGNGWGDPREIRPLGVGGSMDIRNVEHRGGNGSGATSSDPRDIRMIDPRDPIRGDPRGISGRLNGTSEMWGHHPQMSHNQLQGINKMVGQSVATASTSVGTSGSGIGPGGPGPSTVSGNIPTQWGPAQPVSVGVSGPKDMSKQISGWEEPSPPPQRRSIPNYDDGTSLWGQQTRVPAASGHWKDMTDSIGRSSHLMRGQSQTGGIGIAGVGNSNVPVGANPSNPISSVVGPQARIPSVGGVQHKPDGGAMWVHSGNVGGRNNVAAVTTWGDDTHSVNVGAPSSGSVSSNNWVDDKSNSTLAQNSWSDPAPVGVSWGNKQSKPPSNSASSGWSTAAGVVDGVDLGSEWNTHGGIIGKSQQQQKLAGLNVGMVNVINAEIIKQSKQYRILVENGFKKEDVERALVIANMNIEEAADMLRANSSLSMDGWRRHDESLGSYADHNSSTSSGGFAGRYPVNSGQPSMSFPHNNLMNNMGGTAVTGGNNNTNMTALQVQKYLNQGQHGVAVGPQAVGNSSAVSVGFGQNTSNAAVAGAASVNIAANTNNQPSGQQIRMLGQQIQLAIHSGFISSQILTQPLTQTTLNLLNQLLSNIKHLQAAQQSLTRGGNVNPMAVNVAISKYKQQIQNLQNQINAQQAVYVKQQNMQPTSQQQQPQQQQLPSVHLSNSGNDYLRGHDAINNLQSNFSELNINKPSGYQGASNQQSRLNQWKLPVLDKEINSDSTEFSRAPGATKQNLTANTSNINSLGLQNDSTWSTGRSIGDGWPDPSSDNENKDWSVAQPTSAATAYTDLVQEFEPGKPWKIKSIEDDPSITPGSVARSPLSINSTPKDADIFANTGKNSPTDLPPLSLSSSTWSFNPNQNYPSHSWSDNSQQCTATSELWTSPLNKSSSRGPPPGLTANSNKSANSNASTPTTITGGANGWLQPRSGGVQTTNTNWTG
NP_741488.1 1 438 0.500109817351598 Protein lin-3; Abnormal cell lineage protein 3; Lethal protein 94 438 0 12 415 1 Caenorhabditis elegans SwissProt::Q03345 1 SwissProt MRKMLLFCILLLFMPQFTVSESCLPSWFRQERSAPEQLQSAENAAENSGSVPPDTSRNSLETNEIGDAPSSTSTPETPTETTISEAGDDEKRTEEVAKELIEKEAEYEGEYEDEKVDEEVEEALKYNEDATQDATSTLKPAVRKEIEKLKEAKCKDYCHHNATCHVEVIFREDRVSAVVPSCHCPQGWEGTRCDRHYVQAFYAPINGRYNVRLSTMSSTAQLLVQQSSTSAIPAFAFLIVMLIMFITIVVYAYRRMSKRSDDMTYTMSHMCPPEAFNVLKTPNGRHIPVHQIPSCSYTIPTPGTVPPNISSTPGSRIPTRQQAIRNNEQARNNFFSILRSQGTIPSRSINDDDTPKHYKSVPRVEVSAINYSGHIDFSTVSYQSTESEVSKASVTCPPPAHTVINIELDSADTNFRSPSRSSGEQGSPATCEPMIRHT
NP_766004.1 1 338 0.155150295857988 PF09777.9:OSTMP1:88:332 Osteopetrosis-associated transmembrane protein 1; Chloride channel 7 beta subunit; Grey-lethal protein 338 245 12 315 1 Mus musculus (Mouse) SwissProt::Q8BGT0 1 SwissProt MARDAELARSSGWPWRWLPALLLLQLLRWRCALCALPFTSSRHPGFADLLSEQQLLEVQDLTLSLLQGGGLGPLSLLPPDLPDLEPECRELLMDFANSSAELTACMVRSARPVRLCQTCYPLFQQVAIKMDNISRNIGNTSEGPRCGGSLLTADRMQIVLMVSEFFNSTWQEANCANCLTNNGEDLSNNTEDFLSLFNKTLACFEHNLQGHTYSLLPPKNYSEVCRNCKEAYKNLSLLYSQMQKLNGLENKAEPETHLCIDVEDAMNITRKLWSRTFNCSVTCSDTVSVVAVSVFILFLPVVFYLSSFLHSEQKKRKLILPKRLKSSTSFANIQENAT
NP_775269.1 1 141 0.358078014184397 PF04000.15:Sas10_Utp3:19:96 Nuclear nucleic acid-binding protein C1D; hC1D 141 78 12 141 0 Homo sapiens (Human) SwissProt::Q13901 1 SwissProt MAGEEINEDYPVEIHEYLSAFENSIGAVDEMLKTMMSVSRNELLQKLDPLEQAKVDLVSAYTLNSMFWVYLATQGVNPKEHPVKQELERIRVYMNRVKEITDKKKAGKLDRGAASRFVKNALWEPKSKNASKVANKGKSKS
NP_776406.1 1 2111 0.599765087636194 PF00193.17:Xlink:154:247,PF00193.17:Xlink:254:349,PF00193.17:Xlink:488:581,PF00193.17:Xlink:589:683,PF07686.17:V-set:36:150 aggrecan core protein precursor 2327 494 12 2111 0 Bos taurus NP_776406.1 1 RefSeq MTTLLLVFVTLRVITAAISVEVSEPDNSLSVSIPEPSPLRVLLGSSLTIPCYFIDPMHPVTTAPSTAPLAPRIKWSRISKEKEVVLLVATEGRVRVNSAYQDKVTLPNYPAIPSDATLEIQNMRSNDSGILRCEVMHGIEDSQATLEVVVKGIVFHYRAISTRYTLDFDRAQRACLQNSAIIATPEQLQAAYEDGFHQCDAGWLADQTVRYPIHTPREGCYGDKDEFPGVRTYGIRDTNETYDVYCFAEEMEGEVFYATSPEKFTFQEAANECRRLGARLATTGQLYLAWQGGMDMCSAGWLADRSVRYPISKARPNCGGNLLGVRTVYLHANQTGYPDPSSRYDAICYTGEDFVDIPESFFGVGGEEDITIQTVTWPDVELPLPRNITEGEARGSVILTAKPDFEVSPTAPEPEEPFTFVPEVRATAFPEVENRTEEATRPWAFPRESTPGLGAPTAFTSEDLVVQVTLAPGAAEVPGQPRLPGGVVFHYRPGSSRYSLTFEEAKQACLRTGAIIASPEQLQAAYEAGYEQCDAGWLQDQTVRYPIVSPRTPCVGDKDSSPGVRTYGVRPPSETYDVYCYVDRLEGEVFFATRLEQFTFWEAQEFCESQNATLATTGQLYAAWSRGLDKCYAGWLADGSLRYPIVTPRPACGGDKPGVRTVYLYPNQTGLLDPLSRHHAFCFRGVSAAPSPEEEEGSAPTAGPDVEEWMVTQVGPGVAAVPIGEETTAIPGFTVEPENKTEWELAYTPAGTLPLPGIPPTWPPTGEATEEHTEGPSATEVPSASEKPFPSEEPFPPEEPFPSEKPFPPEELFPSEKPFPSEKPFPSEEPFPSEKPFPPEELFPSEKPIPSEEPFPSEEPFPSEKPFPPEEPFPSEKPIPSEEPFPSEKPFPSEEPFPSEEPSTLSAPVPSRTELPSSGEVSGVPEISGDFTGSGEISGHLDFSGQPSGESASGLPSEDLDSSGLTSTVGSGLPVESGLPSGEEERITWTSAPKVDRLPSGGEGPEVSGVEDISGLPSGGEVHLEISASGVEDISGLPSGGEVHLEISASGVEDLSRIPSGEGPEISASGVEDISGLPSGEEGHLEISASGVEDLSGIPSGEGPEVSASGVEDLIGLPSGEGPEVSASGVEDLSRLPSGEGPEVSASGVEDLSGLPSGEGPEVSVSGVEDLSRLPSGEGPEVSASGVEDLSRLPSGEGPEISVSGVEDISILPSGEGPEVSASGVEDLSVLPSGEGHLEISTSGVEDLSVLPSGEGHLETSSGVEDISRLPSGEGPEVSASGVEDLSVLPSGEDHLEISASGVEDLGVLPSGEDHLEISASGVEDISRLPSGEGPEVSASGVEDLSVLPSGEGHLEISASGVEDLSRLPSGGEDHLETSASGVGDLSGLPSGREGLEISASGAGDLSGLTSGKEDLTGSASGALDLGRIPSVTLGSGQAPEASGLPSGFSGEYSGVDLESGPSSGLPDFSGLPSGFPTVSLVDTTLVEVVTATTAGELEGRGTIDISGAGETSGLPFSELDISGGASGLSSGAELSGQASGSPDISGETSGLFGVSGQPSGFPDISGETSGLLEVSGQPSGFYGEISGVTELSGLASGQPEISGEASGILSGLGPPFGITDLSGEAPGIPDLSGQPSGLPEFSGTASGIPDLVSSAVSGSGESSGITFVDTSLVEVTPTTFKEEEGLGSVELSGLPSGELGVSGTSGLADVSGLSSGAIDSSGFTSQPPEFSGLPSGVTEVSGEASGAESGSSLPSGAYDSSGLPSGFPTVSFVDRTLVESVTQAPTAQEAGEGPSGILELSGAPSGAPDMSGDHLGSLDQSGLQSGLVEPSGEPASTPYFSGDFSGTTDVSGESSAATSTSGEASGLPEVTLITSELVEGVTEPTVSQELGQRPPVTYTPQLFESSGEASASGDVPRFPGSGVEVSSVPESSGETSAYPEAEVGASAAPEASGGASGSPNLSETTSTFHEADLEGTSGLGVSGSPSAFPEGPTEGLATPEVSGESTTAFDVSVEASGSPSATPLASGDRTDTSGDLSGHTSGLDIVISTTIPESEWTQQTQRPAEARLEIESSSPVHSGEESQTADTATSPTDASIPASAGGTDDSEATT
NP_777378.1 1 120 0.338905 Protein crumbs homolog 3 120 0 12 79 2 Homo sapiens (Human) SwissProt::Q9BUF7 0 SwissProt MANPGLGLLLALGLPFLLARWGRAWGQIQTTSANENSTVLPSSTSSSSDGNLRPEAITAIIVVFSLLAALLLAVGLALLVRKLREKRQTEGTYRPSSEEQVGARVPPTPNLKLPPEERLI
NP_783318.1 1 424 0.497398820754717 PF13695.6:zf-3CxxC:326:409 Zygote arrest protein 1; Oocyte-specific maternal effect factor 424 84 12 424 0 Homo sapiens (Human) SwissProt::Q86SH2 1 SwissProt MAALGDEVLDGYVFPACPPCSYRYPYPAATKGKGAAGGSWQQRGRGCLPASSPCSAGAASLSFPGCGRLTAAEYFDSYQRERLMALLAQVGPGLGPRARRAGSCDVAVQVSPRIDAAVQCSLGRRTLQRRARDPESPAGPGAEGTTGGGSFSQQPSRRGLEQGSPQNGAPRPMRFPRTVAVYSPLALRRLTAFLEGPGPAAGEQRSGASDGERGPPPARLQGPEEGEVWTKKAPRRPQSDDDGEAQAAVRASWEQPADGPELPPREAQEGEAAPRSALRSPGQPPSAGRARDGGDGREAAVAGEGPSPRSPELGKERLRFQFLEQKYGYYHCKDCNIRWESAYVWCVQGTNKVYFKQFCRTCQKSYNPYRVEDITCQSCKQTRCSCPVKLRHVDPKRPHRQDLCGRCKGKRLSCDSTFSFKYII
NP_798077.1 1 332 0.167959337349398 PF16806.5:ExsD:58:315 hypothetical protein 332 258 12 332 0 Vibrio parahaemolyticus RIMD 2210633 NP_798077.1 1 RefSeq MRRRTQMKKQHWRRRSLFPDSIVTQRKVTVLQRGARYESASQPLQDLNVVHVNHRQLLSEGVLNDDQLSLLQRLLDRSVVDSLCASQLVKTYLRLGTSIDRFAMRLFLEIGAQLSDSQRVATFEQRLEYINSRLGFRFNLATPKTLILCCYLALTEWIHRQTDQSALHASVKVEQLMNQLDIQKEYWSKLSGEDTSAIFVEQQLALIESQQTQLKAQLNTLNEQQSQVIESHKALVDKWQPSLSNLKELADYTSTTDMFISDWKTWCSEARLQAPDLNEVWDACDVVYNDLNAVAKVWQWFKDMQIVGDVDHYYFDIQSGQCGQACNHLSQI
NP_848544.1 1 100 0.178142 PF14980.6:TIP39:49:99 Tuberoinfundibular peptide of 39 residues; TIP39; Parathyroid hormone 2 100 51 12 77 1 Bos taurus (Bovine) SwissProt::P0C171 1 SwissProt METRQVSRSPRVRLLLLLLLLLVVPWGVRTASGVALPPVGVLSLRPPGRAWADPATPRPRRSLALADDAAFRERARLLAALERRHWLNSYMHKLLVLDAP
NP_849178.2 1 311 0.230018327974277 PF00067.22:p450:63:298 Sterol 26-hydroxylase, mitochondrial; 5-beta-cholestane-3-alpha,7-alpha,12-alpha-triol 26-hydroxylase; Cytochrome P-450C27/25; Cytochrome P450 27; Sterol 27-hydroxylase; Vitamin D(3) 25-hydroxylase; EC 1.14.15.15 533 236 12 311 0 Rattus norvegicus (Rat) SwissProt::P17178 1 SwissProt MAVLSRMRLRWALLDTRVMGHGLCPQGARAKAAIPAALRDHESTEGPGTGQDRPRLRSLAELPGPGTLRFLFQLFLRGYVLHLHELQALNKAKYGPMWTTTFGTRTNVNLASAPLLEQVMRQEGKYPIRDSMEQWKEHRDHKGLSYGIFITQGQQWYHLRHSLNQRMLKPAEAALYTDALNEVISDFIARLDQVRTESASGDQVPDVAHLLYHLALEAICYILFEKRVGCLEPSIPEDTATFIRSVGLMFKNSVYVTFLPKWSRPLLPFWKRYMNNWDNIFSFGEKMIHQKVQEIEAQLQAAGPDGVQVSG
NP_849199.2 1 173 0.0505485549132948 PF01284.23:MARVEL:36:162 CKLF-like MARVEL transmembrane domain-containing protein 8; Chemokine-like factor superfamily member 8 173 127 12 84 4 Homo sapiens (Human) SwissProt::Q8IZV2 0 SwissProt MEEPQRARSHTVTTTASSFAENFSTSSSSFAYDREFLRTLPGFLIVAEIVLGLLVWTLIAGTEYFRVPAFGWVMFVAVFYWVLTVFFLIIYITMTYTRIPQVPWTTVGLCFNGSAFVLYLSAAVVDASSVSPERDSHNFNSWAASSFFAFLVTICYAGNTYFSFIAWRSRTIQ
NP_850463.1 1 735 0.467615646258504 PF00481.21:PP2C:550:601,PF00481.21:PP2C:667:718 Protein phosphatase 2C 32; AtPP2C32; Protein POLTERGEIST; Protein phosphatase 2C POL; PP2C POL; EC 3.1.3.16 856 104 12 735 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWN7 1 SwissProt MGNGTSRVVGCFVPSNDKNGVDLEFLEPLDEGLGHSFCYVRPSIFESPDITPSNSERFTIDSSTIDSETLTGSFRNDIVDDPSFLNRHNSKGLAETTFKAISGASVSANVSTARTGNQMALCSSDVLEPAASFESTSSFASIPLQPLPRGGSGPLNGFMSGPLERGFASGPLDRNNGFMSGPIEKGVMSGPLDVSDRSNFSAPLSFRRKKPRFQRFMRSVSGPMKSTLARTFSRRSGGLSWMHRFFLHPETRVSWAVGKDGKLHGEDPESCLESNRNLQWAHGKAGEDRVHVVLSEEQGWLFIGIYDGFSGPDAPDFVMSHLYKAIDKELEGLLWDYEEPSEDNQLQPDQEPPTEENMCDPESISEQHSKSVVAESEEVMIDDISSLGNTDTQIADGPPGDSAGPGKKSMRLYELLQLEQWEGEEIGLKRYGGNVALNNMTNQVENPSTSGGGAGNDPCTTDRSALDGIPNSGQRHGTKKSQISSKIRRMYQKQKSLRKKLFPWSYDWHREEGICVEEKIVESSGPIRRRWSGTVDHDAVLRAMARALESTEEAYMDMVEKSLDINPELALMGSCVLVMLMKDQDVYVMNVGDSRAILAQERLHDRHSNPGFGNDEGIGHKSRSRESLVRIELDRISEESPIHNQATPISVSNKNRDVTSYRLKMRAVQLSSDHSTSVEEEIWRIRSEHPEDDQSILKDRVKGQLKVTRAFGAGFLKKPNFNEALLEMFQVEYIG
NP_851066.2 1 703 0.443242389758179 PF09192.10:Act-Frag_cataly:105:426 Dual specificity protein phosphatase PHS1; Protein PROPYZAMIDE-HYPERSENSITIVE 1; EC 3.1.3.16; EC 3.1.3.48 929 322 12 703 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q75QN6 1 SwissProt MAEPEKKRDQPFSQEKDEEKDLYLVHDEHESPLPLTVTSRVLYMLGDIASGPAYRFTQWLDLVRKRSATYGSSGFPHRLHRIDDMVTSAGERNTDPKSPPSRQSSEISLWERLGKASTVDIDSSCFSWNMLSSLHHTEHSSSTDHSEEDQSKPLEVTVNSGGVVFFALFNSSSSEDASRKEEAAVIKFASSRMATQSERLGYEFSKWLGVQIPQARVIHSCNPEWTLIKEATEKAQAKATSEGDEVGEMTCSELLEALELSRCLLLMSYVHGCPMLESMSSFETEEKAERAAAALGRILVLDLVIRNEDRLPCRQLRWRGNPANLLLTDRIVSSAKHHECSFDEAFDSAIKRYHPKDYRSIQRERRASSVDSRSRLSISDQMLVSQASDFSDITESPRSYDTGLMSPMSDRSVAADFHLVAIDSGVPRRPPAGKRASDQEIYPRLVELLLNSSQYSSNLLHEITEGSLGYPQAEDGEETSNVRSVVTPVVREFRNGFRAGLRDLQEFHIFLVTLHQKLDVLLRAFFSMMDKTMCADFDREDFAVPESPSHTHGHEVNHYPSPSKDRVPSDNSSDHSESDMQKSVPRTPNSENKEDGSSPKSRESWHGRSGKGGESLSSQRLAAKLRDFHKFAKVDAESNKELDQWNETLRNEVMKLCQENGFNTGFFEGSDNNSCTDAYELKVRLEHILERISLISKAANTEK
NP_851286.1 1 299 0.459598327759197 PF00538.19:Linker_histone:124:182,PF00249.31:Myb_DNA-binding:5:55,PF13921.6:Myb_DNA-bind_6:8:57 Telomere repeat-binding factor 2; AtTRB2; MYB transcription factor; Telomere-binding protein 3; AtTBP3 299 112 12 299 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJW5 1 SwissProt MGAPKQKWTPEEEAALKAGVLKHGTGKWRTILSDTEFSLILKSRSNVDLKDKWRNISVTALWGSRKKAKLALKRTPPGTKQDDNNTALTIVALTNDDERAKPTSPGGSGGGSPRTCASKRSITSLDKIIFEAITNLRELRGSDRTSIFLYIEENFKTPPNMKRHVAVRLKHLSSNGTLVKIKHKYRFSSNFIPAGARQKAPQLFLEGNNKKDPTKPEENGANSLTKFRVDGELYMIKGMTAQEAAEAAARAVAEAEFAITEAEQAAKEAERAEAEAEAAQIFAKAAMKALKFRIRNHPW
NP_878248.2 1 337 0.45042818991098 PF00688.18:TGFb_propeptide:83:252 growth/differentiation factor 7 preproprotein 450 170 12 337 0 Homo sapiens NP_878248.2 1 RefSeq MDLSAAAALCLWLLSACRPRDGLEAAAVLRAAGAGPVRSPGGGGGGGGGGRTLAQAAGAAAVPAAAVPRARAARRAAGSGFRNGSVVPHHFMMSLYRSLAGRAPAGAAAVSASGHGRADTITGFTDQATQDESAAETGQSFLFDVSSLNDADEVVGAELRVLRRGSPESGPGSWTSPPLLLLSTCPGAARAPRLLYSRAAEPLVGQRWEAFDVADAMRRHRREPRPPRAFCLLLRAVAGPVPSPLALRRLGFGWPGGGGSAAEERAVLVVSSRTQRKESLFREIRAQARALGAALASEPLPDPGTGTASPRAVIGGRRRRRTALAGTRTAQGSGGGA
NP_940927.2 399 1343 0.487615026455026 Kinesin-like protein KIF7 1343 0 12 945 0 Homo sapiens (Human) SwissProt::Q2M1P5 1 SwissProt AAAAMRLGAECARYRACTDAAYSLLRELQAEPGLPGAAARKVRDWLCAVEGERSALSSASGPDSGIESASVEDQAAQGAGGRKEDEGAQQLLTLQNQVARLEEENRDFLAALEDAMEQYKLQSDRLREQQEEMVELRLRLELVRPGWGGPRLLNGLPPGSFVPRPHTAPLGGAHAHVLGMVPPACLPGDEVGSEQRGEQVTNGREAGAELLTEVNRLGSGSSAASEEEEEEEEPPRRTLHLRRNRISNCSQRAGARPGSLPERKGPELCLEELDAAIPGSRAVGGSKARVQARQVPPATASEWRLAQAQQKIRELAINIRMKEELIGELVRTGKAAQALNRQHSQRIRELEQEAEQVRAELSEGQRQLRELEGKELQDAGERSRLQEFRRRVAAAQSQVQVLKEKKQATERLVSLSAQSEKRLQELERNVQLMRQQQGQLQRRLREETEQKRRLEAEMSKRQHRVKELELKHEQQQKILKIKTEEIAAFQRKRRSGSNGSVVSLEQQQKIEEQKKWLDQEMEKVLQQRRALEELGEELHKREAILAKKEALMQEKTGLESKRLRSSQALNEDIVRVSSRLEHLEKELSEKSGQLRQGSAQSQQQIRGEIDSLRQEKDSLLKQRLEIDGKLRQGSLLSPEEERTLFQLDEAIEALDAAIEYKNEAITCRQRVLRASASLLSQCEMNLMAKLSYLSSSETRALLCKYFDKVVTLREEQHQQQIAFSELEMQLEEQQRLVYWLEVALERQRLEMDRQLTLQQKEHEQNMQLLLQQSRDHLGEGLADSRRQYEARIQALEKELGRYMWINQELKQKLGGVNAVGHSRGGEKRSLCSEGRQAPGNEDELHLAPELLWLSPLTEGAPRTREETRDLVHAPLPLTWKRSSLCGEEQGSPEELRQREAAEPLVGRVLPVGEAGLPWNFGPLSKPRRELRRASPGMIDVRKNPL
NP_991403.1 1 649 0.450312018489984 PF05624.14:LSR:259:306 lipolysis-stimulated lipoprotein receptor isoform 2 649 48 12 626 1 Homo sapiens NP_991403.1 1 RefSeq MQQDGLGVGTRNGSGKGRSVHPSWPWCAPRPLRYFGRDARARRAQTAAMALLAGGLSRGLGSHPAAAGRDAVVFVWLLLSTWCTAPARAIQVTVSNPYHVVILFQPVTLPCTYQMTSTPTQPIVIWKYKSFCRDRIADAFSPASVDNQLNAQLAAGNPGYNPYVECQDSVRTVRVVATKQGNAVTLGDYYQGRRITITGNADLTFDQTAWGDSGVYYCSVVSAQDLQGNNEAYAELIVLGRTSGVAELLPGFQAGPIEDWLFVVVVCLAAFLIFLLLGICWCQCCPHTCCCYVRCPCCPDKCCCPEALYAAGKAATSGVPSIYAPSTYAHLSPAKTPPPPAMIPMGPAYNGYPGGYPGDVDRSSSAGGQGSYVPLLRDTDSSVASEVRSGYRIQASQQDDSMRVLYYMEKELANFDPSRPGPPSGRVERAMSEVTSLHEDDWRSRPSRGPALTPIRDEEWGGHSPRSPRGWDQEPAREQAGGGWRARRPRARSVDALDDLTPPSTAESGSRSPTSNGGRSRAYMPPRSRSRDDLYDQDDSRDFPRSRDPHYDDFRSRERPPADPRSHHHRTRDPRDNGSRSGDLPYDGRLLEEAVRKKGSEERRRPHKEEEEEAYYPPAPPPYSETDSQASRERRLKKNLALSRESLVV
NP_995580.1 1 154 0.429685064935065 PF02044.17:Bombesin:47:60 neuromedin-B isoform 2 preproprotein 154 14 12 131 1 Homo sapiens NP_995580.1 1 RefSeq MARRAGGARMFGSLLLFALLAAGVAPLSWDLPEPRSRASKIRVHSRGNLWATGHFMGKKSLEPSSPSPLGTAPHTSLRDQRLQLSHDLLGILLLKKALGVSLSRPAPQIQEAAGTNTAEMTPIMGQTQQRGLDCAHPGKVLNGTLLMAPSGCKS
O13828 292 741 0.641821999999999 mRNA decapping complex subunit 2; EC 3.-.-.- 741 0 12 450 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O13828 1 SwissProt FDIKQKILHLLNEGNEPKSPIQLPPVSNLPLNPPIQSSNSRLSHDNNSFDPFAYLGLDPKNPSASFPRVVSQNNMLTNKPVLNNHFQQSMYSNLLKDQNSVQHLFAASDMPSPMELPSPSTVYHQVFYPPTSTSVSSYGLGKTPQPAYGSSSPYVNGHQTQQISSLPPFQSQTQFLARNSDNSGQSYNSEGDSNSKRLLSMLSQQDTTPSSSTLSKEANVQLANLFLTPNSLETKKFSDNSQGEEISDNLHGESCNNPNANSVHSAQLLQALLHPSATETKEETPKKTSDSLSLLTLLKSGLPTPANDLQNKSQNNERKASSQVKELEVKNYSKSTDLLKKTLRIPRNDEPLEAANQFDLLKVSPQQKSEVPPKRNELSQSKLKNRKKKENSETNKNHVDMSPGFVKILKRSPLADQKKEDTQESDFKGSDDHFLSYLQSVVSSNSNGLH
O15027 1 2357 0.633331607976242 PF12931.7:Sec16_C:1622:1856,PF12932.7:Sec16:1449:1549 Protein transport protein Sec16A; SEC16 homolog A; p250 2357 336 12 2357 0 Homo sapiens (Human) SwissProt::O15027 1 SwissProt MQPPPQTVPSGMAGPPPAGNPRSVFWASSPYRRRANNNAAVAPTTCPLQPVTDPFAFSRQALQSTPLGSSSKSSPPVLQGPAPAGFSQHPGLLVPHTHARDSSQGPCEPLPGPLTQPRAHASPFSGALTPSAPPGPEMNRSAEVGPSSEPEVQTLPYLPHYIPGVDPETSHGGHPHGNMPGLDRPLSRQNPHDGVVTPAASPSLPQPGLQMPGQWGPVQGGPQPSGQHRSPCPEGPVPSGVPCATSVPHFPTPSILHQGPGHEQHSPLVAPPAALPSDGRDEVSHLQSGSHLANNSDPESTFRQNPRIVNHWASPELRQNPGVKNEHRPASALVNPLARGDSPENRTHHPLGAGAGSGCAPLEADSGASGALAMFFQGGETENEENLSSEKAGLSGQADFDDFCSSPGLGRPPAPTHVGAGSLCQALLPGPSNEAAGDVWGDTASTGVPDASGSQYENVENLEFVQNQEVLPSEPLNLDPSSPSDQFRYGPLPGPAVPRHGAVCHTGAPDATLHTVHPDSVSSSYSSRSHGRLSGSARPQELVGTFIQQEVGKPEDEASGSFFKQIDSSPVGGETDETTVSQNYRGSVSQPSTPSPPKPTGIFQTSANSSFEPVKSHLVGVKPFEADRANVVGEVRETCVRQKQCRPAAALPDASPGNLEQPPDNMETLCAPQVCPLPLNSTTEAVHMLPHAGAPPLDTVYPAPEKRPSARTQGPVKCESPATTLWAQSELPDFGGNVLLAPAAPALYVCAKPQPPVVQPPEEAMSGQQSRNPSSAAPVQSRGGIGASENLENPPKMGEEEALQSQASSGYASLLSSPPTESLQNPPVLIAQPDHSYNLAQPINFSVSLSNSHEKNQSWREALVGDRPAVSSWALGGDSGENTSLSGIPTSSVLSLSLPSSVAQSNFPQGSGASEMVSNQPANLLVQPPSQPVPENLVPESQKDRKAGSALPGFANSPAGSTSVVLVPPAHGTLVPDGNKANHSSHQEDTYGALDFTLSRTLENPVNVYNPSHSDSLASQQSVASHPRQSGPGAPNLDRFYQQVTKDAQGQPGLERAQQELVPPQQQASPPQLPKAMFSELSNPESLPAQGQAQNSAQSPASLVLVDAGQQLPPRPPQSSSVSLVSSGSGQAAVPSEQPWPQPVPALAPGPPPQDLAAYYYYRPLYDAYQPQYSLPYPPEPGAASLYYQDVYSLYEPRYRPYDGAASAYAQNYRYPEPERPSSRASHSSERPPPRQGYPEGYYSSKSGWSSQSDYYASYYSSQYDYGDPGHWDRYHYSARVRDPRTYDRRYWCDAEYDAYRREHSAFGDRPEKRDNNWRYDPRFTGSFDDDPDPHRDPYGEEVDRRSVHSEHSARSLHSAHSLASRRSSLSSHSHQSQIYRSHNVAAGSYEAPLPPGSFHGDFAYGTYRSNFSSGPGFPEYGYPADTVWPAMEQVSSRPTSPEKFSVPHVCARFGPGGQLIKVIPNLPSEGQPALVEVHSMEALLQHTSEQEEMRAFPGPLAKDDTHKVDVINFAQNKAMKCLQNENLIDKESASLLWNFIVLLCRQNGTVVGTDIAELLLRDHRTVWLPGKSPNEANLIDFTNEAVEQVEEEESGEAQLSFLTGGPAAAASSLERETERFRELLLYGRKKDALESAMKNGLWGHALLLASKMDSRTHARVMTRFANSLPINDPLQTVYQLMSGRMPAASTCCGDEKWGDWRPHLAMVLSNLNNNMDVESRTMATMGDTLASRGLLDAAHFCYLMAQAGFGVYTKKTTKLVLIGSNHSLPFLKFATNEAIQRTEAYEYAQSLGAETCPLPSFQVFKFIYSCRLAEMGLATQAFHYCEAIAKSILTQPHLYSPVLISQLVQMASQLRLFDPQLKEKPEEESLAAPTWLVHLQQVERQIKEGAGVWHQDGALPQQCPGTPSSEMEQLDRPGLSQPGALGIANPLLAVPAPSPEHSSPSVRLLPSAPQTLPDGPLASPARVPMFPVPLPPGPLEPGPGCVTPGPALGFLEPSGPGLPPGVPPLQERRHLLQEARSPDPGIVPQEAPVGNSLSELSEENFDGKFANLTPSRTVPDSEAPPGWDRADSGPTQPPLSLSPAPETKRPGQAAKKETKEPKKGESWFFRWLPGKKKTEAYLPDDKNKSIVWDEKKNQWVNLNEPEEEKKAPPPPPTSMPKTVQAAPPALPGPPGAPVNMYSRRAAGTRARYVDVLNPSGTQRSEPALAPADFVAPLAPLPIPSNLFVPTPDAEEPQLPDGTGREGPAAARGLANPEPAPEPKVLSSAASLPGSELPSSRPEGSQGGELSRCSSMSSLSREVSQHFNQAPGDLPAAGGPPSGAMPFYNPAQLAQACATSGSSRLGRIGQRKHLVLN
O35458 1 525 0.120743809523809 PF01490.18:Aa_trans:115:510 Vesicular inhibitory amino acid transporter; GABA and glycine transporter; Solute carrier family 32 member 1; Vesicular GABA transporter; rGVAT 525 396 12 329 9 Rattus norvegicus (Rat) SwissProt::O35458 1 SwissProt MATLLRSKLTNVATSVSNKSQAKVSGMFARMGFQAATDEEAVGFAHCDDLDFEHRQGLQMDILKSEGEPCGDEGAEPPVEGDIHYQRGGAPLPPSGSKDQAVGAGGEFGGHDKPKITAWEAGWNVTNAIQGMFVLGLPYAILHGGYLGLFLIIFAAVVCCYTGKILIACLYEENEDGEVVRVRDSYVAIANACCAPRFPTLGGRVVNVAQIIELVMTCILYVVVSGNLMYNSFPGLPVSQKSWSIIATAVLLPCAFLKNLKAVSKFSLLCTLAHFVINILVIAYCLSRARDWAWEKVKFYIDVKKFPISIGIIVFSYTSQIFLPSLEGNMQQPSEFHCMMNWTHIAACVLKGLFALVAYLTWADETKEVITDNLPGSIRAVVNIFLVAKALLSYPLPFFAAVEVLEKSLFQEGSRAFFPACYGGDGRLKSWGLTLRCALVVFTLLMAIYVPHFALLMGLTGSLTGAGLCFLLPSLFHLRLLWRKLLWHQVFFDVAIFVIGGICSVSGFVHSLEGLIEAYRTNAED
O35668 250 628 0.705276517150395 PF04849.13:HAP1_N:9:152 Huntingtin-associated protein 1; HAP-1 628 144 12 379 0 Mus musculus (Mouse) SwissProt::O35668 1 SwissProt GAPKPHPKAETAHRCPQLETLQQKLRLLEEENDHLREEASHLDNLEDEEQMLILECVEQFSEASQQMAELSEVLVLRLEGYERQQKEITQLQAEITKLQQRCQSYGAQTEKLQQMLASEKGIHSESLRAGSYMQDYGSRPRDRQEDGKSHRQRSSMPAGSVTHYGYSVPLDALPSFPETLAEELRTSLRKFITDPAYFMERRDTHCREGRKKEQRAMPPPPAQDLKPPEDFEAPEELVPEEELGAIEEVGTAEDGQAEENEQASEETEAWEEVEPEVDETTRMNVVVSALEASGLGPSHLDMKYVLQQLSNWQDAHSKRQQKQKVVPKDSPTPQQQTNMGGGILEQQPRVPTQDSQRLEEDRATHSPSAREEEGPSGAT
O50951 1 277 0.306283393501805 Surface lipoprotein P27 277 0 12 277 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) O50951 1 SwissProt/TReMBL MSKKVILILLEILILSCDLSINKEQKTKEKTSEKQESEKQNIEKQEPEKQKQNAAKIIPTVSIQTVEIRESNQIPKSIEKYYKQAYPIQTFTLDFSITREKEFLKPEDKILPTQGKVESLSILINKKLLDFKAPENPKSSTLKNFKEIKNIENFFQNQDLLFVLTLKDKNNNNTINIMLNPPNDIQKPKDYILKDLKDTIKKGTGEKYLNPIYRFQIKNKKDYHSIDYNKVTISEKTIELDLLPHEQVFQMNKNFTKILDTITDLNNLKLVIQKELV
O75131 1 537 0.193142644320298 PF07002.16:Copine:310:524,PF00168.30:C2:13:112,PF00168.30:C2:145:239 Copine-3; Copine III 537 410 12 537 0 Homo sapiens (Human) SwissProt::O75131 1 SwissProt MAAQCVTKVALNVSCANLLDKDIGSKSDPLCVLFLNTSGQQWYEVERTERIKNCLNPQFSKTFIIDYYFEVVQKLKFGVYDIDNKTIELSDDDFLGECECTLGQIVSSKKLTRPLVMKTGRPAGKGSITISAEEIKDNRVVLFEMEARKLDNKDLFGKSDPYLEFHKQTSDGNWLMVHRTEVVKNNLNPVWRPFKISLNSLCYGDMDKTIKVECYDYDNDGSHDLIGTFQTTMTKLKEASRSSPVEFECINEKKRQKKKSYKNSGVISVKQCEITVECTFLDYIMGGCQLNFTVGVDFTGSNGDPRSPDSLHYISPNGVNEYLTALWSVGLVIQDYDADKMFPAFGFGAQIPPQWQVSHEFPMNFNPSNPYCNGIQGIVEAYRSCLPQIKLYGPTNFSPIINHVARFAAAATQQQTASQYFVLLIITDGVITDLDETRQAIVNASRLPMSIIIVGVGGADFSAMEFLDGDGGSLRSPLGEVAIRDIVQFVPFRQFQNAPKEALAQCVLAEIPQQVVGYFNTYKLLPPKNPATKQQKQ
O82155 1 194 0.568744845360825 PF02701.15:zf-Dof:31:87 Dof zinc finger protein DOF1.7 194 57 12 194 0 Arabidopsis thaliana O82155 1 SwissProt/TReMBL MQDLTSAAAYYHQSMMMTTAKQNQPELPEQEQLKCPRCDSPNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGALRNIPVGGGTRKSNKRSGSSPSSNLKNQTVAEKPDHHGSGSEEKEERVSGQEMNPTRMLYGLPVGDPNGASFSSLLASNMQMGGLVYESGSRWLPGMDLGLGSVRRSDDTWTDLAMNRMEKN
O82645 1 488 0.348431557377049 IQ domain-containing protein IQM1; IQ motif-containing protein 1 488 0 12 488 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82645 1 SwissProt MGLEVGSLCFKLKDGGLTSRTNSFKRDDTNRHQNSPKSTMERSLSFNSWEVPKETKTDSDFEVLETKKSTPNTLNGRNCERIQIKKPTVTPPEPFVFFSPRPVTELDAAATTLQKVYKSYRTRRNLADCAVVVEELWWRTLEGAALDLSSVSFFGEEKHETAVSKWARARKRAAKVGKGLSKDEKAQKLALQHWLEAIDPRHRYGHNLHFYYDVWSASKSTQPFFYWLDIGDGKDVNLEKHPRSVLQKQCIRYLGPMEREAYEVIVEDGRLMYKQGMTLINSTEEAKSIFVLSTTRNLYVGIKKKGLFQHSSFLSGGATTAAGRLVARDGILEAIWPYSGHYLPTEDNFKEFISFLEEHNVDLTNVKRCSVNEEYSSFKSTADEEEERKEVSEEVEIPSEKEERARPVFDPVKRLSCKWTSGYGPRIGCVRDYPMELQAQALEQVSLSPRVSPANSYGPIPSPRPSPKVRVSPRLAYMGIPSPRAVKC
O95433 1 203 0.425868965517241 PF09229.11:Aha1_N:29:159 Activator of 90 kDa heat shock protein ATPase homolog 1; AHA1; p38 338 131 12 203 0 Homo sapiens (Human) SwissProt::O95433 1 SwissProt MAKWGEGDPRWIVEERADATNVNNWHWTERDASNWSTDKLKTLFLAVQVQNEEGKCEVTEVSKLDGEASINNRKGKLIFFYEWSVKLNWTGTSKSGVQYKGHVEIPNLSDENSVDEVEISVSLAKDEPDTNLVALMKEEGVKLLREAMGIYISTLKTEFTQGMILPTMNGESVDPVGQPALKTEERKAKPAPSKTQARPVGVK
O95870 1 558 0.189390681003584 PF00561.20:Abhydrolase_1:281:407 Phosphatidylserine lipase ABHD16A; Alpha/beta hydrolase domain-containing protein 16A; Abhydrolase domain-containing protein 16A; HLA-B-associated transcript 5; hBAT5; Monoacylglycerol lipase ABHD16A; Protein G5; EC 3.1.-.-; EC 3.1.1.23 558 127 12 512 2 Homo sapiens (Human) SwissProt::O95870 1 SwissProt MAKLLSCVLGPRLYKIYRERDSERAPASVPETPTAVTAPHSSSWDTYYQPRALEKHADSILALASVFWSISYYSSPFAFFYLYRKGYLSLSKVVPFSHYAGTLLLLLAGVACLRGIGRWTNPQYRQFITILEATHRNQSSENKRQLANYNFDFRSWPVDFHWEEPSSRKESRGGPSRRGVALLRPEPLHRGTADTLLNRVKKLPCQITSYLVAHTLGRRMLYPGSVYLLQKALMPVLLQGQARLVEECNGRRAKLLACDGNEIDTMFVDRRGTAEPQGQKLVICCEGNAGFYEVGCVSTPLEAGYSVLGWNHPGFAGSTGVPFPQNEANAMDVVVQFAIHRLGFQPQDIIIYAWSIGGFTATWAAMSYPDVSAMILDASFDDLVPLALKVMPDSWRGLVTRTVRQHLNLNNAEQLCRYQGPVLLIRRTKDEIITTTVPEDIMSNRGNDLLLKLLQHRYPRVMAEEGLRVVRQWLEASSQLEEASIYSRWEVEEDWCLSVLRSYQAEHGPDFPWSVGEDMSADGRRQLALFLARKHLHNFEATHCTPLPAQNFQMPWHL
P04512 1 89 0.0227988764044944 PF01452.16:Rota_NSP4:1:89 Non-structural glycoprotein 4; NSP4; NCVP5; NS28 175 89 12 66 1 Rotavirus A (strain RVA/SA11-Both/G3P5B[2]) (RV-A) (Simian Agent 11 (strain Both)) SwissProt::P04512 0 SwissProt MEKLTDLNYTLSVITLMNNTLHTILEDPGMAYFPYIASVLTGLFALNKASIPTMKIALKTSKCSYKVVKYCIVTIFNTLLKLAGYKEQI
P11531 976 2981 0.366724875373879 PF00435.21:Spectrin:75:180,PF00435.21:Spectrin:189:290,PF00435.21:Spectrin:599:702,PF00435.21:Spectrin:706:803,PF00435.21:Spectrin:904:1006,PF00435.21:Spectrin:1132:1235,PF00435.21:Spectrin:1240:1342,PF00435.21:Spectrin:1491:1595,PF00435.21:Spectrin:1602:1704,PF00435.21:Spectrin:1708:1818 Dystrophin 3678 1039 12 2006 0 Mus musculus (Mouse) SwissProt::P11531 1 SwissProt IMEERLGKLQALQSSLKEQQNGFNYLSDTVKEMAKKAPSEICQKYLSEFEEIEGHWKKLSSQLVESCQKLEEHMNKLRKFQNHIKTLQKWMAEVDVFLKEEWPALGDAEILKKQLKQCRLLVGDIQTIQPSLNSVNEGGQKIKSEAELEFASRLETELRELNTQWDHICRQVYTRKEALKAGLDKTVSLQKDLSEMHEWMTQAEEEYLERDFEYKTPDELQTAVEEMKRAKEEALQKETKVKLLTETVNSVIAHAPPSAQEALKKELETLTTNYQWLCTRLNGKCKTLEEVWACWHELLSYLEKANKWLNEVELKLKTMENVPAGPEEITEVLESLENLMHHSEENPNQIRLLAQTLTDGGVMDELINEELETFNSRWRELHEEAVRKQKLLEQSIQSAQEIEKSLHLIQESLEFIDKQLAAYITDKVDAAQMPQEAQKIQSDLTSHEISLEEMKKHNQGKDANQRVLSQIDVAQKKLQDVSMKFRLFQKPANFEQRLEESKMILDEVKMHLPALETKSVEQEVIQSQLSHCVNLYKSLSEVKSEVEMVIKTGRQIVQKKQTENPKELDERVTALKLHYNELGAKVTERKQQLEKCLKLSRKMRKEMNVLTEWLAATDTELTKRSAVEGMPSNLDSEVAWGKATQKEIEKQKAHLKSVTELGESLKMVLGKKETLVEDKLSLLNSNWIAVTSRVEEWLNLLLEYQKHMETFDQNIEQITKWIIHADELLDESEKKKPQQKEDILKRLKAEMNDMRPKVDSTRDQAAKLMANRGDHCRKVVEPQISELNRRFAAISHRIKTGKASIPLKELEQFNSDIQKLLEPLEAEIQQGVNLKEEDFNKDMSEDNEGTVNELLQRGDNLQQRITDERKREEIKIKQQLLQTKHNALKDLRSQRRKKALEISHQWYQYKRQADDLLKCLDEIEKKLASLPEPRDERKLKEIDRELQKKKEELNAVRRQAEGLSENGAAMAVEPTQIQLSKRWRQIESNFAQFRRLNFAQIHTLHEETMVVTTEDMPLDVSYVPSTYLTEISHILQALSEVDHLLNTPELCAKDFEDLFKQEESLKNIKDNLQQISGRIDIIHKKKTAALQSATSMEKVKVQEAVAQMDFQGEKLHRMYKERQGRFDRSVEKWRHFHYDMKVFNQWLNEVEQFFKKTQNPENWEHAKYKWYLKELQDGIGQRQAVVRTLNATGEEIIQQSSKTDVNILQEKLGSLSLRWHDICKELAERRKRIEEQKNVLSEFQRDLNEFVLWLEEADNIAITPLGDEQQLKEQLEQVKLLAEELPLRQGILKQLNETGGAVLVSAPIRPEEQDKLEKKLKQTNLQWIKVSRALPEKQGELEVHLKDFRQLEEQLDHLLLWLSPIRNQLEIYNQPSQAGPFDIKEIEVTVHGKQADVERLLSKGQHLYKEKPSTQPVKRKLEDLRSEWEAVNHLLRELRTKQPDRAPGLSTTGASASQTVTLVTQSVVTKETVISKLEMPSSLLLEVPALADFNRAWTELTDWLSLLDRVIKSQRVMVGDLEDINEMIIKQKATLQDLEQRRPQLEELITAAQNLKNKTSNQEARTIITDRIERIQIQWDEVQEQLQNRRQQLNEMLKDSTQWLEAKEEAEQVIGQVRGKLDSWKEGPHTVDAIQKKITETKQLAKDLRQRQISVDVANDLALKLLRDYSADDTRKVHMITENINTSWGNIHKRVSEQEAALEETHRLLQQFPLDLEKFLSWITEAETTANVLQDASRKEKLLEDSRGVRELMKPWQDLQGEIETHTDIYHNLDENGQKILRSLEGSDEAPLLQRRLDNMNFKWSELQKKSLNIRSHLEASSDQWKRLHLSLQELLVWLQLKDDELSRQAPIGGDFPAVQKQNDIHRAFKRELKTKEPVIMSTLETVRIFLTEQPLEGLEKLYQEPRELPPEERAQNVTRLLRKQAEEVNAEWDKLNLRSADWQRKIDEALERLQELQEAADELDLKLRQAEVIKGSWQPVGDLLIDSLQDHLEKVKALRGEIAPL
P12763 1 359 0.289832590529248 PF00031.21:Cystatin:153:236 Alpha-2-HS-glycoprotein 359 84 12 359 0 Bos taurus P12763 1 SwissProt/TReMBL MKSFVLLFCLAQLWGCHSIPLDPVAGYKEPACDDPDTEQAALAAVDYINKHLPRGYKHTLNQIDSVKVWPRRPTGEVYDIEIDTLETTCHVLDPTPLANCSVRQQTQHAVEGDCDIHVLKQDGQFSVLFTKCDSSPDSAEDVRKLCPDCPLLAPLNDSRVVHAVEVALATFNAESNGSYLQLVEISRAQFVPLPVSVSVEFAVAATDCIAKEVVDPTKCNLLAEKQYGFCKGSVIQKALGGEDVRVTCTLFQTQPVIPQPQPDGAEAEAPSAVPDAAGPTPSAAGPPVASVVVGPSVVAVPLPLHRAHYDLRHTFSGVASVESSSGEAFHVGKTPIVGQPSIPGGPVRLCPGRIRYFKI
P16471 265 622 0.589579329608939 Prolactin receptor; PRL-R 622 0 12 358 0 Homo sapiens (Human) SwissProt::P16471 1 SwissProt TCIFPPVPGPKIKGFDAHLLEKGKSEELLSALGCQDFPPTSDYEDLLVEYLEVDDSEDQHLMSVHSKEHPSQGMKPTYLDPDTDSGRGSCDSPSLLSEKCEEPQANPSTFYDPEVIEKPENPETTHTWDPQCISMEGKIPYFHAGGSKCSTWPLPQPSQHNPRSSYHNITDVCELAVGPAGAPATLLNEAGKDALKSSQTIKSREEGKATQQREVESFHSETDQDTPWLLPQEKTPFGSAKPLDYVEIHKVNKDGALSLLPKQRENSGKPKKPGTPENNKEYAKVSGVMDNNILVLVPDPHAKNVACFEESAKEAPPSLEQNQAEKALANFTATSSKCRLQLGGLDYLDPACFTHSFH
P17536 85 199 0.639515652173913 PF12718.7:Tropomyosin_1:2:103 Tropomyosin-1 199 102 12 115 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17536 1 SwissProt TVKNHQLEEEIEKLEAELAESKQLSEDSHHLQSNNDNFSKKNQQLEEDLEESDTKLKETTEKLRESDLKADQLERRVAALEEQREEWERKNEELTVKYEDAKKELDEIAASLENL
P18405 1 259 0.0391166023166023 PF02544.16:Steroid_dh:111:259,PF06966.12:DUF1295:152:219 3-oxo-5alpha-steroid 4-dehydrogenase (NADP+) (EC 1.3.1.22); 3-oxo-5alpha-steroid 4-dehydrogenase (acceptor) (EC 1.3.99.5) 259 149 12 180 4 Homo sapiens BRENDA::P18405 1 BRENDA MATATGVAEERLLAALAYLQCAVGCAVFARNRQTNSVYGRHALPSHRLRVPARAAWVVQELPSLALPLYQYASESAPRLRSAPNCILLAMFLVHYGHRCLIYPFLMRGGKPMPLLACTMAIMFCTCNGYLQSRYLSHCAVYADDWVTDPRFLIGFGLWLTGMLINIHSDHILRNLRKPGDTGYKIPRGGLFEYVTAANYFGEIMEWCGYALASWSVQGAAFAFFTFCFLSGRAKEHHEWYLRKFEEYPKFRKIIIPFLF
P19397 1 219 0.0355780821917808 PF00335.20:Tetraspanin:9:209 Leukocyte surface antigen CD53; Cell surface glycoprotein CD53; Tetraspanin-25; Tspan-25; CD53 antigen 219 201 12 127 4 Homo sapiens (Human) SwissProt::P19397 1 SwissProt MGMSSLKLLKYVLFFFNLLFWICGCCILGFGIYLLIHNNFGVLFHNLPSLTLGNVFVIVGSIIMVVAFLGCMGSIKENKCLLMSFFILLLIILLAEVTLAILLFVYEQKLNEYVAKGLTDSIHRYHSDNSTKAAWDSIQSFLQCCGINGTSDWTSGPPASCPSDRKVEGCYAKARLWFHSNFLYIGIITICVCVIEVLGMSFALTLNCQIDKTSQTIGL
P22134 1 296 0.144809459459459 PF00730.25:HhH-GPD:89:237 DNA-3-methyladenine glycosylase; 3-methyladenine DNA glycosidase; 3MEA DNA glycosylase; EC 3.2.2.21 296 149 12 296 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P22134 1 SwissProt MKLKREYDELIKADAVKEIAKELGSRPLEVALPEKYIARHEEKFNMACEHILEKDPSLFPILKNNEFTLYLKETQVPNTLEDYFIRLASTILSQQISGQAAESIKARVVSLYGGAFPDYKILFEDFKDPAKCAEIAKCGLSKRKMIYLESLAVYFTEKYKDIEKLFGQKDNDEEVIESLVTNVKGIGPWSAKMFLISGLKRMDVFAPEDLGIARGFSKYLSDKPELEKELMRERKVVKKSKIKHKKYNWKIYDDDIMEKCSETFSPYRSVFMFILWRLASTNTDAMMKAEENFVKS
P23570 114 328 0.618760465116279 Interferon regulatory factor 1 328 0 12 215 0 Rattus norvegicus P23570 1 SwissProt/TReMBL PLTKNQRKERKSKSSRDTKSKTKRKLCGDSSPDTLSDGLSSSTLPDDHSSYTAQGYLGQDLDMDRDITPALSPCVVSSSLSEWHMQMDIMPDSTTDLYNLQVSPMPSTSEAATDEDEEGKLPEDIMKLFEQSEWQPTHVDGKGYLLNEPGAQLSTVYGDFSCKEEPEIDSPGGDIEIGIQRVFTEMKNMDPVMWMDTLLGNSTRPPSIQAIPCAP
P25941 285 993 0.239703102961918 PF13424.6:TPR_12:499:569,PF13424.6:TPR_12:584:648,PF00931.22:NB-ARC:41:223,PF13374.6:TPR_10:539:572,PF13374.6:TPR_10:663:690,PF13181.6:TPR_8:660:691,PF07721.14:TPR_4:539:564,PF07721.14:TPR_4:625:644,PF07721.14:TPR_4:660:683 Regulatory protein AfsR 993 354 12 709 0 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) P25941 1 SwissProt/TReMBL RPAQLPATVSDFTGRAAFVRELSDVLSAASGESASGRVMAVSALAGIGGVGKTTLAVHVAHRARAAFPDGQLYVDLQGAGARPAEPETVLGSFLRALGTADSAIPDSLEERAALYRSVLDGRRVLVLLDNARDAAQVRPLLPGTDGCAALVTARVRMVDLAGAHLVDLDVMAPEEALALFTKIVGEERVASERQAALDVVGACGFLPLAIRIAASRLAARRTWTVSVLAAKLADERRRLDELQAGDQAVEATFELGYGQLEPAQARAFRLLGLADGPDISLAAAAAVLDLPAQDTEDLLESLVDTSLLESAAPGRYRFHDLVRLYARACAERTERDGNAPSERGAALSRLLDFYLATAAGVYAIERPGDRLVDGLEPTEYPGLTFTEGSAALDWLYTEAAPLLACVRQSAGTARLRRAVDLLWAAKDLTESGANSHQYEATARAMCDATGSAADTRAEGRARTVLSDVLLVSGRIEHAEEEARLAMRLAGSAEDSAAVSWVANNRGLVCLHQRRYAEGKGLFHQAIAGFRATDNRAGEASALSNLSRAQLGMGNVAEAVDIARQGLAVYAELGRTMRLANGHFALGVALTRAGRHEEALGEFAEALDLFGDHRQRLWEGATNFRLAEVHLAAGRPSSAAQHAEQALALGCIGGDRMRGNVLALLGRALSALGQADRARACWREALSLYEQHDAQEVGEVRALLARSVAR
P29425 1 82 0.0420743902439024 PF17492.2:D_CNTX:35:81 Delta-ctenitoxin-Pn2a; Delta-CNTX-Pn2a; Delta-CNTX-Pn1c; Neurotoxin Tx2-6; PnTx2-6 82 47 12 82 0 Phoneutria nigriventer (Brazilian armed spider) (Ctenus nigriventer) SwissProt::P29425 1 SwissProt MKVAILFLSILVLAVASESIEESRDDFAVEELGRATCAGQDQPCKETCDCCGERGECVCGGPCICRQGYFWIAWYKLANCKK
P32609 252 515 0.265987121212121 PF11464.8:Rbsn:213:253 Vacuolar segregation protein PEP7; Carboxypeptidase Y-deficient protein 7; Protein VAC1; Vacuolar protein sorting-associated protein 19; Vacuolar protein-targeting protein 19 515 41 12 264 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32609 1 SwissProt CSNEISIGYLMSAASDLPFEYNIQKDDLLHIPISIRLCSHCIDMLFIGRKFNKDVRMPLSGIFAKYDSMQNISKVIDSLLPIFEDSLNSLKVETAKDSENTLDPKNLNDLARLRHKLLNSFNLYNTLTRQLLSVEPQSHLERQLQNSIKIASAAYINEKILPLKSLPAILNPEGHKTNEDGQKAEPEVKKLSQLMIENLTIKEVKELREELMVLKEQSYLIESTIQDYKKQRRLEEIVTLNKNLEELHSRIHTVQSKLGDHGFN
P34167 1 436 0.640981880733944 Eukaryotic translation initiation factor 4B; eIF-4B 436 0 12 436 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34167 1 SwissProt MAPPKKTVKKMDLTSFLNDDTFGSSWAEEDVDLNKITIPIETANANTIPLSELAHAKNNSNNTRSGGFGGSFGGRSRLDPALGGGSSDRREEYPVPDAPPYRAVINNIPWDITPEGVQAWVEDGLVKPEAVEEVVLPKNLRDPTRLKGNAFVTLKERADLVAVLKFNGTKLNERTVYVSVAAPRRGGGADVDWSSARGSNFQGDGREDAPDLDWGAARGSNFRGPRREREEVDIDWTAARGSNFQGSSRPPRREREEVDIDWSAARGSNFQGSSRPPRREREEPDIDWSAARGSNFQSSSRPPRREREEPDIDWSAARGSNFQSSSRPPRREREKEEPALDWGAARGAQFGKPQQTKNTYKDRSLTNKKTTDEQPKIQKSVYDVLRTEDDDEDEEAEKQNGDAKENKVDAAVEKLQDKTAQLTVEDGDNWEVVGKK
P36084 1 527 0.393479886148007 Splicing factor MUD2 527 0 12 527 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36084 1 SwissProt MADEKRLEDLRSKIMESIGKSEKDVVPIENKRFNTDNAVIDTHFKRQKSDGELPKAPKSRNVSHSNNRGPSSIITMSTNRTTYEQTRAGPHRQSYRDASGRSYNRENRYSSHNTGPQWNNNPYNRQRDERRGRNERFDRRGRNGNGNYDRFNYQRKNEGSKFNGDRDKRQLQTNKYDMNYNSQNVMYPGSSFDSPAYYNMASSKANSRLVISGLSQSSDPSIVARLKDLLENFISGLQKTESNAEDFKISNFYIGEGRPDHIIVEFSSQICSTMVLACRSFFNAKLGTFDLKWRRPNDYVQQLDHLVDFCRGTVIALENLENIGEGEDYRMKELFSSLNVTNGTAKPLFYKCSSNTNNTGKESEFTKCILLSFEVVTQDILDKLKPYKWFKPNDGKISQVTSWITFQSLPNLVTQSVRVESRVLLLLNCLDPLDLKDETFITEIKETLKYSIAGADTIKICQPGVDYRLNFENLASGAGNIYIKFKTLEAAKHAMEELPGTQFNDRTVLCTYIDEDDFDMMEATQLS
P43497 1 92 0.38700652173913 PF00399.19:PIR:23:40 Cell wall protein CWP2; Low pH resistance protein 1 92 18 12 92 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43497 1 SwissProt MQFSTVASVAFVALANFVAAESAAAISQITDGQIQATTTATTEATTTAAPSSTVETVSPSSTETISQQTENGAAKAAVGMGAGALAAAAMLL
P50944 1 713 0.22715904628331 PF01490.18:Aa_trans:296:710 Vacuolar amino acid transporter 4 713 415 12 474 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50944 1 SwissProt MVTNNGDGEHLGIRRNGNLRHPSNNMKIPRRAQSTVLNSNPFYSRKYSMSTLTPRDICRSVDSRVFVDMSSPNFQTLEDPHRDEIINSVRLNYLNSSKRSSVSHGNEAIPRVNPTKNSSASTIAAANVDSDDDETNLSSAGGDITHDIYKLVKAEDPKRLRRPRSMENVTPKIEHHTKLSSASGLNVPGGFRREFIVNKKRQEHQLNDSASSDFTSHESDSINQSSPSSNQDIDKVPFLTRNFLEFLYVFGHFAGESFEDDFIPDSSNMMIRGEDERSALLSRPDHMKVLPSAKGTTSTKKVFLILLKSFIGTGVLFLPNAFHNGGLFFSVSMLAFFGIYSYWCYYILVQAKSSCGVSSFGDIGLKLYGPWMRIIILFSLVITQVGFSGAYMIFTAKNLQAFLDNVFHVGVLPLSYLMVFQTIIFIPLSFIRNISKLSLPSLLANFFIMAGLVIVIIFTAKRLFFDLMGTPAMGVVYGLNADRWTLFIGTAIFAFEGIGLIIPVQDSMRNPEKFPLVLALVILTATILFISIATLGYLAYGSNVQTVILLNLPQSNIFVNLIQLFYSIAIMLSTPLQLFPAIKIIENKFFPKFTKIYVKHDDLTTRVELRPNSGKLNWKIKWLKNFIRSIIVIIVVSIAYFGSDNLDKFVSVIGSLACIPLVYIYPSMLHLRGNSLPETKGEFWRFKPMLDTILIFFGIASMLYTSYQSIFGV
P51654 1 580 0.19543 PF01153.19:Glypican:14:577 Glypican-3; GTR2-2; Intestinal protein OCI-5; MXR7 580 564 12 580 0 Homo sapiens (Human) SwissProt::P51654 1 SwissProt MAGTVRTACLVVAMLLSLDFPGQAQPPPPPPDATCHQVRSFFQRLQPGLKWVPETPVPGSDLQVCLPKGPTCCSRKMEEKYQLTARLNMEQLLQSASMELKFLIIQNAAVFQEAFEIVVRHAKNYTNAMFKNNYPSLTPQAFEFVGEFFTDVSLYILGSDINVDDMVNELFDSLFPVIYTQLMNPGLPDSALDINECLRGARRDLKVFGNFPKLIMTQVSKSLQVTRIFLQALNLGIEVINTTDHLKFSKDCGRMLTRMWYCSYCQGLMMVKPCGGYCNVVMQGCMAGVVEIDKYWREYILSLEELVNGMYRIYDMENVLLGLFSTIHDSIQYVQKNAGKLTTTIGKLCAHSQQRQYRSAYYPEDLFIDKKVLKVAHVEHEETLSSRRRELIQKLKSFISFYSALPGYICSHSPVAENDTLCWNGQELVERYSQKAARNGMKNQFNLHELKMKGPEPVVSQIIDKLKHINQLLRTMSMPKGRVLDKNLDEEGFESGDCGDDEDECIGGSGDGMIKVKNQLRFLAELAYDLDVDDAPGNSQQATPKDNEISTFHNLGNVHSPLKLLTSMAISVVCFFFLVH
P51841 1 651 0.131251766513057 PF01094.28:ANF_receptor:73:413,PF07714.17:Pkinase_Tyr:595:641 Retinal guanylyl cyclase 2; RETGC-2; Guanylate cyclase 2F, retinal; Guanylate cyclase F; GC-F; Rod outer segment membrane guanylate cyclase 2; ROS-GC2; EC 4.6.1.2 1108 388 12 628 1 Homo sapiens (Human) SwissProt::P51841 1 SwissProt MFLGLGRFSRLVLWFAAFRKLLGHHGLASAKFLWCLCLLSVMSLPQQVWTLPYKIGVVGPWACDSLFSKALPEVAARLAIERINRDPSFDLSYSFEYVILNEDCQTSRALSSFISHHQMASGFIGPTNPGYCEAASLLGNSWDKGIFSWACVNYELDNKISYPTFSRTLPSPIRVLVTVMKYFQWAHAGVISSDEDIWVHTANRVASALRSHGLPVGVVLTTGQDSQSMRKALQRIHQADRIRIIIMCMHSALIGGETQMHLLECAHDLKMTDGTYVFVPYDALLYSLPYKHTPYRVLRNNPKLREAYDAVLTITVESQEKTFYQAFTEAAARGEIPEKLEFDQVSPLFGTIYNSIYFIAQAMNNAMKENGQAGAASLVQHSRNMQFHGFNQLMRTDSNGNGISEYVILDTNLKEWELHSTYTVDMEMELLRFGGTPIHFPGGRPPRADAKCWFAEGKICHGGIDPAFAMMVCLTLLIALLSINGFAYFIRRRINKIQLIKGPNRILLTLEDVTFINPHFGSKRGSRASVSFQITSEVQSGRSPRLSFSSGSLTPATYENSNIAIYEGDWVWLKKFSLGDFGDLKSIKSRASDVFEMMKDLRHENINPLLGFFYDSGMFAIVTEFCSRGSLEDILTNQDVKLDWMFKSSLL
P53139 1 140 0.795244285714286 Uncharacterized protein YGL108C 140 0 12 140 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53139 1 SwissProt MGLCGSKTQPMPSQTTTVATKARTKPINRDTVKSKQELRHKEKKDKKKKTQLKSTTVPVVQRKEGSKLTDTSDPSKNKVSPKEAARLAAEKRFQETNEKYNKGELGKKLAQERAKSHKTRLMEEAEKKHAERERENMIYD
P53900 1 129 0.307812403100775 PF01920.20:Prefoldin_2:19:123 Prefoldin subunit 4; Genes involved in microtubule biogenesis protein 3; Gim complex subunit 3; GimC subunit 3 129 105 12 129 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53900 1 SwissProt MELLPQGQRNNTQVTFEDQQKINEFSKLIMRKDAIAQELSLQREEKEYLDDVSLEIELIDEDEPVQYKVGDLFIFMKQSKVTAQLEKDAERLDNKIETLEDKQRDIDSRLDALKAILYAKFGDNINLER
P56715 120 2156 0.426205400098185 PF03607.17:DCX:52:108 Oxygen-regulated protein 1; Retinitis pigmentosa 1 protein; Retinitis pigmentosa RP1 protein 2156 57 12 2037 0 Homo sapiens (Human) SwissProt::P56715 1 SwissProt ARRRPRPWLSSRAISAHSPPHPVAVAAPGMPRPPRSLVVFRNGDPKTRRAVLLSRRVTQSFEAFLQHLTEVMQRPVVKLYATDGRRVPSLQAVILSSGAVVAAGREPFKPGNYDIQKYLLPARLPGISQRVYPKGNAKSESRKISTHMSSSSRSQIYSVSSEKTHNNDCYLDYSFVPEKYLALEKNDSQNLPIYPSEDDIEKSIIFNQDGTMTVEMKVRFRIKEEETIKWTTTVSKTGPSNNDEKSEMSFPGRTESRSSGLKLAACSFSADVSPMERSSNQEGSLAEEINIQMTDQVAETCSSASWENATVDTDIIQGTQDQAKHRFYRPPTPGLRRVRQKKSVIGSVTLVSETEVQEKMIGQFSYSEERESGENKSEYHMFTHSCSKMSSVSNKPVLVQINNNDQMEESSLERKKENSLLKSSAISAGVIEITSQKMLEMSHNNGLPSTISNNSIVEEDVVDCVVLDNKTGIKNFKTYGNTNDRFSPISADATHFSSNNSGTDKNISEAPASEASSTVTARIDRLINEFAQCGLTKLPKNEKKILSSVASKKKKKSRQQAINSRYQDGQLATKGILNKNERINTKGRITKEMIVQDSDSPLKGGILCEEDLQKSDTVIESNTFCSKSNLNSTISKNFHRNKLNTTQNSKVQGLLTKRKSRSLNKISLGAPKKREIGQRDKVFPHNESKYCKSTFENKSLFHVFNILEQKPKDFYAPQSQAEVASGYLRGMAKKSLVSKVTDSHITLKSQKKRKGDKVKASAILSKQHATTRANSLASLKKPDFPEAIAHHSIQNYIQSWLQNINPYPTLKPIKSAPVCRNETSVVNCSNNSFSGNDPHTNSGKISNFVMESNKHITKIAGLTGDNLCKEGDKSFIANDTGEEDLHETQVGSLNDAYLVPLHEHCTLSQSAINDHNTKSHIAAEKSGPEKKLVYQEINLARKRQSVEAAIQVDPIEEETPKDLLPVLMLHQLQASVPGIHKTQNGVVQMPGSLAGVPFHSAICNSSTNLLLAWLLVLNLKGSMNSFCQVDAHKATNKSSETLALLEILKHIAITEEADDLKAAVANLVESTTSHFGLSEKEQDMVPIDLSANCSTVNIQSVPKCSENERTQGISSLDGGCSASEACAPEVCVLEVTCSPCEMCTVNKAYSPKETCNPSDTFFPSDGYGVDQTSMNKACFLGEVCSLTDTVFSDKACAQKENHTYEGACPIDETYVPVNVCNTIDFLNSKENTYTDNLDSTEELERGDDIQKDLNILTDPEYKNGFNTLVSHQNVSNLSSCGLCLSEKEAELDKKHSSLDDFENCSLRKFQDENAYTSFDMEEPRTSEEPGSITNSMTSSERNISELESFEELENHDTDIFNTVVNGGEQATEELIQEEVEASKTLELIDISSKNIMEEKRMNGIIYEIISKRLATPPSLDFCYDSKQNSEKETNEGETKMVKMMVKTMETGSYSESSPDLKKCIKSPVTSDWSDYRPDSDSEQPYKTSSDDPNDSGELTQEKEYNIGFVKRAIEKLYGKADIIKPSFFPGSTRKSQVCPYNSVEFQCSRKASLYDSEGQSFGSSEQVSSSSSMLQEFQEERQDKCDVSAVRDNYCRGDIVEPGTKQNDDSRILTDIEEGVLIDKGKWLLKENHLLRMSSENPGMCGNADTTSVDTLLDNNSSEVPYSHFGNLAPGPTMDELSSSELEELTQPLELKCNYFNMPHGSDSEPFHEDLLDVRNETCAKERIANHHTEEKGSHQSERVCTSVTHSFISAGNKVYPVSDDAIKNQPLPGSNMIHGTLQEADSLDKLYALCGQHCPILTVIIQPMNEEDRGFAYRKESDIENFLGFYLWMKIHPYLLQTDKNVFREENNKASMRQNLIDNAIGDIFDQFYFSNTFDLMGKRRKQKRINFLGLEEEGNLKKFQPDLKERFCMNFLHTSLLVVGNVDSNTQDLSGQTNEIFKAVDENNNLLNNRFQGSRTNLNQVVRENINCHYFFEMLGQACLLDICQVETSLNISNRNILELCMFEGENLFIWEEEDILNLTDLESSREQEDL
P59537 1 309 0.0213970873786408 PF05296.13:TAS2R:1:297 Taste receptor type 2 member 43; T2R43; Taste receptor type 2 member 52; T2R52 309 297 12 174 6 Homo sapiens (Human) SwissProt::P59537 1 SwissProt MITFLPIIFSSLVVVTFVIGNFANGFIALVNSIEWFKRQKISFADQILTALAVSRVGLLWVLLLNWYSTVLNPAFNSVEVRTTAYNIWAVINHFSNWLATTLSIFYLLKIANFSNFIFLHLKRRVKSVILVMLLGPLLFLACHLFVINMNEIVRTKEFEGNMTWKIKLKSAMYFSNMTVTMVANLVPFTLTLLSFMLLICSLCKHLKKMQLHGKGSQDPSTKVHIKALQTVISFLLLCAIYFLSIMISVWSFGSLENKPVFMFCKAIRFSYPSIHPFILIWGNKKLKQTFLSVFWQMRYWVKGEKTSSP
P62951 1 87 0.0328137931034483 PF06726.12:BC10:1:65 Bladder cancer-associated protein; Bladder cancer 10 kDa protein; Bc10 87 65 12 45 2 Homo sapiens (Human) SwissProt::P62952 0 SwissProt MYCLQWLLPVLLIPKPLNPALWFSHSMFMGFYLLSFLLERKPCTICALVFLAALFLICYSCWGNCFLYHCSDSPLPESAHDPGVVGT
P80667 1 298 0.338396979865772 PF04088.13:Peroxin-13_N:141:283 Peroxisomal membrane protein PAS20; Peroxin-13 386 143 12 278 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P80667 1 SwissProt MSSTAVPRPKPWETSASLEEPQRNAQSLSAMMTSNQQDSRPTEESNNSNSASESAPEVLPRPAALNSSGTYGESNTIPGIYGNSNYGIPYDNNPYSMNSIYGNSIGRYGYGGSYYGNNYGSFYGGGYGAGAGYGMNNGSGLGESTKATFQLIESLIGAVTGFAQMLESTYMATHNSFFTMISVAEQFGNLKEMLGSFFGIFAIMKFLKKILYRATKGRLGIPPKNFAESEGSKNKLIEDFQKFNDSGTINSNEKATRRKISWKPLLFFLMAVFGFPYLLNKFITKLQTSGTIRASQGN 1
P84996 1 626 0.732246645367412 Protein ALEX; Alternative gene product encoded by XL-exon 626 0 12 626 0 Homo sapiens (Human) SwissProt::P84996 1 SwissProt MMARPVDPQRSPDPTFRSSTRHSGKLEPMEATAHLLRKQCPSRLNSPAWEASGLHWSSLDSPVGSMQALRPSAQHSWSPEPSVVPDQAWEDTALHQKKLCPLSLTSLPREAAVNFSYRSQTLLQEAQVLQGSPELLPRSPKPSGLQRLAPEEATALPLRRLCHLSLMEKDLGTTAHPRGFPELSHKSTAAASSRQSRPRVRSASLPPRTRLPSGSQAPSAAHPKRLSDLLLTSRAAAPGWRSPDPRSRLAAPPLGSTTLPSTWTAPQSRLTARPSRSPEPQIRESEQRDPQLRRKQQRWKEPLMPRREEKYPLRGTDPLPPGQPQRIPLPGQPLQPQPILTPGQPQKIPTPGQHQPILTPGHSQPIPTPGQPLPPQPIPTPGRPLTPQPIPTPGRPLTPQPIQMPGRPLRLPPPLRLLRPGQPMSPQLRQTQGLPLPQPLLPPGQPKSAGRPLQPLPPGPDARSISDPPAPRSRLPIRLLRGLLARLPGGASPRAAAAAACTTMKGWPAATMTPAETSPTMGPPDASAGFSIGEIAAAESPSATYSATFSCKPSGAASVDLRVPSPKPRALSRSRRYPWRRSADRCAKKPWRSGPRSAQRRNAVSSSTNNSRTKRWATCVRTACCF
P97836 1 806 0.53830905707196 PF03359.13:GKAP:660:805 Disks large-associated protein 1; DAP-1; Guanylate kinase-associated protein; rGKAP; PSD-95/SAP90-binding protein 1; SAP90/PSD-95-associated protein 1; SAPAP1 992 146 12 806 0 Rattus norvegicus (Rat) SwissProt::P97836 1 SwissProt MKGLSGSRSHHHGITCESACDSLSHHSDHKPYLLSPVDHHPADHPYYTQRNSFQAECVGPFSDPLASSTFPRRHYTSQQELKDESALVPRTLATKANRLPTNLLDQFERQLPLSRDGYHTLQYKRTAVEHRSDSPGRIRHLVHSVQKLFTKSHSLEGASKGGVNGGKASPDGSQTVRYGKRSKSKERRSEPKARSNASNASPTSPSWWSSDDNLDGDMCLYHTPSGVMTMGRCPDRSVSQYFMGAYNTISEQAVKASRSNNDVKCSTCANLPVTLDAPLLKKSAWSSTLTVSRAREVYQKASVNMDQAVVKSEACQQERSCQYLQVPQDEWTGYTPRGKDDEIPCRRMRSGSYIKAMGDEDSGDSDTSPKPSPKVAARRESYLKATQPSLTELTTLKISNEHSPKLQIRSHSYLRAVSEVSINRSLDSLDPAGLLTSPKFRSRNESYMRAMSTISQVSEMEVNGQFESVCESVFSELESQAVEALDLPMPGCFRMRSHSYVRAIEKGCSQDDECVSLRSSSPPRTTTTVRTIQSSTGVIKLSSAVEVSSCITTYKKTPPPVPPRTTTKPFISITAQSSTESAQDAYMDGQGQRGDMISQSGLSNSTESLDSMKALTAAIEAANAQIHGPASQHMGSNAAAVTTTTTIATVTTEDRKKDFKKNRCLSIGIQVDDAEESEKMAESKTSSKFQSVGVQVEEEKCFRRFTRSNSVTTAVQADLDFHDNLENSLESIEDNSCPGPMARQFSRDASTSTVSIQGSGNHYHACAADDDFDTDFDPSILPPPDPWIDSITEDPLEAVQRSVCHR
Q03125 1 156 0.467053205128205 Transcriptional regulator NRG1; Zinc finger protein MSS1 231 0 12 156 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03125 1 SwissProt MFYPYNYSNLNVSTMPALPGISAFDGMQDEENVEISPERKYQTLLPVLTNSHVVENELKHKLNKTAFDFRYQTKSENGSEKWEPKYLITPNLQTRSVSFDNSSVQYNSDSSEKSSLSQLTCNSSIIQQPENGIVSNDAYNKMANSRYSLKTRKQRT
Q03530 1 315 0.0338819047619048 PF02517.16:CPBP:142:254 CAAX prenyl protease 2; Prenyl protein-specific endoprotease 2; PPSEP 2; Ras and A-factor-converting enzyme; RACE; EC 3.4.22.- 315 113 12 170 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03530 1 SwissProt MLQFSTFLVLLYISISYVLPLYATSQPEGSKRDNPRTIKSRMQKLTIMLISNLFLVPFLQSQLSSTTSHISFKDAFLGLGIIPGYYAALPNPWQFSQFVKDLTKCVAMLLTLYCGPVLDFVLYHLLNPKSSILEDFYHEFLNIWSFRNFIFAPITEEIFYTSMLLTTYLNLIPHSQLSYQQLFWQPSLFFGLAHAHHAYEQLQEGSMTTVSILLTTCFQILYTTLFGGLTKFVFVRTGGNLWCCIILHALCNIMGFPGPSRLNLHFTVVDKKAGRISKLVSIWNKCYFALLVLGLISLKDTLQTLVGTPGYRITL
Q08908 1 694 0.102981556195965 PF08022.12:FAD_binding_8:425:525,PF08030.12:NAD_binding_6:531:673,PF01794.19:Ferric_reduct:274:389 Ferric reductase transmembrane component 5; Ferric-chelate reductase 5; EC 1.16.1.9 694 360 12 564 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08908 1 SwissProt MLFARLVLLLVYLAPGSLAKPASTKKRTQWDQIAIDACAKELESHKFDTDVKGRHATLCTYEPALGSWLHCAKDVLDSRKKSKKIFEKTFSKINQYCHDYHKDEVVSNEEYYRIFANASLFIRPLDEVKENIRYPVTPNKASLDRWVWAYFGPLDNIDKGNVYGVTICLYWIGVLFIAAVYHFLNFSRLKQTVFKNKVSAFLRGHYVLPALVHNHAMSVGRWFFIGLVPTRLETLVLFGYVLLHGFLLSSYNFDHNELLSDRRSQVLIFLSDRAGILAFAHFPLIVLFGGKNSTMTWLTGIRYTAFITYHKWLGRFMLVDCTIHAIGYTYHAYIENYWKYVKYSDLWTSGRHAMIIVGILVFFSFFFFRRHYYELFVITHIILAIGFFHACWKHCYKLGWGEWIMACALFWIADRILRLIKIAIFGMPWAKLKLCGESMIEVRISKSSKWWKAEPGQYIYLYFLRPKIFWQSHPFTVMDSLVEDGELVVVITVKNGLTKKLQEYLLESEGYTEMRVLAEGPYGQSTRTHLFESLLFIAGGAGVPGPLSMAIKAGRQVKSNDSHQMIKFVWSVRNLDLLEVYRKEIMVLKELNIDTKIYFTGERKDESNTEEGAIANMSTEGRLLTTSKSAEMITDFGRPNIDEIIEEAVSGAKSLLVTCCGSEGFVDKTRELTAKRVLEHGDKWIEYVEEFQNW
Q12010 1 308 0.103200324675325 PF04193.14:PQ-loop:13:70,PF04193.14:PQ-loop:212:270 Probable vacuolar amino acid transporter YPQ1; PQ-loop repeat-containing protein 1 308 117 12 154 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12010 1 SwissProt MQLVPLELNRSTLSGISGSISISCWIIVFVPQIYENFYRKSSDGLSLLFVVLWLAGDVFNLMGAVMQHLLSTMIILAAYYTVADIILLGQCLWYDNEEKPAVDPIHLSPANPINENVLHDVFNEQQPLLNSQGQPNRIDEEMAAPSSDGNAGDDNLREVNSRNLIKDIFIVSGVVFVGFISWYVTYCVNYTQPPPVEDPSLPVPELQINWMAQIFGYLSALLYLGSRIPQILLNFKRKSCEGISFLFFLFACLGNTTFIFSVIVISLDWKYLIMNASWLVGSIGTLFMDFVIFSQFFIYKRNKKFILN
Q12176 1 1025 0.420740682926829 PF03914.17:CBF:597:761 Ribosome biogenesis protein MAK21; Maintenance of killer protein 21; Nucleolar complex protein 1 1025 165 12 1025 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12176 1 SwissProt MSENNGNPLDLSSLRNKISSKLRDNNSKKAKKTHKGKDVKASSNSKKVNEDIRREALALGASEEDLKLIQGLSDDDDAKSEQEFDAVADEDADDKGFKNDLQNFMKNVGFDQHKLEDVDDDDIEEESTSSKESKIPAQEKEHAQSNIASSTIEKTSQESIDNGSEQEENTVEEANLSSDQEPESESAEKEKKEEKDGGLITQTTIISSDKLIIPYDKPWYEIPLDPQVGQNDDVEELSKEQIEKLFERGKQTLEADNQTYYEEFTKDSSQAKFMSQILSDGTLNDKISAVTLLIQDSPLHNTKSLETLVSYCGKKSRNSALQSLNALKDLFLNGLLPNRKLRYFKNQPGLSMMLNKKTLAIFYFEDYLKKLFFRVLEVLEVLSHDPIIHVRLQILNHVFDLLTNQPEQEFNLLRLGVNKIGDIDSKVSSKASYLLLKLEQAHPNMKSIVIDAIVDIALRPNADYHTTYYSVITLNQTILKRSEDSVANKLVKTYFTLFEKFLINTDKDNTNGVVKSNSKSYEEKRKKNFKKGKHGGKSVKIEKTENEVLDEKNSKLFSALLTGINRAFPFAQIPASVYEVHMETLFKITHSSNFNTSIQALVLINQVTVKAKLNSDRYYRTLYESLFDPRLVNSSKQGIYLNLLYKSLKQDALNVERVEAFVKRILQVCSHWLNVGTITGFFFLLIQLAKTVPQIKNLLTNTPVDYEYESDAEEEQGDKDIKRKEYDGRKRDPKFANAEKSSLWEINNFINHFHPTVKTYANAYVTGETEQIAKPDLGLFTLSHFLDRFVYRSAKQTNTARGTSIMQPLFSGSRVNDSVLVKASDIMHDQGPVNTEDWLTKKVEDIKPEDKFFYQYFTTKKTADGKGKKSNKASNFDSDDEMDENEIWSALVKSRPDVEDDSDDSELDFAEDDFSDSTSDDEPKLDAIDDEDAKSEGSQESDQEEGLDEDIFYSFDGEQDNSDKKRSFAESSEEDESSEEEKEEEENKEVSAKRAKKKQRKNMLKSLPVFASADDYAQYLDQDSD
Q12297 1 353 0.424580736543909 PF07524.13:Bromo_TP:6:79 Transcription initiation factor TFIID subunit 3; TAFII-47; TAFII47; TBP-associated factor 3; TBP-associated factor 47 kDa 353 74 12 353 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12297 1 SwissProt MTTNNDFYFALLRISILQLLKAQGFDRARPSLVDVMTDLYAKFLSLLASEVSSIAQARCDQDDTIALQDITLALENLGIVKPTNVLDVYDENSELSSSRGMEKFKDWCIYSTQLTDARITALPTVELLQSEEKESDPLSAIPDYLNQLLQNKGAKQKLETKNRKTELIEDLINNNGLDDWIKLVIARQRINMIERASKKESQNVPALPHIAGYKSSILSRHHHTTITNEDRMPSAMTPRDEDALTEIQENPFVTSKLPIMRKENRLENITLSFEDEELESLGEVEGPNQKSQENNNEESFKENNKSLTESPHGDDRDISMFQFDSNVDTKWAEQEDMDSTFQRRTSLDYGGYF
Q13395 1 1437 0.130323521224774 Probable methyltransferase TARBP1; TAR RNA-binding protein 1; TAR RNA-binding protein of 185 kDa; TRP-185; EC 2.1.1.- 1621 0 12 1437 0 Homo sapiens (Human) SwissProt::Q13395 1 SwissProt MEWVLAEALLSQSRDPRALLGALCQGEASAERVETLRFLLQRLEDEEARGSGGAGALPEAAREVAAGYLVPLLRSLRGRPAGGPDPSLQPRHRRRVLRAAGAALRSCVRLAGRPQLAAALAEEALRDLLAGWRAPGAEAAVEVLAAVGPCLRPREDGPLLERVAGTAVALALGGGGDGDEAGPAEDAAALVAGRLLPVLVQCGGAALRAVWGGLAAPGASLGSGRVEEKLLVLSALAEKLLPEPGGDRARGAREAGPDARRCWRFWRTVQAGLGQADALTRKRARYLLQRAVEVSAELGADCTCGPQEGNGPSLFWWSERKKDELLKFWENYILIMETLEGNQIHVIKPVLPKLNNLFEYAVSEENGCWLFHPSWHMCIYKRMFESENKILSKEGVIHFLELYETKILPFSPEFSEFIIGPLMDALSESSLYSRSPGQPIGSCSPLGLKLQKFLVTYISLLPEEIKSSFLLKFIRKMTSRHWCAVPILFLSKALANVPRHKALGIDGLLALRDVIHCTMITHQILLRGAAQCYLLQTAMNLLDVEKVSLSDVSTFLMSLRQEESLGRGTSLWTELCDWLRVNESYFKPSPTCSSIGLHKTSLNAYVKSIVQEYVKSSAWETGENCFMPDWFEAKLVSLMVLLAVDVEGMKTQYSGKQRTENVLRIFLDPLLDVLMKFSTNAYMPLLKTDRCLQLLLKLLNTCRLKGSSAQDDEVSTVLQNFFMSTTESISEFILRRLTMNELNSVSDLDRCHLYLMVLTELINLHLKVGWKRGNPIWRVISLLKNASIQHLQEMDSGQEPTVGSQIQRVVSMAALAMVCEAIDQKPELQLDSLHAGPLESFLSSLQLNQTLQKPHAEEQSSYAHPLECSSVLEESSSSQGWGKIVAQYIHDQWVCLSFLLKKYHTLIPTTGSEILEPFLPAVQMPIRTLQSALEALTVLSSDQVLPVFHCLKVLVPKLLTSSESLCIESFDMAWKIISSLSNTQLIFWANLKAFVQFVFDNKVLTIAAKIKGQAYFKIKEIMYKIIEMSAIKTGVFNTLISYCCQSWIVSASNVSQGSLSSAKNYSELILEACIFGTVFRRDQRLVQDVQTFIENLGHDCAANIVMENTKREDHYVRICAVKFLCLLDGSNMSHKLFIEDLAIKLLDKDELVSKSKKRYYVNSLQHRVKNRVWQTLLVLFPRLDQNFLNGIIDRIFQAGFTNNQASIKYFIEWIIILILHKFPQFLPKFWDCFSYGEENLKTSICTFLAVLSHLDIITQNIPEKKLILKQALIVVLQWCFNHNFSVRLYALVALKKLWTVCKVLSVEEFDALTPVIESSLHQVESMHGAGNAKKNWQRIQEHFFFATFHPLKDYCLETIFYILPRLSGLIEDEWITIDKFTRFTDVPLAAGFQWYLSQTQLSKLKPGDWSQQDIGTNLVEADNQAEWTDVQKKIIPW
Q14669 1 1684 0.452532007125892 E3 ubiquitin-protein ligase TRIP12; E3 ubiquitin-protein ligase for Arf; ULF; HECT-type E3 ubiquitin transferase TRIP12; Thyroid receptor-interacting protein 12; TR-interacting protein 12; TRIP-12; EC 2.3.2.26 1992 0 12 1684 0 Homo sapiens (Human) SwissProt::Q14669 1 SwissProt MSNRPNNNPGGSLRRSQRNTAGAQPQDDSIGGRSCSSSSAVIVPQPEDPDRANTSERQKTGQVPKKDNSRGVKRSASPDYNRTNSPSSAKKPKALQHTESPSETNKPHSKSKKRHLDQEQQLKSAQSPSTSKAHTRKSGATGGSRSQKRKRTESSCVKSGSGSESTGAEERSAKPTKLASKSATSAKAGCSTITDSSSAASTSSSSSAVASASSTVPPGARVKQGKDQNKARRSRSASSPSPRRSSREKEQSKTGGSSKFDWAARFSPKVSLPKTKLSLPGSSKSETSKPGPSGLQAKLASLRKSTKKRSESPPAELPSLRRSTRQKTTGSCASTSRRGSGLGKRGAAEARRQEKMADPESNQEAVNSSAARTDEAPQGAAGAVGMTTSGESESDDSEMGRLQALLEARGLPPHLFGPLGPRMSQLFHRTIGSGASSKAQQLLQGLQASDESQQLQAVIEMCQLLVMGNEETLGGFPVKSVVPALITLLQMEHNFDIMNHACRALTYMMEALPRSSAVVVDAIPVFLEKLQVIQCIDVAEQALTALEMLSRRHSKAILQAGGLADCLLYLEFFSINAQRNALAIAANCCQSITPDEFHFVADSLPLLTQRLTHQDKKSVESTCLCFARLVDNFQHEENLLQQVASKDLLTNVQQLLVVTPPILSSGMFIMVVRMFSLMCSNCPTLAVQLMKQNIAETLHFLLCGASNGSCQEQIDLVPRSPQELYELTSLICELMPCLPKEGIFAVDTMLKKGNAQNTDGAIWQWRDDRGLWHPYNRIDSRIIEQINEDTGTARAIQRKPNPLANSNTSGYSESKKDDARAQLMKEDPELAKSFIKTLFGVLYEVYSSSAGPAVRHKCLRAILRIIYFADAELLKDVLKNHAVSSHIASMLSSQDLKIVVGALQMAEILMQKLPDIFSVYFRREGVMHQVKHLAESESLLTSPPKACTNGSGSMGSTTSVSSGTATAATHAAADLGSPSLQHSRDDSLDLSPQGRLSDVLKRKRLPKRGPRRPKYSPPRDDDKVDNQAKSPTTTQSPKSSFLASLNPKTWGRLSTQSNSNNIEPARTAGGSGLARAASKDTISNNREKIKGWIKEQAHKFVERYFSSENMDGSNPALNVLQRLCAATEQLNLQVDGGAECLVEIRSIVSESDVSSFEIQHSGFVKQLLLYLTSKSEKDAVSREIRLKRFLHVFFSSPLPGEEPIGRVEPVGNAPLLALVHKMNNCLSQMEQFPVKVHDFPSGNGTGGSFSLNRGSQALKFFNTHQLKCQLQRHPDCANVKQWKGGPVKIDPLALVQAIERYLVVRGYGRVREDDEDSDDDGSDEEIDESLAAQFLNSGNVRHRLQFYIGEHLLPYNMTVYQAVRQFSIQAEDERESTDDESNPLGRAGIWTKTHTIWYKPVREDEESNKDCVGGKRGRAQTAPTKTSPRNAKKHDELWHDGVCPSVSNPLEVYLIPTPPENITFEDPSLDVILLLRVLHAISRYWYYLYDNAMCKEIIPTSEFINSKLTAKANRQLQDPLVIMTGNIPTWLTELGKTCPFFFPFDTRQMLFYVTAFDRDRAMQRLLDTNPEINQSDSQDSRVAPRLDRKKRTVNREELLKQAESVMQDLGSSRAMLEIQYENEVGTGLGPTLEFYALVSQELQRADLGLWRGEEVTLSNPKGSQEGTKYIQNLQGLFALPFGRT
Q14956 1 572 0.268856468531468 PF00801.20:PKD:279:319 Transmembrane glycoprotein NMB; Hematopoietic growth factor inducible neurokinin-1 type 572 41 12 549 1 Homo sapiens (Human) SwissProt::Q14956 1 SwissProt MECLYYFLGFLLLAARLPLDAAKRFHDVLGNERPSAYMREHNQLNGWSSDENDWNEKLYPVWKRGDMRWKNSWKGGRVQAVLTSDSPALVGSNITFAVNLIFPRCQKEDANGNIVYEKNCRNEAGLSADPYVYNWTAWSEDSDGENGTGQSHHNVFPDGKPFPHHPGWRRWNFIYVFHTLGQYFQKLGRCSVRVSVNTANVTLGPQLMEVTVYRRHGRAYVPIAQVKDVYVVTDQIPVFVTMFQKNDRNSSDETFLKDLPIMFDVLIHDPSHFLNYSTINYKWSFGDNTGLFVSTNHTVNHTYVLNGTFSLNLTVKAAAPGPCPPPPPPPRPSKPTPSLATTLKSYDSNTPGPAGDNPLELSRIPDENCQINRYGHFQATITIVEGILEVNIIQMTDVLMPVPWPESSLIDFVVTCQGSIPTEVCTIISDPTCEITQNTVCSPVDVDEMCLLTVRRTFNGSGTYCVNLTLGDDTSLALTSTLISVPDRDPASPLRMANSALISVGCLAIFVTVISLLVYKKHKEYNPIENSPGNVVRSKGLSVFLNRAKAVFFPGNQEKDPLLKNQEFKGVS
Q16553 1 131 0.0207763358778626 PF00021.21:UPAR_LY6:23:99,PF00087.21:Toxin_TOLIP:23:99 Lymphocyte antigen 6E 131 77 12 131 0 Homo sapiens Q16553 1 SwissProt/TReMBL MKIFLPVLLAALLGVERASSLMCFSCLNQKSNLYCLKPTICSDQDNYCVTVSASAGIGNLVTFGHSLSKTCSPACPIPEGVNVGVASMGISCCQSFLCNFSAADGGLRASVTLLGAGLLLSLLPALLRFGP
Q50461 1 241 0.245619917012448 PF13649.6:Methyltransf_25:43:124,PF08241.12:Methyltransf_11:44:125,PF08242.12:Methyltransf_12:44:125,PF13489.6:Methyltransf_23:32:129,PF08003.11:Methyltransf_9:37:153 [2,4-di-O-methyl-α-L-fucopyranosyl-(1→3)-α-L-rhamnopyranosyl-(1→3)-2-O-methyl-α-L-rhamnopyranosyl] dimycocerosyl phenol-phthiocerol 3'''-O-methyltransferase 241 122 12 241 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) metacyc::G185E-7208-MONOMER 1 metacyc MRLPGMLRPTAERHFHSIFYLRHNARRQEHLATLGLDLGNKSVLEVGAGIGDHTQFFLDRGCKVLCTEPRGENLDVIRQRFGSNPNVTVDHLDLDGDLPAEAHQYDVVYCYGVLYHLSRPAEALAWMCDRAVDLLLLETCVSYSGEDEPFLVSERASSPSQAITGTGCRPSRVWVMNRLREKMPHVYVTATQPRHRQFPLDWRANGPIASTGLARAVFVASRAPLNLPTLVEELPMVQRRC
Q5D862 1166 2391 0.946585154975528 PF03516.13:Filaggrin:62:116,PF03516.13:Filaggrin:137:191,PF03516.13:Filaggrin:212:268,PF03516.13:Filaggrin:289:345,PF03516.13:Filaggrin:364:420,PF03516.13:Filaggrin:448:497,PF03516.13:Filaggrin:516:571,PF03516.13:Filaggrin:591:647,PF03516.13:Filaggrin:668:724,PF03516.13:Filaggrin:743:798,PF03516.13:Filaggrin:820:874,PF03516.13:Filaggrin:893:949,PF03516.13:Filaggrin:968:1024,PF03516.13:Filaggrin:1043:1099 Filaggrin-2; FLG-2; Intermediate filament-associated and psoriasis-susceptibility protein; Ifapsoriasin 2391 783 12 1226 0 Homo sapiens (Human) SwissProt::Q5D862 1 SwissProt CGQHESGSGPTTSFGQHVSGSDNFSSSGQHISDSGQSTGFGQYGSGSGQSTGLGQGESQQVESGSTVHGRQETTHGQTINTTRHSQSGQGQSTQTGSRVTRRRRSSQSENSDSEVHSKVSHRHSEHIHTQAGSHYPKSGSTVRRRQGTTHGQRGDTTRHGHSGHGQSTQTGSRTSGRQRFSHSDATDSEVHSGVSHRPHSQEQTHSQAGSQHGESESTVHERHETTYGQTGEATGHGHSGHGQSTQRGSRTTGRRGSGHSESSDSEVHSGGSHRPQSQEQTHGQAGSQHGESGSTVHGRHGTTHGQTGDTTRHAHYHHGKSTQRGSSTTGRRGSGHSESSDSEVHSGGSHTHSGHTHGQSGSQHGESESIIHDRHRITHGQTGDTTRHSYSGHEQTTQTGSRTTGRQRTSHSESTDSEVHSGGSHRPHSREHTYGQAGSQHEEPEFTVHERHGTTHGQIGDTTGHSHSGHGQSTQRGSRTTGRQRSSHSESSDSEVHSGVSHTHTGHTHGQAGSQHGQSESIVPERHGTTHGQTGDTTRHAHYHHGLTTQTGSRTTGRRGSGHSEYSDSEGYSGVSHTHSGHTHGQARSQHGESESIVHERHGTIHGQTGDTTRHAHSGHGQSTQTGSRTTGRRSSGHSEYSDSEGHSGFSQRPHSRGHTHGQAGSQHGESESIVDERHGTTHGQTGDTSGHSQSGHGQSTQSGSSTTGRRRSGHSESSDSEVHSGGSHTHSGHTHSQARSQHGESESTVHKRHQTTHGQTGDTTEHGHPSHGQTIQTGSRTTGRRGSGHSEYSDSEGPSGVSHTHSGHTHGQAGSHYPESGSSVHERHGTTHGQTADTTRHGHSGHGQSTQRGSRTTGRRASGHSEYSDSEGHSGVSHTHSGHAHGQAGSQHGESGSSVHERHGTTHGQTGDTTRHAHSGHGQSTQRGSRTAGRRGSGHSESSDSEVHSGVSHTHSGHTYGQARSQHGESGSAIHGRQGTIHGQTGDTTRHGQSGHGQSTQTGSRTTGRQRSSHSESSDSEVHSEASPTHSGHTHSQAGSRHGQSGSSGHGRQGTTHGQTGDTTRHAHYGYGQSTQRGSRTTGRRGSGHSESSDSEVHSWGSHTHSGHIQGQAGSQQRQPGSTVHGRLETTHGQTGDTTRHGHSGYGQSTQTGSRSSRASHFQSHSSERQRHGSSQVWKHGSYGPAEYDYGHTGYGPSGGSRKSISNSHLSWSTDSTANKQLSRH
Q5T601 1 910 0.102051758241758 PF00002.24:7tm_2:584:834,PF01825.21:GPS:532:572,PF01390.20:SEA:154:231 Adhesion G-protein coupled receptor F1; G protein-coupled receptor 110; G protein-coupled receptor KPG_012; G protein-coupled receptor PGR19 910 370 12 749 7 Homo sapiens (Human) SwissProt::Q5T601 1 SwissProt MKVGVLWLISFFTFTDGHGGFLGKNDGIKTKKELIVNKKKHLGPVEEYQLLLQVTYRDSKEKRDLRNFLKLLKPPLLWSHGLIRIIRAKATTDCNSLNGVLQCTCEDSYTWFPPSCLDPQNCYLHTAGALPSCECHLNNLSQSVNFCERTKIWGTFKINERFTNDLLNSSSAIYSKYANGIEIQLKKAYERIQGFESVQVTQFRNGSIVAGYEVVGSSSASELLSAIEHVAEKAKTALHKLFPLEDGSFRVFGKAQCNDIVFGFGSKDDEYTLPCSSGYRGNITAKCESSGWQVIRETCVLSLLEELNKNFSMIVGNATEAAVSSFVQNLSVIIRQNPSTTVGNLASVVSILSNISSLSLASHFRVSNSTMEDVISIADNILNSASVTNWTVLLREEKYASSRLLETLENISTLVPPTALPLNFSRKFIDWKGIPVNKSQLKRGYSYQIKMCPQNTSIPIRGRVLIGSDQFQRSLPETIISMASLTLGNILPVSKNGNAQVNGPVISTVIQNYSINEVFLFFSKIESNLSQPHCVFWDFSHLQWNDAGCHLVNETQDIVTCQCTHLTSFSILMSPFVPSTIFPVVKWITYVGLGISIGSLILCLIIEALFWKQIKKSQTSHTRRICMVNIALSLLIADVWFIVGATVDTTVNPSGVCTAAVFFTHFFYLSLFFWMLMLGILLAYRIILVFHHMAQHLMMAVGFCLGYGCPLIISVITIAVTQPSNTYKRKDVCWLNWSNGSKPLLAFVVPALAIVAVNFVVVLLVLTKLWRPTVGERLSRDDKATIIRVGKSLLILTPLLGLTWGFGIGTIVDSQNLAWHVIFALLNAFQGFFILCFGILLDSKLRQLLFNKLSALSSWKQTEKQNSSDLSAKPKFSKPFNPLQNKGHYAFSHTGDSSDNIMLTQFVSNE
Q60598 1 465 0.608682795698926 PF02218.15:HS1_rep:83:117,PF02218.15:HS1_rep:120:155,PF02218.15:HS1_rep:157:192,PF02218.15:HS1_rep:194:228,PF02218.15:HS1_rep:231:265,PF02218.15:HS1_rep:268:303,PF02218.15:HS1_rep:305:329 Src substrate cortactin 546 238 12 465 0 Mus musculus (Mouse) SwissProt::Q60598 1 SwissProt MWKASAGHAVSITQDDGGADDWETDPDFVNDVSEKEQRWGAKTVQGSGHQEHINIHKLRENVFQEHQTLKEKELETGPKASHGYGGKFGVEQDRMDRSAVGHEYQSKLSKHCSQVDSVRGFGGKFGVQMDRVDQSAVGFEYQGKTEKHASQKDYSSGFGGKYGVQADRVDKSAVGFDYQGKTEKHESQKDYSKGFGGKYGIDKDKVDKSAVGFEYQGKTEKHESQKDYVKGFGGKFGVQTDRQDKCALGWDHQEKLQLHESQKDYKTGFGGKFGVQSERQDSSAVGFDYKERLAKHESQQDYAKGFGGKYGVQKDRMDKNASTFEEVVQVPSAYQKTVPIEAVTSKTSNIRANFENLAKEREQEDRRKAEAERAQRMAKERQEQEEARRKLEEQARAKKQTPPASPSPQPIEDRPPSSPIYEDAAPFKAEPSYRGSEPEPEYSIEAAGIPEAGSQQGLTYTSEPV 1
Q9M903 1 479 0.209323590814196 Protein TRIGALACTOSYLDIACYLGLYCEROL 4, chloroplastic; Protein PIGMENT DEFECTIVE 320 479 0 12 479 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M903 1 SwissProt MNRMRWVGEGDIWDLDMSTPVTLEGTARAVPDDPLPLGLSRGTRLSRPKQVEFFHRFMASPLIPSFSPIRPNTGDGGGGGFSLQRVLTLPFSNNWLVSLLGQFDVQRFVTEIDKTKAFGRGSSSTVASRLNTIGKHLKDKSLYALGFCSEFLLSPDDTLLLSYDAYKGDLDKNPRAKAIFNHEFPLHNLTAEAVWPGLFVDKHGEYWDVPLSMAIDLASLPAESGPSYHLCLHHNSGSPKKLHSDTMEVPPPSLLPGLSLKSAVSYRTNMDLWRGTTPKLETCKPYDVFLSSPHVAVSGIIGSVMTAAFGENSIRSKFENDSEGVGGFSLHFPSVNSGFMADALGRASLTAQYGNFQKFFFDLTRFHARLDFPHGLRFLTGATSVAQDLLNSRQPSLEAFQKICPEVLVSLQQQIVGPFSFKVESGIEIDLRNGANPVTVDKTVFAIEYALQVLLSAKAVVSYSPKQNEFMVELRFFET
Q9T022 1 151 0.705107947019868 PF00257.19:Dehydrin:4:148 Dehydrin family protein 151 145 12 151 0 Arabidopsis thaliana Q9T022 1 SwissProt/TReMBL MADLKDERGNPIYLTDAHGEPAQLMDEFGNAMHLTGVATTVPHLKESSYTGPHPITAPVTTTNTPHHAQPISVSHDPLQDHDLRWFGTSSTEENGEGVGRKTNITDETKSKLGVDKPSAATVTGSGSGSVHEKKGFFKKIKEKLSGHHNDL
SwissProt::A6NHR9 581 2005 0.286924210526316 PF06470.13:SMC_hinge:1141:1266 Structural maintenance of chromosomes flexible hinge domain-containing protein 1; SMC hinge domain-containing protein 1; EC 3.6.1.- 2005 126 12 1425 0 Homo sapiens (Human) SwissProt::A6NHR9 1 SwissProt GVITRPDLPSKKQGPWATYAAIEWDGKIYKAGQLVKTIKTLPLFYGSIVRFFLYGDHDGEVYATGGEVQIAMEPQALYDEVRTVPIAKLDRTVAEKAVKKYVEDEMARLPDRLSVTWPEGDELLPNEVRPAGTPIGALRIEILNKKGEAMQKLPGTSHGGSKKLLVELKVILHSSSGNKEIISHISQHGGKWPYWFKKMENIQKLGNYTLKLQVVLNESNADTYAGRPLPSKAIKFSVKEGKPEKFSFGLLDLPFRVGVPFNIPLEFQDEFGHTSQLVTDIQPVLEASGLSLHYEEITKGPNCVIRGVTAKGPVNSCQGKNYNLKVTLPGLKEDSQILKIRLLPGHPRRLKVKPDSEILVIENGTAFPFQVEVLDESDNITAQPKLIVHCKFSGAPNLPVYVVDCSSSGTSILTGSAIQVQNIKKDQTLKARIEIPSCKDVAPVEKTIKLLPSSHVARLQIFSVEGQKAIQIKHQDEVNWIAGDIMHNLIFQMYDEGEREINITSALAEKIKVNWTPEINKEHLLQGLLPDVQVPTSVKDMRYCQVSFQDDHVSLESAFTVRPLPDEPKHLKCEMKGGKTVQMGQELQGEVVIIITDQYGNQIQAFSPSSLSSLSIAGVGLDSSNLKTTFQENTQSISVRGIKFIPGPPGNKDLCFTWREFSDFIRVQLISGPPAKLLLIDWPELKESIPVINGRDLQNPIIVQLCDQWDNPAPVQHVKISLTKASNLKLMPSNQQHKTDEKGRANLGVFSVFAPRGEHTLQVKAIYNKSIIEGPIIKLMILPDPEKPVRLNVKYDKDASFLAGGLFTDFMISVISEDDSIIKNINPARISMKMWKLSTSGNRPPANAETFSCNKIKDNDKEDGCFYFRDKVIPNKVGTYCIQFGFMMDKTNILNSEQVIVEVLPNQPVKLVPKIKPPTPAVSNVRSVASRTLVRDLHLSITDDYDNHTGIDLVGTIIATIKGSNEEDTDTPLFIGKVRTLEFPFVNGSAEIMSLVLAESSPGRDSTEYFIVFEPRLPLLSRTLEPYILPFMFYNDVKKQQQMAALTKEKDQLSQSIVMYKSLFEASQQLLNEMKCQVEEARLKEAQLRNELKIHNIDIPTTQQVPHIEALLKRKLSEQEELKKKPRRSCTLPNYTKGSGDVLGKIAHLAQIEDDRAAMVISWHLASDMDCVVTLTTDAARRIYDETQGRQQVLPLDSIYKKTLPDWKRSLPHFRNGKLYFKPIGDPVFARDLLTFPDNVEHCETVFGMLLGDTIILDNLDAANHYRKEVVKITHCPTLLTRDGDRIRSNGKFGGLQNKAPPMDKLRGMVFGAPVPKQCLILGEQIDLLQQYRSAVCKLDSVNKDLNSQLEYLRTPDMRKKKQELDEHEKNLKLIEEKLGMTPIRKCNDSLRHSPKVETTDCPVPPKRMRREATRQNRIITKTDV
SwissProt::M1WEN7 1 357 0.180226610644258 PF00067.22:p450:72:344 Cytochrome P450 monooxygenase cloA; Clavine oxidase; CLOA; Ergot alkaloid synthesis protein cloA; EC 1.-.-.- 507 273 12 337 1 Claviceps purpurea (strain 20.1) (Ergot fungus) (Sphacelia segetum) SwissProt::M1WEN7 1 SwissProt MSLQWLQQTRHELSWTWILLTTCIALISPLVLKGIYNVYFHPLRNIPGPKLAALTDFYAFYWNWIRDEGYSKQFSRLHEQYNSPIIRIGPNNVHTTQVEFYDVIFKSGSKWLKDKSFYKYFNGLDAMIEPYQYRTYRTHLAPLYAQRAIDGLAPKLRSDLTNSASGMMRQTKNGQTVNMAKVLRTLSTSMILHNLFSLDISLNDGDEYHPFLEAFEQLMTQSWLFVTYPMVPMVLSLIPGTSFARFNSSYTTFSNYCTAWNDEDMRKQRESEEQSTRDSHTKRYLSLKDDDARKKTAIPYPLDDVFNFVAGGSDTTAYTTACAFYHILSSPTVRENLVVELDEHSSIIRDEFDYNKI
SwissProt::O75503 1 358 0.125167877094972 PF15014.6:CLN5:51:349 Ceroid-lipofuscinosis neuronal protein 5; Protein CLN5 358 299 12 340 1 Homo sapiens (Human) SwissProt::O75503 1 SwissProt MAQEVDTAQGAEMRRGAGAARGRASWCWALALLWLAVVPGWSRVSGIPSRRHWPVPYKRFDFRPKPDPYCQAKYTFCPTGSPIPVMEGDDDIEVFRLQAPVWEFKYGDLLGHLKIMHDAIGFRSTLTGKNYTMEWYELFQLGNCTFPHLRPEMDAPFWCNQGAACFFEGIDDVHWKENGTLVQVATISGNMFNQMAKWVKQDNETGIYYETWNVKASPEKGAETWFDSYDCSKFVLRTFNKLAEFGAEFKNIETNYTRIFLYSGEPTYLGNETSVFGPTGNKTLGLAIKRFYYPFKPHLPTKEFLLSLLQIFDAVIVHKQFYLFYNFEYWFLPMKFPFIKITYEEIPLPIRNKTLSGL
SwissProt::P03763 64 222 0.292090566037736 PF13401.6:AAA_22:29:142,PF07728.14:AAA_5:35:130,PF13604.6:AAA_30:25:141 ATP-dependent target DNA activator B; Gene product 04; gp04; Gene product B; gpB; MuB; EC 3.6.1.3 312 118 12 159 0 Escherichia phage Mu (Bacteriophage Mu) SwissProt::P03763 1 SwissProt VAELPEPPRFVETQTVKQIWTSMRFASLTESIAVVCGNPGVGKTEAAREYRRTNNNVWMITITPSCASVLECLTELAFELGMNDAPRRKGPLSRALRRRLEGTQGLVIIDEADHLGAEVLEELRLLQESTRIGLVLMGNHRVYSNMTGGNRTVEFARLF
SwissProt::P13528 1 261 0.378578544061303 Transcription factor unc-86; Uncoordinated protein 86 467 0 12 238 1 Caenorhabditis elegans SwissProt::P13528 1 SwissProt MEKAHRFRLPFCSFFPVPLLVSVLIFHHSAPFLIQLFFPSPLFNPLLRPSKISRGSENGACTSHSTLQRTRKIIQWELPKRGGDQDIGDPRPFRIHLSPPSFKVPLFSTDMQNTAPVPTTTTASKMQPFNNSLFGSFDDPILNARAAQVALADIDVKNVPQLTNPLMRPHDMFSYSNYFSGIHDTSAATNIYQGLPSSSEPFDASVVVPTSSDDQMTPLQQVMAMQQSYGAPPPFQYNMTHPFSTTSIASSNNLARYPIAP
SwissProt::P70399 1 1455 0.780231202749143 TP53-binding protein 1; 53BP1; p53-binding protein 1; p53BP1 1969 0 12 1455 0 Mus musculus (Mouse) SwissProt::P70399 1 SwissProt MPGEQMDPTGSQLDSDFSQQDTPCLIIEDSQPESQVLEEDAGSHFSVLSRHLPNLQMHKENPVLDIVSNPEQSAVEQGDSNSSFNEHLKEKKASDPVESSHLGTSGSISQVIERLPQPNRTSSALAVTVEAASLPEEEKEEEELEEEKEGVGANAPGADSLAAEDSASSQLGFGVLELSQSQDVEEHTVPYDVNQEHLQLVTTNSGSSPLSDVDASTAIKCEEQPTEDIAMIEQPSKDIPVTVQPGKGIHVVEEQNLPLVRSEDRPSSPQVSVAAVETKEQVPARELLEEGPQVQPSSEPEVSSTQEDLFDQSSKTASDGCSTPSREEGGCSPVSTPATTLQLLQLSGQKPLVQESLSTNSSDLVAPSPDAFRSTPFIVPSSPTEQGGRKDEPMDMSVIPVGGEPFQKLHDDEAMETEKPLLPSQPAVSPQASTPVSRSTPVFTPGSLPIPSQPEFSHDIFIPSPSLEEPSDDVKKGGGLHSSSLTVECSKTSESEPKNFTDDLGLSMTGDSCKLMLSTSEYSQSSKMESLGSPRTEEDRENTQIDDTEPLSPVSNSKLPADSENVLVTPSQDDQVEMSQNVDKAKEDETEDRGDCKGREDAVAEDVCIDLTCDSGSQAVPSPATRSEALSSVLDQEEAMDTKEHHPEEGFSGSEVEEVPETPCGSHREEPKEEPMESIPLHLSLTETQSEALCLQKEAPKEECPEAMEVETSVISIDSPQKLQVLDQELEHKDPDTWEEATSEDSSVVIVDVKEPSPRADVSCEPLEEVEKCSDSQSWEGVAPEEEPCAENRLDTPEEKRIECDGDSKAETTEKDAVTEDSPQPPLPSVRDEPVRPDQETQQPQVQEKESPVTVDAEVADDKQLGPEGACQQLEKAPACASQSFCESSSETPFHFTLPKEGDIIPPLTGATPPLIGHLKLEPKRHSTPIGISNYPESTIATSDVTSESMVEINDPLLGNEKGDSESAPEMDGKLSLKMKLVSPETEASEESLQFSLEKPTTAERKNGSTAIAEPVASLQKPVPVFGCIYEAQQEKEAQSEAPPSAPDRANLLHFPSAQEEDKERPDVTPKLRQSEQPVKPVGPVMDDAAPEDSASPVSQQRASQEQRASQEPFSPAEDVMETDLLEGLAANQDRPSKMLMDRPTQSNIGIQTVDHSLCAPETVSAATQTVKSVCEQGTSTAEQNSGKQDATVQTERGSGEKPASAPVDDTESLHSQGEEEFEMPQPPHGHVLHRHMRTIREVRTLVTRVITDVYYVDGTEVERKVTEETEEPIVECQECETEVSPSQTGGSSGDLGDISSFSSKASSSHHTSSGTSLSAIHSSGSSGRGAGPLKGKASGTEAADFALPSSRGGPGKLSPRKGISQTGAPVCEEDGDAGLGIRQGGKAPVTPRGRGRRGRPPSRTTGTRETVVSGPLGVEDISPSMSPDDKSFTRIMPRVPDSTKRTDASSSTLR
SwissProt::Q07763 130 397 0.321453358208955 Natural killer cell receptor 2B4; NK cell type I receptor protein 2B4; NKR2B4; Non-MHC restricted killing associated; SLAM family member 4; SLAMF4; Signaling lymphocytic activation molecule 4; CD244 antigen 397 0 12 245 1 Mus musculus (Mouse) SwissProt::Q07763 1 SwissProt HVETPNLKAQWKPWTNGTCQLFLSCLVTKDDNVSYALYRGSTLISNQRNSTHWENQIDASSLHTYTCNVSNRASWANHTLNFTHGCQSVPSNFRFLPFGVIIVILVTLFLGAIICFCVWTKKRKQLQFSPKEPLTIYEYVKDSRASRDQQGCSRASGSPSAVQEDGRGQRELDRRVSEVLEQLPQQTFPGDRGTMYSMIQCKPSDSTSQEKCTVYSVVQPSRKSGSKKRNQNSSLSCTVYEEVGNPWLKAHNPARLSRRELENFDVYS
SwissProt::Q13702 1 412 0.118583737864078 PF10579.9:Rapsyn_N:1:80,PF13424.6:TPR_12:205:277,PF13181.6:TPR_8:209:238,PF17874.1:TPR_MalT:141:318,PF13639.6:zf-RING_2:363:403 43 kDa receptor-associated protein of the synapse; RAPsyn; 43 kDa postsynaptic protein; Acetylcholine receptor-associated 43 kDa protein; RING finger protein 205 412 299 12 412 0 Homo sapiens (Human) SwissProt::Q13702 1 SwissProt MGQDQTKQQIEKGLQLYQSNQTEKALQVWTKVLEKSSDLMGRFRVLGCLVTAHSEMGRYKEMLKFAVVQIDTARELEDADFLLESYLNLARSNEKLCEFHKTISYCKTCLGLPGTRAGAQLGGQVSLSMGNAFLGLSVFQKALESFEKALRYAHNNDDAMLECRVCCSLGSFYAQVKDYEKALFFPCKAAELVNNYGKGWSLKYRAMSQYHMAVAYRLLGRLGSAMECCEESMKIALQHGDRPLQALCLLCFADIHRSRGDLETAFPRYDSAMSIMTEIGNRLGQVQALLGVAKCWVARKALDKALDAIERAQDLAEEVGNKLSQLKLHCLSESIYRSKGLQRELRAHVVRFHECVEETELYCGLCGESIGEKNSRLQALPCSHIFHLRCLQNNGTRSCPNCRRSSMKPGFV
SwissProt::Q2PBY6 1 357 0.181910364145658 PF00067.22:p450:73:344 Cytochrome P450 monooxygenase cloA; Clavine oxidase; CLOA; Ergot alkaloid synthesis protein cloA; EC 1.-.-.- 507 272 12 337 1 Claviceps purpurea (Ergot fungus) (Sphacelia segetum) SwissProt::Q2PBY6 1 SwissProt MSLQWLQQTRHELSWTWILLTTCIALTSPLVLKGIYNVYFHPLRNIPGPKLAALTDFYAFYWNWIRDEGYSKQFSRLHEQYNSPIIRIGPNNVHTTQVEFYDVIFKSGSKWLKDKSFYKYFNGLDAMIEPYQYRTYRTHLAPLYAQRAIDGLAPKLRSDLTNSASGMMRQTENGQTVNMAKVLRTLSTSMILHNLFSLDISLNDGDEYHPFLEAFEQLMTQSWLFVTYPMVPMVLSLIPGTSFARFNSSYTTFSNYCTAWNDEDMRKQRESEGQSTRDSHTRRYLSLKDDDARKKTAIPYPLDDVFNFVAGGSDTTAYTTACAFYHILSSPTVRENLVVELDEHSSIIRDEFDYNKI
SwissProt::Q4U2R8 1 563 0.14416785079929 PF00083.24:Sugar_tr:136:512,PF07690.16:MFS_1:135:473 Solute carrier family 22 member 6; Organic anion transporter 1; hOAT1; PAH transporter; hPAHT; Renal organic anion transporter 1; hROAT1 563 378 12 363 9 Homo sapiens (Human) SwissProt::Q4U2R8 1 SwissProt MAFNDLLQQVGGVGRFQQIQVTLVVLPLLLMASHNTLQNFTAAIPTHHCRPPADANLSKNGGLEVWLPRDRQGQPESCLRFTSPQWGLPFLNGTEANGTGATEPCTDGWIYDNSTFPSTIVTEWDLVCSHRALRQLAQSLYMVGVLLGAMVFGYLADRLGRRKVLILNYLQTAVSGTCAAFAPNFPIYCAFRLLSGMALAGISLNCMTLNVEWMPIHTRACVGTLIGYVYSLGQFLLAGVAYAVPHWRHLQLLVSAPFFAFFIYSWFFIESARWHSSSGRLDLTLRALQRVARINGKREEGAKLSMEVLRASLQKELTMGKGQASAMELLRCPTLRHLFLCLSMLWFATSFAYYGLVMDLQGFGVSIYLIQVIFGAVDLPAKLVGFLVINSLGRRPAQMAALLLAGICILLNGVIPQDQSIVRTSLAVLGKGCLAASFNCIFLYTGELYPTMIRQTGMGMGSTMARVGSIVSPLVSMTAELYPSMPLFIYGAVPVAASAVTVLLPETLGQPLPDTVQDLESRWAPTQKEAGIYPRKGKQTRQQQEHQKYMVPLQASAQEKNGL
SwissProt::Q59NP1 1 251 0.249275298804781 PF04145.15:Ctr:66:232 Copper transport protein CTR1 251 167 12 210 2 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59NP1 1 SwissProt MEFLKRHEGHMHMSDSATSMVTSATSAVMDMASATMSMTMSSSTSSSSGMAMEGMDHGSSHMAMNMWLTASFKDYPVVFKDLRASTKAQAFGIFVLLFFVAFLARMLEFVRNYLEEIVWKNNNYAEVEQGISQHSANLQSPPVKSCCDDNAKEVVSDESIDKQNSPQHEETTKARGTGKSLSLASTISRDIIRLALCIIPDLFAYSLMLAAMTYTLTYFFAVVIGSGVGRFVAERLMEHYRIKRGPPRNCC
SwissProt::Q5A4G2 95 1108 0.299659368836292 PF04082.18:Fungal_trans:347:604 Multidrug resistance regulator 1 1108 258 12 1014 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5A4G2 1 SwissProt PIRFDAEAPRKKSKPNTPNNERKNSKKSPDNTVANNQQTASENEVTITLSELNMLKQRLQNIEANINAQSNPQSNPSYVPQTPAYPTQPNILPPPVSFNSWSPKQSNERVMFSPQQRLTTNYNVSHTRGQSPSIQLPPLSFKDTPRASIDSAPLYSEMSPPRSDLIASSLTSPESIQMSVSGDVVGVNPYLNETETINFYDGYTSICVRDFRRVNHGPFAWSSLMRKDKALSSLWNHILKKKEKKNVASQTFVFGQDVHEISQENTQLVASESNESETKFKKKTLETFGFNDVVPYDILKKKLQTQINKTTSPLGLTLYEEQVNMELQLVDRIHQQLPKKKVLWKLIDRFFSLLYPFMPFLDEIDFRESVTKIIGETEYKDEKIKELKVEKRLDLAVIGVLLIILRMSYLSLFCNKESVNEMRLKTTDPSPEAQDMKYLLQNPIGISLIDSAQNCLQYFDIFRKTSMPVLQCAYFLQLYHIFAPEDGDDGDGADTYALNSMVVRMAYSMGLNREPDNFKDVLNDKRQNHLGRKIWHFLVIGDVHNSYAFGTPKLIGDDFYDTKVPFIEEGNENLIDKSLDQYVTKSVFPGYFSIYNSVDQILKLILSVSRRSKVSEICKILNQFEIGIAEQYGTLSDCLKPKENLIHIFARNMPVKMYISLKSFLVSVYFHLFLYYEHKNDSLSFFYLRKILKTGAGDIMPHYFELLGNSEVVCDMVINPKLIQIIHKANQINIALIIRVNMSIYRMKNSQHHAENCKKDDFYYSYYKELCKFSSCLTRCAEVGIAAVSKLSTRYYYAWKITKGHNFLLKTITSMEFYEKESTNAQEITLPKYKLEQIADLENICEVALNKLGKTSVMGDEFCSNVNYKKYKGDQTYSTSSESSSTPNKDSPLDSRKYTNDFGLDLVNNQEIDKIWLQMLSLKSEEAQQQRQQESQPFTSSQSQSQSPLTSANQGYMPRPESRRGSYYGNTPFALENLNFDGFGGQSKSSNNGEADLSSFDFFVDLPFDQLFTN
SwissProt::Q5AB48 1 212 0.579642924528302 Secreted protein RBT4; PRY family protein 4; Repressed by TUP1 protein 4 358 0 12 212 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AB48 1 SwissProt MKFSQVATTAAIFAGLTTAEIAYVTQTRGVTVGETATVATTVTVGATVTGGDQGQDQVQQSAAPEAGDIQQSAVPEADDIQQSAVPEAEPTADADGGNGIAITEVFTTTIMGQEIVYSGVYYSYGEEHTYGDVQVQTLTIGGGGFPSDDQYPTTEVSAEASPSAVTTSSAVATPDAKVPDSTKDASQPAATTASGSSSGSNDFSGVKDTQFA
SwissProt::Q5G5T6 1 344 0.171170930232558 PF10017.9:Methyltransf_33:19:341 4-dimethylallyltryptophan N-methyltransferase easF; 4-dimethylallyltryptophan methyltransferase; Ergot alkaloid synthesis protein F; EC 2.1.1.261 344 323 12 344 0 Claviceps purpurea (Ergot fungus) (Sphacelia segetum) SwissProt::Q5G5T6 1 SwissProt MPALPVIDIRSNHVEDSLPEQIIKGLTSQPKTLPPLLFYSNEGLEHWNHHSRQPDFYPRRQEIEILKQGGNDIARSIAPSSVILDLGSANLEKVGYLLEALEAQEKDVLYFALDISAPQLATTLKEIPSSNFRHVRFAGLHGTFEDGLRWINETPEIRDLPHCVLLLGLTIGNFSRQNAAAFLQNIANHALTGASKNKSSILLSLDSCKVPTKVTRAYTSDGVVPFALQALTYAKALLCDRIDNGIDEKVLSCNLRPEHWHYLSEWNFALGRHEASLIPRFGDVCLGSMLQDIVVKKDEKVRFACSYKYDAKERQKLFLDSGVDQGMVWTNEGCDVAIYELKLA
SwissProt::Q7L804 1 409 0.475117603911981 PF00168.30:C2:14:122 Rab11 family-interacting protein 2; Rab11-FIP2; NRip11 512 109 12 409 0 Homo sapiens (Human) SwissProt::Q7L804 1 SwissProt MMLSEQAQKWFPTHVQVTVLQAKDLKPKGKSGTNDTYTIIQLGKEKYSTSVAEKTLEPVWKEEASFELPGLLIQGSPEKYILFLIVMHRSLVGLDKFLGQVAINLNDIFEDKQRRKTEWFRLESKQGKRIKNRGEIKVNIQFMRNNMTASMFDLSMKDKTRSPFAKLKDKMKGRKNDGTFSDTSSAIIPSTHMPDANSEFSSGEIQMKSKPKKPFLLGPQRLSSAHSMSDLSGSHMSSEKLKAGTIGQTHLLGHQLDSFGTVPESGSLKSPHRRTLSFDTSKMNQPDSIVDEGELCFGRQNDPFTNVTASLPQKFATLPRKKNPFEESSETWDSSMNLFSKPIEIRKENKREKREKVSLFERVTGKKDSRRSDKLNNGGSDSPCDLKSPNAFSENRQDYFDYESTNPFT
SwissProt::Q7Z3B3 1 1105 0.588964524886876 PF15275.6:PEHE:885:1032 KAT8 regulatory NSL complex subunit 1; MLL1/MLL complex subunit KANSL1; MSL1 homolog 1; hMSL1v1; NSL complex protein NSL1; Non-specific lethal 1 homolog 1105 148 12 1105 0 Homo sapiens (Human) SwissProt::Q7Z3B3 1 SwissProt MAAMAPALTDAAAEAHHIRFKLAPPSSTLSPGSAENNGNANILIAANGTKRKAIAAEDPSLDFRNNPTKEDLGKLQPLVASYLCSDVTSVPSKESLKLQGVFSKQTVLKSHPLLSQSYELRAELLGRQPVLEFSLENLRTMNTSGQTALPQAPVNGLAKKLTKSSTHSDHDNSTSLNGGKRALTSSALHGGEMGGSESGDLKGGMTNCTLPHRSLDVEHTTLYSNNSTANKSSVNSMEQPALQGSSRLSPGTDSSSNLGGVKLEGKKSPLSSILFSALDSDTRITALLRRQADIESRARRLQKRLQVVQAKQVERHIQHQLGGFLEKTLSKLPNLESLRPRSQLMLTRKAEAALRKAASETTTSEGLSNFLKSNSISEELERFTASGIANLRCSEQAFDSDVTDSSSGGESDIEEEELTRADPEQRHVPLRRRSEWKWAADRAAIVSRWNWLQAHVSDLEYRIRQQTDIYKQIRANKGLIVLGEVPPPEHTTDLFLPLSSEVKTDHGTDKLIESVSQPLENHGARIIGHISESLSTKSCGALRPVNGVINTLQPVLADHIPGDSSDAEEQLHKKQRLNLVSSSSDGTCVAARTRPVLSCKKRRLVRPNSIVPLSKKVHRNSTIRPGCDVNPSCALCGSGSINTMPPEIHYEAPLLERLSQLDSCVHPVLAFPDDVPTSLHFQSMLKSQWQNKPFDKIKPPKKLSLKHRAPMPGSLPDSARKDRHKLVSSFLTTAKLSHHQTRPDRTHRQHLDDVGAVPMVERVTAPKAERLLNPPPPVHDPNHSKMRLRDHSSERSEVLKHHTDMSSSSYLAATHHPPHSPLVRQLSTSSDSPAPASSSSQVTASTSQQPVRRRRGESSFDINNIVIPMSVAATTRVEKLQYKEILTPSWREVDLQSLKGSPDEENEEIEDLSDAAFAALHAKCEEMERARWLWTTSVPPQRRGSRSYRSSDGRTTPQLGSANPSTPQPASPDVSSSHSLSEYSHGQSPRSPISPELHSAPLTPVARDTPRHLASEDTRCSTPELGLDEQSVQPWERRTFPLAHSPQAECEDQLDAQERAARCTRRTSGSKTGRETEAAPTSPPIVPLKSRHLVAAATAQRPTHR
SwissProt::Q86Z65 1 493 0.328461054766734 PF02458.15:Transferase:147:484 Trichothecene 8-O-acetyltransferase; Trichothecene biosynhesis protein 16; EC 2.3.1.- 493 338 12 493 0 Fusarium sporotrichioides SwissProt::Q86Z65 1 SwissProt MALSRCLRTRTAFLSPLDQLNSSFYIRWSLVLHAKDRDKAVNRLSKGLNAVTSKLPFLKGRINYHTDTANNKIASASRAVISMSDDSPNLSLRELRPAKELPSLARIKQQGAPSHLFTDDLYSLPIFIDTTSKQSHPVLKTTYAPIEGGLILNICVHHGVMDGQGLATLTDLWASFTRQQDQNENEVQQPKNLPDPDEPLTRTARLATAINATADPEITDIETSLQRYRNDRILEQNIAASTGDSRKKTSRIFAFSSNKLKDAKEVLANNGCHVTTNSILNAAVWSNLTRVRLSRRTQLPPTPFARFTQMVDGRRQLLPKINKPGPYMGNVVLTSSADVSLDTLVATGFFNYLSVSLMAPVAQAIYDASRKVTTEYIDGFLKTLQKVDDPASLGIGSMSQHGVDFISTSVANAPFYECDFGPSLSEDSAGGKEGKPVFVRYPYIDWADGNMILLPRRRQPTENDETIEAYIMLAEDDLVALAEDPGFCSWLKE
SwissProt::Q8N4C6 1 2090 0.466123349282299 Ninein; hNinein; Glycogen synthase kinase 3 beta-interacting protein; GSK3B-interacting protein 2090 0 12 2090 0 Homo sapiens (Human) SwissProt::Q8N4C6 1 SwissProt MDEVEQDQHEARLKELFDSFDTTGTGSLGQEELTDLCHMLSLEEVAPVLQQTLLQDNLLGRVHFDQFKEALILILSRTLSNEEHFQEPDCSLEAQPKYVRGGKRYGRRSLPEFQESVEEFPEVTVIEPLDEEARPSHIPAGDCSEHWKTQRSEEYEAEGQLRFWNPDDLNASQSGSSPPQDWIEEKLQEVCEDLGITRDGHLNRKKLVSICEQYGLQNVDGEMLEEVFHNLDPDGTMSVEDFFYGLFKNGKSLTPSASTPYRQLKRHLSMQSFDESGRRTTTSSAMTSTIGFRVFSCLDDGMGHASVERILDTWQEEGIENSQEILKALDFSLDGNINLTELTLALENELLVTKNSIHQAALASFKAEIRHLLERVDQVVREKEKLRSDLDKAEKLKSLMASEVDDHHAAIERRNEYNLRKLDEEYKERIAALKNELRKEREQILQQAGKQRLELEQEIEKAKTEENYIRDRLALSLKENSRLENELLENAEKLAEYENLTNKLQRNLENVLAEKFGDLDPSSAEFFLQEERLTQMRNEYERQCRVLQDQVDELQSELEEYRAQGRVLRLPLKNSPSEEVEANSGGIEPEHGLGSEECNPLNMSIEAELVIEQMKEQHHRDICCLRLELEDKVRHYEKQLDETVVSCKKAQENMKQRHENETHTLEKQISDLKNEIAELQGQAAVLKEAHHEATCRHEEEKKQLQVKLEEEKTHLQEKLRLQHEMELKARLTQAQASFEREREGLQSSAWTEEKVRGLTQELEQFHQEQLTSLVEKHTLEKEELRKELLEKHQRELQEGREKMETECNRRTSQIEAQFQSDCQKVTERCESALQSLEGRYRQELKDLQEQQREEKSQWEFEKDELTQECAEAQELLKETLKREKTTSLVLTQEREMLEKTYKEHLNSMVVERQQLLQDLEDLRNVSETQQSLLSDQILELKSSHKRELREREEVLCQAGASEQLASQRLERLEMEHDQERQEMMSKLLAMENIHKATCETADRERAEMSTEISRLQSKIKEMQQATSPLSMLQSGCQVIGEEEVEGDGALSLLQQGEQLLEENGDVLLSLQRAHEQAVKENVKMATEISRLQQRLQKLEPGLVMSSCLDEPATEFFGNTAEQTEQFLQQNRTKQVEGVTRRHVLSDLEDDEVRDLGSTGTSSVQRQEVKIEESEASVEGFSELENSEETRTESWELKNQISQLQEQLMMLCADCDRASEKKQDLLFDVSVLKKKLKMLERIPEASPKYKLLYEDVSRENDCLQEELRMMETRYDEALENNKELTAEVFRLQDELKKMEEVTETFLSLEKSYDEVKIENEGLNVLVLRLQGKIEKLQESVVQRCDCCLWEASLENLEIEPDGNILQLNQTLEECVPRVRSVHHVIEECKQENQYLEGNTQLLEKVKAHEIAWLHGTIQTHQERPRVQNQVILEENTTLLGFQDKHFQHQATIAELELEKTKLQELTRKLKERVTILVKQKDVLSHGEKEEELKAMMHDLQITCSEMQQKVELLRYESEKLQQENSILRNEITTLNEEDSISNLKLGTLNGSQEEMWQKTETVKQENAAVQKMVENLKKQISELKIKNQQLDLENTELSQKNSQNQEKLQELNQRLTEMLCQKEKEPGNSALEEREQEKFNLKEELERCKVQSSTLVSSLEAELSEVKIQTHIVQQENHLLKDELEKMKQLHRCPDLSDFQQKISSVLSYNEKLLKEKEALSEELNSCVDKLAKSSLLEHRIATMKQEQKSWEHQSASLKSQLVASQEKVQNLEDTVQNVNLQMSRMKSDLRVTQQEKEALKQEVMSLHKQLQNAGGKSWAPEIATHPSGLHNQQKRLSWDKLDHLMNEEQQLLWQENERLQTMVQNTKAELTHSREKVRQLESNLLPKHQKHLNPSGTMNPTEQEKLSLKRECDQFQKEQSPANRKVSQMNSLEQELETIHLENEGLKKKQVKLDEQLMEMQHLRSTATPSPSPHAWDLQLLQQQACPMVPREQFLQLQRQLLQAERINQHLQEELENRTSETNTPQGNQEQLVTVMEERMIEVEQKLKLVKRLLQEKVNQLKEQVSLPGHLCSPTSHSSFNSSFTSLYCH
SwissProt::Q8NEL9 1 900 0.407005 PF02862.17:DDHD:611:885 Phospholipase DDHD1; DDHD domain-containing protein 1; Phosphatidic acid-preferring phospholipase A1 homolog; PA-PLA1; EC 3.1.1.- 900 275 12 900 0 Homo sapiens (Human) SwissProt::Q8NEL9 1 SwissProt MNYPGRGSPRSPEHNGRGGGGGAWELGSDARPAFGGGVCCFEHLPGGDPDDGDVPLALLRGEPGLHLAPGTDDHNHHLALDPCLSDENYDFSSAESGSSLRYYSEGESGGGGSSLSLHPPQQPPLVPTNSGGGGATGGSPGERKRTRLGGPAARHRYEVVTELGPEEVRWFYKEDKKTWKPFIGYDSLRIELAFRTLLQTTGARPQGGDRDGDHVCSPTGPASSSGEDDDEDRACGFCQSTTGHEPEMVELVNIEPVCVRGGLYEVDVTQGECYPVYWNQADKIPVMRGQWFIDGTWQPLEEEESNLIEQEHLNCFRGQQMQENFDIEVSKSIDGKDAVHSFKLSRNHVDWHSVDEVYLYSDATTSKIARTVTQKLGFSKASSSGTRLHRGYVEEATLEDKPSQTTHIVFVVHGIGQKMDQGRIIKNTAMMREAARKIEERHFSNHATHVEFLPVEWRSKLTLDGDTVDSITPDKVRGLRDMLNSSAMDIMYYTSPLYRDELVKGLQQELNRLYSLFCSRNPDFEEKGGKVSIVSHSLGCVITYDIMTGWNPVRLYEQLLQKEEELPDERWMSYEERHLLDELYITKRRLKEIEERLHGLKASSMTQTPALKFKVENFFCMGSPLAVFLALRGIRPGNTGSQDHILPREICNRLLNIFHPTDPVAYRLEPLILKHYSNISPVQIHWYNTSNPLPYEHMKPSFLNPAKEPTSVSENEGISTIPSPVTSPVLSRRHYGESITNIGKASILGAASIGKGLGGMLFSRFGRSSTTQSSETSKDSMEDEKKPVASPSATTVGTQTLPHSSSGFLDSAYFRLQESFFNLPQLLFPENVMQNKDNALVELDHRIDFELREGLVESRYWSAVTSHTAYWSSLDVALFLLTFMYKHEHDDDAKPNLDPI
SwissProt::Q8NFZ5 1 256 0.522805078125 PF12180.8:EABR:214:246 TNFAIP3-interacting protein 2; A20-binding inhibitor of NF-kappa-B activation 2; ABIN-2; Fetal liver LKB1-interacting protein 429 33 12 256 0 Homo sapiens (Human) SwissProt::Q8NFZ5 1 SwissProt MSRDPGSGGWEEAPRAAAALCTLYHEAGQRLRRLQDQLAARDALIARLRARLAALEGDAAPSLVDALLEQVARFREQLRRQEGGAAEAQMRQEIERLTERLEEKEREMQQLLSQPQHEREKEVVLLRRSMAEGERARAASDVLCRSLANETHQLRRTLTATAHMCQHLAKCLDERQHAQRNVGERSPDQSEHTDGHTSVQSVIEKLQEENRLLKQKVTHVEDLNAKWQRYNASRDEYVRGLHAQLRGLQIPHEPEL
SwissProt::Q8VHN7 244 5545 0.232950377216145 PF03160.14:Calx-beta:11:118,PF03160.14:Calx-beta:173:244,PF03160.14:Calx-beta:328:372,PF03160.14:Calx-beta:459:503,PF03160.14:Calx-beta:544:619,PF03160.14:Calx-beta:696:736,PF03160.14:Calx-beta:754:850,PF03160.14:Calx-beta:866:964,PF03160.14:Calx-beta:1258:1298,PF03160.14:Calx-beta:1320:1418,PF03160.14:Calx-beta:1481:1561,PF03160.14:Calx-beta:1606:1703,PF03160.14:Calx-beta:1719:1831,PF03160.14:Calx-beta:1862:1958,PF03160.14:Calx-beta:1976:2076,PF03160.14:Calx-beta:2246:2295,PF03160.14:Calx-beta:2350:2428,PF03160.14:Calx-beta:2445:2542,PF03160.14:Calx-beta:2578:2678,PF03160.14:Calx-beta:2703:2800,PF03160.14:Calx-beta:2845:2924,PF03160.14:Calx-beta:2962:3000,PF03160.14:Calx-beta:3338:3379,PF03160.14:Calx-beta:3396:3493,PF03160.14:Calx-beta:3547:3628,PF03160.14:Calx-beta:3690:3759,PF03160.14:Calx-beta:3776:3877,PF03160.14:Calx-beta:3954:3992,PF03160.14:Calx-beta:4014:4107,PF03160.14:Calx-beta:4143:4240,PF03160.14:Calx-beta:4273:4364,PF03160.14:Calx-beta:4445:4485,PF03160.14:Calx-beta:4755:4846,PF03160.14:Calx-beta:5038:5082,PF13385.6:Laminin_G_3:1102:1248,PF03736.17:EPTP:3149:3191 Adhesion G-protein coupled receptor V1; ADGRV1; G-protein coupled receptor 98; Monogenic audiogenic seizure susceptibility protein 1; MASS1; Neurepin; Very large G-protein coupled receptor 1; VLGR1; EC 3.4.-.- 6298 2843 12 5302 0 Mus musculus (Mouse) SwissProt::Q8VHN7 1 SwissProt INASRSSVEIIVKKNDSPVNFMQSVYVVPEDDHVLTIPVLRGKDSDGNLIGSDETQVSIRYKVMTWDSTAHAQQNVDFIDLQPDTTLVFPPFVHESHLKFQIIDDLIPEIAESFHIMLLKNTLQGDAVLMGPSTVQVTIKPNDKPYGVLSFNSILFERPVIIDEDTASSSRFEEIAVVRNGGTHGNVSVSWVLTRNSSDPSPVTADITPASGTLQFAQGQMLAPISLVVFDDDLPEEAEAYLLTILPHTIQGGAEVSEPAQLLFYIQDSDNVYGEIAFFPGESQKIESSPSERSLSLSLARRGGSKGDVRVIYSALYIPAGAMDPLRAKDGILNTSRRSSLLFPEQNQQVSIKLPIRNDAFLQNGAHFLVQLEAVVLVNIFPPIPPVSPRFGEIRNISLLVTPAIANGEIGFLSNLPIILHEPKDSSAEVVSIPLHRDGTDGQATVYWSLRPSGFNSKAVTLDDAGPFNGSVVFLSGQNETSINITVKGDDIPELNETVTLSLDRVSVDSDVLKSGYTSRDLIILENDDPGGIFEFSYDSRGPYVIKEGDAVELRITRSRGSLVKQFLRFHVEPRESNEFYGNMGVLEFTPGEREVVITLLTRLDGTPELDEHFWVILSSHGERESKLGRATLVNITILKNDYPHGIIEFVSDGLSASIKESKGEDIYHAVYGVIRTRGNFGAVNVSWMVSPDFTQDVFPVQGTVCFGDQEFFKNITVYSLVDEIPEEMEEFTIILLNATGGAQTGIRTTASLRILRNDDPVYFAEPCVLRVQEGETANFTVLRNGSVDGACTVQYATVDGKASGEEGDFAPVEKGETLVFEVGSREQSISVHVKDDGIPETDEPFYIVLFNSTGDTVVYEYGVATVIIEANDDPNGVFSLEPIDKAVEEGKTNAFWILRHRGHFGNVSVAWQLFQNASLQPGQEFYETSGTVNFTDGEETKPVILRAFPDRIPEFNEFYILRLVNISGPGGQLAETNFQVTVMIPFNDDPFGIFILDPECLEREVAEDVLSEDDMSYITSFTILRQQGVFGDVRVGWEVLSREFTAGLPPMIDFILLGSFPSTVPLQPHMRRHHSGTDVLYFSGLEGAFGTVDPKYQPFRNNTIANFTFSAWVMPNANTNGFLIAKDDSHGSIYYGVKIQTNETHVTLSLHYKTFGSNVTYIAKSTVMKYLEEGVWLHVLIILDDGIIEFYLDGKAMPRGIKSLKGEAITDGPGILRIGAGMDGGARFTGWMQDVRTYERKLTPEEIYELHAVPARTDLHPISGYLEFRQGESNKSFIVAARDDSEEEGEELFLLKLVSVDGGAQISKENTTARLRIQKSDNANGLFGFTGACIPEMTEEGSTVSCVVERTRGALGYVHVFYTISQIESEGINYLVDDFANASGTITFLPWQRSEVLNLYVLDEDMPELNEYFRVTLVSAVPGDGKLGSTPISGASIDPEKETTGITVKASDHPYGLMQFSTGLPPQPEDSMSLPASSVPHITVQEEDGEIRLLVIRAQGLLGRVTVGFRTVSLTAFSPEDYQSTAGTLEFQSGERYKYIFVNITDNSIPELEKSFKVELLNLDGGVSDLFRVDGSGSGEADTDFFLPPVLPHASLGVASQILVTIAASDHAHGVFEFSPESLFVSGTEPEDGYSTVVLNVTRTRGALSAVTLQWKVDSDLDGDLAITSGNITFETGQRIASITVEILSDEEPELDKALTVSILNVSSGSLGVLTNATLTILASDDPYGVFIFPNKTRPLSVEEATQNVALSIIRLKGLMGEVAVSYATIDDMEKPPYFPPNLARATQGGDYISASGLALFRVNQTEATITISILDDAEPERSESVFIELFNSSLVDKVQNRPIPHSPRLGPKVETVAHLVIVANDDAFGTVQLSATSVHVAENHVGPIINVTRTGGTFADVSVKFKAVPITAAAGEDYSIASSDVVLLEGETTKAVPIYIINDIYPELEETFLVQLLNETTGGATLGPLREAVITIEASDDPYGLFGFQNTKFIVEEPEFNSVRVNVPIIRNSGTLGNVTVQWVAIINGQFATGDLRVVSGNVTFAPGETIQTLLLEVLADDVPEIEEVVQVQLAAASGGGTIGLDRVANIVIPANDNPYGSVAFVQSVFRVQEPLERSSYANITVRRSGGHFGRLLLCYGTSDIDVVARAVEEGEDVLSYYESPTQGVPDPLWRTWVNVSAVEETQYTCATLCLKERACSAFSVVSGAEGPRCFWMTSWVSGTVNSSDFQTYKKNMTRVASLFSGQAVAGSDYEPVTRQWAVILEGDEFANLTVSVLPDDAPEMDESFLISLLEVHLMNISDSFKNQPTIGHPNTSAVVIGLNGDAFGVFIIYSVSPNTSEDGLCVEVQEQPQTSVELVIYRTGGSLGQVMVEWRVVGGTATEGLDFMGAGDILTFAEGETKKMAILTILDDSEPEDNESILVRLGATEGGSRILPSSDTVTVNILANDNVAGIVSFQTASRSVIGHEGEMLQFHVVRTPPGRGNVTVNWKVVGQNLEVNFANFTGQLFFSEGTLNKTIFVHLLDDNIPEEKEVYQVVLYDVKTQGVSPAGVALLDAQGYAAVLTVEASDEPHGVLNFALSSRFVVLQEANVTIQLFVNREFGSLGAINVTYATVPGIVSLKNNTEGNLAEPESDFIPVVGSLVLEEGETTAAISITVLEDDIPELKEYFLVNLTHVDLIMAPLTSSPPRLDSEGLTAQIVIDANDGAQGMIEWQRNRFEVNETDGVVTLVAQRSRAALGQVSLFMYAQNLEAQAGLDYMRTPQILHFTDGERFKHVDVMILDDDMPEGDERFQLLLTNPSPGLELGKNTIALITVLANDDGPGVLSFNNSGHIFLREPTSLYVQESVAVLVIVREPAQGLFGTVAVQFVVTEVNSSTESKDLSPSKGFIVLEEGVRSKTLRISAILDTEPEMDEHFVCTLFNPTGGARLGAHVQTLITIFQNQAPLGLFSISAVENSATSIDVEESNRSVYLNVSRTNGLDLTASVQWETVSETAFGMRGMDVVFSIFQSFFDKTALDWCFFTVEGSVYGVMLRKSSLVVYRWQGTFVPVEDLKVESPKTCEAFNIGVSPYLVITHGERSGEKPSINSVYMLTAGFRLVLIQTIIISGSCQVRHFTSDSQDYFIIASRRNDSELTQVFRWNGNNFAWHQTLPVRGVLGMALFSRGGSVFLAISQANIRQTSLLFTWSGTQFINFQELPISGITQVEALSSGDDVYLCFAKNTFLGNQNAIDIFVWEMGHSSLRYFQSLDFAAVKRIRSFTPASGIVHILLTAQDGSALYCWNSELNAFSFVLEAPAAHDAAFVTVKSLNSSKTLIALVGATDSHLYELTYVSSQSDFIPSLGELIFEPGDKEAIIAVNVLDDTVPEKEESFRVQLKSPRGGAEIGINSSVRVTVLANDGAYGVVAFAQNSLHKQLEELERDSLVTLNVERLRGTHGRITVAWEAAGSVSDVFPTSGVISFTEDQAMSMITLTVLADDLPELSEAVVVTLTQIVTEGVEDPLKGATIDQSRSRSVLTILPSDSPYGAVRWHTESLFNRVPEPTENITVVQLHIVRDKGLFGDISIHLIAKPNFLLHINNQATEDEDFVLQDSVIIMKENIKETHAEVAILPDEVPELDEGLIVTIAAVNLVNPNFPAEQPRVQRPRMESAEILIEENDDPRGIFNFHVVRDVGGVIIAHEGPPPLNVLQVPVVRMAGTFETVNVYWKATPDSAGLEDFQPSHGMLQFADGQVIAPILVTIIDDSEFELLETFTISLVSVTGGGRLGDDVSVNVVIAPNDSPFGIFGFEKKTVMVDGPLLSDDPDSYVTLTVVRSPGGKGAVRLHWAIEEKAKDDLSPLNGTLYFDETESQKSVILHTLKDGMVGEDRRFIIELTAADEVEISPVKGSASVIIRGDKSISEVGIASSSRHIIIGEPSATYNGTAIIDLVRGPGVSGEITVNWKILPPSRGEFVETSGQLTMLDGQTAATVVIQVLNDDIPEEKCHYEFQLTEISEGRMLHEASVSARITMVASDAPYGRFSFSHEQLHVSKAAQRVNVTVVRSGGSFGRARVLYETGSRTAEAGWDFVPASGELLFEAREKMKSLYIDILDDDLPEGPEEFVLAITRVDLQGRGYDFTIQENGLQIDQPPEIGNISIVRIIIMKNDNAEGIIEFDPKYTDISVEEDAGVITLPVLRLHGTYGHVSADFSSRGFSAVPGGYVLRGSSVTFQHGQNLSFINVSIIDDNGSEFEKQFEILLIGATGGAILGRHLVSKITIAKSDSPFGIIRFLNQSKISLPNPSSTMALHLVLERTGGLLGEIQVSWEVVGPDAEEPLPPHNGDFADPVSGTVSFGDGEGGVRSIILRVCPHEETEAEETFIVQLKPLREAKLDPRAKAVTLTIQKFGDPNGVIHFAPESLSKRRFSEPPPSDGPLLVSFLVTRSKGTSGDIKVHWELSSEFDITRDFLSTRGFFTIADGESDANFDVHLLPDDVPEIEEEYAVQLVSVEGGAELDLGKCTARFSVSANDDPHGVFALYSDRQSVLIGQNLDRSIQINITRLAGAFGAVAVRVQILSDNKEDPVATENEERQLVITDGARYKVGLVPLKNQVFLSLGSNFTLQLVSVRLLSGPFYGIPTILQEAKNAILSVPEEAANSQVGFESAAFQLMDIKAGTSQVMVSRKGTYGRLSVAWTTGYAPGSEIPEPIVIGNMTPTLGSLSFVHGEERKGVLLWTFPSPGRPEAFVLHLSGLRSSAAGGAQLRSGFTTAEIEPMGVFQFSPSSRNITVSEDAQTIRICVQRLFGFHGDLIKVSYETTAGSAKPPEDFEAVQKGEVFFQRFQPEIDFEITIINDQLPEIEETYYINLTSVETRGLGKGGVNWRPRLNPDLSVAVVTIVDNDDLTGAAVSVPVTAGTVAVDSTLLAMETGSTTHPNKSKITTIPYTTEVFAPVTETVTVSAIPEKLATAHSVISVKPDVVPGTVVASVYGTLSIGPPIVYVSEEMKNGTLSTADILIQRMGGFAGNVTITVKTFGGRCAQKEPSVWPFQDVYGVGNLTTWAVEEEDFEEQLLTLTFLYGERERKIAVQILDDDDAEGQEFFYVFLTDPQGGAEIVRGKDSTGFSAFAVIIISGSDLHNGIIGFSEESQRGLELREGADKNSQRLVVTRQPNRAFEEVQIFWRVTLNQTVTILQEKGANLTDELRFVAGVTTCTGGQTRCFIHLELNPKKVHQVEMPFFVELYDVTAGAAINNSARFAPIKLSKSGAPQSLVSFSVGSRLAVAHKKSTLISLQVARDSGTGIMMSVNFITQELRSAETVGRVLISP
SwissProt::Q8WUI4 1 481 0.715962162162162 Histone deacetylase 7; HD7; Histone deacetylase 7A; HD7a; EC 3.5.1.98 952 0 12 481 0 Homo sapiens (Human) SwissProt::Q8WUI4 1 SwissProt MDLRVGQRPPVEPPPEPTLLALQRPQRLHHHLFLAGLQQQRSVEPMRLSMDTPMPELQVGPQEQELRQLLHKDKSKRSAVASSVVKQKLAEVILKKQQAALERTVHPNSPGIPYRTLEPLETEGATRSMLSSFLPPVPSLPSDPPEHFPLRKTVSEPNLKLRYKPKKSLERRKNPLLRKESAPPSLRRRPAETLGDSSPSSSSTPASGCSSPNDSEHGPNPILGSEALLGQRLRLQETSVAPFALPTVSLLPAITLGLPAPARADSDRRTHPTLGPRGPILGSPHTPLFLPHGLEPEAGGTLPSRLQPILLLDPSGSHAPLLTVPGLGPLPFHFAQSLMTTERLSGSGLHWPLSRTRSEPLPPSATAPPPPGPMQPRLEQLKTHVQVIKRSAKPSEKPRLRQIPSAEDLETDGGGPGQVVDDGLEHRELGHGQPEARGPAPLQQHPQVLLWEQQRLAGRLPRGSTGDTVLLPLAQGGHRPL
SwissProt::Q8WVX9 1 515 0.129096310679612 PF07993.12:NAD_binding_4:15:284,PF03015.19:Sterile:357:448,PF01370.21:Epimerase:13:167 Fatty acyl-CoA reductase 1; Male sterility domain-containing protein 2; EC 1.2.1.84 515 364 12 497 1 Homo sapiens (Human) SwissProt::Q8WVX9 1 SwissProt MVSIPEYYEGKNVLLTGATGFLGKVLLEKLLRSCPKVNSVYVLVRQKAGQTPQERVEEVLSGKLFDRLRDENPDFREKIIAINSELTQPKLALSEEDKEVIIDSTNIIFHCAATVRFNENLRDAVQLNVIATRQLILLAQQMKNLEVFMHVSTAYAYCNRKHIDEVVYPPPVDPKKLIDSLEWMDDGLVNDITPKLIGDRPNTYIYTKALAEYVVQQEGAKLNVAIVRPSIVGASWKEPFPGWIDNFNGPSGLFIAAGKGILRTIRASNNALADLVPVDVVVNMSLAAAWYSGVNRPRNIMVYNCTTGSTNPFHWGEVEYHVISTFKRNPLEQAFRRPNVNLTSNHLLYHYWIAVSHKAPAFLYDIYLRMTGRSPRMMKTITRLHKAMVFLEYFTSNSWVWNTENVNMLMNQLNPEDKKTFNIDVRQLHWAEYIENYCLGTKKYVLNEEMSGLPAARKHLNKLRNIRYGFNTILVILIWRIFIARSQMARNIWYFVVSLCYKFLSYFRASSTMRY
SwissProt::Q8WXG6 1 1647 0.390157194899815 PF02141.21:DENN:175:402,PF03456.18:uDENN:32:97 MAP kinase-activating death domain protein; Differentially expressed in normal and neoplastic cells; Insulinoma glucagonoma clone 20; Rab3 GDP/GTP exchange factor 1647 294 12 1647 0 Homo sapiens (Human) SwissProt::Q8WXG6 1 SwissProt MVQKKKFCPRLLDYLVIVGARHPSSDSVAQTPELLRRYPLEDHTEFPLPPDVVFFCQPEGCLSVRQRRMSLRDDTSFVFTLTDKDTGVTRYGICVNFYRSFQKRISKEKGEGGAGSRGKEGTHATCASEEGGTESSESGSSLQPLSADSTPDVNQSPRGKRRAKAGSRSRNSTLTSLCVLSHYPFFSTFRECLYTLKRLVDCCSERLLGKKLGIPRGVQRDTMWRIFTGSLLVEEKSSALLHDLREIEAWIYRLLRSPVPVSGQKRVDIEVLPQELQPALTFALPDPSRFTLVDFPLHLPLELLGVDACLQVLTCILLEHKVVLQSRDYNALSMSVMAFVAMIYPLEYMFPVIPLLPTCMASAEQLLLAPTPYIIGVPASFFLYKLDFKMPDDVWLVDLDSNRVIAPTNAEVLPILPEPESLELKKHLKQALASMSLNTQPILNLEKFHEGQEIPLLLGRPSNDLQSTPSTEFNPLIYGNDVDSVDVATRVAMVRFFNSANVLQGFQMHTRTLRLFPRPVVAFQAGSFLASRPRQTPFAEKLARTQAVEYFGEWILNPTNYAFQRIHNNMFDPALIGDKPKWYAHQLQPIHYRVYDSNSQLAEALSVPPERDSDSEPTDDSGSDSMDYDDSSSSYSSLGDFVSEMMKCDINGDTPNVDPLTHAALGDASEVEIDELQNQKEAEEPGPDSENSQENPPLRSSSSTTASSSPSTVIHGANSEPADSTEMDDKAAVGVSKPLPSVPPSIGKSNVDRRQAEIGEGSVRRRIYDNPYFEPQYGFPPEEDEDEQGESYTPRFSQHVSGNRAQKLLRPNSLRLASDSDAESDSRASSPNSTVSNTSTEGFGGIMSFASSLYRNHSTSFSLSNLTLPTKGAREKATPFPSLKVFGLNTLMEIVTEAGPGSGEGNRRALVDQKSSVIKHSPTVKREPPSPQGRSSNSSENQQFLKEVVHSVLDGQGVGWLNMKKVRRLLESEQLRVFVLSKLNRMVQSEDDARQDIIPDVEISRKVYKGMLDLLKCTVLSLEQSYAHAGLGGMASIFGLLEIAQTHYYSKEPDKRKRSPTESVNTPVGKDPGLAGRGDPKAMAQLRVPQLGPRAPSATGKGPKELDTRSLKEENFIASIELWNKHQEVKKQKALEKQRPEVIKPVFDLGETEEKKSQISADSGVSLTSSSQRTDQDSVIGVSPAVMIRSSSQDSEVSTVVSNSSGETLGADSDLSSNAGDGPGGEGSVHLASSRGTLSDSEIETNSATSTIFGKAHSLKPSIKEKLAGSPIRTSEDVSQRVYLYEGLLGRDKGSMWDQLEDAAMETFSISKERSTLWDQMQFWEDAFLDAVMLEREGMGMDQGPQEMIDRYLSLGEHDRKRLEDDEDRLLATLLHNLISYMLLMKVNKNDIRKKVRRLMGKSHIGLVYSQQINEVLDQLANLNGRDLSIWSSGSRHMKKQTFVVHAGTDTNGDIFFMEVCDDCVVLRSNIGTVYERWWYEKLINMTYCPKTKVLCLWRRNGSETQLNKFYTKKCRELYYCVKDSMERAAARQQSIKPGPELGGEFPVQDLKTGEGGLLQVTLEGINLKFMHNQVFIELNHIKKCNTVRGVFVLEEFVPEIKEVVSHKYKTPMAHEICYSVLCLFSYVAAVHSSEEDLRTPPRPVSS
SwissProt::Q9H8Y8 216 452 0.721433333333334 Golgi reassembly-stacking protein 2; GRS2; Golgi phosphoprotein 6; GOLPH6; Golgi reassembly-stacking protein of 55 kDa; GRASP55; p59 452 0 12 237 0 Homo sapiens (Human) SwissProt::Q9H8Y8 1 SwissProt PGQMAGTPITPLKDGFTEVQLSSVNPPSLSPPGTTGIEQSLTGLSISSTPPAVSSVLSTGVPTVPLLPPQVNQSLTSVPPMNPATTLPGLMPLPAGLPNLPNLNLNLPAPHIMPGVGLPELVNPGLPPLPSMPPRNLPGIAPLPLPSEFLPSFPLVPESSSAASSGELLSSLPPTSNAPSDPATTTAKADAASSLTVDVTPPTAKAPTTVEDRVGDSTPVSEKPVSAAVDANASESP
SwissProt::Q9JHE5 1 504 0.0579795634920636 PF01490.18:Aa_trans:70:489 Sodium-coupled neutral amino acid transporter 2; Amino acid transporter A2; Solute carrier family 38 member 2; System A amino acid transporter 2; System A transporter 1; System N amino acid transporter 2 504 420 12 300 9 Rattus norvegicus (Rat) SwissProt::Q9JHE5 1 SwissProt MKKTEMGRFNISPDEDSSSYSSNGDFNYSYPTKQAALKSHYVDVDPENQNFLLESNLGKKKYETDFHPGTTSFGMSVFNLSNAIVGSGILGLSYAMANTGIALFIILLTFVSIFSLYSVHLLLKTANEGGSLLYEQLGHKAYGLAGKLAASGSITMQNIGAMSSYLFIVKYELPLVIKALMNIEDTNGLWYLNGDYLVLLVSFVLILPLSLLRNLGYLGYTSGLSLLCMIFFLIVVICKKFQIPCPVEVALMANETVNGTFTQVALAALASNSTAADTCRPRYFIFNSQTVYAVPILTFSFVCHPAVLPIYEELKSRSRRRMMNVSKISFFAMFLMYLLAALFGYLTFYEHVESELLHTYSAIVGTDILLLVVRLAVLVAVTLTVPVVIFPIRSSVTHLLCPTKEFSWFRHSVITVTILAFTNLLVIFVPTIRDIFGFIGASAAAMLIFILPSAFYIKLVKKEPMRSVQKIGALCFLLSGVVVMIGSMGLIVLDWVHDASAGGH
SwissProt::Q9NPH5 1 405 0.102365679012346 PF01794.19:Ferric_reduct:59:204,PF08022.12:FAD_binding_8:309:387 NADPH oxidase 4; Kidney oxidase-1; KOX-1; Kidney superoxide-producing NADPH oxidase; Renal NAD(P)H-oxidase; EC 1.6.3.- 578 225 12 290 5 Homo sapiens (Human) SwissProt::Q9NPH5 1 SwissProt MAVSWRSWLANEGVKHLCLFIWLSMNVLLFWKTFLLYNQGPEYHYLHQMLGLGLCLSRASASVLNLNCSLILLPMCRTLLAYLRGSQKVPSRRTRRLLDKSRTFHITCGVTICIFSGVHVAAHLVNALNFSVNYSEDFVELNAARYRDEDPRKLLFTTVPGLTGVCMVVVLFLMITASTYAIRVSNYDIFWYTHNLFFVFYMLLTLHVSGGLLKYQTNLDTHPPGCISLNRTSSQNISLPEYFSEHFHEPFPEGFSKPAEFTQHKFVKICMEEPRFQANFPQTWLWISGPLCLYCAERLYRYIRSNKPVTIISVMSHPSDVMEIRMVKENFKARPGQYITLHCPSVSALENHPFTLTMCPTETKATFGVHLKIVGDWTERFRDLLLPPSSQDSEILPFIQSRNYP
SwissProt::Q9NQ40 1 469 0.068153091684435 PF06237.12:DUF1011:299:394 Solute carrier family 52, riboflavin transporter, member 3; Riboflavin transporter 2; hRFT2 469 96 12 216 11 Homo sapiens (Human) SwissProt::Q9NQ40 1 SwissProt MAFLMHLLVCVFGMGSWVTINGLWVELPLLVMELPEGWYLPSYLTVVIQLANIGPLLVTLLHHFRPSCLSEVPIIFTLLGVGTVTCIIFAFLWNMTSWVLDGHHSIAFLVLTFFLALVDCTSSVTFLPFMSRLPTYYLTTFFVGEGLSGLLPALVALAQGSGLTTCVNVTEISDSVPSPVPTRETDIAQGVPRALVSALPGMEAPLSHLESRYLPAHFSPLVFFLLLSIMMACCLVAFFVLQRQPRCWEASVEDLLNDQVTLHSIRPREENDLGPAGTVDSSQGQGYLEEKAAPCCPAHLAFIYTLVAFVNALTNGMLPSVQTYSCLSYGPVAYHLAATLSIVANPLASLVSMFLPNRSLLFLGVLSVLGTCFGGYNMAMAVMSPCPLLQGHWGGEVLIVASWVLFSGCLSYVKVMLGVVLRDLSRSALLWCGAAVQLGSLLGALLMFPLVNVLRLFSSADFCNLHCPA
SwissProt::Q9NYL2 310 741 0.452418518518519 PF00536.30:SAM_1:30:98,PF07647.17:SAM_2:30:98 Mitogen-activated protein kinase kinase kinase 20; Human cervical cancer suppressor gene 4 protein; HCCS-4; Leucine zipper- and sterile alpha motif-containing kinase; MLK-like mitogen-activated protein triple kinase; Mitogen-activated protein kinase kinase kinase MLT; Mixed lineage kinase-related kinase; MLK-related kinase; MRK; Sterile alpha motif- and leucine zipper-containing kinase AZK; EC 2.7.11.25 800 69 12 432 0 Homo sapiens (Human) SwissProt::Q9NYL2 1 SwissProt ERERRLKMWEQKLTEQSNTPLLPSFEIGAWTEDDVYCWVQQLVRKGDSSAEMSVYASLFKENNITGKRLLLLEEEDLKDMGIVSKGHIIHFKSAIEKLTHDYINLFHFPPLIKDSGGEPEENEEKIVNLELVFGFHLKPGTGPQDCKWKMYMEMDGDEIAITYIKDVTFNTNLPDAEILKMTKPPFVMEKWIVGIAKSQTVECTVTYESDVRTPKSTKHVHSIQWSRTKPQDEVKAVQLAIQTLFTNSDGNPGSRSDSSADCQWLDTLRMRQIASNTSLQRSQSNPILGSPFFSHFDGQDSYAAAVRRPQVPIKYQQITPVNQSRSSSPTQYGLTKNFSSLHLNSRDSGFSSGNTDTSSERGRYSDRSRNKYGRGSISLNSSPRGRYSGKSQHSTPSRGRYPGKFYRVSQSALNPHQSPDFKRSPRDLHQPN
SwissProt::Q9P2K1 1 1620 0.37789611111111 PF17661.1:DUF5523:131:383,PF15625.6:CC2D2AN-C2:647:819,PF00168.30:C2:1043:1203 Coiled-coil and C2 domain-containing protein 2A 1620 587 12 1620 0 Homo sapiens (Human) SwissProt::Q9P2K1 1 SwissProt MNPREEKVKIITEEFIENDEDADMGRQNKNSKVRRQPRKKQPPTAVPKEMVSEKSHLGNPQEPVQEEPKTRLLSMTVRRGPRSLPPIPSTSRTGFAEFSMRGRMREKLQAARSKAESALLQEIPTPRPRRLRSPSKKELETEFGTEPGKEVERTQQEVDSQSYSRVKFHDSARKIKPKPQVPPGFPSAEEAYNFFTFNFDPEPEGSEEKPKARHRAGTNQEEEEGEEEEPPAQGGGKEMDEEELLNGDDAEDFLLGLDHVADDFVAVRPADYESIHDRLQMEREMLFIPSRQTVPTYKKLPENVQPRFLEDEGLYTGVRPEVARTNQNIMENRLLMQDPERRWFGDDGRILALPNPIKPFPSRPPVLTQEQSIKAELETLYKKAVKYVHSSQHVIRSGDPPGNFQLDIDISGLIFTHHPCFSREHVLAAKLAQLYDQYLARHQRNKAKFLTDKLQALRNAVQTGLDPEKPHQSLDTIQKTINEYKSEIRQTRKFRDAEQEKDRTLLKTIIKVWKEMKSLREFQRFTNTPLKLVLRKEKADQKADEEAYEAEIQAEISELLEEHTEEYAQKMEEYRTSLQQWKAWRKVQRAKKKKRKQAAEEHPGDEIAEPYPEEDLVKPSPPEPTDRAVIEQEVRERAAQSRRRPWEPTLVPELSLAGSVTPNDQCPRAEVSRREDVKKRSVYLKVLFNNKEVSRTVSRPLGADFRVHFGQIFNLQIVNWPESLTLQVYETVGHSSPTLLAEVFLPIPETTVVTGRAPTEEVEFSSNQHVTLDHEGVGSGVPFSFEADGSNQLTLMTSGKVSHSVAWAIGENGIPLIPPLSQQNIGFRSALKKADAISSIGTSGLTDMKKLAKWAAESKLDPNDPNNAPLMQLISVATSGESYVPDFFRLEQLQQEFNFVSDQELNRSKRFRLLHLRSQEVPEFRNYKQVPVYDREIMEKVFQDYEKRLRDRNVIETKEHIDTHRAIVAKYLQQVRESVINRFLIAKQYFLLADMIVEEEVPNISILGLSLFKLAEQKRPLRPRRKGRKKVTAQNLSDGDIKLLVNIVRAYDIPVRKPAVSKFQQPSRSSRMFSEKHAASPSTYSPTHNADYPLGQVLVRPFVEVSFQRTVCHTTTAEGPNPSWNEELELPFRAPNGDYSTASLQSVKDVVFINIFDEVLHDVLEDDRERGSGIHTRIERHWLGCVKMPFSTIYFQARIDGTFKIDIPPVLLGYSKERNMILERGFDSVRSLSEGSYITLFITIEPQLVPGESIREKFESQEDEKLLQATEKFQAECALKFPNRQCLTTVIDISGKTVFITRYLKPLNPPQELLNVYPNNLQATAELVARYVSLIPFLPDTVSFGGICDLWSTSDQFLDLLAGDEEEHAVLLCNYFLSLGKKAWLLMGNAIPEGPTAYVLTWEQGRYLIWNPCSGHFYGQFDTFCPLKNVGCLIGPDNIWFNIQRYESPLRINFDVTRPKLWKSFFSRSLPYPGLSSVQPEELIYQRSDKAAAAELQDRIEKILKEKIMDWRPRHLTRWNRYCTSTLRHFLPLLEKSQGEDVEDDHRAELLKQLGDYRFSGFPLHMPYSEVKPLIDAVYSTGVHNIDVPNVEFALAVYIHPYPKNVLSVWIYVASLIRNR
SwissProt::Q9QY53 224 687 0.224391163793104 Nephrocystin-1 687 0 12 464 0 Mus musculus (Mouse) SwissProt::Q9QY53 1 SwissProt GSEEGGEEDGEEDVEVVDETADGAQVKQRTDSHWSAVRKAISEQINTVDVLATMGAIPAGFRPSTLSQLLDEAGNQFRASYFLQPELTTSQLAFRDLTWDAKAGTIMSRPSRVSLILTLWSCKMIPLPGTSIQVLSRHIRLCLFDGSKVLSNIHTVRAVWQPKKPKTWTFSPQVTGILPCLLDGDCFIRSNSSTPDLGILFELGISYIRNSTGERGELSCGWVFLKLFDASGVPIPAKTYELFLNGGTPYEKGVEVDPSVSRRAQGSVFRQMISVRRQPQLLVKLRSLNRRSRAMLSLLPETLVGSMCSAHLLIFYRQILGDVLLRDRTNLQSADLISHPVLATFPLLLEQPDVMDALRSSWSEKESTLKRAEKRDKELLKAEFLLVYHDCVLPLLHSTLLPPFRWAEEETEAARWKAIADFLKQSRENEGSLKALLSPDGVHKPFDLSEQTFDFLGEIRKNSG
SwissProt::Q9UPP1 485 1060 0.638424652777777 Histone lysine demethylase PHF8; PHD finger protein 8; EC 1.14.11.27 1060 0 12 576 0 Homo sapiens (Human) SwissProt::Q9UPP1 1 SwissProt GKTSNIFGLQRIFPAGSIPLTRPAHSTSVSMSRLSLPSKNGSKKKGLKPKELFKKAERKGKESSALGPAGQLSYNLMDTYSHQALKTGSFQKAKFNITGACLNDSDDDSPDLDLDGNESPLALLMSNGSTKRVKSLSKSRRTKIAKKVDKARLMAEQVMEDEFDLDSDDELQIDERLGKEKATLIIRPKFPRKLPRAKPCSDPNRVREPGEVEFDIEEDYTTDEDMVEGVEGKLGNGSGAGGILDLLKASRQVGGPDYAALTEAPASPSTQEAIQGMLCMANLQSSSSSPATSSLQAWWTGGQDRSSGSSSSGLGTVSNSPASQRTPGKRPIKRPAYWRTESEEEEENASLDEQDSLGACFKDAEYIYPSLESDDDDPALKSRPKKKKNSDDAPWSPKARVTPTLPKQDRPVREGTRVASIETGLAAAAAKLAQQELQKAQKKKYIKKKPLLKEVEQPRPQDSNLSLTVPAPTVAATPQLVTSSSPLPPPEPKQEALSGSLADHEYTARPNAFGMAQANRSTTPMAPGVFLTQRRPSVGSQSNQAGQGKRPKKGLATAKQRLGRILKIHRNGKLLL
SwissProt::Q9Y6D9 1 484 0.524280165289255 PF05557.13:MAD:54:483 Mitotic spindle assembly checkpoint protein MAD1; Mitotic arrest deficient 1-like protein 1; MAD1-like protein 1; Mitotic checkpoint MAD1 protein homolog; HsMAD1; hMAD1; Tax-binding protein 181 718 430 12 484 0 Homo sapiens (Human) SwissProt::Q9Y6D9 1 SwissProt MEDLGENTMVLSTLRSLNNFISQRVEGGSGLDISTSAPGSLQMQYQQSMQLEERAEQIRSKSHLIQVEREKMQMELSHKRARVELERAASTSARNYEREVDRNQELLTRIRQLQEREAGAEEKMQEQLERNRQCQQNLDAASKRLREKEDSLAQAGETINALKGRISELQWSVMDQEMRVKRLESEKQELQEQLDLQHKKCQEANQKIQELQASQEARADHEQQIKDLEQKLSLQEQDAAIVKNMKSELVRLPRLERELKQLREESAHLREMRETNGLLQEELEGLQRKLGRQEKMQETLVGLELENERLLAKLQSWERLDQTMGLSIRTPEDLSRFVVELQQRELALKDKNSAVTSSARGLEKARQQLQEELRQVSGQLLEERKKRETHEALARRLQKRVLLLTKERDGMRAILGSYDSELTPAEYSPQLTRRMREAEDMVQKVHSHSAEMEAQLSQALEELGGQKQRADMLEMELKMLKSQS
VIMSS10078382 1 713 0.31788541374474 PF01764.25:Lipase_3:360:498 Phospholipase A1 PLIP2, chloroplastic; Galactolipase PLIP2; Protein PLASTID LIPASE 2; EC 3.1.1.32; EC 3.1.1.26 713 139 12 690 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HXL0 1 SwissProt MDSLCLNSGLHGVIPAITAVGNGGCGGVVEVRATASAPSQKRGPFGFSFKYPLTPFWSRGGGGGIASRRRSGLCLDDAVLVDSGDSRKPIAEETAVEMDTERRNGSWVLKILDVQSTWKHEEEEDDDEVEDEDGDEDEEVELDDAVVSEDDGGCDVCSVLEDDGNEANKFQLDRESFSKLLRRVTLPESKLYAQLSYLGNLAYSISKIKPANLSKYYGLRFVTSSAEKTESALKAENGEVSGETKPIVEAEEEVEEEEKNKSRKISASAAYEIVASAASYLHSRTNNILPFNSSSKAENSDKHDVNLTNAESSSDVAYSVTSVVAAEEDVKQAVADDLKSTISSPCDWFICDDDQSHTRFVVIQGSESLASWQANLLFEPIEFEGLGAIVHRGIYEAAKGMYEQMLPEVKAHIKTHGTSAKFRFTGHSLGGSLSLLLNLMLLVRGEVPASSLLPVITYGAPFVLCGGDRLLKKLGLPKSHVQAIVMHRDIVPRAFSCNYPYHVAELLKAVNGNFRSHPCLNKQSMLYSPMGELLILQPDETFSPGHELLPSGNGLYLLTSDFESPDIEDSDEERLRAAQTVFLNTPHPLDILSDRSAYGSSGTIQRDHDMNSYLKAVRSVIRKEVNQIRRAKREHRRSLWWPILVARESGSSGIAVSNGQINGQDFSGMMQTGRKSLQRFSRLVASQHMPLIVVMLFPVKLLFLGAFNVFSFR
VIMSS10078470 1 465 0.396783440860215 PF13415.6:Kelch_3:47:106,PF13415.6:Kelch_3:112:153,PF13854.6:Kelch_5:95:135,PF13418.6:Kelch_4:30:97 Serine/threonine-protein phosphatase BSU1; Bri1 suppressor protein 1; EC 3.1.3.16 793 124 12 465 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LR78 1 SwissProt MAPDQSYQYPSPSYESIQTFYDTDEDWPGPRCGHTLTAVFVNNSHQLILFGGSTTAVANHNSSLPEISLDGVTNSVHSFDVLTRKWTRLNPIGDVPSPRACHAAALYGTLILIQGGIGPSGPSDGDVYMLDMTNNKWIKFLVGGETPSPRYGHVMDIAAQRWLVIFSGNNGNEILDDTWALDTRGPFSWDRLNPSGNQPSGRMYASGSSREDGIFLLCGGIDHSGVTLGDTYGLKMDSDNVWTPVPAVAPSPRYQHTAVFGGSKLHVIGGILNRARLIDGEAVVAVLDTETGEWVDTNQPETSASGANRQNQYQLMRRCHHAAASFGSHLYVHGGIREDVLLDDLLVAETSQSSSPEPEEDNPDNYMLLDDYLMDEPKPLSSEPEASSFIMRSTSEIAMDRLAEAHNLPTIENAFYDSAIEGYVPLQHGAETVGNRGGLVRTASLDQSTQDLHKKVISTLLRPKT
VIMSS10078683 1 72 0.413109722222222 PF12734.7:CYSTM:25:72 hypothetical protein (RefSeq) 72 48 12 72 0 Arabidopsis thaliana VIMSS10078683 1 MicrobesOnline MSQYDHNQSAGANPPPPMSTCTSPPPPIGYPTNQPSHGSVAQGKVETKSKGDGFFKGCLAAMCCCCALDICF
VIMSS10078817 1 585 0.11213641025641 PF01553.21:Acyltransferase:382:490 Glycerol-3-phosphate acyltransferase 1; AtGPAT1; EC 2.3.1.15 585 109 12 539 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SHJ5 1 SwissProt MVLPELLVILAEWVLYRLLAKSCYRAARKLRGYGFQLKNLLSLSKTQSLHNNSQHHLHNHHQQNHPNQTLQDSLDPLFPSLTKYQELLLDKNRACSVSSDHYRDTFFCDIDGVLLRQHSSKHFHTFFPYFMLVAFEGGSIIRAILLLLSCSFLWTLQQETKLRVLSFITFSGLRVKDMDNVSRSVLPKFFLENLNIQVYDIWARTEYSKVVFTSLPQVLVERFLREHLNADDVIGTKLQEIKVMGRKFYTGLASGSGFVLKHKSAEDYFFDSKKKPALGIGSSSSPQDHIFISICKEAYFWNEEESMSKNNALPRERYPKPLIFHDGRLAFLPTPLATLAMFIWLPIGFLLAVFRISVGVFLPYHVANFLASMSGVRITFKTHNLNNGRPEKGNSGVLYVCNHRTLLDPVFLTTSLGKPLTAVTYSLSKFSEFIAPLKTVSLKRDRKKDGEAMQRLLSKGDLVVCPEGTTCREPYLLRFSPLFAELTEDIVPVAVDARVSMFYGTTASGLKCLDPIFFLMNPRPVYCLEILKKLPKEMTCAGGKSSFEVANFIQGELARVLGFECTNLTRRDKYLVLAGNEGIVR
VIMSS10079327 1 408 0.15070612745098 PF02535.22:Zip:59:405 ZIP4 (ZINC TRANSPORTER 4 PRECURSOR); cation transmembrane transporter/ copper ion transmembrane transporter (RefSeq) 408 347 12 276 6 Arabidopsis thaliana VIMSS10079327 1 MicrobesOnline MIFVDVLWKLFPLYSFGSGRDSLSESILQIIPETMASSTTKILCDAGESDLCRDDSAAFLLKFVAIASILLAGAAGVAIPLIGRNRRFLQTEGNLFVAAKAFAAGVILATGFVHMLAGGTEALSNPCLPDFPWSKFPFPGFFAMVAALATLLVDFMGTQYYERKQERNQAATEAAAGSEEIAVVPVVGERVTDNKVFGEEDGGGIHIVGIRAHAAHHRHSHSNSHGTCDGHAHGHSHGHMHGNSDVENGARHVVVSQILELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFRNKSATIMACFFALTTPLGIGIGTAVASSFNSHSPGALVTEGILDSLSAGILVYMALVDLIAADFLSKRMSCNLRLQVVSYVMLFLGAGLMSALAIWA
VIMSS10079671 107 302 0.344713265306123 PF08433.10:KTI12:1:188 Protein KTI12 homolog; AtKTI12; At1g13870; Protein DEFORMED ROOTS AND LEAVES 1; AtDRL1; Protein ELONGATA4 302 188 12 196 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LMH0 1 SwissProt YCDVDEAHCRQWNKERSDRGEDGYDDGIFEDLVRRFEKPERRNRWDSPLFELYPSREVIDKSSPVILEAVTYLTKTVDSKTQDVRILQPSIATQAARFSEANSLYELDRATQEIINAIVEQQSLGAAISRVTLGNELPPIEICRPIGLPELRRLRRTFVKLMGQSSLSGPPLPTDADSAKRRFVDYLNREFGGNNA
VIMSS10079717 1 442 0.452932126696833 Protein PHYTOCHROME KINASE SUBSTRATE 2 442 0 12 442 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M9T4 1 SwissProt MVTLTSSSSTPNVSFDFMMNNNNNSNNLYGPFSSSSTSFSYLTSKEDALTQKNLMSGITNDVLGINKKASEDLEISVFGAEKYFNGDMDSDHSPRLVSPLPDPEVPIERIFVGPKQSSKNSSETPSLRSESSWNSQSLLLQSKYVEKKKNIKKNSSCNSYFQEKDMSSNHKVSNKKSFLATLGCRCVCSNWSSVDVVDDKRRSSGLKKIKTQLSFSGDLSSEMKIHQQQQEAMLEQRKSLEIFGSPLIEKRIIQKKFPWEYSSSAKKEEHGFSVKYEEEEDGSVSDVSTDLFEIESLTGKANPFLARQGSSDPDSPDGYAPSEVSIQWSVVTASVADFSVMSECATSPVKKNRSFQIPRIPIMAKSNREIAPQRRKSSSSGLLMGCKSHKSVRVSGDSYTSMNRTPSYVPRFPVEANPTSTETRRRISSSSVSHTQSPFLYT
VIMSS10080451 227 734 0.321324212598425 PF00083.24:Sugar_tr:273:491 tonoplast monosaccharide transporter1 734 219 12 396 5 Arabidopsis thaliana NP_173508.1 1 RefSeq GEKTMEDLLVTLEDHEGDDTLETVDEDGQMRLYGTHENQSYLARPVPEQNSSLGLRSRHGSLANQSMILKDPLVNLFGSLHEKMPEAGGNTRSGIFPHFGSMFSTTADAPHGKPAHWEKDIESHYNKDNDDYATDDGAGDDDDSDNDLRSPLMSRQTTSMDKDMIPHPTSGSTLSMRRHSTLMQGNGESSMGIGGGWHMGYRYENDEYKRYYLKEDGAESRRGSIISIPGGPDGGGSYIHASALVSRSVLGPKSVHGSAMVPPEKIAASGPLWSALLEPGVKRALVVGVGIQILQQFSGINGVLYYTPQILERAGVDILLSSLGLSSISASFLISGLTTLLMLPAIVVAMRLMDVSGRRSLLLWTIPVLIVSLVVLVISELIHISKVVNAALSTGCVVLYFCFFVMGYGPIPNILCSEIFPTRVRGLCIAICAMVFWIGDIIVTYSLPVLLSSIGLVGVFSIYAAVCVISWIFVYMKVPETKGMPLEVITDYFAFGAQAQASAPSKDI
VIMSS10080743 1 246 0.247387804878049 PF03791.13:KNOX2:140:186,PF03790.13:KNOX1:85:128,PF03789.13:ELK:226:246 KNAT6; DNA binding / transcription activator/ transcription factor (RefSeq) 329 112 12 246 0 Arabidopsis thaliana VIMSS10080743 1 MicrobesOnline MDGMYNFHSAGDYSDKSVLMMSPESLMFPSDYQALLCSSAGENRVSDVFGSDELLSVAVSALSSEAASIAPEIRRNDDNVSLTVIKAKIACHPSYPRLLQAYIDCQKKQVGAPPEIACLLEEIQRESDVYKQEVVPSSCFGADPELDEFMETYCDILVKYKSDLARPFDEATCFLNKIEMQLRNLCTGVESARGVSEDGVISSDEELSGGDHEVAEDGRQRCEDRDLKDRLLRKFGSRISTLKLEF
VIMSS10080744 1 394 0.17106116751269 PF00646.33:F-box:23:51 kelch repeat-containing F-box family protein (RefSeq) 394 29 12 394 0 Arabidopsis thaliana VIMSS10080744 1 MicrobesOnline MEKKKNNNNGGDFGEEESSIDGDILESILSYLPLLDLDSACQVSKSWNRAVFYSLRRLKTMPWLFVYNQRNSPPYTMATMAMAYDPKSEAWIELNTASSPVEHVSVARSSHSTLLYALSPARFSFSTDAFHLTWQHVAPPRVWRIDPIVAVVGRSLIIAGGVCDFEEDRFAVELFDIESGDGAWERCESMPDFLYESASSTWLSVAVSSEKMYVTEKRSGVTCSFNPVTRSWTKLLDLCPGECSLYSRSIGFSVNRLIMAGIIGDEYNPTGIELWEVIDSDESHLKFESIGSMPETYLEKLRGINSDWPLTSIVLNAVGDMVYVHGAAENGGEIVAAEIEGGKLCKWRTLPNADATWKKSHAAERVIVACSNVGFSDLKLAFRNNLSFLSTSKY
VIMSS10080923 167 362 0.664294387755102 Protein indeterminate-domain 16; AtIDD16 362 0 12 196 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FRH4 1 SwissProt TIRQPQPTNHRHLQQHTMGLDAPSRTTSTASFGPLLHGLPLLRPPRPSNQHSPAFAYPFNASSAPFESLELQLSIGMARTSAQARHNEKRETSLTKERANEEARKAEETRQEAKRQIEMAEKDFEKAKRIREEAKTELEKAHVVREEAIKRINATMMEITCHSCKQLFQLPVTADESTSSLVMSYVSSATTEGECE
VIMSS10080988 1 339 0.588858702064896 PF00010.26:HLH:181:229 CIB5 (CRYPTOCHROME-INTERACTING BASIC-HELIX-LOOP-HELIX 5); DNA binding / transcription factor (RefSeq) 339 49 12 339 0 Arabidopsis thaliana VIMSS10080988 1 MicrobesOnline MSDKDEFAAKKKDLVNTPVDLYPPENPMLGPSPMMDSFRETLWHDGGFNVHTDADTSFRDSTGLFLQDTQIPSGSKLDNGPLTDASKLVKERSINNVSEDSQSSGGNGHDDAKCGQTSSKGFSSKKRKRIGKDCEEEEDKKQKDEQSPTSNANKTNSEKQPSDSLKDGYIHMRARRGQATNSHSLAERVRREKISERMKFLQDLVPGCDKVTGKAVMLDEIINYVQSLQCQIEFLSMKLSAVNPVLDFNLESLLAKDALQSSAPTFPHNMSMLYPPVSYLSQTGFMQPNISSMSLLSGGLKRQETHGYESDHHNLVHMNHETGTAPDHEDTTADMKVEP
VIMSS10081260 1 486 0.379088271604938 Transcription factor VOZ1; Protein VASCULAR PLANT ONE-ZINC FINGER 1; AtVOZ1 486 0 12 486 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGQ0 1 SwissProt MTGKRSKTNCRSASHKLFKDKAKNRVDDLQGMLLDLQFARKESRPTDVTLLEEQVNQMLREWKSELNEPSPASSLQQGGTLGSFSSDICRLLQLCDEEDDATSKLAAPKPEPADQNLEAGKAAVFQRGYNLVQGKSEHGLPLVDNCKDLSLAAGNNFDGTAPLEYHQQYDLQQEFEPNFNGGFNNCPSYGVVEGPIHISNFIPTICPPPSAFLGPKCALWDCPRPAQGFDWFQDYCSSFHAALAFNEGPPGMNPVVRPGGIGLKDGLLFAALSAKAGGKDVGIPECEGAATAKSPWNAPELFDLTVLESETLREWLFFDKPRRAFESGNRKQRSLPDYNGRGWHESRKQIMVEFGGLKRSYYMDPQPLHHFEWHLYEYEINKCDACALYRLELKLVDGKKTSKGKVSNDSVADLQKQMGRLTAEFPPENNTTNTTNNNKRCIKGRPKVSTKVATGNVQNTVEQANDYGVGEEFNYLVGNLSDYYIP
VIMSS10083858 1 326 0.0727641104294478 PF02535.22:Zip:47:164,PF02535.22:Zip:167:321 ZIP11 (ZINC TRANSPORTER 11 PRECURSOR); cation transmembrane transporter/ metal ion transmembrane transporter (RefSeq) 326 273 12 126 9 Arabidopsis thaliana VIMSS10083858 0 MicrobesOnline MSRSLVFFFLFLVLVVPCLSHGTGGDHDDDEASHVKSSDLKSKSLISVKIACLVIIFVLTFISGVSPYFLKWSQGFLVLGTQFAGGVFLATALMHFLSDADETFRGLLTAEGESEPSPAYPFAYMLACAGFMLTMLADSVIAHIYSKTQNDLELQGEDKSNQRSATTETSIGDSILLIVALCFHSVFEGIAIGISETKSDAWRALWTITLHKIFAAIAMGIALLRMIPDRPLFSSITYSFAFAISSPIGVAIGIVIDATTQGSIADWIFALSMSLACGVFVYVSVNHLLAKGYRPNKKVHVDEPRYKFLAVLFGVVVIAIVMIWDT
VIMSS10084041 117 314 0.506488383838384 AtMYB50 (myb domain protein 50); DNA binding / transcription factor (RefSeq) 314 0 12 198 0 Arabidopsis thaliana VIMSS10084041 1 MicrobesOnline MKKGIDPITHKPLSEVGKETNRSDNNNSTSFSSETNQDLFVKKTSDFAEYSAFQKEESNSVSLRNSLSSMIPTQFNIDDGSVSNAGFDTQVCVKPSIILLPPPNNTSSTVSGQDHVNVSEPNWESNSGTTSHLNNPGMEEMKWSEEYLNESLFSTQVYVKSETDFNSNIAFPWSQSQACDVFPKDLQRMAFSFGGQTL
VIMSS10084384 1 185 0.345565945945946 F-box family protein 185 0 12 185 0 Arabidopsis thaliana NP_176329.1 1 RefSeq MALGKKRIVTQKPNLRQRRDVDNGGLGLGLEFVQYKRGFGRKRILISSGDEMEDSIFTSPVGKKLCDDKTTSVAEGQSRELEDLPLDILVRIICGVEHEDLKQLFHVSKTIREATMIAKQSHFAYSTPRKTSVFHHGRFGWDKPFDVEDDDEEIEAPGAPLQKRYRLSRINRNKDDSGVSVALFH
VIMSS10084683 1 294 0.240890136054422 PF12483.8:GIDE:87:236 E3 ubiquitin-protein ligase SP1; DIAP1-like protein 1; Protein SUPPRESSOR OF PPI1 LOCUS 1; RING-type E3 ubiquitin transferase SP1; EC 2.3.2.27 343 150 12 294 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L7N4 1 SwissProt MIPWGGVTCCLSAAALYLLGRSSGRDAEVLETVTRVNQLKELAQLLELDSKILPFIVAVSGRVGSETPIKCEHSGIRGVIVEETAEQHFLKHNETGSWVQDSALMLSMSKEVPWFLDDGTSRVHVMGARGATGFALTVGSEVFEESGRSLVRGTLDYLQGLKMLGVKRIERVLPTGIPLTIVGEAVKDDIGEFRIQKPDRGPFYVSSKSLDQLISNLGKWSRLYKYASMGFTVLGVFLITKHVIDSVLERRRRRQLQKRVLDAAAKRAELESEGSNGTRESISDSTKKEDAVPD
VIMSS10085090 1 240 0.277555833333333 PF05755.12:REF:8:207 Rubber elongation factor protein (REF) 240 200 12 240 0 Arabidopsis thaliana NP_849856.1 1 RefSeq METEKKNSKEVALKHLAFVRIATIHILASVSNLYEYAKQNSGPLKSAVEKVEGAVTTVVTPVYQKFKDVPDSLLVFLDHKVGEVSYKFDEHAPPMAKKVVNQAHVLIYKATEKAQSFVKEARTGGPKAAFNYAATEYKFFVVTNSVKVWAKLNQYKPIHAMGDKALPVAAHFSSRYNDLVTDMTNMGYSLVGYLPLVPVDDIVKAYEKEDARRKKGGDTAGKKGETTDAADGDKSSSDSE
VIMSS10085226 154 324 0.371034502923976 AP2 domain-containing transcription factor, putative (RefSeq) 324 0 12 171 0 Arabidopsis thaliana VIMSS10085226 1 MicrobesOnline AKKLEFDAIVAGNVSTTKRDVSSSETSQCSRSSPVVPVEQDDTSASALTCVNNPDDVSTVAPTAPTPNVPAGGNKETLFDFDFTNLQIPDFGFLAEEQQDLDFDCFLADDQFDDFGLLDDIQGFEDNGPSALPDFDFADVEDLQLADSSFGFLDQLAPINISCPLKSFAAS
VIMSS10085487 1 97 0.22820618556701 NAD(P)H-quinone oxidoreductase subunit L, chloroplastic; NAD(P)H dehydrogenase subunit L; NDH subunit L; NDH-L; NADH-plastoquinone oxidoreductase subunit L; Protein CHLORORESPIRATORY REDUCTION 23; EC 7.1.1.- 191 0 12 97 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAC5 1 SwissProt MSRCGSLGLYAPNALPSLSLKPRSVKSPFCITSHTKPNDTLLHNVNKMRAKACDILGAKKTILAAQLGAVLATIDHPALAITGVNNQQELSSVVLDI
VIMSS10085576 71 211 0.308595744680851 PF01486.17:K-box:29:109 Agamous-like MADS-box protein AGL12; Protein XAANTAL 1 211 81 12 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q38841 1 SwissProt MKCTGGGRGSSSATFTAQEQLQPPNLDPKDEINVLKQEIEMLQKGISYMFGGGDGAMNLEELLLLEKHLEYWISQIRSAKMDVMLQEIQSLRNKEGVLKNTNKYLLEKIEENNNSILDANFAVMETNYSYPLTMPSEIFQF
VIMSS10085658 1 119 0.273342857142857 PF02519.14:Auxin_inducible:15:101 Auxin-responsive protein SAUR78; Protein SMALL AUXIN UP RNA 78 119 87 12 119 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9E1 1 SwissProt MAKVGKLTKLKSAMKKWPSFAKNHHHSTSSAAVSDELSEDNNLHVVYVGQTRRPYMLRPDIISHPLFQELVDRSSSRSIEQDREIVVACEVVLFEHLLWMLKSGQEGGSVEELAEFYTY
VIMSS10085813 1 392 0.40879362244898 PF06203.14:CCT:345:387,PF00643.24:zf-B_box:22:63 B-box type zinc finger protein with CCT domain-containing protein 392 85 12 392 0 Arabidopsis thaliana NP_177528.1 1 RefSeq MVVDVESRTASVTGEKMAARGCDACMKRSRASWYCPADDAFLCQSCDASIHSANHLAKRHERVRLQSSSPTETADKTTSVWYEGFRRKARTPRSKSCAFEKLLQIESNDPLVPELGGDEDDGFFSFSSVEETEESLNCCVPVFDPFSDMLIDDINGFCLVPDEVNNTTTNGELGEVEKAIMDDEGFMGFVPLDMDLEDLTMDVESLLEEEQLCLGFKEPNDVGVIKEENKVGFEINCKDLKRVKDEDEEEEEAKCENGGSKDSDREASNDKDRKTSLFLRLDYGAVISAWDNHGSPWKTGIKPECMLGGNTCLPHVVGGYEKLMSSDGSVTRQQGRDGGGSDGEREARVLRYKEKRRTRLFSKKIRYEVRKLNAEQRPRIKGRFVKRTSLLT
VIMSS10085904 1 102 0.372060784313725 PF04770.12:ZF-HD_dimer:36:89 Mini zinc finger protein 1; AtMIF1 102 54 12 102 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CA51 1 SwissProt MMKKRQMVIKQRSRNSNTSSSWTTTSSSSSSSEISNVRYVECQKNHAANIGGYAVDGCREFMAAGVEGTVDALRCAACGCHRNFHRKEVDTEVVCEYSPPNA
VIMSS10086169 1 260 0.285328846153846 PF01789.16:PsbP:116:259 PsbP domain-containing protein 4, chloroplastic; OEC23-like protein 5 260 144 12 260 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49292 1 SwissProt MMETALLRYCVNFSGHKKISAHQRSNSEIPKTSPGGCEDEWCARVLSRRSVMASGLVSSTTALAFPREGLAVVKQGLLAGRVPGLSEPDEEGWRTYRRPDEKSGGHGVGWSPIIPYAFSVPQDWNEVPVSIADLGGTEIDLRFASPKEGRLSVIVAPVLRFADNLGDDVKIENIGQPAKVINAFGPEVIGENVEGKVLSSNVAEHDGRLYYQFELEPPHVLITATAAGNRLYLFSVTGNGLQWKRHYKDLKRIASSFRIV
VIMSS10086464 278 589 0.438423717948718 PF03985.13:Paf1:10:253 Protein PAF1 homolog; Protein EARLY FLOWERING 7; Protein VERNALIZATION INDEPENDENCE 2 589 244 12 312 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HQA1 1 SwissProt DAVTPIKKDGIRRKERPTDKGMSWLVKTQYISSINNESARQSLTEKQAKELREMKGGINILHNLNNRERQIKDIEASFEACKSRPVHATNKNLQPVEVLPLLPYFDRYDEQFVVANFDGAPIADSEFFGKLDPSIRDAHESRAILKSYVVAGSDTANPEKFLAYMVPSLDELSKDIHDENEEISYTWVREYLWDVQPNANDPGTYLVSFDNGTASYLPLPMRLNLRKKRAREGRSSDEIEHFPVPSRVTVRRRSTVSVIEHKDSGVYSSRVGASSSKMRRLEDEGGLGRSWKHEPEQDANQYSDGNEDDYSE
VIMSS10086524 1 624 0.120807692307692 PF03219.14:TLC:102:574 ADP,ATP carrier protein 1, chloroplastic; ADP/ATP translocase 1; Adenine nucleotide translocase 1 624 473 12 425 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39002 1 SwissProt MEAVIQTRGLLSLPTKPIGVRSQLQPSHGLKQRLFAAKPRNLHGLSLSFNGHKKFQTFEPTLHGISISHKERSTEFICKAEAAAAGDGAVFGEGDSAAVVASPKIFGVEVATLKKIIPLGLMFFCILFNYTILRDTKDVLVVTAKGSSAEIIPFLKTWVNLPMAIGFMLLYTKLSNVLSKKALFYTVIVPFIIYFGAFGFVMYPLSNYIHPEALADKLLTTLGPRFMGPIAILRIWSFCLFYVMAELWGSVVVSVLFWGFANQITTVDEAKKFYPLFGLGANVALIFSGRTVKYFSNLRKNLGPGVDGWAVSLKAMMSIVVGMGLAICLLYWWVNRYVPLPTRSKNKKEKPKMGTMESLKFLVSSPYIRDLATLVVAYGISINLVEVTWKSKLKAQFPSPNEYSAFMGDFSTCTGVATFTMMLLSQYVFNKYGWGVAAKITPTVLLLTGVAFFSLILFGGPFAPLVAKLGMTPLLAAVYVGALQNIFSKSAKYSLFDPCKEMAYIPLDEDTKVKGKAAIDVVCNPLGKSGGALIQQFMILSFGSLANSTPYLGMILLVIVTAWLAAAKSLEGQFNSLRSEEELEKEMERASSVKIPVVSQDESGNGSLGESPSSSPEKSAPTNL
VIMSS10087105 1 536 0.326136940298507 PF12872.7:OST-HTH:211:290,PF00076.22:RRM_1:328:380 Zinc finger CCCH domain-containing protein 18; AtC3H18; EC 3.1.-.- 536 133 12 536 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJ41 1 SwissProt MNFTESMNVVHARIQQLEPENAAKIFGYLLLMQENGNRDMIRLAFCPDSVMCSVINCVKYELARNSHHYHSPPSDHIPTPKFGSFTGSSPLSVSVSPPMKTGFWENSTEMDTLQNNLQFLNFEDPLTSPEFSNGFFSQERQCLPLRTSRRSPSLPEFPVKICHYFNKGFCKHGNNCRYFHGQIIPERESFAQMFNPNNNLSDEEHVVSPVSLEKLEGEIIELLKLRRGAPISIASLPMMYYEKYGRTLQAEGYLTESQRHGKAGYSLTKLLARLKNTIRLVDRPHGQHSVILAEDASKFVEYTGERNEHGAILAGSRQIYLTFPAESSFTEHDVSIYFTSYGHVEDVRIPCQQKRMYGFVTFASSETVKHILAKGNPHFICNARVLVKPYREKSRSSRYLDNYKPLHGMRYGSKFIERDIEMNTLPPRVSESSRMRKPFLSEPEQSVSKSLPTNYSYLGFSSDDFKLTSNAEQEEQAERLSYLLDYLNTEDNVMNITTNYRDNDRRTHCESLDSQVLNLPESPFSSLSGKEISTVT
VIMSS10088190 1 492 0.0528987804878048 PF13347.6:MFS_2:39:291 Sucrose transport protein SUC8; Sucrose permease 8; Sucrose-proton symporter 8 492 253 12 252 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVK6 1 SwissProt MSDLQAKNDVVAVDRQSSSSLADLDGPSPLRKMISVASIAAGIQFGWALQLSLLTPYVQLLGVPHKWSSFIWLCGPVSGLLVQPSVGYFSDRCTSRFGRRRPFIATGALLVAVAVVLIGYAADFGHSMGDKIDKPVKMRAVVIFALGFWILDVANNTLQGPCRAFLGDLAAGDAKKTRTANAFFSFFMAVGNVLGYAAGSYTNLYKIFPFTMTKACDIYCANLKSCFFLSITLLLVVTIIALWYVEDKQWSPKADSDNEKTPFFGEIFGAFKVMKRPMWMLLIVTALNWIAWFPFLLYDTDWMGREVYGGDSKGDDKMKKLYNQGIHVGALGLMLNSIVLGIVSLGIEGISKKIGGAKRLWGAVNIILAVCLAMTVLVTKKAEEHRRIAGPMALPTDGIRAGALTLFALLGIPLAITFSIPFALASIISSSSGAGQGLSLGVLNMAIVIPQMIVSFGVGPIDALFGGGNLPRFVVGAIAAAISSVVAFTVLP
VIMSS10088944 1 509 0.354198231827112 PF00609.19:DAGK_acc:232:406,PF00781.24:DAGK_cat:40:177 diacylglycerol kinase 5 509 313 12 509 0 Arabidopsis thaliana NP_850007.1 1 RefSeq MEKYNSLSDFLKEFYIPTYVLSAETEEEEEEESRPTPASPVLVFINSKSGGQLGGELILTYRSLLNHNQVFDLDQETPDKVLRRIYLNLERLKDDDFARQIREKLKIIVAGGDGTAGWLLGVVCDLKLSHPPPIATVPLGTGNNLPFAFGWGKKNPGTDRTAVESFLEQVLKAKVMKIDNWHILMRMKTPKEGGSCDPVAPLELPHSLHAFHRVSPTDELNKEGCHTFRGGFWNYFSLGMDAQISYAFHSERKLHPEKFKNQLVNQSTYVKLGCTQGWFCASLFHPASRNIAQLAKVKIATRNGQWQDLHIPHSIRSIVCLNLPSFSGGLNPWGTPNPRKQRDRGLTPPFVDDGLIEVVGFRNAWHGLVLLAPNGHGTRLAQANRIRFEFHKGATDHTFMRMDGEPWKQPLPLDDETVMVEISHLGQVNMLATHDCRSRSVFDPSTPRHQDGAEDYDDNEDDSVAEGEEFRKFGAADTFKIPDEGEHSNKKGRASRRRNSNVHGWSHVL
VIMSS10089228 116 309 0.583626804123712 AtMYB70 (myb domain protein 70); DNA binding / transcription factor (RefSeq) 309 0 12 194 0 Arabidopsis thaliana VIMSS10089228 1 MicrobesOnline GGGGGGEEGQSCDFGGNGGYDGNLTDEKPLKRRASGGGGVVVVTALSPTGSDVSEQSQSSGSVLPVSSSCHVFKPTARAGGVVIESSSPEEEEKDPMTCLRLSLPWVNESTTPPELFPVKREEEEEKEREISGLGGDFMTVVQEMIKTEVRSYMADLQLGNGGGAGGGASSCMVQGTNGRNVGFREFIGLGRIE
VIMSS10089279 1 425 0.54514 PF07526.11:POX:238:370 BEL1-like homeodomain protein 4; BEL1-like protein 4; Protein SAWTOOTH 2 627 133 12 425 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94KL5 1 SwissProt MGLATTTSSMSQDYHHHQGIFSFSNGFHRSSSTTHQEEVDESAVVSGAQIPVYETAGMLSEMFAYPGGGGGGSGGEILDQSTKQLLEQQNRHNNNNNSTLHMLLPNHHQGFAFTDENTMQPQQQQHFTWPSSSSDHHQNRDMIGTVHVEGGKGLSLSLSSSLAAAKAEEYRSIYCAAVDGTSSSSNASAHHHQFNQFKNLLLENSSSQHHHHQVVGHFGSSSSSPMAASSSIGGIYTLRNSKYTKPAQELLEEFCSVGRGHFKKNKLSRNNSNPNTTGGGGGGGSSSSAGTANDSPPLSPADRIEHQRRKVKLLSMLEEVDRRYNHYCEQMQMVVNSFDQVMGYGAAVPYTTLAQKAMSRHFRCLKDAVAVQLKRSCELLGDKEAAGAASSGLTKGETPRLRLLEQSLRQQRAFHHMGMMEQEAW
VIMSS10089788 1 64 0.771365625 SNF1-related protein kinase regulatory subunit beta-3; AKIN subunit beta-3; AKINB3; AKINbeta3 114 0 12 64 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUU8 1 SwissProt MNSQNPDDHEDTTVVGFEVPVSPVSSYNNVYSSTEDETRDPPAVPPHLQHSLLGNQGSMELAYA
VIMSS10089804 1 286 0.514084265734266 PF13912.6:zf-C2H2_6:114:139,PF13912.6:zf-C2H2_6:189:213 Zinc finger protein ZAT5 286 51 12 286 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q681X4 1 SwissProt MMMGQDEVGSDQTQIIKGKRTKRQRSSSTFVVTAATTVTSTSSSAGGSGGERAVSDEYNSAVSSPVTTDCTQEEEDMAICLIMLARGTVLPSPDLKNSRKIHQKISSENSSFYVYECKTCNRTFSSFQALGGHRASHKKPRTSTEEKTRLPLTQPKSSASEEGQNSHFKVSGSALASQASNIINKANKVHECSICGSEFTSGQALGGHMRRHRTAVTTISPVAATAEVSRNSTEEEIEINIGRSMEQQRKYLPLDLNLPAPEDDLRESKFQGIVFSATPALIDCHY
VIMSS10090062 1 593 0.235930691399663 PF03000.14:NPH3:187:444,PF00651.31:BTB:28:117 Root phototropism protein 2; BTB/POZ domain-containing protein RPT2 593 348 12 593 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q682S0 1 SwissProt MATEGKNPINMNSMSSSLARTGQWVFSQDIPTDVVVEVGEANFSLHKFMLVAKSNYIRKLIMESKDSDVTRINLSDIPGGPEIFEKAAKFCYGVNFEITVQNVAALHCAAEFLQMTDKYCDNNLAGRTQDFLSQVALSSLSGAIVVLKSCEILLPISRDLGIVRRCVDVVGAKACNEAMFPCRTPPNWWTEELCILDVDFFSDVVSSMKQRGVKPSSLASAIITYTEKSLRDLVRDHSGRGVKYSDPGDNESDERSQQRDLVQSIVSLLPSDKGLFPVNFLCSLLRCAVFLDTSLTCKNELEKRISVVLEHVSVDDLLIPSFTYDGERLLDLDSVRRIISAFVEKEKNVGVFNGGDFNRGVCSVSLQRVAKTVDSYLAEIATYGDLTISKFNAIANLVPKSARKSDDDLYRAIDIFLKAHPNLDEIEREKVCSSMDPLKLSYDARLHASQNKRLPVNIVLHALYYDQLKLRSGVAEQEERAVVVLPEALKTRSQLQADTTLAKENEALRSELMKMKMYVSDMQKNKNGAGASSSNSSSLVSSKKSKHTFFSSVSKKLGKLNPFKNGSKDTSHIDEDLGGVDITKPRRRRFSIS
VIMSS10090142 123 249 0.348451181102362 Transcription factor MYB14; Myb-related protein 14; AtMYB14; MYB14At 249 0 12 127 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJX8 1 SwissProt GGDTKDVNGINETTNEDKGSVIVDTASLQQFSNSITTFDISNDNKDDIMSYEDISALIDDSFWSDVISVDNSNKNEKKIEDWEGLIDRNSKKCSYSNSKLYNDDMEFWFDVFTSNRRIEEFSDIPEF
VIMSS10090235 209 1112 0.41734668141593 PF04423.14:Rad50_zn_hook:470:516 DNA repair protein RAD50; AtRAD50; EC 3.6.-.- 1316 47 12 904 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SL02 1 SwissProt LKLENLQTLKDAAYKLRESIAQDQERTESSKVQMLELETSVQKVDAEVHNKEMMLKDLRKLQDQVSIKTAERSTLFKEQQRQYAALPEENEDTIEELKEWKSKFEERLALLGTKIRKMEREMVDTETTISSLHNAKTNYMLEISKLQTEAEAHMLLKNERDSTIQNIFFHYNLGNVPSTPFSTEVVLNLTNRIKSRLGELEMDLLDKKKSNETALSTAWDCYMDANDRWKSIEAQKRAKDEIKMGISKRIEEKEIERDSFEFEISTVDVKQTDEREKQVQVELERKTKQNSERGFESKIEQKQHEIYSLEHKIKTLNRERDVMAGDAEDRVKLSLKKTEQENLKKKHKKIIDECKDRIRGVLKGRLPPEKDMKREIVQALRSIEREYDDLSLKSREAEKEVNMLQMKIQEVNNSLFKHNKDTESRKRYIESKLQALKQESVTIDAYPKLLESAKDKRDDRKREYNMANGMRQMFEPFEKRARQEHSCPCCERSFTADEEASFIKKQRVKASSTGEHLKALAVESSNADSVFQQLDKLRAVFEEYSKLTTEIIPLAEKTLQEHTEELGQKSEALDDVLGISAQIKADKDSIEALVQPLENADRIFQEIVSYQKQIEDLEYKLDFRGLGVKTMEEIQSELSSLQSSKDKLHGELEKLRDDQIYMERDISCLQARWHAVREEKAKAANLLRDVTKAEEDLERLAEEKSQLDLDVKYLTEALGPLSKEKEQLLSDYNDMKIRRNQEYEELAEKKRNYQQEVEALLKASYKINEYHDLKKGERLDDIQEKQRLSDSQLQSCEARKNELAGELNRNKDLMRNQDQLRRNIEDNLNYRTTKAKVEELTREIESLEEQILNIGGIAAVEAEIVKILRERERLLSELNRCRGTVSVYESSISKNRVELKQAQY
VIMSS10090821 1 1909 0.125631272917757 PF02364.15:Glucan_synthase:1053:1746,PF14288.6:FKS1_dom1:346:456 GSL8 (GLUCAN SYNTHASE-LIKE 8); 1,3-beta-glucan synthase/ transferase, transferring glycosyl groups (RefSeq) 1909 805 12 1588 14 Arabidopsis thaliana VIMSS10090821 1 MicrobesOnline MARVYSNWDRLVRATLRREQLRNTGQGHERVSSGLAGAVPPSLGRATNIDAILQAADEIQSEDPSVARILCEQAYSMAQNLDPNSDGRGVLQFKTGLMSVIKQKLAKRDGASIDRDRDIERLWEFYKLYKRRHRVDDIQKEEQKWRESGTTFSSNVGEILKMRKVFATLRALIEVLEVLSRDADPNGVGRSIRDELGRIKKADATLSAELTPYNIVPLEAQSMTNAIGVFPEVRGAVQAIRYTEHFPRLPVDFEISGQRDADMFDLLEYIFGFQRDNVRNQREHLVLTLSNAQSQLSIPGQNDPKIDENAVNEVFLKVLDNYIKWCKYLRIRVVYNKLEAIDRDRKLFLVSLYFLIWGEAANVRFLPECICYIFHNMAKELDAKLDHGEAVRADSCLTGTDTGSVSFLERIICPIYETISAETVRNNGGKAAHSEWRNYDDFNEYFWTPACFELSWPMKTESRFLSKPKGRKRTAKSSFVEHRTYLHLFRSFIRLWIFMFIMFQSLTIIAFRNEHLNIETFKILLSAGPTYAIMNFIECLLDVVLMYGAYSMARGMAISRLVIRFLWWGLGSAFVVYYYVKVLDERNKPNQNEFFFHLYILVLGCYAAVRLIFGLLVKLPACHALSEMSDQSFFQFFKWIYQERYFVGRGLFENLSDYCRYVAFWLVVLASKFTFAYFLQIKPLVKPTNTIIHLPPFQYSWHDIVSKSNDHALTIVSLWAPVLAIYLMDIHIWYTLLSAIIGGVMGAKARLGEIRTIEMVHKRFESFPEAFAQNLVSPVVKRVPLGQHASQVSDKFKLSVLSLDVLIDILALLPYIDGQDMNKAYAAMFSPFWNEIIKSLREEDYLSNREMDLLSIPSNTGSLRLVQWPLFLLCSKILVAIDLAMECKETQEVLWRQICDDEYMAYAVQECYYSVEKILNSMVNDEGRRWVERIFLEISNSIEQGSLAITLNLKKLQLVVSRFTALTGLLIRNETPDLAKGAAKAMFDFYEVVTHDLLSHDLREQLDTWNILARARNEGRLFSRIAWPRDPEIIEQVKRLHLLLTVKDAAANVPKNLEARRRLEFFTNSLFMDMPQARPVAEMVPFSVFTPYYSETVLYSSSELRSENEDGISILFYLQKIFPDEWENFLERIGRSESTGDADLQASSTDALELRFWVSYRGQTLARTVRGMMYYRRALMLQSFLERRGLGVDDASLTNMPRGFESSIEARAQADLKFTYVVSCQIYGQQKQQKKPEATDIGLLLQRYEALRVAFIHSEDVGNGDGGSGGKKEFYSKLVKADIHGKDEEIYSIKLPGDPKLGEGKPENQNHAIVFTRGEAIQTIDMNQDNYLEEAIKMRNLLEEFHGKHGIRRPTILGVREHVFTGSVSSLAWFMSNQETSFVTLGQRVLAYPLKVRMHYGHPDVFDRIFHITRGGISKASRVINISEDIYAGFNSTLRQGNITHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRIGQLFDFFRMMSFYFTTVGFYVCTMMTVLTVYVFLYGRVYLAFSGADRAISRVAKLSGNTALDAALNAQFLVQIGIFTAVPMVMGFILELGLLKAIFSFITMQFQLCSVFFTFSLGTRTHYFGRTILHGGAKYRATGRGFVVQHIKFADNYRLYSRSHFVKAFEVALLLIIYIAYGYTDGGASSFVLLTISSWFLVISWLFAPYIFNPSGFEWQKTVEDFEDWVSWLMYKGGVGVKGELSWESWWEEEQAHIQTLRGRILETILSLRFFMFQYGIVYKLDLTRKNTSLALYGYSWVVLVVIVFLFKGVASITFIALIVVAIAMTDLSIPDMFACVLGFIPTGWALLSLAITWKQVLRVLGLWETVREFGRIYDAAMGMLIFSPIALLSWFPFISTFQSRLLFNQAFSRGLEISIILAGNRANVET
VIMSS10090885 1 243 0.487691769547325 PF02466.19:Tim17:16:122 Mitochondrial import inner membrane translocase subunit TIM17-2 243 107 12 243 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SP35 1 SwissProt MGTPETSREPCPDRILDDIGGAFGMGAVGGSAFHFIKGTYNSPKGSRFVGGTQSVSMNAPRTGGSFAVWGGLFSTFDCTMVYLRQKEDPWNSIIAGAATGGFLSMRQGAGAASRSAIFGGVLLALIEGAGIMLNKVLAQPQNMMMEDPGMQGMPGMQGMQGMPGMPGMQGMPGMQGMQMGQMQSQAQIRSESQNQNTASSSSSSSWFGGLFDKKKEEVQPGSESKTEVLESFDAPPVPSFEFK
VIMSS10091297 1 238 0.431093697478992 PF05678.14:VQ:113:136 Calmodulin-binding protein 25; AtCAMBP25; VQ motif-containing protein 15; AtVQ15 238 24 12 238 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80683 1 SwissProt MVTSEGLASVDSWLYRQGFNVDSWLLSDTFSHDNDLLARALHTTVTAPHTLTPSSAFFDSSAVSHPSSTNTLSSTVSGASDPEIIGGGAKRKRNCLLTDGKAAKRRARASKKSQTTFITADPSNFRQMVQQVTGAKYIDDSSSFGIFDPIVKPEPLRFVNKLPCGPSDRSTAVPMLDTSAFLSNHHQENLAVGNAFSGNSSSVGLPSGKPSATADPGGSAVEFDNYPTFPTLESWKVM
VIMSS10091715 1 139 0.187236690647482 PF00166.21:Cpn10:51:138 10 kDa chaperonin 2, chloroplastic; Chloroplast chaperonin 10; Chl-Cpn10 139 88 12 139 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80504 1 SwissProt MASTFVCSLPNPFFAFPVKATTPSTANHTLLGSRRGCLRIKAISTKWEPTKVVPQADRVLVRLEDLPIKSSGGVLLPKAAVKFERYLTGEIISVGSEVGQQVGPGKRVLFSDVSAYEVDLGTDARHCFCKESDLLALVE
VIMSS10091759 1 264 0.626587878787879 PF00320.27:GATA:181:214 GATA transcription factor 2; AtGATA-2 264 34 12 264 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49741 1 SwissProt MDVYGLSSPDLLRIDDLLDFSNEDIFSASSSGGSTAATSSSSFPPPQNPSFHHHHLPSSADHHSFLHDICVPSDDAAHLEWLSQFVDDSFADFPANPLGGTMTSVKTETSFPGKPRSKRSRAPAPFAGTWSPMPLESEHQQLHSAAKFKPKKEQSGGGGGGGGRHQSSSSETTEGGGMRRCTHCASEKTPQWRTGPLGPKTLCNACGVRFKSGRLVPEYRPASSPTFVLTQHSNSHRKVMELRRQKEVMRQPQQVQLHHHHHPF
VIMSS10091977 1 129 0.323400775193799 PF02309.16:AUX_IAA:73:122 Auxin-responsive protein IAA20; Indoleacetic acid-induced protein 20 175 50 12 129 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O24410 1 SwissProt MGRGRSSSSSSIESSSKSNPFGASSSTRNLSTDLRLGLSFGTSSGTQYFNGGYGYSVAAPAVEDAEYVAAVEEEEENECNSVGSFYVKVNMEGVPIGRKIDLMSLNGYRDLIRTLDFMFNASILWAEEE
VIMSS10092177 1 308 0.0686574675324675 PF03151.16:TPT:77:307,PF00892.20:EamA:93:212 Phosphoenolpyruvate/phosphate translocator 2, chloroplastic; AtPPT2 383 231 12 175 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H0T6 1 SwissProt MFALTFLNPNPRLPSPLFLAKSTPESALSRRSRAFSSSNSYPWRPNLRFNGFKLKSATVPENVEGGDLESGSLVKGLKLGGMFGVWYLLNIYYNIFNKQVLRVYPYPATVTAFQLGCGTLMIAIMWLLKLHPRPKFSPSQFTVIVQLAVAHTLGNLLTNVSLGRVNVSFTHTIKAMEPFFTVLLSVLLLGEWPSLWIVCSLLPIVAGVSLASFTEASFNWIGFCSAMASNVTNQSRNVLSKKFMVGKDALDNINLFSIITIISFILLVPLAILIDGFKVTPSHLQVATSQGLSVKEFCIMSLLAGVCL
VIMSS10092526 1 119 0.248125210084034 glycine-rich protein (RefSeq) 119 0 12 119 0 Arabidopsis thaliana VIMSS10092526 1 MicrobesOnline MDLKKASLFLFLLLCLHLQLQHHFAHAVSRSSTSLAFVDPNHDDLPFQEVELKPDGDVIEANLPKLTVVVKKGGGGGGLRPIPIYGGGTHRSGHHSSGGRETASGWLGLSILAGLGLVF 2
VIMSS10093061 1 904 0.460933738938053 PF08235.13:LNS2:656:880,PF04571.14:Lipin_N:3:94,PF16876.5:Lipin_mid:492:600 Phosphatidate phosphatase PAH1; Phosphatidic acid phosphohydrolase 1; AtPAH1; EC 3.1.3.4 904 426 12 904 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SF47 1 SwissProt MSLVGRVGSLISQGVYSVATPFHPFGGAIDVIVVQQQDGSFRSTPWYVRFGKFQGVLKGAEKFVRISVNGTEADFHMYLDNSGEAYFIREVDPAANDTNNLISGSENNNGNQNNGVTYRLEHSLSDSGTGELREGFDPLSRLERTESDCNRRFYDFQDDPPSPTSEYGSARFDNLNVESYGDSQGSDSEVVLVSIDGHILTAPVSVAEQEAENLRLNTPQFHLAPGDGTEFCEGNTEFASSETPWDTEYIDKVEESSDTANIASDKVDAINDERNDLDSHSRDNAEKDSHDAERDLLGSCLEQSELTKTSENVKSEEPGPTFEDRNLKEGEFPLRTIMENDRSEDEVTIESIDTLVDSFESSTTQITIEEVKTTEGSRISVDSNADSECKDEQTSAETAILFNNQESSISVDSNADSECKDEQPRISAETAILINNQEGGIIESEDQDSERVSIDSTREEVDKDNEDRKTVVSVGVTSSVDEGEPDTDQRYELSLCKDELRQGMGLSAAAEVFDAHMISKEEYINSATSILESENLVVRIRETYMPWTKAARIVLGKAVFDLDLDIQPDDVISVEENESPKPKDDETTITPSSSGTRWRLWPIPFRRVKTVEHTGSNSSSEEDLFVDSEPGLQNSPETQSTTESRHESPRRQLVRTNVPTNEQIASLNLKDGQNMITFSFSTRVLGTQQVDAHIYRWRWDTKIVISDVDGTITKSDVLGQFMPFIGKDWTQSGVAKLFSAIKENGYQLLFLSARAIVQAYLTRNFLNNLKQDGKALPTGPVVISPDGLFPALYREVIRRAPHEFKIACLEDIRKLFPTDYNPFYAGFGNRDTDELSYRKLGIPKGKIFIINPKGEVATGHRIDVKKSYTSLHTLVNDMFPPTSLVEQEDYNPWNFWKLPIEEVE
VIMSS10093084 76 309 0.400152136752137 Probable E3 ubiquitin-protein ligase LOG2; Probable RING-type E3 ubiquitin transferase LOG2; Protein LOSS OF GDU2; RING finger protein 215; EC 2.3.2.27 388 0 12 234 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S752 1 SwissProt PPGAMPLPPYDHHLQHHPPHPYHNHSWAPVAMARYPYAGHMMAQPTPYVEHQKAVTIRNDVNLKKESLRLEPDPDNPGRFLVSFTFDATVSGRISVIFFAKESEDCKLTATKEDILPPITLDFEKGLGQKFKQSSGSGIDFSVFEDVELFKAAADTEIYPLAVKAEAAPSGGENEEEERSGSKNAQITQAVYEKDKGEIKIRVVKQILWVNGTRYELQEIYGIGNTVEGDDDSA
VIMSS10093392 162 398 0.440916877637131 PF09454.10:Vps23_core:156:218 Protein ELC; AtELC; ESCRT-I complex subunit VPS23 homolog 1; Protein VACUOLAR PROTEIN SORTING 23A; Vacuolar protein-sorting-associated protein 23 homolog 1 398 63 12 237 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LHG8 1 SwissProt RRPQPPPPSPPTVYDSSLSRPPSADQSLPRPFPPSPYGGGVSRVQVQHVHHQQQSDDAAEVFKRNAINKMVEMVHSDLVSMRRAREAEAEELLSLQAGLKRREDELNIGLKEMVEEKETLEQQLQIISMNTDILDSWVRENQGKTKNLVDLDVDNAFECGDTLSKQMLECTALDLAIEDAIYSLDKSFQDGVVPFDQYLRNVRLLSREQFFHRATGSKVRAAQMEVQVAAIAGRLHS
VIMSS10094314 1 309 0.210443042071197 PF01459.22:Porin_3:31:303 Mitochondrial import receptor subunit TOM40-1; Translocase of outer membrane 40 kDa subunit homolog 1 309 273 12 309 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LHE5 1 SwissProt MADLLPPLTAAQVDAKTKVDEKVDYSNLPSPVPYEELHREALMSLKSDNFEGLRFDFTRALNQKFSLSHSVMMGPTEVPAQSPETTIKIPTAHYEFGANYYDPKLLLIGRVMTDGRLNARLKADLTDKLVVKANALITNEEHMSQAMFNFDYMGSDYRAQLQLGQSALIGATYIQSVTNHLSLGGEIFWAGVPRKSGIGYAARYETDKMVASGQVASTGAVVMNYVQKISDKVSLATDFMYNYFSRDVTASVGYDYMLRQARVRGKIDSNGVASALLEERLSMGLNFLLSAELDHKKKDYKFGFGLTVG 3
VIMSS10094825 98 295 0.469177272727273 PF14379.6:Myb_CC_LHEQLE:42:88 Protein PHR1-LIKE 2; Myb family transcription factor PHL2 295 47 12 198 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94A57 1 SwissProt QAGKESTENSKDASCVGESQDTGSSSTSSMRMAQQEQNEGYQVTEALRAQMEVQRRLHDQLEVQRRLQLRIEAQGKYLQSILEKACKAFDEQAATFAGLEAAREELSELAIKVSNSSQGTSVPYFDATKMMMMPSLSELAVAIDNKNNITTNCSVESSLTSITHGSSISAASMKKRQRGDNLGVGYESGWIMPSSTIG
VIMSS10095623 1 441 0.126977777777778 PF04833.15:COBRA:52:215 COBRA-like protein 2 441 164 12 422 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L8Q7 1 SwissProt MNILFSRFSFLLLFLCSWTSFTFTTTEAYDALDPYGNITIKWDIMSWTGDGYVAVVTIFNFQQYRHIEAPGWQLGWSWMKKEVIWSMVGGQATEQGDCSKFKGNIPHCCKKTPAIVDLLPGTPYNQQISNCCRGGVISAWAQDPATAISSFQISVGQSGTTNTTVRAPRNITLKAPGPGYTCGPAKLVKPSRFISADKRRKTQSLLTWNITCTYSQFLARKTPTCCVSLSAFYNETIVPCPTCSCGCQNSSQAGTCVDPKIASVVPALGKNNLEPLLQCTQHMCPIRVHWHVKTSYKEYWRVKVAITNFNYNMNYSQWNLVVQHPNFDNLTKLFSFNYKPLNPYLNINDTAMLWGIKFYNDFLSQAGPVGNVQSELLFQKNPLEFTFEKGWAFPRRIYFNGDNCVMPPPDSYPWLPNASPNIATSPFVILLITFLSVLILM 1
VIMSS10096977 1 523 0.103681070745698 PF07690.16:MFS_1:104:425,PF07690.16:MFS_1:339:502 Putative glycerol-3-phosphate transporter 1; G-3-P transporter 1; Glycerol-3-phosphate permease 1; AtG3Pp1; G-3-P permease 1; Protein PHOSPHATE STARVATION-INDUCED GENE 3; AtPS3 523 399 12 252 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C5L3 1 SwissProt MGSLMQSEPEMEKKPIGIRFLERIKGSKLSYSAYQAIVLIVTFLAYASYHAARKTTSIVKSALDPQSPDTGLNSLLLRFTSFGSSVKEEGGWAPFNGPDGTVLLGEIDVAFLAVYAFGMYFAGHLGDRMNLRIFLTVGMIGTGLFTSLFGVGYWGNIHSFYYFLIMQMLAGLFQSSGWPSVVAVVGNWFNKKKRGLIMGIWNAHTSVGNITGSLIAAAMLRYGWGWSFVVPGVIIVVIGLVNYAFLPVSPENVGAERDEVLDSSSEKIGNSVNEPLLLSSSDSETDDKKRAVGFIEAWRIPGVAPFALCLFFAKLVAYTFLYWLPFYVSHTAIEGEYLSDETAGNLSTMFDVGGVVGGIMAGYISDRIGARAITAASFMYCSIPALFFYRSYGHVSLLANASLMFLTGMLVNGPYALITTAVSADLGTHSSLKGNSRALATVTAIIDGTGSVGAAVGPLLTGYISSRGSWTAVFTMLMGAAFVAGLLLTRLVMAEVAEKIAESRPSEECRSPVDYVQDHVMEV
VIMSS10096983 1 100 0.0443 calcium-binding EF hand family protein (RefSeq) 183 0 12 77 1 Arabidopsis thaliana VIMSS10096983 1 MicrobesOnline MEDSSLLSPISLLTIVIFLFILNLMMIIQDFSSSFPFRFHLFFSNAYILFTSIRNNKQNTELPIIKKVVVPNRADIKTSVEEVKAIIDDSEALYECLIEE
VIMSS10097501 1 493 0.0575756592292089 PF00916.20:Sulfate_transp:82:462 SULTR3;1 (SULFATE TRANSPORTER 3;1); secondary active sulfate transmembrane transporter/ sulfate transmembrane transporter/ transporter (RefSeq) 658 381 12 236 12 Arabidopsis thaliana VIMSS10097501 1 MicrobesOnline MGTEDYTFPQGAEELHRRHHTVEAPQPQPFLKSLQYSVKETLFPDDPFRQFKNQNASRKFVLGLKYFLPIFEWAPRYNLKFFKSDLIAGITIASLAIPQGISYAKLANLPPILGLYSSFVPPLVYAVLGSSRDLAVGTVAVASLLTGAMLSKEVDAEKDPKLYLHLAFTATFFAGVLEASLGIFRLGFIVDFLSHATIVGFMGGAATVVSLQQLKGIFGLKHFTDSTDVISVMRSVFSQTHEWRWESGVLGCGFLFFLLSTRYFSIKKPKFFWVAAMAPLTSVILGSLLVYFTHAERHGVQVIGDLKKGLNPLSGSDLIFTSPYMSTAVKTGLITGIIALAEGVAVGRSFAMFKNYNIDGNKEMIAFGMMNIVGSFTSCYLTTGPFSRSAVNYNAGCKTAMSNIVMAIAVMFTLLFLTPLFHYTPLVVLSAIIISAMLGLIDYQAAIHLWKVDKFDFLVCMSAYVGVVFGSVEIGLVVAVAISIARLLLFVSR
VIMSS10097790 1 425 0.191981176470588 PF14543.6:TAXi_N:88:249,PF14541.6:TAXi_C:272:420,PF00026.23:Asp:88:388 aspartyl protease family protein (RefSeq) 425 333 12 425 0 Arabidopsis thaliana VIMSS10097790 1 MicrobesOnline MRSHLLILLISLLILKSESINCNEKSHSSDLRVFHINSLCSPFKTSVSWADTLLQDKARFLYLSSLAGVRKSSVPIASGRAIVQSPTYIVRANIGTPAQPMLVALDTSNDAAWIPCSGCVGCSSSVLFDPSKSSSSRTLQCEAPQCKQAPNPSCTVSKSCGFNMTYGGSTIEAYLTQDTLTLASDVIPNYTFGCINKASGTSLPAQGLMGLGRGPLSLISQSQNLYQSTFSYCLPNSKSSNFSGSLRLGPKNQPIRIKTTPLLKNPRRSSLYYVNLVGIRVGNKIVDIPTSALAFDPATGAGTIFDSGTVYTRLVEPAYVAVRNEFRRRVKNANATSLGGFDTCYSGSVVFPSVTFMFAGMNVTLPPDNLLIHSSAGNLSCLAMAAAPVNVNSVLNVIASMQQQNHRVLIDVPNSRLGISRETCT
VIMSS10098196 1 253 0.568895256916996 PF13912.6:zf-C2H2_6:90:115 Zinc finger protein GIS; Protein GLABROUS INFLORESCENCE STEMS 253 26 12 253 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84WI0 1 SwissProt MDEATGETETQDFMNVESFSQLPFIRRPKDKNPKPIRVFGKDFTGRDFSITTGQEDYTDPYQTKNKEEEEEEDQTGDNSTDNNSISHNRRFECHYCFRNFPTSQALGGHQNAHKRERQLAKRGVSSYFYHPDNNPYSYRHYPSWTNGPLTAARSYGGFSSGPKPSGYYTRPSYGSQLGLWRLPPRVQGVYNSNAAFTSNGSSSSSNSTLPLLTRSQTQLSSQVGGSAAQNRMSSYGYGLSPNVQDHVSLDLHL
VIMSS10098562 117 299 0.401585245901639 Transcription factor MYB17; Myb-related protein 17; AtMYB17; Protein LATE MERISTEM IDENTITY 2 299 0 12 183 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2D9 1 SwissProt LSMGLDPRTHEPLPSYGLAKQAPSSPTTRHMAQWESARVEAEARLSRESMLFSPSFYSGVVKTECDHFLRIWNSEIGEAFRNLAPLDESTITSQSPCSRATSTSSALLKSSTNSWGGKEVTVAIHGSDYSPYSNDLEDDSTDSALQLLLDFPISDDDMSFLEENIDSYSQAPPIGLVSMVSKF
VIMSS10098795 1 263 0.380099619771863 PF04570.14:zf-FLZ:214:260 Protein MARD1; FCS-Like Zinc finger 9; Mediator of ABA-regulated dormancy1; Senescence-associated protein SAG102 263 47 12 263 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LGS1 1 SwissProt MLRNKPRAAVTTKKQTSLLMADQPPPPKPNTCHCSPSLFSSPKFRFFTSKMMMTPFDSDFSLVSPTSILEANPSIFSSKNPKPVSYFEPTIPNPQRFHSPDVFGLADLVKDGDSNRDHSRKPVNKMVLFGSKLRVQIPSSADFGTKTGIRYPPCQLSPCVQTKVLAVSEIDQTEDYTRVISHGPNPTITHIFDNSVFVEATPCSVPLPQPAMETKSTESFLSRCFTCKKNLDQKQDIYIYRGEKGFCSSECRYQEMLLDQMET
VIMSS10098837 1 399 0.605549624060151 PF00010.26:HLH:218:263 Transcription factor UNE10; Basic helix-loop-helix protein 16; AtbHLH16; bHLH 16; Protein UNFERTILIZED EMBRYO SAC 10; Transcription factor EN 108; bHLH transcription factor bHLH016 399 46 12 399 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GZ38 1 SwissProt MSQCVPNCHIDDTPAAATTTVRSTTAADIPILDYEVAELTWENGQLGLHGLGPPRVTASSTKYSTGAGGTLESIVDQATRLPNPKPTDELVPWFHHRSSRAAMAMDALVPCSNLVHEQQSKPGGVGSTRVGSCSDGRTMGGGKRARVAPEWSGGGSQRLTMDTYDVGFTSTSMGSHDNTIDDHDSVCHSRPQMEDEEEKKAGGKSSVSTKRSRAAAIHNQSERKRRDKINQRMKTLQKLVPNSSKTDKASMLDEVIEYLKQLQAQVSMMSRMNMPSMMLPMAMQQQQQLQMSLMSNPMGLGMGMGMPGLGLLDLNSMNRAAASAPNIHANMMPNPFLPMNCPSWDASSNDSRFQSPLIPDPMSAFLACSTQPTTMEAYSRMATLYQQMQQQLPPPSNPK
VIMSS10099084 1 520 0.0862278846153846 PF01553.21:Acyltransferase:309:425 Probable glycerol-3-phosphate acyltransferase 3; AtGPAT3; EC 2.3.1.15 520 117 12 481 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYJ2 1 SwissProt MSAKISIFQALVFLFYRFILRRYRNSKPKYQNGPSSLLQSDLSRHTLIFNVEGALLKSDSLFPYFMLVAFEAGGVIRSFLLFILYPLISLMSHEMGVKVMVMVSFFGIKKEGFRAGRAVLPKYFLEDVGLEMFEVLKRGGKKIGVSDDLPQVMIEGFLRDYLEIDVVVGREMKVVGGYYLGIMEDKTKHDLVFDELVRKERLNTGRVIGITSFNTSLHRYLFSQFCQEIYFVKKSDKRSWQTLPRSQYPKPLIFHDGRLAIKPTLMNTLVLFMWGPFAAAAAAARLFVSLCIPYSLSIPILAFSGCRLTVTNDYVSSQKQKPSQRKGCLFVCNHRTLLDPLYVAFALRKKNIKTVTYSLSRVSEILAPIKTVRLTRDRVSDGQAMEKLLTEGDLVVCPEGTTCREPYLLRFSPLFTEVSDVIVPVAVTVHVTFFYGTTASGLKALDPLFFLLDPYPTYTIQFLDPVSGATCQDPDGKLKFEVANNVQSDIGKALDFECTSLTRKDKYLILAGNNGVVKKN
VIMSS10100264 1 182 0.587726923076923 Transcription factor bHLH81; Basic helix-loop-helix protein 81; AtbHLH81; bHLH 81; Transcription factor EN 72; bHLH transcription factor bHLH081 262 0 12 182 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M0R0 1 SwissProt MQPTSVGSSGGGDDGGGRGGGGGLSRSGLSRIRSAPATWLEALLEEDEEESLKPNLGLTDLLTGNSNDLPTSRGSFEFPIPVEQGLYQQGGFHRQNSTPADFLSGSDGFIQSFGIQANYDYLSGNIDVSPGSKRSREMEALFSSPEFTSQMKGEQSSGQVPTGVSSMSDMNMENLMEDSVAF
VIMSS10100627 1 298 0.0663875838926174 PF04116.13:FA_hydroxylase:132:267 Methylsterol monooxygenase 1-1; Sterol 4-alpha-methyl-oxidase 1-1; AtSMO1-1; EC 1.14.18.9 298 136 12 209 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L7W5 1 SwissProt MIPYATVEEASIALGRNLTRLETLWFDYSATKSDYYLYCHNILFLFLVFSLVPLPLVFVELARSASGLFNRYKIQPKVNYSLSDMFKCYKDVMTMFILVVGPLQLVSYPSIQMIEIRSGLPLPTITEMLSQLVVYFLIEDYTNYWVHRFFHSKWGYDKIHRVHHEYTAPIGYAAPYAHWAEVLLLGIPTFMGPAIAPGHMITFWLWIALRQMEAIETHSGYDFPWSPTKYIPFYGGAEYHDYHHYVGGQSQSNFASVFTYCDYIYGTDKGYRFQKKLLEQIKESSKKSNKHNGGIKSD
VIMSS10100682 1 413 0.139469733656174 PF07734.13:FBA_1:232:344,PF00646.33:F-box:4:40 F-box protein CPR1; Protein CONSTITUTIVE EXPRESSER OF PR GENES 1; Protein CONSTITUTIVE EXPRESSER OF PR GENES 30 413 150 12 413 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SU30 1 SwissProt MATIPMDIVNDIFLRLPAKTLVRCRALSKPCYHLINDPDFIESHLHRVLQTGDHLMILLRGALRLYSVDLDSLDSVSDVEHPMKRGGPTEVFGSSNGLIGLSNSPTDLAVFNPSTRQIHRLPPSSIDLPDGSSTRGYVFYGLGYDSVSDDYKVVRMVQFKIDSEDELGCSFPYEVKVFSLKKNSWKRIESVASSIQLLFYFYYHLLYRRGYGVLAGNSLHWVLPRRPGLIAFNLIVRFDLALEEFEIVRFPEAVANGNVDIQMDIGVLDGCLCLMCNYDQSYVDVWMMKEYNVRDSWTKVFTVQKPKSVKSFSYMRPLVYSKDKKKVLLELNNTKLVWFDLESKKMSTLRIKDCPSSYSAELVVSSLVLGCKGDLNNIKYRKEQQAKEAREAKIMQNTKRRDDFLSKGFKLVL
VIMSS10101204 165 486 0.432151552795031 ADA2B (HOMOLOG OF YEAST ADA2 2B); DNA binding / transcription coactivator/ transcription factor (RefSeq) 486 0 12 322 0 Arabidopsis thaliana VIMSS10101204 1 MicrobesOnline KNRKELQAMAKGRIDDKKEQNMKEEYPFSPPKVKVEDTQKESFVDRSFGGKKPVSTSVNNSLVELSNYNQKREEFDPEYDNDAEQLLAEMEFKENDTPEEHELKLRVLRIYSKRLDERKRRKEFIIERNLLYPNPFEKDLSQEEKVQCRRLDVFMRFHSKEEHDELLRNVVSEYRMVKRLKDLKEAQVAGCRSTAEAERYLGRKRKRENEEGMNRGKESGQFGQIAGEMGSRPPVQASSSYVNDLDLIGFTESQLLSESEKRLCSEVKLVPPVYLQMQQVMSHEIFKGNVTKKSDAYSLFKIDPTKVDRVYDMLVKKGIAQL
VIMSS10101482 1 232 0.124959482758621 PF14144.6:DOG1:27:102 Protein DOG1-like 4 232 76 12 232 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84JC2 1 SwissProt MSKMRNLVEEKFLEFYESWVIQLELYLHQLLIAHNNNTMSETELRHLISKLTTHHKAYYTAKWAAIREDVLAFFGSVWLNPLENACSWLTGWKPSMVFRMVDRLRKSRVVLVEAQVKKLEELRVKTKFDEQKIEREMERYQVAMADRKMVELARLGCHVGGESVMVVEAAVRGLSMGLEKMVKAADCVRLKTLKGILDILTPPQCVEFLAAAATFQVQLRRWGNRRHYVTHS
VIMSS10101579 1 397 0.102721662468514 PF03283.13:PAE:19:369 Pectin acetylesterase 8; EC 3.1.1.- 397 351 12 374 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6DBP4 1 SwissProt MFKLKQWLIYLVCSLVIMNTEGLFVNITFVRNAVAKGAVCLDGSPPAYHLDRGSGTGINSWLIQLEGGGWCNNVTNCVSRMHTRLGSSKKMVENLAFSAILSNKKQYNPDFYNWNRVKVRYCDGASFTGDVEAVNPATNLHFRGARVWLAVMQELLAKGMINAENAVLSGCSAGGLASLMHCDSFRALLPMGTKVKCLSDAGFFLNTRDVSGVQYIKTYFEDVVTLHGSAKNLPRSCTSRLTPAMCFFPQYVARQIRTPLFILNAAYDSWQIKNILAPRAADPYGKWQSCQLDIKNCHPSQIKVMQDFRLEFLSAVIGLGRSSSRGMFIDSCYTHCQTETQTSWFWQDSPILNRTTIAKAVGDWVYDRTLFQKIDCPYPCNPTCHHRVFTPLDAPPI
VIMSS10101662 1 483 0.224130227743271 PF00856.28:SET:101:324,PF09273.11:Rubis-subs-bind:412:464 Protein PLASTID TRANSCRIPTIONALLY ACTIVE 14; pTAC14; Plastid-encoded RNA polymerase-associated protein 7; PEP-associated protein 7; EC 2.1.1.- 483 277 12 483 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84JF5 1 SwissProt MASSVSLQFLTNTFISKPQGFCNGIVSAPRPRSNLLRDRQNGVRPIKVASIETQPFPLFQSPASEESSSSELETADPDFYKIGYVRSVRAYGVEFKEGPDGFGVYASKDIEPRRRARVIMEIPLELMITIRQKHPWMFFPDIVPIGHPIFDIINSTDPEIDWDIRLACLLLFSFDRDDHFWRLYGDFLPAADECSSLLLATEEDLAELQDPDLVSTIRQQQKRILDFWEKNWHSGVPLKIKRLAEDPERFIWAVSMAQTRCISMQTRVGALVQELNMMIPYADMLNHSFEPNCFLHWRPKDRMLEVMSNAGQDIKKGEEMTINYMPGQKNNMLMERYGFSTPVNPWDAIKFSGDSRIHLNSFLSVFNIYGLPEEYYHDSELSRGDTFVDGAVIAAARTLPTWSDIDLPPIPSAERKAVKELQDECRKMLAEYPTTAEQDQKLLDSMSEARTTFATAVKYRMHRKMFIGKIIKALDIYQERLLY
VIMSS10101996 1 1972 0.14672205882353 PF03568.17:Peptidase_C50:1643:1971 Separase; Protein EXTRA SPINDLE POLES; AtESP; Protein RADIALLY SWOLLEN 4; EC 3.4.22.49 2180 329 12 1972 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5IBC5 1 SwissProt MASSGDDLRLLSLIDVGDNVFSSFSDYLKPFSTLSTSRKKQDRATTIRALAKQFLPFLNKSISLLPKRLSVANSDKEARESALDLFRAYELCLDCLELVSAQLACKPHTVQSQRLRMIHCLDVWGLYENVYTEAFKVLEKLRGSDSKSRKSRLLPEVQDGDAEMALVVVDAVAAIFRAVAMSQQLDDKRYRKVLLLLEEVGGWLRVLDAKVYEKLHRAMVTSMGKCAVSLVREAERFNGDLVISFCDLTVKEHYKSALSKDRVYKFAREVLSVLFGFKDRKMSVTIDISMSVLRSLSCQFEDESNENLMEFFDLVDYCAHKFRAAGDMYCAKVSKKLNEMAAIFVEAIPQLNLVLRLYSTGLSITVCNSKLGEIKLEDSTDDWKIQAMFDDDARWQSLVSLLGMVDSYSGDEGNQTGSSSIGGHRNYNNKTHDSCKDRNKITCWPQYVDALKFLCQPLADFIYSVKRKIVLETEMSCASAHLITIHDAFLQFCDGCLFLQRCTSDKGDREIANNKAFLNAAMGAFIVSLRTQLKLEISAHLVEDVIGSPWIQSQELKYLIATLYNIGIVLYRNKELNKACEALKLCSKVSWRCVELHCHMFVNQSSSSDNDLSEDAIMDFVGEACNRCAFYLDILQKCSRRKIRQNIVHILENWLSAEHLIRRLPGPEAIVKQWVKIERECHTDLDAAGSCTTLYSLLSSSQKKSKRGIGKILEQELLAYDRVLPLRSNLGQQTRIKIADILLKDVYVTEDMHIERARILIWKARMTRTSGTEHITECICFLSEAISILGELHHGPNEEGSPSSHMLPIAYCLRAFCTQEADPNSKKVFQDISTSLNLWLRILSLDDSGDSLPTENIIPLLYNMIDLMSVKGCTELHHHIYQLIFRLFKWKNVKLEVCLAMLWECRRLSHALCPSPISDAFIQTLSENCADKSTCIDFWMDCLKDSKAKLIGFQQNFHDLHNKDEGPFQSDITIDDIKDAASELISSASLSGNSSFAAAYLYYDLCERLISFGKLSEALSYAKEAYRIRTLIFQDKFKYTAEKHIEKHNEDGKISEIRTFSIKNFQVYRLLATDFWPCGNFLWDINRCYLSPWSVLQCYLESTLQVGILNELIGNGLEAETILSWGKAFSCSQSLFPFVVAFSSALGNLYHKKQCLDLAEKELQNAKEILIANQRDFSCVKCKLKLEVTLDKQLGDISRKQIDRVSQTDGFLHAESLFSAALGKFCCSAWKSCIRSHGEEIAEEIVIDRNGGEGLGHNSSKTKLSIKEPPGNRGSRRGGRANKTCLSKDQDLISEPTSRLTRSMRHSLREQCQNRSNVPEVVSKKPNLCDRSVGSRGERVLLDTSNALPGFCICYKEKRQQCLSEEVTESGSLNNLVSLKWELCHRKLASSILVSLGKCLGDSGRIHLAHEALLHSISVLFKSTWSSHNQPSVSQLLEFIGKEVTRDVFAVDRAIILYNLCWLNLRNYHCRKSRSICCDLFHIPFTKLVSWLMLAFVLSGEVPILFQKVSRLLASLYLLSSSNSEFTFESDGNELSASHWVSFFHQASLGTHLSYHFISNLSQKHKSQCLSDKECTEATCSSCMVPEDLDLPRLAPDRTQDLVQFAKEFFINLPSSTIICISLLGGALNQLLQELMHIRSPVCAWVLISRLNPESQPVATLLPVDSIVEDMSDDSANLSSTEATQVKSLKGPWLCPWGTTVVDEVAPAFKSILEESHSSSSTTEEDTIESRGLWWKKRKKLNHRLGIFLRNLEASWLGPWRCLLLGEWSNYKLPDSAQKKLVNDLKSKCKMEVNEMLLKVILGGGTDNFKGEACVAQLSLRNGCYVGRGGYLYEEDSCKTPTAASNISESRHELALKLIHDAASKLGQQDGHENREPIILVLDPEVQMLPWENIPILRKQEVYRMPSVGCISAVLKKRSLQGEPAKSHVASFPLIDPLDSFYLLNPGGDLTDTQVTFESWFRDQNFEGKAGS
VIMSS10102255 1 201 0.25913631840796 PF04043.15:PMEI:37:193 Pectinesterase inhibitor 7; Pectin methylesterase inhibitor 7; AtPMEI7 201 157 12 183 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SB37 1 SwissProt MARNFELSLILFVLYLSTAAIVMARNLEEESSGDTEFIKASCETTSYPDRCFQSLSSYASEIKKQPRKLAETALAVSIARAKSAKTYVSEMTDYKGITKRQHEAVADCLEEMGDTVDRLSNSLKELKHLEEGDSGEDFWFCLSNVRTWTSAALTDETACMDGFGGKAMAGELKSLIRTHIVSVAEETSNALALINDFASKH
VIMSS10102469 1 864 0.427858217592593 Microtubule-associated protein TORTIFOLIA1; Microtubule-associated protein SPIRAL2; Protein CONVOLUTA 864 0 12 864 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9T041 1 SwissProt MSTPTTSGSAAKPTRPARSSSLATRSCSNSGSLTSFQAMVELKQKILTSISKLADRDTYQIAVEDLEKTIQSLTPETLPMFLNCLYDSCSDPKPAVKKECLHLLSYVCSLHCDSTAAHLTKIIAQIVKRLKDSDSGVRDACRDTIGALSGIYLKGKEEGTNTGSASLAVGLFVKPLFEAMGEQNKVVQSGASMCMARMVESAASPPVTSFQKLCPRICKLLSNSSFLAKASLLPVVSSLSQVGAIAPQSLESLLESIHDCLGSTDWVTRKAAAETLTALASHSSGLIKEKTDSTITVLETCRFDKIKPVRESVTEALQLWKKISGKYVDGASDDSKLSASEQLGSEKNGEKRSNLADLMKKEASDGSTLSPDSASKGKGCFPEKAVGLLKKKAPVLSDKDFNPEFFQRLERRQSVEVVVPRRCKNNDEEESGLDDLNAMGSSNRLKNTQADDKQVKGRFDGNGSQARTSGDDKAGVVNGKETPGHHAPVSNTDNQSEGSFTSNRGNWSAIQRQLLQLERQQTNLMNMLQEFIGGSHDSMVTLEGRVRGLERIVEDMARDLSISSGRRANLTAGFGKYNSFANYPTGKYNGRAPGERGSQTDGAMRGRMWNSDMADDWFIPPHAASRNGQAGPRRSPRSEQYENEHMGNGRRGWDNKASGTIRFGEGPSARSVWQASKDEATLEAIRVAGEDGAVPRPTRVAVAPEAEAMGDDDNEGQERDPIWVSWSNAMHSLRVGDIDAAYAEVLCAGDQHLVIKLMDKTGPSLDQMSNEIANEALNFISQFLLDHSLYDICLSWSQQLLELVLQDGADTFGVPMELKTEILYNLQDACSTMDPPEDWEGPAPEQLVVQLASVWEIDLQQFDK
VIMSS10102637 1 182 0.163854395604396 PF01145.25:Band_7:38:181 Prohibitin-1, mitochondrial; Atphb1 288 144 12 159 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49460 1 SwissProt MNNVKVPKIPGGGAISTLLKVGIIGGLGLYGATHSLYNVEGGHRAIMFNRLVGIKDKVYPEGTHLMIPWFERPVIYDVRARPYLVESTSGSRDLQMVKIGLRVLTRPMADQLPEIYRSLGENYSERVLPSIINETLKAVVAQYNASQLITQREAVSREIRKILTERAANFNVALDDVSITNL
VIMSS10102876 1 73 0.0311808219178082 PF01679.17:Pmp3:8:56 hydrophobic protein, putative / low temperature and salt responsive protein, putative (RefSeq) 73 49 12 32 2 Arabidopsis thaliana VIMSS10102876 0 MicrobesOnline MASNMEVFCEILIAILLPPLGVCLKRGCCTVEFLICLVLTILGYIPGIIYALYVIVFQNREGSTELGAPLNSA
VIMSS10103089 193 392 0.093517 PF00153.27:Mito_carr:9:95,PF00153.27:Mito_carr:104:196 Adenine nucleotide transporter BT1, chloroplastic/mitochondrial; Protein BRITTLE 1 homolog; AtBT1; Protein EMBRYO DEFECTIVE 104; Protein EMBRYO DEFECTIVE 42; Protein SODIUM HYPERSENSITIVE 1 392 180 12 200 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SUV1 1 SwissProt SPPHGQESKIPIPASLLAGACAGVSQTLLTYPLELVKTRLTIQRGVYKGIFDAFLKIIREEGPTELYRGLAPSLIGVVPYAATNYFAYDSLRKAYRSFSKQEKIGNIETLLIGSLAGALSSTATFPLEVARKHMQVGAVSGRVVYKNMLHALVTILEHEGILGWYKGLGPSCLKLVPAAGISFMCYEACKKILIENNQEA
VIMSS10103163 249 504 0.682475390625 Peptidyl-prolyl cis-trans isomerase CYP57; PPIase CYP57; Cyclophilin of 57 kDa; Cyclophilin-57; EC 5.2.1.8 504 0 12 256 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6Q152 1 SwissProt NASESKEVLSVREALNAKKEAAQKDKSFSVSDTVGNSDDDDDGEDETKFDAKMRNQVLSRRKEIGDTPSKPTQKKKSSSLKGREESTQRSDAVSSEDEKPRMEKLSLKKKGIGSEAKAEHMEKGDTDLQLYNASERARQLHKLKKRRLQGNEDSVLAKLEKFKQSISAKPFTSSNEPVVLTSSSEPVDNKEEDLSDWKNVKLKFAPERGKDKMSRRDDPDAYMVVDPLLEKGKEKFNRMQAKQKRREREWSGKSLA
VIMSS10103190 1 376 0.159739627659574 plant glycogenin-like starch initiation protein 3 626 0 12 358 1 Arabidopsis thaliana NP_001154284.1 1 RefSeq MTIMTMIMKMAPSKSALIRFNLVLLGFSFLLYTAIFFHPSSSVYFSSGASFVGCSFRDCTPKVVRGVKMQELVEENEINKKDLLTASNQTKLEAPSFMEEILTRGLGKTKIGMVNMEECDLTNWKRYGETVHIHFERVSKLFKWQDLFPEWIDEEEETEVPTCPEIPMPDFESLEKLDLVVVKLPCNYPEEGWRREVLRLQVNLVAANLAAKKGKTDWRWKSKVLFWSKCQPMIEIFRCDDLEKREADWWLYRPEVVRLQQRLSLPVGSCNLALPLWAPQGVDKVYDLTKIEAETKRPKREAYVTVLHSSESYVCGAITLAQSLLQTNTKRDLILLHDDSISITKLRALAAAGWKLRRIIRIRNPLAEKDSYNEYN
VIMSS10103315 1 676 0.3650974852071 PF00503.20:G-alpha:463:660 Extra-large guanine nucleotide-binding protein 2; Extra-large GTP-binding protein 2; Extra-large G-protein 2 861 198 12 676 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::C6KIE6 1 SwissProt MAAVIRKLLPFPSPNPKRDNRESDDDDETSSGYRIEYSFASEYKGPLIANVPRALPVEVDQIPTALPVSFSSLRSGISYPVAPLVMTKDTKRPPDSGIEKKNGFVDSAAGSSVVLIGRDVVSGSSSSSSSKRLDVPEEVKSPADFRLSPSSPLSASAREEDHLDDDRVSDVGPRAVRFVEPFQSSECDESSYVSDGESIAATHRAERKGKRGSCYRCQLGNRFTEKEVCIVCDAKYCFNCVRRAMGAMPEGRKCQACIGYRIDESKRASLGKCSRMLKRHLTDSELRQVMNAEITCKANQLPSRLIIVNDKPLSEDELYTLQTCPNPPKKLKPGHYWYDKVAGYWGKIGEKPSQIISPNNSIGGYISEKVSNGDTEIYINGREITKPELTMLKWAGVQCEGKPHFWVDSDGSYREEGQKHPIGNIWSKKRAKIACAVFSLPVPPASSAVEPYDVPLYEQKMLNKLLLIGSEKGGATTIYKQARSLYNVSFSLEDRERIKFIIQTNLYTYLAMVLEAHERFEKEMSNDQSSGNVGDETSAKPGNSINPRLKHFSDWVLKEKEDGNLKIFPPSSRENAQTVADLWRVPAIQATYKRLRDTLPRNAVYFLERILEISRSEYDPSDMDILQAEGLSSMEGLSCVDFSFPSTSQEESLESDYQHDTDMKYQLIRLNPRSLG
VIMSS10103414 1 541 0.162881146025878 PF01094.28:ANF_receptor:48:407,PF13458.6:Peripla_BP_6:48:332 Glutamate receptor 3.2; AtGluR2; Ligand-gated ion channel 3.2 912 360 12 541 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93YT1 1 SwissProt MFWVLVLLSFIVLIGDGMISEGAGLRPRYVDVGAIFSLGTLQGEVTNIAMKAAEEDVNSDPSFLGGSKLRITTYDAKRNGFLTIMGALQFMETDAVAIIGPQTSIMAHVLSHLANELSVPMLSFTALDPSLSALQFPFFVQTAPSDLFLMRAIAEMISYYGWSEVIALYNDDDNSRNGITALGDELEGRRCKISYKAVLPLDVVITSPREIINELVKIQGMESRVIIVNTFPKTGKKIFEEAQKLGMMEKGYVWIATTWLTSLLDSVNPLPAKTAESLRGVLTLRIHTPNSKKKKDFVARWNKLSNGTVGLNVYGLYAYDTVWIIARAVKRLLDSRANISFSSDPKLTSMKGGGSLNLGALSIFDQGSQFLDYIVNTNMTGVTGQIQFLPDRSMIQPSYDIINVVDDGFRQIGYWSNHSGLSIIPPESLYKKLSNRSSSNQHLNNVTWPGGTSETPRGWVFPNNGRRLRIGVPDRASFKEFVSRLDGSNKVQGYAIDVFEAAVKLISYPVPHEFVLFGDGLKNPNFNEFVNNVTIGVFDAV
VIMSS10103796 1 448 0.338758705357142 PF01190.17:Pollen_Ole_e_I:41:111 Proline-rich protein 4; AtPRP4 448 71 12 448 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9T0I5 1 SwissProt MRILPEPRGSVPCLLLLVSVLLSATLSLARVVEVVGYAESKIKTPHAFSGLRVTIDCKVNKGHFVTKGSGNIDDKGKFGLNIPHDIVSDNGALKEECYAQLHSAAGTPCPAHDGLESTKIVFLSKSGDKHILGLKQNLKFSPEICVSKFFWPMPKLPPFKGFDHPFPLPPPLELPPFLKKPCPPKYSPPVEVPPPVPVYEPPPKKEIPPPVPVYDPPPKKEVPPPVPVYKPPPKVELPPPIPKKPCPPKPPKIEHPPPVPVYKPPPKIEKPPPVPVYKPPPKIEHPPPVPVHKLPKKPCPPKKVDPPPVPVHKPPTKKPCPPKKVDPPPVPVHKPPPKIVIPPPKIEHPPPVPVYKPPPKIEHPPIYIPPIVKKPCPPPVPIYKPPVVIPKKPCPPPVPVYKPPVVVIPKKPCPPLPQLPPLPKFPPLPPKYIHHPKFGKWPPLPPHP
VIMSS10104364 1 339 0.188746902654867 PF08392.12:FAE1_CUT1_RppA:27:317 3-ketoacyl-CoA synthase 19; KCS-19; Very long-chain fatty acid condensing enzyme 19; VLCFA condensing enzyme 19; EC 2.3.1.199 464 291 12 317 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LZ72 1 SwissProt MELFSLSSLLLLSTLFVFYIFKFVFKRRNQRNCYMLHYECYKGMEERKLDTETCAKVVQRNKNLGLEEYRFLLRTMASSGIGEETYGPRNVLEGREDSPTLLDAHSEMDEIMFDTLDKLFHKTKGSISPSDIDILVVNVSLFAPSPSLTSRVINRYKMREDIKSYNLSGLGCSASVISIDIVQRMFETRENALALVVSTETMGPHWYCGKDRSMMLSNCLFRAGGSSVLLTNAARFKNQALMKLVTVVRAHVGSDDEAYSCCIQMEDRDGHPGFLLTKYLKKAAARALTKNLQVLLPRVLPVKELIRYAIVRALKRRTSAKREPASSGIGLNLKTGLQH
VIMSS10104385 68 215 0.526527702702703 PF00249.31:Myb_DNA-binding:33:77 Duplicated homeodomain-like superfamily protein 215 45 12 148 0 Arabidopsis thaliana NP_196096.1 1 RefSeq MDDSAAAAAGWDSAGQISFGSKHGESERKRGTPWTENEHKLFLIGLKRYGKGDWRSISRNVVVTRTPTQVASHAQKYFLRQNSVKKERKRSSIHDITTVDATLAMPGSNMDWTGQHGSPVQAPQQQQIMSEFGQQLNPGHFEDFGFRM
VIMSS10104412 1 264 0.458704924242424 SUN domain-containing protein 1; AtSUN1 471 0 12 264 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FF75 1 SwissProt MSASTVSITANTAAATRRTPILAGEKKSNFDYPQSESLANGGVGEAGGTSRDLSRGEATLDRSQGQDLGPVTRRSVSAATGTNTTATQRRTRKVATPKSEKARWKTVVRIFAKQLGALLIIVGLIQLTRKMILKASSPSSPISSYETEMAFSGLESRIAEVDGLVKATTNSMQVQVELLDKKMEREAKVLRQEIERKASAFQSELKKIESRTESLEKSVDEVNAKPWVTKDELERIYEELKKGNVDDSAFSEISIDELRAYARD
VIMSS10104518 1 116 0.132781034482759 PF14368.6:LTP_2:38:110,PF00234.22:Tryp_alpha_amyl:39:110 protease inhibitor/seed storage/lipid transfer protein (LTP) family protein (RefSeq) 116 73 12 116 0 Arabidopsis thaliana VIMSS10104518 1 MicrobesOnline METHVLSRIFLLVLCIYSLKTMVYGAGECGRNPPDREAIKLAPCAMAAQDTSAKVSAICCARVKQMGQNPKCLCAVMLSSTARSSGAKPEISMTIPKRCNIANRPVGYKCGAYTLP
VIMSS10104696 1 106 0.112870754716981 PF01277.17:Oleosin:4:85 glycine-rich protein 19 106 82 12 48 2 Arabidopsis thaliana NP_196372.1 0 RefSeq MFEIIQAVFSAGVALALLTFAGITLGGSVVACIISTPLFVIFSPVLVPATIATTLLASGFTASGSFGATAFTILSWLYKKRTGRDLPKIPGLTPPAPASNPAGSGV
VIMSS10104807 81 298 0.741057798165137 PF00249.31:Myb_DNA-binding:39:83 Transcription factor SRM1; Myb-related protein SRM1; Protein SALT-RELATED MYB 1 298 45 12 218 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FNN6 1 SwissProt NGHAGDEGASSKKGGNSHAGESNQAGKSKSDQERRKGIAWTEDEHRLFLLGLDKYGKGDWRSISRNFVVTRTPTQVASHAQKYFIRLNSMNKDRRRSSIHDITSVGNADVSTPQGPITGQNNSNNNNNNNNNNSSPAVAGGGNKSAKQAVSQAPPGPPMYGTPAIGQPAVGTPVNLPAPPHMAYGVHAAPVPGSVVPGAAMNIGQMPYTMPRTPTAHR
VIMSS10105185 1 225 0.0673546666666667 hypothetical protein (RefSeq) 225 0 12 202 1 Arabidopsis thaliana VIMSS10105185 1 MicrobesOnline MDDQEFRSLLDLFPVVRSRDHRVILSSLFSLLSSLFSLPSLFLFFCCCYCQLICRELDFSFKTLIYSSSSFERAFTSKLAELDSSKQSTSQSVVDREVSEWHDAPTVAEPKDLQYLKTDQGMHHLFFSYFRISTKLPLAYWLVRLTSICISSQLFSAVSCVLKSVFGCSSSFKLYKFWENLKAAAEKKVGGVEAERFCKAFEKLHKKLVYEELDPEAAKRYLLNS
VIMSS10105658 497 1145 0.167582280431433 PF03552.14:Cellulose_synt:24:640,PF13632.6:Glyco_trans_2_3:343:463 (gluco)mannan synthase (CslD2;At5g16910) (AtCslD2) (EC 2.4.1.-) 1145 617 12 522 6 Arabidopsis thaliana CAZy::CAC01704.1 1 CAZy PDSIRRRSDAYHAREEIKAMKMQRQNRDDEPMEPVKIPKATWMADGTHWPGTWLTSASDHAKGDHAGIIQVMLKPPSDEPLHGVSEGFLDLTDVDIRLPLLVYVSREKRPGYDHNKKAGAMNALVRASAIMSNGPFILNLDCDHYIYNSEALREGMCFMMDRGGDRLCYVQFPQRFEGIDPSDRYANHNTVFFDVNMRALDGLMGPVYVGTGCLFRRIALYGFNPPRSKDFSPSCWSCCFPRSKKKNIPEENRALRMSDYDDEEMNLSLVPKKFGNSTFLIDSIPVAEFQGRPLADHPAVKNGRPPGALTIPRELLDASTVAEAIAVISCWYEDKTEWGSRIGWIYGSVTEDVVTGYRMHNRGWKSVYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNALLASSKMKILQRIAYLNVGIYPFTSIFLIVYCFLPALSLFSGQFIVQTLNVTFLVYLLIISITLCLLALLEIKWSGISLEEWWRNEQFWLIGGTSAHLAAVLQGLLKVVAGVEISFTLTSKSGGDDIDDEFADLYMVKWTSLMIPPITIIMVNLIAIAVGFSRTIYSVVPQWSKLIGGVFFSFWVLAHLYPFAKGLMGRRGRTPTIVYVWSGLVAITISLLWVAINPPAGNTEIGGNFSFP
VIMSS10106459 1 259 0.282417374517375 PF02466.19:Tim17:54:170,PF00536.30:SAM_1:200:247 Chloroplastic import inner membrane translocase subunit HP30-2; Hypothetical inner plastid envelope protein of 30 kDa 2; AtHP30-2; Hypothetical protein 30-2 259 165 12 259 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLT9 1 SwissProt MGKDGEGDKKRETMAVMSLMKDQQNPIQQFQVKFKEIETGFKSWLSKQKLPVEAAVVTAMGGVQGAFIGGLMGTLSPEMPQAGIDPQAMASLKQTQALVGGPLVQARNFAAITGVNAGIACVMKRIRGKEDLESAVVAAFGSGVAYSLVSAGLQGQPMNAITTAAGFAVFQGVFFKLGERFSKPSVEDPYYTRGRSMLLKLGLEKYEKNFKKGLLADPTLPLLTDSALRDVSIPPGPRLLILDHIQRDPELKGKRGSRG
VIMSS10106522 1 343 0.508466180758017 PF03791.13:KNOX2:217:267,PF03790.13:KNOX1:160:200,PF03789.13:ELK:322:343 Homeobox protein knotted-1-like 3; Protein KNAT3 431 114 12 343 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P48000 1 SwissProt MAFHHNHLSQDLSFNHFTDQHQPPPPQPPPPPPQQQQHFQEAPPPNWLNTALLRSSDNNNNFLNLHTATANTTTASSSDSPSSAAAAAAANQWLSRSSSFLQRNNNNNASIVGDGIDDVTGGADTMIQGEMKTGGGENKNDGGGATAADGVVSWQNARHKAEILSHPLYEQLLSAHVACLRIATPVDQLPRIDAQLAQSQHVVAKYSALGAAAQGLVGDDKELDQFMTHYVLLLCSFKEQLQQHVRVHAMEAVMACWEIEQSLQSLTGVSPGEGMGATMSDDEDEQVESDANMFDGGLDVLGFGPLIPTESERSLMERVRQELKHELKQGYKEKIVDIREEIL
VIMSS10106525 1 470 0.289991276595745 PF01145.25:Band_7:26:184 Flotillin-like protein 1; AtFLOT1; Nodulin-like protein 1 470 159 12 470 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q501E6 1 SwissProt MFKVARASQYLAITGAGIEDIKLSKKSWVFPWQSCTVFDVSPVNYTFKVQAMSAEKLPFVLPAVFTIGPRVDDDDALILYARLISPHDKDSNHVHELVEGVIEGETRVLAASMTMEEIFKGTKEFKKEVFDKVQLELNQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARIDVSEAKMKGEIGAKERTGLTLQNAAKIDAESKIISMQRQGEGTKEEIKVRTEVKVFENQKEADVAKANAELAMKKAAWTKDAQVAEVEATKAVALREAELQTQVEKMNALTRTEKLKAEFLSKASVEYETKVQEANWELYNKQKQAEAVLYEKQKQAEAQKAQADAAFYSKQKEAEGLVALASAQGTYLRTLLDAVQNDYSCLRDFLMINNGIYQEIAKTNAMAVRDLQPKISVWNHGGEQGGGSGNAMKDIAGLYKMLPPVLDTVYEQTGMQPPAWIGTLRGAEPKQVTRS
VIMSS10106897 102 619 0.568685521235521 PF13837.6:Myb_DNA-bind_4:2:72,PF13837.6:Myb_DNA-bind_4:357:453 Duplicated homeodomain-like superfamily protein 619 168 12 518 0 Arabidopsis thaliana NP_568506.2 1 RefSeq PWCSDEVLALLRFRSTVENWFPEFTWEHTSRKLAEVGFKRSPQECKEKFEEEERRYFNSNNNNNNNTNDHQHIGNYNNKGNNYRIFSEVEEFYHHGHDNEHVSSEVGDNQNKRTNLVEGKGNVGETVQDLMAEDKLRDQDQGQVEEASMENQRNSIEVGKVGNVEDDAKSSSSSSLMMIMKEKKRKKRKKEKERFGVLKGFCEGLVRNMIAQQEEMHKKLLEDMVKKEEEKIAREEAWKKQEIERVNKEVEIRAQEQAMASDRNTNIIKFISKFTDHDLDVVQNPTSPSQDSSSLALRKTQGRRKFQTSSSLLPQTLTPHNLLTIDKSLEPFSTKTLKPKNQNPKPPKSDDKSDLGKRWPKDEVLALINIRRSISNMNDDDHKDENSLSTSSKAVPLWERISKKMLEIGYKRSAKRCKEKWENINKYFRKTKDVNKKRPLDSRTCPYFHQLTALYSQPPTGTTATTATTATSARDLDTRPEENRVGSQDPDISVPMHVDGDGAGDKSNVQFSGFDLEF
VIMSS10108414 77 810 0.149222207084469 PF00999.21:Na_H_Exchanger:14:347 Cation/H(+) antiporter 18; Protein CATION/H+ EXCHANGER 18; AtCHX18 810 334 12 507 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FFR9 1 SwissProt RSKAFLDAVFPKKSLTVLETLANLGLLFFLFLAGLEIDTKALRRTGKKALGIALAGITLPFALGIGSSFVLKATISKGVNSTAFLVFMGVALSITAFPVLARILAELKLLTTEIGRLAMSAAAVNDVAAWILLALAIALSGSNTSPLVSLWVFLSGCAFVIGASFIIPPIFRWISRRCHEGEPIEETYICATLAVVLVCGFITDAIGIHSMFGAFVVGVLIPKEGPFAGALVEKVEDLVSGLFLPLYFVASGLKTNVATIQGAQSWGLLVLVTATACFGKILGTLGVSLAFKIPMREAITLGFLMNTKGLVELIVLNIGKDRKVLNDQTFAIMVLMALFTTFITTPVVMAVYKPARRAKKEGEYKHRAVERENTNTQLRILTCFHGAGSIPSMINLLEASRGIEKGEGLCVYALHLRELSERSSAILMVHKVRKNGMPFWNRRGVNADADQVVVAFQAFQQLSRVNVRPMTAISSMSDIHEDICTTAVRKKAAIVILPFHKHQQLDGSLETTRGDYRWVNRRVLLQAPCSVGIFVDRGLGGSSQVSAQDVSYSVVVLFFGGPDDREALAYGLRMAEHPGIVLTVFRFVVSPERVGEIVNVEVSNNNNENQSVKNLKSDEEIMSEIRKISSVDESVKFVEKQIENAAVDVRSAIEEVRRSNLFLVGRMPGGEIALAIRENSECPELGPVGSLLISPESSTKASVLVIQQYNGTGIAPDLGAAETEVLTSTDKDSD
VIMSS10108576 1 930 0.404602258064517 PF08235.13:LNS2:683:906,PF04571.14:Lipin_N:1:93,PF16876.5:Lipin_mid:540:643 Phosphatidate phosphatase PAH2; Phosphatidic acid phosphohydrolase 2; AtPAH2; EC 3.1.3.4 930 421 12 930 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMN2 1 SwissProt MNAVGRIGSYIYRGVGTVSGPFHPFGGAIDIIVVEQPDGTFKSSPWYVRFGKFQGVLKNGRNLIRIDVNGVDSGFNMYLAHTGQAYFLREVEDVVGESESGEVYTLSSGDEAETTSRDDVVDKVKIPLKSRSCNYDSPSPRTGNGKIVGKPGILGYVFGGRSVRESQDCGVERAEIAADLLEVKWSTNIDTRKRGKGMSSESLDGKDYGESTSTSGKSCVEGSSEMLVDSDSILETPLVASPTLRFLDEKEQDFRESTNVEDYCEEDGSSGVVVENGLCEASSMVFSVTSEGSGNVEIFVEPRTEALAEDAVSGSDLDSKQELLRAPESVEIATLGSADQADMGSIGTSQEGSSTGSPVQDENKITIKDMHISAGDFEKSQSASGESILQPEIEEEQFSFSDLDECKPGGNSSVGSSSSDTVKVDGKESYDETKTSPEKGVENTMALSEPINIERKKDIFTDEMERLVGSLPIMRLQNNDDMDASPSQPLSQSFDPCFNTSKLDLREDESSSGGLDAESVAESSPKLKAFKHVIANPEVVELSLCKHLLSEGMGAEAASQAFNSEKLDMEKFASLGPSILENDKLVVKIGGCYFPWDAAAPIILGVVSFGTAQVFEPKGMIAVDRNEKPGDVLAQGSGSWKLWPFSLRRSTKEAEASPSGDTAEPEEKQEKSSPRPMKKTVRALTPTSEQLASLDLKDGMNSVTFTFSTNIVGTQQVDARIYLWKWNSRIVVSDVDGTITRSDVLGQFMPLVGIDWSQTGVTHLFSAVKENGYQLIFLSARAISQASVTRQFLVNLKQDGKALPDGPVVISPDGLFPSLFREVIRRAPHEFKIACLEEIRGLFPPEHNPFYAGFGNRDTDEISYLKVGIPRGKIFIINPKGEVAVNRRIDTRSYTNLHTLVNRMFPATSSSEPEDFNTWNFWKLPPPSLM
VIMSS10108759 1 331 0.57964924471299 late embryogenesis abundant domain-containing protein / LEA domain-containing protein (RefSeq) 331 0 12 331 0 Arabidopsis thaliana VIMSS10108759 1 MicrobesOnline MAAMQLTRTVFFGISKAFPKSQAPRTLAVAIGRKSSRVFFASSVNHSKGRYDPVEKARDSRADLAYDSKKWREESGEYAEAGKGKAHKTKEEAKDKAYDMKERTKDYAEQTKNKVNEGASRAADKAYETKEKAKDKAYDVKEKTKDYAEEAKDKVNEGASRAADKAYETKEKAKDKAYDVKEKTKDFAEETKEKVNEGASRAADKAYDVKEKTKNYAEQTKDKVNEGASRAADKAEETKDKAKDYAEDSKEKAEDMAHGFKEKAQDIGEKTMDTVKDVWETAKSTAQKVTEAVVGSGEEADKARDDVDKGLEDLSKKAKENRNKDDDFKRF
VIMSS10109065 1 249 0.19265421686747 PF00153.27:Mito_carr:4:95,PF00153.27:Mito_carr:102:203 Mitochondrial carnitine/acylcarnitine carrier-like protein; Carnitine/acylcarnitine translocase-like protein; CAC-like protein; Protein A BOUT DE SOUFFLE 300 194 12 249 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93XM7 1 SwissProt MADAWKDLASGTVGGAAQLVVGHPFDTIKVKLQSQPTPAPGQLPRYTGAIDAVKQTVASEGTKGLYKGMGAPLATVAAFNAVLFTVRGQMEGLLRSEAGVPLTISQQFVAGAGAGFAVSFLACPTELIKCRLQAQGALAGASTTSSVVAAVKYGGPMDVARHVLRSEGGARGLFKGLFPTFAREVPGNATMFAAYEAFKRFLAGGSDTSSLGQGSLIMAGGVAGASFWGIVYPTDVVKSVLQVDDYKNP
VIMSS10109624 1 707 0.420668316831683 PF03999.12:MAP65_ASE1:52:608 65-kDa microtubule-associated protein 3; AtMAP65-3; Protein PLEIADE 707 557 12 707 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FHM4 1 SwissProt MASVQKDPILQVETTCGSLLFELQIIWDEVGETETDRDQMLLELERECLEVYRRKVDQANRCRAQLRQAIADAEAQLAAICSAMGERPVHIRQSDQSVGSLKQELGRILPELEEMQKRKVERRNQFIVVMEQIDSITNDIKGQGELVHSEPLIDETNLSMRKLEELHCQLQVLQKEKIDRVETIRKHLCTLYSHCSVLGMDFNEVVGQVNPTLSDPEGPRSLSDHTIEKLGAAVQKLMEVKIQRMQRLQDLATTMLELWNLMDTPIEEQQEYQHITCNIAASEHEITEANSLSEDFIKYVEAEVVRLDEVKASKMKELVLKKRSELEEICRKTHLLPVSDSAIDQTIVAIESGIVDATMVLEHLEQHISKIKEEALSRKEILERVEKWLSACDEESWLEEYNRDDNRYNAGRGAHLTLKRAEKARNLVTKLPGMVEALASKTIVWEQENGIEFLYDGIRLLSMLEEYNILRQEREEEHRRQRDQKKLQGQLIAEQEALYGSKPSPSKPLGGKKAPRMSTGGASNRRLSLGAAMHQTPKPNKKADHRHNDGALSNGRRGLDIAGLPSRKQSMNPSEMLQSPLVRKPFSPISTTVVASKANIATTTTQQLPKNNAVNEISSFATPIKNNNILRNLEEEKMMTMMMQTPKNVAAMIPIPSTPATVSVPMHTAPTPFTNNARLMSEKPEVVEYSFEERRLAFMLQSECRLV
VIMSS10109658 1 293 0.534834129692832 PF03634.13:TCP:56:131 TCP family transcription factor, putative (RefSeq) 293 76 12 293 0 Arabidopsis thaliana VIMSS10109658 1 MicrobesOnline MESNHEGNAIQVIDQVTTMTHLSDPNPKTKPGMMLMKQEDGYLQPVKTKPAPKRPTSKDRHTKVEGRGRRIRMPAGCAARVFQLTRELGHKSDGETIRWLLERAEPAIIEATGTGTVPAIAVSVNGTLKIPTSSPVLNDGGRDGDGDLIKKRRKRNCTSDFVDVNDSCHSSVTSGLAPITASNYGVNILNVNTQGFVPFWPMGMGTAFVTGGPDQMGQMWAIPTVATAPFLNVGARPVSSYVSNASDAEAEMETSGGGTTQPLRDFSLEIYDKRELQFLGGSGNSSPSSCHET
VIMSS10109765 1 169 0.376365680473373 PF04716.14:ETC_C1_NDUFA5:22:88 NADH-ubiquinone oxidoreductase B 13 subunit (EC 7.1.1.2) 169 67 12 169 0 Arabidopsis thaliana metacyc::AT5G52840-MONOMER 1 metacyc MFLRAIGRPLLAKVKQTTGIVGLDVVPNARAVLIDLYSKTLKEIQAVPEDEGYRKAVESFTRQRLNVCKEEEDWEMIEKRLGCGQVEELIEEARDELTLIGKMIEWDPWGVPDDYECEVIENDAPIPKHVPQHRPGPLPEQFYKTLEGLIAESKTEIPAATPSDPQLKE
VIMSS10109961 1 215 0.40661023255814 zinc finger (B-box type) family protein (RefSeq) 215 0 12 215 0 Arabidopsis thaliana VIMSS10109961 1 MicrobesOnline MGKKKCELCCGVARMYCESDQASLCWDCDGKVHGANFLVAKHMRCLLCSACQSHTPWKASGLNLGPTVSICESCLARKKNNNSSLAGRDQNLNQEEEIIGCNDGAESYDEESDEDEEEEEVENQVVPAAVEQELPVVSSSSSVSSGEGDQVVKRTRLDLDLNLSDEENQSRPLKRLSRDEGLSRSTVVMNSSIVKLHGGRRKAEGCDTSSSSSFY
VIMSS10110047 1 302 0.41907119205298 PF01025.19:GrpE:125:287 GrpE protein homolog 1, mitochondrial 302 163 12 302 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLP3 1 SwissProt MLVSRVLSRVSRSAGLRSSFSSVVTPKRNQIPIVASRFHSLVHGTPNKLVAVPVSLRNHGTLDLNVLQRFGFFSSSSAEPKGNESNTEVPKTGETSENVEVGKATDAEIDFDDLSRDDLVKLVSEKEDLLKVQQKDIMEMKDKFLRTYAEQQNLMDRTNRNAESAKKFAVQNFATSLLDVADNLERASSVVKESFSKIDTSKDLAGATPLLKNLLEGVEMTEKQLAEVFRKAGLVKEDPLNEPFNPNRHNAVFQVPDASKPKGTIAHVLKSGYSLYDRVIRPAEVGVTCAVENQEGGKESAA
VIMSS10110051 1 243 0.19023621399177 PF05042.13:Caleosin:63:229 Peroxygenase 2; AtPXG2; Caleosin-2; Embryo-specific protein 2; Putative embryo-specific protein 1 (ATS2); EC 1.11.2.3 243 167 12 220 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FLN9 1 SwissProt MTSMERMERDAMETVAPYARVTYHRRVRGDLDDTLPKPYLPRALQAPDMEHPQGTPDHRHNGLSVLQQHVAFFDLDNNGIIYPFETFSGFRLLGFNLLASLILAAGINIALSYATLPGWLPSPFFPIYIHNIHKAKHGSDSKTYDNEGRYTPANLELMFSKYARTIPDKLSLGELWDMTEGNRDAFDFFGWLASKVEWGVLYALASDEEGFLSKEAIRRCFDGSLFEYCAKNYAEIKEYKTYY
VIMSS10110790 1 475 0.193581684210526 PF07712.12:SURNod19:33:417 hypothetical protein (RefSeq) 475 385 12 452 1 Arabidopsis thaliana VIMSS10110790 1 MicrobesOnline MMARYHRRSMILCLVLLSLSISPSQGFLGTEEKIKSAVFYSPKLVMNPGSVANPYLFDIDFPRGHIGLKAFDAEVVDEAGKPVPLHETYLHHWIVEPYYVRKGSKLPQREMFRNHGFSREDPESNLDSKSDIILVKNGGLCRSLTLRHFFGLGSETRETSTYVPDPYAIEIGNPEETPDGYEFKWLLNIHAIDTRGVEDKKGCIECLCDLYNVTIDEYGRAIRPGYKGGLYCCYDKTQCRVKSGFDNGEKTRTLYLKYTVRWVDWDSSVLPAKVYIFDVTDSWERSKGDSQEHICHVEYEVKPCKTNGDGCVDVKKKSLVMPFDGYIVYGVAHQHAGGIGGALYRENGEGICASMPKYGNGDEPGNEAGYIVGMSSCYPADPVKVSYGETLTLESNYSNAVGHTGVMGLFYILVAQQLPEPDSSLPNKQHFEAPARSLSFLAIFAVTVVVAVVVLIAAVIYRRQKREDGYQSLST
VIMSS10110842 1 633 0.549506793048973 Probable serine/threonine protein kinase IRE; Protein INCOMPLETE ROOT HAIR ELONGATION; AtIRE; EC 2.7.11.1 1168 0 12 633 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LE81 1 SwissProt MSTTEPSPENDRDPQPTTISTPTSTNAKLLKKIPAIPFRHSDKEGEDEQAKTDEVTTELAGEGPMSHDSPEILAPSSLGLNHIRTKSSPAPSPLRFSSATPLISPGQDDKDVAKEKPRVGVVDARADARARWPIPPHQPDQGKKVQWSQSKSQRVPANSNPGVESTHVGLAKETQSPRFQAILRVTSGRKKKAHDIKSFSHELNSKGVRPFPVWRSRAVGHMEEIMAAIRTKFDKQKEDVDADLGVFAGYLVTTLESTPESNKELRVGLEDLLVEARQCATMPASEFWLKCEGIVQKLDDKRQELPMGGLKQAHNRLLFILTRCNRLVQFRKESGYVEEHILGMHQLSDLGVYPEQMVEISRQQDLLREKEIQKINEKQNLAGKQDDQNSNSGADGVEVNTARSTDSTSSNFRMSSWKKLPSAAEKNRSLNNTPKAKGESKIQPKVYGDENAENLHSPSGQPASADRSALWGFWADHQCVTYDNSMICRICEVEIPVVHVEEHSRICTIADRCDLKGINVNLRLERVAESLEKILESWTPKSSVTPRAVADSARLSNSSRQEDLDEISQRCSDDMLDCVPRSQNTFSLDELNILNEMSMTNGTKDSSAGSLTPPSPATPRNSQVDLLLSGRKT
VIMSS10110887 1 286 0.191866783216783 PF01145.25:Band_7:9:182 Hypersensitive-induced response protein 1; AtHIR1 286 174 12 286 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FM19 1 SwissProt MGNLFCCVQVDQSTVAIKETFGKFEDVLEPGCHFLPWCLGSQVAGYLSLRVQQLDVRCETKTKDNVFVNVVASIQYRALANKANDAYYKLSNTRGQIQAYVFDVIRASVPKLLLDDVFEQKNDIAKAVEEELEKAMSAYGYEIVQTLIVDIEPDEHVKRAMNEINAAARMRLAANEKAEAEKILQIKRAEGEAESKYLSGLGIARQRQAIVDGLRDSVLGFAVNVPGTTAKDVMDMVLVTQYFDTMKEIGASSKSSAVFIPHGPGAVRDVASQIRDGLLQGSSANL
VIMSS10111022 1 367 0.317870844686649 PF07002.16:Copine:60:272,PF13920.6:zf-C3HC4_3:322:361 E3 ubiquitin-protein ligase RGLG3; RING domain ligase 3; EC 2.3.2.27 367 253 12 367 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RX26 1 SwissProt MNMSNKRNQQPSYIADHFSSLDQVITSLREAGLESSNLILGIDFTKSNEWTGRYSFNRKSLHAIGKRQNPYEKAISIIGRTLSPFDEDDLIPCFGFGDVTTRDQYVFSFYPENKSCDGLENAVKRYREIVPHLKLSGPTSFAPVIDAAINIVEQNNMQYHVLVIIADGQVTRNPDVPLGRLSPQEEATMNSIMAASHYPLSIVLVGVGDGPWDTMKQFDDNIPHREFDNFQFVNFTKIMSEHKDAAKKEAAFALAALMEIPFQYKATLSLNRKPVRSSHQHHKPLPPPPEVIERDNAVRSVPNQMTETAEKSDRLAPSTVPVCPICLTNPKDMAFSCGHTTCKECGVVITTCPLCRQPITTRIRLYT
VIMSS10111033 1 182 0.152991758241758 PF14368.6:LTP_2:31:121,PF00234.22:Tryp_alpha_amyl:43:121 protease inhibitor/seed storage/lipid transfer protein (LTP) family protein (RefSeq) 182 91 12 136 2 Arabidopsis thaliana VIMSS10111033 1 MicrobesOnline MATHSSFTATTPLFLIVLLSLSSVSVLGASHHHATAPAPSVDCSTLILNMADCLSFVSSGGTVAKPEGTCCSGLKTVLKADSQCLCEAFKSSASLGVTLNITKASTLPAACKLHAPSIATCGLSVAPSTAPGLAPGVAAAGPETAGFLAPNPSSGNDGSSLIPTSFTTVLSAVLFVLFFSSA
VIMSS10111054 1 563 0.117452930728242 PF00939.19:Na_sulph_symp:95:562,PF03600.16:CitMHS:137:502 Dicarboxylate transporter 2.1, chloroplastic; AtpDCT1; Glutamate/malate translocator 563 468 12 369 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMF7 1 SwissProt MESFALHSLSTTATSTLLSHHHHHHPSRLSLLRRTSSRSPPSTISLRSLSVQPLSFPLLKPIPRFSTRIAAAPQDNAPPPPPPSPSPSPSPQGAKLIPLILSISVGLILRFAVPVPEGVTPQGWQLLSIFLSTIAGLVLSPLPVGAWAFIGLTASIVTKTLSFSAAFSAFTSEVIWLIVISFFFARGFVKTGLGDRIATYFVKWLGKSTLGLSYGLTLSEALIAPAMPSTTARAGGIFLPIIKSLSLSAGSKPNDSSSRKLGSYLIQSQFQCAGNSSALFLTAAAQNLLCLKLAEELGVVISNPWVSWFKAASLPAIISLLCTPLILYKLYPPETKDTPEAPGIAATKLKQMGPVTKNEWIMVGTMLLAVTLWICGETLGIPSVVAAMIGLSILLVLGVLNWDDCLSEKSAWDTLAWFAVLVGMAGQLTNLGVVTWMSDCVAKVLQSLSLSWPAAFGLLQAAYFFIHYLFASQTGHVGALFSAFLAMHIAAGVPGILAALALAYNTNLFGALTHYSSGQAAVYYGAGYVDLPDVFKIGFVMATINAIIWGVVGTFWWKFLGLY
VIMSS10111056 1 131 0.598344274809161 Classical arabinogalactan protein 1 131 0 12 111 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LCN5 1 SwissProt MAFSKSLVFVLLAALLISSAVAQSPAPAPSNVGGRRISPAPSPKKMTAPAPAPEVSPSPSPAAALTPESSASPPSPPLADSPTADSPALSPSAISDSPTEAPGPAQGGAVSNKFASFGSVAVMLTAAVLVI
VIMSS10111151 60 231 0.401356395348837 PF01486.17:K-box:80:136 MAF4 (MADS AFFECTING FLOWERING 4); transcription factor (RefSeq) 231 57 12 172 0 Arabidopsis thaliana VIMSS10111151 1 MicrobesOnline GDSMAKILSRYELEQADDLKTLCLNIVERDQHRITLFFTVGIALESPRRGSKLDLEEKTLNYLSHKELLETIQCKIEEAKSDNVSIDCLKSLEEQLKTALSVTRARKTELMMELVKTHQEKEKLLREENQSLTNQLIKMGKMKKSVEAEDARAMSPESSSDNKPPETLLLLK
VIMSS10111202 1 498 0.422689357429719 PF00847.20:AP2:231:289,PF00847.20:AP2:333:383 AP2-like ethylene-responsive transcription factor AIL7; Protein AINTEGUMENTA-LIKE 7 498 110 12 498 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6J9N8 1 SwissProt MAPPMTNCLTFSLSPMEMLKSTDQSHFSSSYDDSSTPYLIDNFYAFKEEAEIEAAAASMADSTTLSTFFDHSQTQIPKLEDFLGDSFVRYSDNQTETQDSSSLTPFYDPRHRTVAEGVTGFFSDHHQPDFKTINSGPEIFDDSTTSNIGGTHLSSHVVESSTTAKLGFNGDCTTTGGVLSLGVNNTSDQPLSCNNGERGGNSNKKKTVSKKETSDDSKKKIVETLGQRTSIYRGVTRHRWTGRYEAHLWDNSCRREGQARKGRQVYLGGYDKEDRAARAYDLAALKYWGSTATTNFPVSSYSKELEEMNHMTKQEFIASLRRKSSGFSRGASIYRGVTRHHQQGRWQARIGRVAGNKDLYLGTFATEEEAAEAYDIAAIKFRGINAVTNFEMNRYDIEAVMNSSLPVGGAAAKRHKLKLALESPSSSSSDHNLQQQQLLPSSSPSDQNPNSIPCGIPFEPSVLYYHQNFFQHYPLVSDSTIQAPMNQAEFFLWPNQSY
VIMSS10111213 1 316 0.511794936708861 PF02701.15:zf-Dof:39:95 Dof-type zinc finger DNA-binding family protein 316 57 12 316 0 Arabidopsis thaliana NP_201362.1 1 RefSeq MSSHTNLPSPKPVPKPDHRISGTSQTKKPPSSSVAQDQQNLKCPRCNSPNTKFCYYNNYSLSQPRHFCKSCRRYWTRGGALRNVPIGGGCRKTKKSIKPNSSMNTLPSSSSSQRFFSSIMEDSSKFFPPPTTMDFQLAGLSLNKMNDLQLLNNQEVLDLRPMMSSGRENTPVDVGSGLSLMGFGDFNNNHSPTGFTTAGASDGNLASSIETLSCLNQDLHWRLQQQRMAMLFGNSKEETVVVERPQPILYRNLEIVNSSSPSSPTKKGDNQTEWYFGNNSDNEGVISNNANTGGGGSEWNNGIQAWTDLNHYNALP
VIMSS10111372 1 689 0.11016618287373 PF05659.11:RPW8:12:133,PF00931.22:NB-ARC:182:404 Disease resistance protein (CC-NBS-LRR class) family 815 345 12 689 0 Arabidopsis thaliana NP_201492.1 1 RefSeq MVVVDWLGLGLGSVAGALVSEGLKVLISEAKKVLAFKSVSNELASTMESLLPVIKEIESMQDGMELQDLKDTIDKALLLVEKCSHVEKWNIILKSKYTRKVEEINRKMLKFCQVQLQLLLFRNQLKSMPSMEAILNNYFQNINKKLDRLSGSPAPPLVSKRCSVPKLDNMVLVGLDWPLVELKKKLLDNSVVVVSGPPGCGKTTLVTKLCDDPEIEGEFKKIFYSVVSNTPNFRAIVQNLLQDNGCGAITFDDDSQAETGLRDLLEELTKDGRILLVLDDVWQGSEFLLRKFQIDLPDYKILVTSQFDFTSLWPTYHLVPLKYEYARSLLIQWASPPLHTSPDEYEDLLQKILKRCNGFPLVIEVVGISLKGQALYLWKGQVESWSEGETILGNANPTVRQRLQPSFNVLKPHLKECFMDMGSFLQDQKIRASLIIDIWMELYGRGSSSTNKFMLYLNELASQNLLKLVHLGTNKREDGFYNELLVTQHNILRELAIFQSELEPIMQRKKLNLEIREDNFPDECLNQPINARLLSIYTDDLFSSKWLEMDCPNVEALVLNISSLDYALPSFIAEMKKLKVLTIANHGFYPARLSNFSCLSSLPNLKRIRFEKVSVTLLDIPQLQLGSLKKLSFFMCSFGEVFYDTEDIDVSKALSNLQEIDIDYCYDLDELPYWIPEVVSLKTLSITNC
VIMSS103024 1 500 0.533703399999999 PF08764.10:Coagulase:49:266 hypothetical protein (NCBI) 500 218 12 500 0 Staphylococcus aureus subsp. aureus N315 VIMSS103024 1 MicrobesOnline MKNKLLVLSLGALCVSQIWESNHASAVVSGEKNPYVSKALELKDKSNKSNSYENYRDSLESLISSLSFADYEKYEEPEYEKAVKKYQQKFMAEDDALKNFLNEEKKIKNADISRKSNNLLGLTHERYSYIFDTLKKNKQEFLKDIEEIQLKNSDLKDFNNTEQHNADVEINNLENKVLMVGYTFYNTNKDEVEELYSELDLIVGEVQDKSDKKRAVNQRMLNRKKEDLEFIIDKFFKKIQQERPESIPALTSEKNHNQTMALKLKADTEAAKNDVSKRSKRSLNTQNNKSTTQEISEEQKAEYQRKSEALKERFINRQKSKNESVVSLIDDEDDNENDRQLVVSAPSKKPTTPTTYTETTTQVPMPTVERQTQQQIVYKTPKPLAGLNGESHDFTTTHQSPTTSNHTHNNVVEFEETSALPGRKSGSLVGISQIDSSHLTEREKRVIKREHVREAQKLVDNYKDTHSYKDRLNAQQKVNTLSEGHQKRFNKQINKVYNGK
VIMSS103789 1 157 0.242997452229299 PF16284.5:DUF4930:25:156 hypothetical protein (NCBI) 157 132 12 134 1 Staphylococcus aureus subsp. aureus N315 VIMSS103789 1 MicrobesOnline MRLIFSMIKNIIAVIAIVIIVYIALKYAPFLKEQDWNPVNHDNDQMNQVTQPTNDAQHVYVSGEKYSLKENDLIKNVPLSQIKNVFKMIDKQEFMAVSGMNRMAYNDQYIIGQRGDEFILYKFGDESMRVYNTEFEMQQDLNELGQNLQLKPENAYQ
VIMSS104637 1 247 0.0425141700404858 HTH-type transcriptional regulator SarU; Staphylococcal accessory regulator U 247 0 12 247 0 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2G1T7 1 SwissProt MDYQTFEKVNKFINVEAYIFFLTQELKQQYKLSLKELLILAYFYYKNEHSISLKEIIGDILYKQSDVVKNIKSLSKKGFINKSRNEADERRIFVSVTPIQRKKIACVINELDKIIKGFNKERDYIKYQWAPKYSKEFFILFMNIMYSKDFLKYRFNLTFLDLSILYVISSRKNEILNLKDLFESIRFMYPQIVRSVNRLNNKGMLIKERSLADERIVLIKINKIQYNTIKSIFTDTSKILKPRKFFF
VIMSS108248 1 958 0.195044885177453 PF03176.15:MMPL:53:381,PF03176.15:MMPL:601:939 membrane protein, MmpL family (NCBI) 958 668 12 686 12 Mycobacterium tuberculosis CDC1551 VIMSS108248 1 MicrobesOnline MRSQRLAGHLSAAARTIHALSLPIILFWVALTIVVNVVAPQLQSVARTHSVALGPHDAPSLIAMKRIGKDFQQFDSDTTAMVLLEGQEKLGDEAHRFYDVLVTKLSQDTTHVQHIENFWGDPLTAAGSQSADGKAAYVQLNLTGDQGGSQANESVAAVQRIVDSVPPPPGIKAYVTGPGPLGADRVVYGDRSLHTITGISIAVIAIMLFIAYRSLSAALIMLLTVGLELLAVRGIISTFAVNDLMGLSTFTVNVLVALTIAASTDYIIFLVGRYQEARATGQNREAAYYTMFGGTAHVVLASGLTVAGAMYCLGFTRLPYFNTLASPCAIGLVTVMLASLTLAPAIIAVASRFGLFDPKRATTKRRWRRIGTVVVRWPGPVLAATLLIALIGLLALPKYQTNYNERYYIPSAAPSNIGYLASDRHFPQARMEPEVLMVEADHDLRNPTDMLILDRIAKTVFHTPGIARVQSITRPLGAPIDHSSIPFQLGMQSTMTIENLQNLKDRVADLSTLTDQLQRMIDITQRTQELTRQLTDATHDMNAHTRQMRDNANELRDRIADFDDFWRPLRSFTYWERHCFDIPICWSMRSLLNSMDNVDKLTEDLANLTDDTERMDTTQRQLLAQLDPTIATMQTVKDLAQTLTSAFSGLVTQMEDMTRNATVMGRTFDAANNDDSFYLPPEAFQNPDFQRGLKLFLSPDGTCARFVITHRGDPASAEGISHIDPIMQAADEAVKGTPLQAASIYLAGTSSTYKDIHEGTLYDVMIAVVASLCLIFIIMLGITRSVVASAVIVGTVALSLGSAFGLSVLIWQHILHMPLHWLVLPMAIIVMLAVGSDYNLLLIARFQEEIGAGLKTGMIRAMAGTGRVVTIAGLVFAFTMGSMVASDLRVVGQIGTTIMIGLLFDTLVVRSYMTPALATLLGRWFWWPRRVDRLARQPQVLGPRRTTALSAERAALLQ
VIMSS10973 1 380 0.503103684210527 unknown protein (NCBI ptt file) 380 0 12 357 1 Synechocystis sp. PCC 6803 VIMSS10973 1 MicrobesOnline MYKSPFFKLRSILLLARNDQRTAPVSGYTLMELVVVVVVIGILSGIVVNAKPWYENPLKNSQDRLQSVIKTARTRAVNSTSTYRITANPNNPSQAIQVQRIRSGSCQANATLREASLATDTTIALNDVSGFAIGDRLKVGGTEADALSVNFGNSTITLGAPVGEKAVGTKVETVKNWKNDSAFLDEDLNVNKKVSQNNADIRMVGKFDNAAEENWSICINSRGLVSLFDADGMMTSNLDLVLTNPRTNEEAKVVIFPGGAMNATAIAMGSGSGGGGAGSESPTSSESPTGGGGTGGEGSEISGGETETSGGETGTSEKCNNGVGNGPEGCSPNDKDNDECPTGAPGAPCRAEKEAKEKAEKEAKEKEEKEKDSKENEGKK
VIMSS10976 1 799 0.313518648310387 Slr2018 protein 799 0 12 776 1 Synechocystis sp. (strain PCC 6803 / Kazusa) P73238 1 SwissProt/TReMBL MKNKAIGNLRLTLFLFSRNPKSNPRGYMLFLVVSLLITLSGLLVAYAILAKLHTLSSKGTAIGSSGFYGAEGALNMRVEELREVFLSDRNPSGTSPSSLDQCLQQSNLGTGDFRCKVASFASPDDATANILAYSYVVPENNGVATQGTVPPGDTFQGLNMLESRYAISAVSAKEGASPDEVIAMTEMVVKSRQIPMFQFAAFYANDLEILPGARMDLEGPVHTNGDLFLGANNNAPNGLTITGQVTVGNQLFNHRKNDNSTYPDGRVRIANGAGTLINLLSGGTGGTTQTTAAMNPANVNASWGSQVQLGVEALSIPTISTLGVGGNYAQQADINFVYAPEKTGVNVPGIGTTIIPFDVTATKRDALGAGTSTTLTNAQLASLRQPVLATGAAVTAGVCTRVGSSLTPAQIATLPTGLSDTLRIAILSQTIPVPFSATNVRFSDIDPLNLNANTTALTGIRNILFGTLNTAQQTLILPLTPNQIADLAGGCFISAPIQYRDPAATGYTATGLSFVNNRERDGGNPRTMRLLQINLESLTVWNFQGWYLDNAGTGLISANQLLFTTATADNAAPLNSFQNLGLAASDTSSNGLVFHATVSASATPNPNTNASPYGFVLVGGRQLPGLAETTNNFDPTGLTFVSDQAVYVVGNYNTVNWQPASVLADSLNVLSRLRLNNDFQLNKASLTTAPVSEDTTVNTAFLAGTDITNSTLTPGYNGGLENYPRFHENWGGRTLTYRGSFVSTGLPERVTGRWSNQVYGAPNRDWRYDTRFNNAKNLPPLSPRFVFLKQEGFSRNFDQ
VIMSS109903 1 271 0.0326047970479705 PF02405.16:MlaE:54:258 Conserved hypothetical integral membrane protein YrbE3B 271 205 12 156 5 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O53966 1 SwissProt/TReMBL MTAAKALVSEWNRMGSQMRFFVGTLAGIPDALMHYRGELLRVIAQMGLGTGVLAVIGGTVAIVGFLAMTTGAIVAVQGYNQFASVGVEALTGFASAFFNTREIQPGTVMVALAATVGAGTTAALGAMRINEEIDALEVIGIRSISYLASTRVLAGVVVAVPLFCVGLMTAYLAARVGTTAIYGQGSGVYDHYFNTFLRPTDVLWSSVEVVVVALMIMLVCTYYGYAAHGGPAGVGEAVGRAVRASMVVASIAILVMTLAIYGQSPNFHLAT
VIMSS10993 1 451 0.17439977827051 PF04459.12:DUF512:229:431,PF17820.1:PDZ_6:11:50 unknown protein (NCBI ptt file) 451 243 12 451 0 Synechocystis sp. PCC 6803 VIMSS10993 1 MicrobesOnline MSEVSLRPAKISTVLPGSLGEEMGFEPGDAIVRINGQAPRDLIDYQFLCADDYLELDVLDSQGELHELAVEKEFDQDLGLGFETALFDGLIQCNNRCPFCFIDQQPPGKRESLYYKDDDYRLSFLYGSYLTLTNLSAKEWQRIEQLRLSPLYVSIHATEASVRERLLKNHRAGQILDQLAWFQARRLQIHAQVVVCPGVNDGKHLEQTLRDLAQFHQGETPAVISVAVVPVGLTRFRPQEDELSPVGQAKATEVIAQVQALQKEFAQQLGGNFVWLADEWFLIARQPLPPESHYEDYPQIGNGVGSIRQFIKEFQQQAAEFLPPAIAEAKTLTWVVGNAVEQAFELLVEQLNQVKGLTVNLAPLNSDYWGQEITVTGLLTGQDLIAKLAGRDLGDGILLPALMLKHDDTRFLDDLRVADVAQKLGTTIYPVADVASLLEHCVQPMAVSRHC
VIMSS11141 123 378 0.111693359375 PF00561.20:Abhydrolase_1:7:234,PF11339.8:DUF3141:29:242,PF08840.11:BAAT_C:19:69 Poly(3-hydroxyalkanoate) polymerase subunit PhaC; PHA polymerase; PHB synthase subunit PhaC; Poly(3-hydroxybutyrate) polymerase subunit PhaC; PHB polymerase; Poly(hydroxyalkanoic acid) synthase subunit PhaC; PHA synthase; Polyhydroxyalkanoic acid synthase subunit PhaC; EC 2.3.1.- 378 236 12 256 0 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P73390 1 SwissProt GYPSRGDRWLTLEDYLSGYLNNCVDIICQRSQQEKITLLGVCQGGTFSLCYASLFPDKVKNLVVMVAPVDFEQPGTLLNARGGCTLGAEAVDIDLMVDAMGNIPGDYLNLEFLMLKPLQLGYQKYLDVPDIMGDEAKLLNFLRMEKWIFDSPDQAGETYRQFLKDFYQQNKLIKGEVMIGDRLVDLHNLTMPILNLYAEKDHLVAPASSLALGDYLPENCDYTVQSFPVGHIGMYVSGKVQRDLPPAIAHWLSERQ
VIMSS111569 1 433 0.324640184757506 PF02470.20:MlaD:39:114 virulence factor mce family protein (NCBI) 564 76 12 410 1 Mycobacterium tuberculosis CDC1551 VIMSS111569 1 MicrobesOnline MIDRLAKIQLSIFAVITVITLSVMAIFYLRLPATFGIGTYGVSADFVAGGGLYKNANVTYRGVAVGRVESVGLNPNGVTAHMRLNSGTAIPSNVTATVRSVSAIGEQYIDLVPPENPSSTKLRNGFRIQRQNTRIGQDVADLLRQAETLLGSLGDTRLRELLHEAFIATNGAGPELARLIESARLLVDEANANYPQVSQLIDQAGPFLQAQIRAGGDIKSLADGLARFTWQLRAADPRLRDTLADAPDAIDEANTAFSGIRPSFPALAASLANLGRVGVIYHKSIEQLLVVFPALFAAIITSAGGVPQDEGAKLDFKIDLHDPPPCMTGFLPPPLVRSPADESVREIPRDMYCKTAQNDPSTVRGARNYPCQEFPGKRAPTVQLCRDPRGYVPVGTNPWRGPPIPYGTEVTDGRNILPPNKFPYIPPGADPDP
VIMSS11160 1 630 0.237513333333333 PF04966.12:OprB:127:630,PF00395.20:SLH:63:105 unknown protein (NCBI ptt file) 630 547 12 630 0 Synechocystis sp. PCC 6803 VIMSS11160 1 MicrobesOnline MLKLSWKSLLVSPAVIGAALVAGAASAAPDNVTNAQVLDQLDQYTAEGQSSAIDQVTSVSELRDVQPTAWAYEALKSLVERYGCIVGYPDRTFRGDRALSRWEFAAGLNACMNVMERLIQENVAVLREDIDKLKRLMQEFEAELAALGARVDNLEARTSFLEDHQFSTTTKLTGEVIFAPTAIFGTKKTLNNQTDNNQAVFQNRVRLQFNTSFSGEDLLVTRLAAGNGNRFKSFYPTQNVIDPETGNPIGIVGDYYESPTFTQVHQLSPGDNNNVAVDWLAYYVPLDLGENFRLNNYIAAWGGIWDDFVPTLNPYFDDYTGGKGSLSQFTAQNPIYSIGGGTGIGTSLELGFLSNLLGPTSLSLGYLASTGNNPSSGGSVTNPATGNNYDFSSGGNGLFNGGYSALAQITTNIFDRVSLGFTYVNAYTTPDAAIFGKGGTQGIVGTTAANLNRSELNDDFVNGLGVGAPPVDAATYNNNVSGGSTSGNVINPYDFGGKQTNSYGVQMAWNIADWLSFSAYGSYTNVTLIGKDNGDIWTYGGGFAFPDLGKEGNVLGIFAGVQPYVSGFTNNTLGTTFVTTANPLQVELFYKYQLTDNLSITPGVIWISKPEQTTNATDAFIGTVRGTFTF 3
VIMSS114357 1 419 0.314615513126491 Lysostaphin resistance protein A 419 0 12 241 8 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2FVT1 1 SwissProt MKNNKISGFQWAMTIFVFFVITMALSIMLRDFQSIIGVKHFIFEVTDLAPLIAAIICILVFKYKKVQLAGLKFSISLKVIERLLLALILPLIILIIGMYSFNTFADSFILLQSTGLSVPITHILIGHILMAFVVEFGFRSYLQNIVETKMNTFFASIVVGLMYSVFSANTTYGTEFAAYNFLYTFSFSMILGELIRATKGRTIYIATTFHASMTFGLIFLFSEEIGDLFSIKVIAISTAIVAVGYIGLSLIIRGIAYLTTRRNLEELEPNNYLDHVNDDEETNHTEAEKSSSNIKDAEKTGVATASTVGVAKNDTENTVADEPSIHEGTEKTEPQHHIGNQTESNHDEDHDITSESVESAESVKQAPQSDDLTNDSNEDEIEQSLKEPATYKEDRRSSVVIDAEKHIEKTEEQSSDKNK
VIMSS11444 1 434 0.101645391705069 PF05231.14:MASE1:24:317 sensory transduction histidine kinase (NCBI ptt file) 749 294 12 234 9 Synechocystis sp. PCC 6803 VIMSS11444 1 MicrobesOnline MPRRPLSDFPLMLIYLPKSLRRRLVTALPSLALAIALGLCTALAYGMLGRGQQWLSLGLGQGMALALWWQGTNIIALALGLTIAPMVVQGDPPLALALGMISTAVVLMAGRGLKMLEFSPQGQRLQDGMVFLLAGVGLGATLTAIAALLLSLWQGDGRIWWTGSLAWLGSATGILLAAPLLFKLRYGRWSWPWSARMERLQLVEALVCAGLLLMLAWLIFAGDDLIPLADRGAIYTQWLEYLPFPIVVWASIRFPIWGGILSTSGLALLAITATVQGNGSFNVQSADQSGAMILLQMFFLVLGTTSLLLSVAVRERRRTEEQLRGSWERERLLAEVALRVRQSLHLGTIFQTTVTEVRHLLQTDRVYIALLQGNSPLAVVAESCGPAYKTSLNSQIAASPNPEEMIVKTIPTEAMVVHHPDQLPKGDPLRRSFL
VIMSS114484 1 397 0.0181211586901763 PF07690.16:MFS_1:13:331,PF07690.16:MFS_1:279:393 Staphylopine export protein 397 381 12 127 12 Staphylococcus aureus (strain Mu50 / ATCC 700699) SwissProt::A0A0H3JTK0 0 SwissProt MKGAMAWPFLRLYILTLMFFSANAILNVFIPLRGHDLGATNTVIGIVMGAYMLTAMVFRPWAGQIIARVGPIKVLRIILIINAIALIIYGFTGLEGYFVARVMQGVCTAFFSMSLQLGIIDALPEEHRSEGVSLYSLFSTIPNLIGPLVAVGIWNANNISLFAIVIIFIALTTTFFGYRVTFAEQEPDTSDKIEKMPFNAVTVFAQFFKNKELLNSGIIMIVASIVFGAVSTFVPLYTVSLGFANAGIFLTIQAIAVVAARFYLRKYIPSDGMWHPKYMVSVLSLLVIASFVVAFGPQVGAIIFYGSAILIGMTQAMVYPTLTSYLSFVLPKVGRNMLLGLFIACADLGISLGGALMGPISDLVGFKWMYLICGMLVIVIMIMSFLKKPTPRPASSL
VIMSS11490 1 100 0.333363 PF03912.14:Psb28:8:99 photosystem II 13kD protein (PsbW) homologue (NCBI ptt file) 122 92 12 100 0 Synechocystis sp. PCC 6803 VIMSS11490 1 MicrobesOnline MMTLTPTIEFFADLPEELSNVSLRRNSTTGARTVVMTFERLQAIEKFQSFTQRFNGHLRLADEEGAMEIEPSSVKFIFGGDEGDELRGAQCSFDLVKNDH
VIMSS118538 1 427 0.0690173302107729 PF00989.25:PAS:300:408,PF13426.7:PAS_9:309:410,PF13188.7:PAS_8:299:349 sensory transduction histidine kinase 683 112 12 258 8 Clostridium acetobutylicum ATCC 824 NP_347539.1 1 RefSeq MFNRLKSRVRDVNFVVNGFVGAGIIIITCSFVGKVDFVLLYFLIQIMVSMLAISIFANSISNYKYTKDILILTAGIVYFCIGIFYFNSSLNILYQKNYLQYVYKLFMIGIFVDAFSTLFLSLVLNKKGKLKYAVWLYALFAIVVIMITYDYKLLHTYVNKVDIIAIIYATKIISVTILSISMILFICRKKKIGNDIVYTFIVCSIFRIISIIFIDYNSSGNQILLYINIIFRISSIYIVHRKIMIKSVIDPYQDIFFRINTINKELNDKNIELERVNKKLLNENMIKESIGKVLSISSQRYMQILQIMPEAIFIHDNGKCNFINDKAIKLLEVKDVKDIIGKNIVDFIHEDYKELAQARIDKTMKTGMPCDFIEERLVTAMGKKIIIEAATTTFSHNNGTFITIIRDMTEKKKNEANRKKLEQTLYY
VIMSS121180 34 181 0.331839864864865 PF02915.17:Rubrerythrin:29:142 Reverse rubrerythrin-1; revRbr 1; NADH peroxidase; NPXase; Npx; Rubperoxin 1; Rpr 1; EC 1.11.1.1 181 114 12 148 0 Clostridium acetobutylicum (strain ATCC 824 / DSM 792 / JCM 1419 / LMG 5710 / VKM B-1787) SwissProt::Q97D82 1 SwissProt EVKDEGEGWADEHKIGVAKGVDKEVLEGLRANFTGECTEVGMYLAMARQADREGYPEVAEAYKRIAFEEAEHASKFAELLGEVVVADTKTNLQMRVDAEKGACEGKKELATLAKKLNYDAIHDTVHEMCKDEARHGSAFRGLLNRYFK
VIMSS1290398 1 154 0.252619480519481 PF01381.22:HTH_3:15:61 hypothetical protein (NCBI) 154 47 12 154 0 Staphylococcus aureus subsp. aureus NCTC 8325 VIMSS1290398 1 MicrobesOnline MDRQSFTDLIQTKFKMVRIEAGYTQDTMAQTIGLSKKTLVQIEKERVLPNWTTCISICALFRDSEVLNSTFGCDPLEIVQTISRNHCAYPNHAPTSDIYWNNIETRNGYILQSNKVSNIYRVLNPDNQPIFGTSKMREAETYFNRNAKEELVHI
VIMSS1291309 1 603 0.104225870646766 PF01757.22:Acyl_transf_3:19:339 O-acetyltransferase OatA; EC 2.3.1.- 603 321 12 362 11 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2FV54 1 SwissProt MDTKDFKRLEKMYSPRYLPGLDGLRAFAVIGIIIYHLNAQWLSGGFLGVDTFFVISGYLITSLLISEYYRTQKIDLLEFWKRRLKRLIPAVLFLICVVLTFTLIFKPELIIQMKRDAIAAIFYVSNWWYISQNVDYFNQFAIEPLKHLWSLAIEEQFYLLFPLVITFLLHRFKPRNIIQTLFIVSLISLGLMIVIHFITGDNSRVYFGTDTRLQTLLLGCILAFIWPPFALKKDISKKIVVSLDIIGISGFAVLMTLFFIVGDQDQWIYNGGFYIISFATLFIIAIAVHPSSLFAKFLSMKPLLIIGKRSYSLYLWHYPIIVFVNSYYVQGQIPVYVYIIEILLTALMAEISYRFIETPIRKKGFKAFAFLPKKKGQFARTVLVILLLVPSIVVLSGQFDALGKQHEAEKKEKKTEFKTTKKKVVKKDKQEDKQTANSKEDIKKSSPLLIGDSVMVDIGNVFTKKIPNAQIDGKVGRQLVDATPIVKSQYKDYAKKGQKVVVELGTNGAFTKDQLNELLDSFGKADIYLVSIRVPRDYEGRINKLIYEAAEKRSNVHLVDWYKASAGHPEYFAYDGIHLEYAGSKALTDLIVKTMETHATNKK
VIMSS1302469 1 578 0.55350570934256 SUMOylated effector protein AmpA; P100 578 0 12 578 0 Anaplasma phagocytophilum (strain HZ) SwissProt::Q2GIB5 1 SwissProt MYGIDIELSDYRIGSETISSGDDGYYEGCACDKDASTNAYSYDKCRVVRGTWRPSELVLYVGDEHVACRDVASGMHHGNLPGKVYFIEAEAGRAATAEGGVYTTVVEALSLVQEEEGTGMYLINAPEKAVVRFFKIEKSAAEEPQTVDPSVVESATGSGVDTQEEQEIDQEAPAIEEVETEEQEVILEEGTLIDLEQPVAQVPVVAEAELPGVEAAEAIVPSLEENKLQEVVVAPEAQQLESAPEVSAPAQPESTVLGVAEGDLKSEVSVEANADVAQKEVISGQQEQEIAEALEGTEAPVEVKEETEVLLKEDTLIDLEQPVAQVPVVAEAELPGVEAAEAIVPSLEENKLQEVVVAPEAQQLESAPEVSAPAQPESTVLGVTEGDLKSEVSVEADAGMQQEAGISDQETQATEEVEKVEVSVETKTEEPEVILEEGTLIDLEQPVAQVPVVAEAELPGVEAAEAIVPSLEENKLQEVVVAPEAQQLESAPEVSAPVQPESTVLGVTEGDLKSEVSVEADAGMQQEAGISDQETQATEEVEKVEVSVEADAGMQQELVDVPTALPLKDPDDEDVLSY
VIMSS13125 1 576 0.20500625 PF04966.12:OprB:128:576,PF00395.20:SLH:63:105 unknown protein (NCBI ptt file) 576 492 12 576 0 Synechocystis sp. PCC 6803 VIMSS13125 1 MicrobesOnline MKQYRFTWLAGFATVTSLTTFPGSAGAQMLYEDVDPMVITPVQELTTLLDQPMGQVTSVSELRDVQPTDWAYEALKSLVERYGCIVGYPDRTFRGDRALSRWEFAAGLNACMNVMERLIQENVAVIRADIDKLQRLAREFEAELAALGARVDNLETRTAYLEDNQFSTTTKLNGEVIFSISGATGGEPDSDNAQIVFNDRVRLNLTTSFTGKDALITGLQAYNFTAGKPITGTGSVAETLFPNDASILGEGMTSFNYEPQFAGFNPQNLQPSCGNNSVCLYKLLYVTPVADNFTVFVGPKAEVTDAFPTIVPFASEGQGTLSRAFAINPVLRVSGGTTETGLASAAGFIYKPNDVVDWRALYGSVNAAIPQNEGFPGTPLGAGLFNGSFVAATQLTLYPTENLDLGFNYAYSYHQLNILGTGLTGAAAGTLGGLPLTTPVNVNSVGATLTWRINSSIYFTGYGAYFMVDQANGGSAFTDLASWMAGLYFPDAFVEGNTAGLMFGQPLTRVGAGNGATLTPANISNRATPYQIEAFYNYKINNNLSITPGAFVIFNPEGDSNNSTTGVFALRTTFTF 5
VIMSS133816 1 324 0.287195987654321 PF06207.11:DUF1002:43:275 DUF1002 domain-containing protein 324 233 12 324 0 Streptococcus pneumoniae WP_001227739.1 1 RefSeq MRKKLFLTSAAILWAVTAMNSVHAATDVQKVIDETYVQPEYVLGSSLSEDQKNQTLKKLGYNASTDTKELKTMTPDVYSKIMNVANDSSLQLYSSAKIQKLGDKSPLEVKIETPENITKVTQDMYRNAAVTLGVEHAKITVAAPIPVTGESALAGIYYSLEANGAKVPQANKDLAQEELKALSDINAENKDKSGYDANKLNVALADIKSGLAKAKESKGNLTEEDIRKIVEDTLKNYKLDQVITGNQINIIINFALNLSKSDILSNADFTKTLNDLKQSIVSQAGDSFKNINLNFDSDKALEDGGNFLSSLWQALVNFFKSFGS
VIMSS14403 1 91 0.286695604395604 PF12759.7:HTH_Tnp_IS1:43:88,PF03811.13:Zn_Tnp_IS1:1:36 IS1 protein InsA 91 82 12 91 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6139-MONOMER 1 ecocyc MASVSISCPSCSATDGVVRNGKSTAGHQRYLCSHCRKTWQLQFTYTASQPGTHQKIIDMAMNGVGCRATARIMGVGLNTIFRHLKNSGRSR
VIMSS14734 1 701 0.0525957203994294 PF02554.14:CstA:32:403,PF13722.6:CstA_5TM:469:596 carbon starvation protein A 701 500 12 296 18 Escherichia coli K-12 substr. MG1655 ecocyc::EG10167-MONOMER 1 ecocyc MNKSGKYLVWTVLSVMGAFALGYIALNRGEQINALWIVVASVCIYLIAYRFYGLYIAKNVLAVDPTRMTPAVRHNDGLDYVPTDKKVLFGHHFAAIAGAGPLVGPVLAAQMGYLPGMIWLLAGVVLAGAVQDFMVLFVSTRRDGRSLGELVKEEMGPTAGVIALVACFMIMVIILAVLAMIVVKALTHSPWGTYTVAFTIPLALFMGIYLRYLRPGRIGEVSVIGLVFLIFAIISGGWVAESPTWAPYFDFTGVQLTWMLVGYGFVAAVLPVWLLLAPRDYLSTFLKIGTIVGLAVGILIMRPTLTMPALTKFVDGTGPVWTGNLFPFLFITIACGAVSGFHALISSGTTPKMLANEGQACFIGYGGMLMESFVAIMALVSACIIDPGVYFAMNSPMAVLAPAGTADVVASAAQVVSSWGFSITPDTLNQIASEVGEQSIISRAGGAPTLAVGMAYILHGALGGMMDVAFWYHFAILFEALFILTAVDAGTRAARFMLQDLLGVVSPGLKRTDSLPANLLATALCVLAWGYFLHQGVVDPLGGINTLWPLFGIANQMLAGMALMLCAVVLFKMKRQRYAWVALVPTAWLLICTLTAGWQKAFSPDAKVGFLAIANKFQAMIDSGNIPSQYTESQLAQLVFNNRLDAGLTIFFMVVVVVLALFSIKTALAALKDPKPTAKETPYEPMPENVEEIVAQAKGAH
VIMSS149900 172 497 0.160032208588957 type III secretion effector GogB 497 0 12 326 0 Salmonella enterica subsp. enterica serovar Typhimurium str. D23580 WP_010989047.1 1 RefSeq FSEILCAELPYKLDKLDLQNCPFLPLMKMLPEELKELSIELIRTVPGTVIDDILPDKLKKLSINFCDNIKLPVKLPVNLKSINLSSRTPIAWEIPTCNLPAHIDISTDGYVKLNPEFLTRSDITFSNKPAGDVLSFQPGDVVYGLCKARDRVNTLVNSLYYFSKKDIIIQNTLTDAVWDRKNRAVFNKDEKIAERLNDVQRGIFFREFLSQHKKYNITEDKYSDLSNEECWIKTSKAGLEFQTRLRERSVIFVIDNLVDAISDIANKTGKHGNSITAHELRWVYRNRHDDLVKQNVKFFLNGEAISHEDVFSLVGWDKYKPKNRNR
VIMSS150065 1 280 0.204652857142857 PF06560.11:GPI:31:215 putative cytoplasmic protein (NCBI ptt file) 280 185 12 280 0 Salmonella typhimurium LT2 VIMSS150065 1 MicrobesOnline MKQLHHSGLPLYLDDDGVMALKPPLNYLGFGRKSAGQMAVVLPEFTEGLRNEPAYDVYRGLSFAEDQERLAADQYQYDITIIMPGTIGKERKKTSGHYHGYNDTRRNTHPEVYEVIKGTAAYILQKSPDFAAAPQELVVDDLIVAVVKEGQSIIVPPNYGHCSINIGDGPLVFSNLAYKPCTVHYDTVQFYHGMACYIVEENGQLCVRKNHYYPRVPRIKFATVKENPHLGITFDMPLYQRYRAAPERFHFLGHVDNYVREIMGMLQYEDDLFPLCQEDA
VIMSS15243 1 262 0.123246946564885 PF04536.14:TPM_phosphatase:41:152 putative inner membrane protein 262 112 12 176 4 Escherichia coli K-12 substr. MG1655 ecocyc::G6578-MONOMER 1 ecocyc MKKFIILLSLLILLPLTAASKPLIPIMKTLFTDVTGTVPDAEEIAHKAELFRQQTGIAPFIVVLPDINNEASLRQNGKAMLAHASSSLSDVKGSVLLLFTTREPRLIMITNGQVESGLDDKHLGLLIENHTLAYLNADLWYQGINNALAVLQAQILKQSTPPLTYYPHPGQQHENAPPGSTNTLGFIAWAATFILFSRIFYYTTRFIYALKFAVAMTIANMGYQALCLYIDNSFAITRISPLWAGLIGVCTFIAALLLTSKR
VIMSS15320 231 472 0.247188016528926 PF05524.13:PEP-utilisers_N:28:138,PF00391.23:PEP-utilizers:163:234 dihydroxyacetone kinase subunit M 472 183 12 242 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12399-MONOMER 1 ecocyc FRQLAEDNFGETEEVAPPTLRPVPPVSGKAFYYQPVLCTVQAKSTLTVEEEQDRLRQAIDFTLLDLMTLTAKAEASGLDDIAAIFSGHHTLLDDPELLAAASELLQHEHCTAEYAWQQVLKELSQQYQQLDDEYLQARYIDVDDLLHRTLVHLTQTKEELPQFNSPTILLAENIYPSTVLQLDPAVVKGICLSAGSPVSHSALIARELGIGWICQQGEKLYAIQPEETLTLDVKTQRFNRQG
VIMSS15404 1 249 0.214415662650602 PF00455.22:DeoRC:69:227,PF08220.12:HTH_DeoR:4:59,PF08279.12:HTH_11:4:45,PF08280.11:HTH_Mga:3:42 putative DNA-binding transcriptional regulator YciT 249 216 12 249 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6638-MONOMER 1 ecocyc MNSRQQTILQMVIDQGQVSVTDLAKATGVSEVTIRQDLNTLEKLSYLRRAHGFAVSLDSDDVETRMMSNYTLKRELAEFAASLVQPGETIFIENGSSNALLARTLGEQKKNVTIITVSSYIAHLLKDAPCEVILLGGVYQKKSESMVGPLTRQCIQQVHFSKAFIGIDGWQPETGFTGRDMMRTDVVNAVLEKECEAIVLTDSSKFGAVHSYSIGPVERFNRVITDSKIRASDLMHLEHSKLTIHVVDI
VIMSS15506 1 301 0.221842524916944 PF14525.6:AraC_binding_2:12:185,PF12833.7:HTH_18:219:298,PF00165.23:HTH_AraC:259:298 DNA-binding transcriptional activator FeaR 301 254 12 301 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6706-MONOMER 1 ecocyc MNPAVDNEFQQWLSQINQVCGNFTGRLLTERYTGVLDTHFAKGLKLSTVTTSGVNLSRTWQEVKGSDDAWFYTVFQLSGQAIMEQDERQVQIGAGDITLLDASRPCSLYWQESSKQISLLLPRTLLEQYFPHQKPICAERLDADLPMVQLSHRLLQESMNNPALSETESEAALQAMVCLLRPVLHQRESVQPRRERQFQKVVTLIDDNIREEILRPEWIAGETGMSVRSLYRMFADKGLVVAQYIRNRRLDFCADAIRHAADDEKLAGIGFHWGFSDQSHFSTVFKQRFGMTPGEYRRKFR
VIMSS15524 1 301 0.283085382059801 PF00665.26:rve:124:243,PF13683.6:rve_3:216:281,PF13276.6:HTH_21:62:104 IS2 element protein 301 201 12 301 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7480-MONOMER 1 ecocyc MDSARALIARGWGVSLVSRCLRVSRAQLHVILRRTDDWMDGRRSRHTDDTDVLLRIHHVIGELPTYGYRRVWALLRRQAELDGMPAINAKRVYRIMRQNALLLERKPAVPPSKRAHTGRVAVKESNQRWCSDGFEFCCDNGERLRVTFALDCCDREALHWAVTTGGFNSETVQDVMLGAVERRFGNDLPSSPVEWLTDNGSCYRANETRQFARMLGLEPKNTAVRSPESNGIAESFVKTIKRDYISIMPKPDGLTAAKNLAEAFEHYNEWHPHSALGYRSPREYLRQRACNGLSDNRCLEI
VIMSS15594 1 293 0.00663720136518772 PF00892.20:EamA:6:137,PF00892.20:EamA:158:285 amino acid exporter 293 260 12 78 10 Escherichia coli K-12 substr. MG1655 ecocyc::EG12713-MONOMER 0 ecocyc MTRQKATLIGLIAIVLWSTMVGLIRGVSEGLGPVGGAAAIYSLSGLLLIFTVGFPRIRQIPKGYLLAGSLLFVSYEICLALSLGYAATHHQAIEVGMVNYLWPSLTILFAILFNGQKTNWLIVPGLLLALVGVCWVLGGDNGLHYDEIINNITTSPLSYFLAFIGAFIWAAYCTVTNKYARGFNGITVFVLLTGASLWVYYFLTPQPEMIFSTPVMIKLISAAFTLGFAYAAWNVGILHGNVTIMAVGSYFTPVLSSALAAVLLSAPLSFSFWQGALMVCGGSLLCWLATRRG
VIMSS156403 392 832 0.3935358276644 PF06458.12:MucBP:291:352,PF08191.11:LRR_adjacent:6:37,PF17965.1:MucBP_2:291:353,PF00746.21:Gram_pos_anchor:409:440 similar to internalin proteins, putative peptidoglycan bound protein (LPXTG motif) (NCBI ptt file) 832 127 12 421 1 Listeria monocytogenes EGD-e VIMSS156403 1 MicrobesOnline ASGELSGLSKVSYTFDEVINSPAIAGKYTGTVNQPIEVKAVPVIVADKSVSYAPVNAKDEATFLQDIRASASENAQITSDYSEVVDFATPGDYTVTLHAKNEFDLKADPVTVVVHINDIQKPQVAVNSNDISFEVGTELTSEVLLAKSGAVVTDLYDEAIKMEVDLSEVDSSKLGTYEATIIAKSKSGASSDPIKLSVKIVDTEKPIIQINNPEIIIEKGSELTEGQIIDQVGITATDNYDQDLNIHMDLSKVDTSKPGSYEVTIYTEDSSGNRSETVTITVKVPEARIGKITIQYMDSENNELAESNTITGEVGETYETLAKEIEGYTLKENPANSSGVFEETRQTIQYIYVKDIINPEFPVYSENNVTPELPSNNNNSVNGSRQTPSKSVKKQSKAYSKINQMPMNLPETGDSTNLLFIFMGVLLLAGLTLSKAKRKNQ
VIMSS156495 151 379 0.254257205240175 PF07687.14:M20_dimer:21:128,PF01546.28:Peptidase_M20:9:225 similar to succinyldiaminopimelate desuccinylase (NCBI ptt file) 379 217 12 229 0 Listeria monocytogenes EGD-e VIMSS156495 1 MicrobesOnline GYADDLDGLIIGEPSGHRIVYAHKGSINYTVKSTGKNAHSSMPEFGVNAIDNLLLFYNEVEKFVKSIDATNEILGDFIHNVTVIDGGNQVNSIPEKAQLQGNIRSIPEMDNETVKQVLVKIINKLNKQENVNLELIFDYDKQPVFSDKNSDLVHIAKSVASDIVKEEIPLLGISGTTDAAEFTKAKKEFPVIIFGPGNETPHQVNENVSIGNYLEMVDVYKRIATEFLS
VIMSS156742 1 143 0.147729370629371 PF00582.26:Usp:4:143 universal stress protein 143 140 12 143 0 Listeria monocytogenes EGD WP_003721301.1 1 RefSeq MEKYHRILVAVDGSEPAKLAFEKGLELALKLDGVLGIASIVDLRAFSPNVSYDGSLEEKAELELKTSVNEYAEKARTAGVKQVETFVAKGNPKILLSTDIPAEFQADLIICGATGMNRVEKLVLGSVSSYIMAHAICDTLIAR
VIMSS156823 1 175 0.00262685714285714 PF03729.13:DUF308:11:82,PF03729.13:DUF308:70:140,PF03729.13:DUF308:127:171 similar to unknown proteins (NCBI ptt file) 175 161 12 43 6 Listeria monocytogenes EGD-e VIMSS156823 0 MicrobesOnline MKTFTRILVLLAGIAMIILGVWFLFHPGISLLTSTLMFGFLLLISGIFHTISYFSDRKSQNVSGWVLADGILSILLGFLLLFNEFDGTLTLVLLFGMWVLFAGIMRTIGAFTAKQNNVQGWGWILTIGIIGIIVGFIALFNPVVSAIGIVLVVAIFFIVQGIGAIATFFFIGKNS
VIMSS15688 1 110 0.570877272727273 PF14282.6:FlxA:10:101 Qin prophage; protein FlxA 110 92 12 110 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6833-MONOMER 1 ecocyc MSVTIQGNTSTVISNNSAPEGTSEIAKITRQIQVLTEKLGKISSEEGMTTQQKKEMAALVQKQIESLWAQLEQLLRQQAEKKNEDATVQPDKKEEKKDDTNTAGTIDIYV
VIMSS15718 1 102 0.841240196078432 acid shock protein 102 0 12 102 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6855-MONOMER 1 ecocyc MKKVLALVVAAAMGLSSAAFAAETTTTPAPTATTTKAAPAKTTHHKKQHKAAPAQKAQAAKKHHKNTKAEQKAPEQKAQAAKKHAKKHSHQQPAKPAAQPAA
VIMSS16032 1 222 0.0807779279279279 PF00528.22:BPD_transp_1:33:214 cystine ABC transporter membrane subunit (EC 7.4.2.12) 222 182 12 153 3 Escherichia coli K-12 substr. MG1655 ecocyc::G7037-MONOMER 1 ecocyc MQESIQLVIDSLPFLLKGAGYTLQLSIGGMFFGLLLGFILALMRLSPIWPVRWLARFYISIFRGTPLIAQLFMIYYGLPQFGIELDPIPSAMIGLSLNTAAYAAETLRAAISSIDKGQWEAAASIGMTPWQTMRRAILPQAARVALPPLSNSFISLVKDTSLAATIQVPELFRQAQLITSRTLEVFTMYLAASLIYWIMATVLSTLQNHFENQLNRQEREPK
VIMSS16081 1 340 0.149240588235294 PF00512.25:HisKA:236:299 sensory histidine kinase HprS 452 64 12 294 2 Escherichia coli K-12 substr. MG1655 ecocyc::G7056-MONOMER 1 ecocyc MKRLSITVRLTLLFILLLSVAGAGIVWTLYNGLASELKWRDDTTLINRTAQIKQLLIDGVNPDTLPVYFNRMMDVSQDILIIHGDSINKIVNRTNVSDGMLNNIPASETISAAGIYRSIINDTEIDALRINIDEVSPSLTVTVAKLASARHNMLEQYKINSIIICIVAIVLCSVLSPLLIRTGLREIKKLSGVTEALNYNDSREPVEVSALPRELKPLGQALNKMHHALVKDFERLSQFADDLAHELRTPINALLGQNQVTLSQTRSIAEYQKTIAGNIEELENISRLTENILFLARADKNNVLVKLDSLSLNKEVENLLDYLEYLSDEKEICFKVECNQ
VIMSS16092 1 438 0.0338906392694064 PF07690.16:MFS_1:66:295,PF07690.16:MFS_1:273:431,PF00083.24:Sugar_tr:28:236,PF00083.24:Sugar_tr:231:406 shikimate:H+ symporter 438 404 12 168 12 Escherichia coli K-12 substr. MG1655 ecocyc::SHIA-MONOMER 0 ecocyc MDSTLISTRPDEGTLSLSRARRAALGSFAGAVVDWYDFLLYGITAALVFNREFFPQVSPAMGTLAAFATFGVGFLFRPLGGVIFGHFGDRLGRKRMLMLTVWMMGIATALIGILPSFSTIGWWAPILLVTLRAIQGFAVGGEWGGAALLSVESAPKNKKAFYSSGVQVGYGVGLLLSTGLVSLISMMTTDEQFLSWGWRIPFLFSIVLVLGALWVRNGMEESAEFEQQQHYQAAAKKRIPVIEALLRHPGAFLKIIALRLCELLTMYIVTAFALNYSTQNMGLPRELFLNIGLLVGGLSCLTIPCFAWLADRFGRRRVYITGTLIGTLSAFPFFMALEAQSIFWIVFFSIMLANIAHDMVVCVQQPMFTEMFGASYRYSGAGVGYQVASVVGGGFTPFIAAALITYFAGNWHSVAIYLLAGCLISAMTALLMKDSQRA
VIMSS163 1 246 0.224437804878049 hypothetical protein (NCBI ptt file) 246 0 12 246 0 Chlamydia trachomatis D/UW-3/CX VIMSS163 1 MicrobesOnline MARKPLVDRDHFPKYRTICDTIMEGNLENIRFSDKTGESVYSSKELPSVLFKRIGQKNAKQRVLNNIAVNACLAKIGVDGLQVLSASTYKDFLIEDKPFGKGALDTLIAYRLNPSLFDRAVKSLCKLFSFYHCPDLFVKSSDLLKDSPHSKLLLGFKENNESLAAESATLQPILYLTGIQCILEEPTPDLEAKLSSLFPYHKTLIQQELSKLRVSKPKVEASKEPTPPSTYDERLNSSPYRNGKFL
VIMSS16350 1 396 0.182001515151515 PF02754.16:CCG:163:249,PF02754.16:CCG:293:376,PF13183.6:Fer4_8:6:70,PF13534.6:Fer4_17:8:70 anaerobic glycerol-3-phosphate dehydrogenase subunit C (EC 1.1.5.3) 396 236 12 396 0 Escherichia coli K-12 substr. MG1655 ecocyc::ANGLYC3PDEHYDROGSUBUNITC-MONOMER 1 ecocyc MNDTSFENCIKCTVCTTACPVSRVNPGYPGPKQAGPDGERLRLKDGALYDEALKYCINCKRCEVACPSDVKIGDIIQRARAKYDTTRPSLRNFVLSHTDLMGSVSTPFAPIVNTATSLKPVRQLLDAALKIDHRRTLPKYSFGTFRRWYRSVAAQQAQYKDQVAFFHGCFVNYNHPQLGKDLIKVLNAMGTGVQLLSKEKCCGVPLIANGFTDKARKQAITNVESIREAVGVKGIPVIATSSTCTFALRDEYPEVLNVDNKGLRDHIELATRWLWRKLDEGKTLPLKPLPLKVVYHTPCHMEKMGWTLYTLELLRNIPGLELTVLDSQCCGIAGTYGFKKENYPTSQAIGAPLFRQIEESGADLVVTDCETCKWQIEMSTSLRCEHPITLLAQALA
VIMSS16715 109 413 0.293719344262295 PF00589.22:Phage_integrase:106:269 CP4-57 prophage; integrase IntA 413 164 12 305 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11783-MONOMER 1 ecocyc LVAERWWNVKKTSVTEDYADDIWRSLERDIFPAIGDISITEIKAHTLVKAVQPVQARGALETVRRLCQRINEVMIYAQNTGLIDAVPSVNIGKAFEKPQKKNMPSIRPDQLPQLMHTMRTASISMSTRCLFMWQLLTITRPAEAAEARWDEIDFNASEWKIPAARMKMNRDHTVPLSDGALAILEMMKPLSGGREFIFPSRIKPNQPMNSQTVNAALKRAGLGGVLVSHGLRSIASTALNEEGFPPDVIEAALAHVDKNEVRRAYNRSDYLEQRRPMMQWWADLVKAADSGSIVLTHLSKIRLVG
VIMSS16809 1 153 0.169506535947712 PF11046.8:HycA_repressor:1:145 regulator of the transcriptional regulator FhlA 153 145 12 153 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10474-MONOMER 1 ecocyc MTIWEISEKADYIAQRHRRLQDQWHIYCNSLVQGITLSKARLHHAMSCAPDKELCFVLFEHFRIYVTLADGFNSHTIEYYVETKDGEDKQRIAQAQLSIDGMIDGKVNIRDREQVLEHYLEKIAGVYDSLYTAIENNVPVNLSQLVKGQSPAA
VIMSS1748797 61 272 0.711824056603774 Cell wall synthesis protein Wag31; Antigen 84 272 0 12 212 0 Mycolicibacterium smegmatis (strain ATCC 700084 / mc(2)155) (Mycobacterium smegmatis) SwissProt::A0R006 1 SwissProt AAARSGAGASSQATSSIPLYEPEPEPAPAPPQPVYEAPAQPAAPQSEDTAVRAARVLSLAQDTADRLTSTAKAEADKLLSDARAQAEAMVSDARQTAETTVSEARQRADAMLADAQTRSEAQLRQAQEKADALQADAERKHSEIMGTINQQRTVLEGRLEQLRTFEREYRTRLKTYLESQLEELGQRGSAAPVDSSANSDASGFGQFNRGNN
VIMSS17706 1 274 0.385982846715328 DNA damage-inducible protein D 274 0 12 274 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11193-MONOMER 1 ecocyc MNEHHQPFEEIKLINANGAEQWSARQLGKLLGYSEYRHFIPVLTRAKEACENSGHTIDDHFEEILDMVKIGSNAKRALKDIVLSRYACYLVVQNGDPAKPVIAAGQTYFAIQTRRQELADDEAFKQLREDEKRLFLRNELKEHNKQLVEAAQQAGVATATDFAIFQNHGYQGLYGGLDQKAIHQRKGLKKNQKILDHMGSTELAANLFRATQTEEKLKRDGVNSKQQANTTHFDVGRKVRQTIQELGGTMPEELPTPQVSIKQLENSVKITEKK
VIMSS18065 1 306 0.372300980392157 PF07148.12:MalM:76:209 maltose regulon periplasmic protein 306 134 12 306 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10559-MONOMER 1 ecocyc MKMNKSLIVLCLSAGLLASAPGISLADVNYVPQNTSDAPAIPSAALQQLTWTPVDQSKTQTTQLATGGQQLNVPGISGPVAAYSVPANIGELTLTLTSEVNKQTSVFAPNVLILDQNMTPSAFFPSSYFTYQEPGVMSADRLEGVMRLTPALGQQKLYVLVFTTEKDLQQTTQLLDPAKAYAKGVGNSIPDIPDPVARHTTDGLLKLKVKTNSSSSVLVGPLFGSSAPAPVTVGNTAAPAVAAPAPAPVKKSEPMLNDTESYFNTAIKNAVAKGDVDKALKLLDEAERLGSTSARSTFISSVKGKG
VIMSS180879 1 238 0.333354201680672 PF01464.20:SLT:6:138 ATTACHMENT MEDIATING PROTEIN VIRB1 HOMOLOG (NCBI ptt file) 238 133 12 238 0 Brucella melitensis 16M VIMSS180879 1 MicrobesOnline MVPFLVLAQQCAPTVAPQTMAAIVQVESGFNPYAIGVVGGRLVRQPVSLDEAITTAQSLEAKGWNFSLGIAQVNRYNLPKYGSTYAQAFDPCKNLKMGSKILEDCYRRAIVKMPGQEQGALRAAFSCYYAGNFTGGFKTKPGSPSYVQKVVASADVTTKPIVVVPMIRKTPDAAAAVAAPVKKRQPADRNSVLVDLHPSSQSMPATGAANAPVRLKTEQPATTDAPPGKDNTDGVVVF
VIMSS180883 1 137 0.241723357664234 PF07996.11:T4SS:21:136 ATTACHMENT MEDIATING PROTEIN VIRB5 HOMOLOG (NCBI ptt file) 238 116 12 137 0 Brucella melitensis 16M VIMSS180883 1 MicrobesOnline MKKIILSFAFALTVTSTAHAQLPVTDAGSIAQNLANHLEQMVKFAQQIEQLKQQFEQQKMQFDALTGNRGLGDILRDPTLRSYLPHNWRDLYEAVMSGGYLAAAGETANLLRKSQVYDPCASISDKDQRIACEAKVV
VIMSS18624 1 91 0.124523076923077 hypothetical protein (NCBI ptt file) 91 0 12 91 0 Helicobacter pylori 26695 VIMSS18624 1 MicrobesOnline MKKVVFLLLVILGGLEAQSTYCSDHCEGTPDSRIPPMGFHFSFVHSVKYYLQDPQERDHKLEKCHQAFDSTLKVNFITNLLKRIASMRKWL
VIMSS18787 1 202 0.560033663366337 hypothetical protein (NCBI ptt file) 202 0 12 202 0 Helicobacter pylori 26695 VIMSS18787 1 MicrobesOnline MSKISNNYNPSLMVRDYHTQRVGSHTKNGEKEENKEIQNLSENDEKIKLAKQAKQDNLAIGDLESRLKSLKGMDKDAKELVGISKAYAHNNEKDRSDFEHFKSRLDKAIDSFNQKSGNDNLKLPGNIDIDDTKALEKFSKSLESEKENIQNSLHQWKKQLAETNHLNKEYNTLDKTRLNAQKFQDVHDTSKITPSRLQDLLA
VIMSS18843 1 308 0.286259090909091 hypothetical protein (NCBI ptt file) 308 0 12 308 0 Helicobacter pylori 26695 VIMSS18843 1 MicrobesOnline MGFQNENKLKVGALVKATINNKVVEAKVIGVGFNRVTLRSEKGNEATYAFNSDKFLKWFKEVPLNEVATNHVEKSGDDLLKNVKIVTSGQSVKDRASTPKEKEDRFKLAFGFKTTDDKTSFEIIAEDYTLSERKSRLGALLSPMFFEGSGNQATAIILTALHYAKGLNKHSDAEWRAMIDSRDEEKCEITTLDNLDRVGTTLFCGVIKEYAEGNKEFEKELNDFSPDGFWAKYLPKNKNEAMFVAQLICDGGINKYGLSCAGLTPGVLADNLWSYGMRDEDYDEEGNVIRERDIVTGEELNNAEGNVE
VIMSS1935879 1 336 0.239511904761905 PF00535.26:Glycos_transf_2:10:170,PF13641.6:Glyco_tranf_2_3:8:123 probable sugar transferase (NCBI) 336 163 12 336 0 Mycobacterium tuberculosis H37Rv VIMSS1935879 1 MicrobesOnline MSPQLCPKVSIVSTTHNQAGYARQAFDSFLDQQTDFPVEIIVADDASTDATPAIIREYAERYPHVFRPIFRTENLGLNGNLTGALSAARGEYVALCEADDYWIDPLKLSKQVAFLDRHPKTTVCFHPVRVIWEDGHAKDSKFPPVRVRGNLSLDALILMNFIQTNSAVYRRLERYDDIPADVMPLDWYLHVRHAVHGDIAMLPDTMAVYRRHAQGMWHNQVVDPPKFWLTQGPGHAATFDAMLDLFPGDPAREELIAVMADWILRQIANVPGPEGRAALQETIARHPRIAMLALQHRGATPARRLKTQWRKLAAATPSRRGLVDVWPSRLRRGCRA
VIMSS1935922 195 468 0.36267700729927 PF12484.8:PE_PPE_C:122:190 PPE FAMILY PROTEIN (NCBI) 468 69 12 274 0 Mycobacterium tuberculosis H37Rv VIMSS1935922 1 MicrobesOnline GTNAVTTALSSAAAQFPFDIIPTLLQGLATLSTQYTQLMGQLINAIFGPTGATTYQNVFVTAANVTKFSTWANDAMSAPNLGMTEFKVFWQPPPAPEIPKSSLGAGLGLRSGLSAGLAHAASAGLGQANLVGDLSVPPSWASATPAVRLVANTLPATSLAAAPATQIPANLLGQMALGSMTGGALGAAAPAIYTGSGARARANGGTPSAEPVKLEAVIAQLQKQPDAVRHWNVDKADLDGLLDRLSKQPGIHAVHVSNGDKPKVALPDTQLGSH
VIMSS1936155 196 413 0.260630733944954 PF12484.8:PE_PPE_C:134:214 PPE FAMILY PROTEIN (NCBI) 413 81 12 218 0 Mycobacterium tuberculosis H37Rv VIMSS1936155 1 MicrobesOnline NAQALTDIPKALFGLSGIFTNEPPWLTDLGKALGLTGHTWSSDGSGLIVGGVLGDFVQGVTGSAELDASVAMDTFGKWVSPARLMVTQFKDYFGLAHDLPKWASEGAKAAGEAAKALPAAVPAIPSAGLSGVAGAVGQAASVGGLKVPAVWTATTPAASPAVLAASNGLGAAAAAEGSTHAFGGMPLMGSGAGRAFNNFAAPRYGFKPTVIAQPPAGG
VIMSS1936555 1 155 0.331450967741936 PF05433.15:Rick_17kDa_Anti:62:102 outer membrane lipoprotein SlyB 155 41 12 155 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6881-MONOMER 1 ecocyc MIKRVLVVSMVGLSLVGCVNNDTLSGDVYTASEAKQVQNVSYGTIVNVRPVQIQGGDDSNVIGAIGGAVLGGFLGNTVGGGTGRSLATAAGAVAGGVAGQGVQSAMNKTQGVELEIRKDDGNTIMVVQKQGNTRFSPGQRVVLASNGSQVTVSPR
VIMSS1938197 1 131 0.248759541984733 response regulator 217 0 12 131 0 Streptomyces coelicolor A3(2) NP_733677.1 1 RefSeq MTTRVLVCCDRVILGEGIRALLERHDMKVQVETTQRGSLATAAETGPDILVGVAPLFTMDSIDKLTELARLGKTLLLTKPENTHRAFEALRVGVRAVLSAETSVEELVHVIRTITEVNAIIAPEEAQEALT
VIMSS1956152 1 151 0.139788741721854 PF02535.22:Zip:11:94 zinc transporter ZupT (NCBI) 291 84 12 86 3 Campylobacter jejuni subsp. jejuni 81-176 VIMSS1956152 1 MicrobesOnline MQFTFEQIFIAMLLTLFAGFSTAIGSIIAFFSRKDDLRVLSLGLGFSAGVMIYISFMEILPTALKDFKNHYDSHWAELLGLACFFGGILISLLIDKLIPEDVNPHEPKEDLSELKICPLPQKGQNPPKFHPGEKLHQINTKALKRTGIFTA
VIMSS19599 1 269 0.483712639405205 PF05917.11:DUF874:2:110,PF05917.11:DUF874:108:247 hypothetical protein (NCBI ptt file) 269 246 12 269 0 Helicobacter pylori 26695 VIMSS19599 1 MicrobesOnline MKRVRELVKKHPEKSSVALVVLTHAACKKAKELDDKVQDKSKQAEKENQINWWKYSGLTIATSLLLAACSVGDIDKQIELEQEKKEAENARDRANKSGIELEQEKQKTIKEQKDLVKKAEQNCQENHGQFFMKKLGIKGGIAIEVEAECKTPKPAKTNQTPIQPKHLPNSKQPHSQRGSKAQELIAYLQKELESLPYSQKAIAKQVNFYRPSSVAYLELDPRDFKVTEEWQKENLKIRSKAQAKMLGNEKPTSPPFNLSKPFVRSKNIC
VIMSS19639 1 96 0.178122916666667 PF13442.6:Cytochrome_CBB3:25:92,PF00034.21:Cytochrom_C:24:96 cytochrome c553 (NCBI ptt file) 96 73 12 96 0 Helicobacter pylori 26695 VIMSS19639 1 MicrobesOnline MKKVIMALGVLAFANALMATDVKALAKSCAACHGVKFEKKALGKSKIVNMMSEAEIEKDLMDFKSGANKNPIMSAQAKKLSDEDIKALAKYIPTLK
VIMSS19969 1 140 0.249094285714286 PF00460.20:Flg_bb_rod:13:34 flagellar basal-body rod protein (flgB) (proximal rod protein) (NCBI ptt file) 140 22 12 140 0 Helicobacter pylori 26695 VIMSS19969 1 MicrobesOnline MDFSKAFGLVYKALDYRSLRQDMIASNIANVDTPFYRPKDLDFESVLAKKKAEIFENQSSKVLPLAHTNPRHLDFENSAKDGASLFFRDGHLAKNDGNSVDLDIETSEMGKNSTMYLALSSALKKYRGVINYAIDSSKNL
VIMSS2057009 1 91 0.275789010989011 PF01812.20:5-FTHF_cyc-lig:2:84 putative 5-formyltetrahydrofolate cyclo-ligase (EC 6.3.3.2) 182 83 12 91 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11158-MONOMER 1 ecocyc MIRQRRRALTPEQQQEMGQQAATRMMTYPPVVMAHTVAVFLSFDGELDTQPLIEQLWRAGKRVYLPVLHPFSAGNLLFLNYHPQSELVMNR
VIMSS2057037 1 483 0.022472463768116 PF00474.17:SSF:36:432 pantothenate:Na+ symporter 483 397 12 195 13 Escherichia coli K-12 substr. MG1655 ecocyc::PANF-MONOMER 0 ecocyc MQLEVILPLVAYLVVVFGISVYAMRKRSTGTFLNEYFLGSRSMGGIVLAMTLTATYISASSFIGGPGAAYKYGLGWVLLAMIQLPAVWLSLGILGKKFAILARRYNAVTLNDMLFARYQSRLLVWLASLSLLVAFVGAMTVQFIGGARLLETAAGIPYETGLLIFGISIALYTAFGGFRASVLNDTMQGLVMLIGTVVLLIGVVHAAGGLSNAVQTLQTIDPQLVTPQGADDILSPAFMTSFWVLVCFGVIGLPHTAVRCISYKDSKAVHRGIIIGTIVVAILMFGMHLAGALGRAVIPDLTVPDLVIPTLMVKVLPPFAAGIFLAAPMAAIMSTINAQLLQSSATIIKDLYLNIRPDQMQNETRLKRMSAVITLVLGALLLLAAWKPPEMIIWLNLLAFGGLEAVFLWPLVLGLYWERANAKGALSAMIVGGVLYAVLATLNIQYLGFHPIVPSLLLSLLAFLVGNRFGTSVPQATVLTTDK
VIMSS2072567 1 149 0.353619463087248 hypothetical protein 149 0 12 149 0 Francisella tularensis subsp. holarctica FSC200 WP_003014685.1 1 RefSeq MKKIIKLSLLSLSIAGLASCSTLGLGGSDDAKASAKDTAAAQTATTEQAAAVSKPTAKVSLNKLGQDKIKATVYTAYNNNPQGSVRLQWQAPEGSKCHDTSFPITKYAEKNDKTWATVTVKQGNNFCSGKWTANVVYDKEVIASDSINI
VIMSS2098767 1 148 0.137889864864865 PF16877.5:DUF5078:33:147 hypothetical protein (NCBI) 148 115 12 125 1 Mycobacterium bovis BCG str. Pasteur 1173P2 VIMSS2098767 1 MicrobesOnline MSRLSSILRAGAAFLVLGIAAATFPQSAAADSTEDFPIPRRMIATTCDAEQYLAAVRDTSPVYYQRYMIDFNNHANLQQATINKAHWFFSLSPAERRDYSEHFYNGDPLTFAWVNHMKIFFNNKGVVAKGTEVCNGYPAGDMSVWNWA
VIMSS2195502 1 89 0.249087640449438 hypothetical protein (NCBI) 89 0 12 89 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2195502 1 MicrobesOnline MGFRPVSQRLRDINLQALGKFSCLALVLGLESVSHPAGPVQAPSFSQGTASPSFATPLGLDGPARARAEMWNVGLSGAVSVRDELRWVF
VIMSS2196694 1 194 0.366115979381443 PF04542.14:Sigma70_r2:32:98,PF08281.12:Sigma70_r4_2:132:184,PF04545.16:Sigma70_r4:137:186,PF07638.11:Sigma70_ECF:17:186 putative sigma-70 factor, ECF subfamily (NCBI) 194 170 12 194 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2196694 1 MicrobesOnline MHASTSATTAAGSDETLLARYRKGDSAAFEVLYQRHRQGLYRFLCGLAGQTELADEIYQETWLSLIRSESQPQGRASFRTWLYQIARNRLIDHWRKHGQRQPLQDSYDEQLHAQPDQGAGPEQQLSLSRDGERLQSALETLPEEQREVFLMRAHGELELAEIATLTQSPLETVKSRFRYAVQKLRRLLAEEVAV
VIMSS2199061 1 303 0.0628003300330033 PF00482.23:T2SSF:159:287 putative pilus assembly protein (NCBI) 303 129 12 214 4 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2199061 1 MicrobesOnline MQAQWLIFAALLMAVGGALLLLLQARNGSREQRLIERRLGALANPGGSTRWLAGMTERMDDSFWVRRLQLMDSEARQLLQQAGWHESRYRTLYLISVFLTPLLFVLLVLLVKLLRAESEASYAVPLLFAAGIGFLLPKQVLKHFAKARRALIADEMILFVQLIRILFDAGLTVEQTLRVVCLEGRGITPQLARELDLALTRADNGIDLAEELEALARRLQVDPLNDCCGVLRQMLRQGGSARSTLLTLKQLFEDRRLTTLQERIGKLSAKMSLVMMVLLFPALLIVLAGPGVIAITKALGGLG
VIMSS241347 1 446 0.388930269058296 PF03007.16:WES_acyltransf:6:252,PF06974.13:DUF1298:295:440 diacylglycerol O-acyltransferase (EC 2.3.1.20) 446 393 12 446 0 Streptomyces coelicolor BRENDA::Q9RIU8 1 BRENDA MTPDPLAPLDLAFWNIESAEHPMHLGALGVFEADSPTAGALAADLLAARAPAVPGLRMRIRDTWQPPMALRRPFAFGGATREPDPRFDPLDHVRLHAPATDFHARAGRLMERPLERGRPPWEAHVLPGADGGSFAVLFKFHHALADGLRALTLAAGVLDPMDLPAPRPRPEQPPRGLLPDVRALPDRLRGALSDAGRALDIGAAAALSTLDVRSSPALTAASSGTRRTAGVSVDLDDVHHVRKTTGGTVNDVLIAVVAGALRRWLDERGDGSEGVAPRALIPVSRRRPRSAHPQGNRLSGYLMRLPVGDPDPLARLGTVRAAMDRNKDAGPGRGAGAVALLADHVPALGHRLGGPLVSGAARLWFDLLVTSVPLPSLGLRLGGHPLTEVYPLAPLARGHSLAVAVSTYRGRVHYGLLADAKAVPDLDRLAVAVAEEVETLLTACRP
VIMSS246034 1 295 0.446158983050848 PF07729.12:FCD:157:279,PF00392.21:GntR:69:128 sporulation transcription factor, WhiH 295 183 12 295 0 Streptomyces coelicolor A3(2) NP_629942.1 1 RefSeq MSTLAHTMMTAARSADSGLANPGELDRYPYAETPAVDRLGAPSWEGVEPELGRVGRRTAGNRGRGLHGQLVQQLGQMIVSGDLGADRPLVPEEIGQRFEVSRTVVRESLRVLEAKGLVSARPNVGTRVRPVSDWNLLDPDIIEWRAFGPQRDDQRRELSELRWTIEPLAARLAAGHGREDVQQRLSDMVGIMGHAMGQGDGLTFSRADTEFHTLLIQIAGNRMLDHLSGIVSAALHVSGGPVTGCDRPNDVSIAQHGRIVDALATGDGAAAEAAMRQLLTVHPEVERVVPAPREH
VIMSS247340 1 295 0.255418983050848 PF11468.8:PTase_Orf2:7:293 hypothetical protein (NCBI) 295 287 12 295 0 Streptomyces coelicolor A3(2) VIMSS247340 1 MicrobesOnline MPTGRTTDLALFLSDLEAYAKLAEVTFDKRAVEQVVDVFAEQFATGTITVRTTTHEAANRSVNFRYMYPDSPHDPVEIARAHGLLPDADPAVMSLLAEVTEKIPLWWGLDASVGHGVQKVWAFFEQPLEFGEIASLENTPHSLRDHRERFGEARIDRFAIMGFDFRDNTTNLYSEMVSPGYFEQEEVARMIRDVGSLPPDNEEIERCRGAINVYYTFDWNSPQARRLCFAVPSRDGEFPSHLHPLAARFAAEAPVQAERRELIFNPTFGARGSYLKMEADYTGDAASRVFGYWNR
VIMSS26070 1 350 0.226968571428572 PF04620.12:FlaA:43:290 Flagellar filament outer layer protein; Sheath protein 350 248 12 350 0 Treponema pallidum (strain Nichols) SwissProt::P18193 1 SwissProt MKKAVVLSAVALLSGVCAVADESVLIDFAKLNADIMADKSGGMTHNRRTVLDYASLADTSYTDEQKALMRSSLAVAQWEVVLNSSARNPVAHAASRVIEAPVSEGAKSFAGERVLGVRVLFPTWDSNANAMIKPAFVIPAYEVMAQVDDQGNVQAPTEEEKASGKGRFEDGYGVVKNVGVLKSIAVNTYGMNYPHGLYVMMRDQDGEVHRYFMGYLLFDSWKELVWNNPSYISDVRSREVRLYPVYPASTPHVVFEGFMVTRDAAHAGGDYVGYFKDVKIIYDKAVLSTVRDFADEDLWGIQARREAERKRVEVARFGQQQVLRYIEQEKLATEVGFTPSGGAQRQEEQQ
VIMSS29475 1 148 0.45567972972973 PF03304.13:Mlp:30:145 Lipoprotein MlpC 148 116 12 148 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::Q9S0H7 1 SwissProt MKIINILFCLFLLMLNGCNSNDNDTLKNNAQQTKRRGKRDLTQKETTQEKPKSKEELLREKLSDDQKTHLDWLKPALTGAGEFDKFLENDDDKIKSALDHIKTQLDSCNGDQAEQQKTTFKTVVTEFFKNGDIDNFATGAVSNCNNGG
VIMSS29517 1 140 0.44274 PF03304.13:Mlp:26:137 Lipoprotein MlpD 140 112 12 140 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::Q9S0E8 1 SwissProt MKIINILFCLFLLMLNGCNSNDTNNSQTKSRQKRDLTQKEATQEKPKSKEELLREKLNDNQKTHLDWLKEALGNDGEFNKFLGYDESKIKSALDHIKSELDSCTGDKVENKNTFKQVVQEALKGGIDGFENTASSTCKNS
VIMSS29885 1 413 0.317002179176756 PF13671.6:AAA_33:266:393,PF01966.22:HD:49:174 hypothetical protein 413 254 12 413 0 Deinococcus radiodurans R1 NP_051631.1 1 RefSeq MSALIYLLTRPEPVTFDELSAELRPVLPLLGELPHTPQDPEWHAEGDVATHSALVLARAHELSEGLDPFDRAALLLAAALHDIGKALTTREEPEGSSGQIRLRSRQHARRGRDYLAYRLLDTGLAPRLILTVLELVAHHHSLHRAAESELGRGVFALARQVPLPLLVRLAKADARGREVRGGDGRKGEDTADYLELLARDLGVWNVTDPYAAFRAEIAALLPGAPPELLALAVGRGIQDWEAGVIHTPHEAVARVQEAARSGFPRLTVLCGPSGSGKSTYAHSVPDADLISLDALRAKLGKNASDQRVNGQVLQAAREQLREALRRGRHAVWDATTLRRSQRAQVLGLGYDYGALTELHVLWTPPGQLGARISGRERQVNSAVLADQLRLLEFPEVGEAHRLTWDTLEGELSG
VIMSS29887 1 210 0.286354761904762 PF09414.10:RNA_ligase:33:183 hypothetical protein (NCBI ptt file) 210 151 12 210 0 Deinococcus radiodurans R1 VIMSS29887 1 MicrobesOnline MRVKYPSIPHLPWSPGLQNDDRRITSLSGFIGKEVVVTEKLDGENTSLYRDDLHARSLDMRPHPSRTWVKAERGRVAHDIPLGWRFCGENVYAVHSLKYDDLDGYFYLFSVWDDLNVSRPWDEVRGWAERLSLPTPRELYRGPWDEAALQALDPDPERMEGYVVRVTAAIPYADFGRKVAKWVRRGHVQTDQHWLSQPVEPNGLKRQEQS
VIMSS31947 1 322 0.236086335403727 PF06271.12:RDD:21:143 PROBABLE CONSERVED MCE ASSOCIATED TRANSMEMBRANE PROTEIN (NCBI) 322 123 12 253 3 Mycobacterium tuberculosis H37Rv VIMSS31947 1 MicrobesOnline MTVVVEKTPTTLPQATPNGAAPWHVRAGAFAIDVLPGLAVAATMALTALTVPPGSAWRWLCACLLGLTILLLAVNRLLLPTITGWSLGRALTGIRVVRRDGSAIGPWRLLVRDLAHLVDTLSLFVGWLWPLWDSRRRTFADLLLRTEVRRVEPVQRPAVIRRLTAAVALAAAGACASATAVGAAVVYVNEWQTDHTRAQLATRGPKLVVDVLSYDPETVQRDFERARSLATDRYRPQLSIQQDSVRESGPVRNQYWVTDSAVLSATPAQATMLLFMQGERGTPPNQRYIQSTVRAIFQKSRGQWRLDDLAVVMKPRQPTGEK
VIMSS32021 1 97 0.337223711340206 hypothetical protein (NCBI) 97 0 12 97 0 Mycobacterium tuberculosis H37Rv VIMSS32021 1 MicrobesOnline MSTTAELAELHDLVGGLRRCVTALKARFGDNPATRRIVIDADRILTDIELLDTDVSELDLERAAVPQPSEKIAIPDTEYDREFWRDVDDEGVGGHRY
VIMSS32082 1 409 0.242474816625917 hypothetical protein (NCBI) 409 0 12 409 0 Mycobacterium tuberculosis H37Rv VIMSS32082 1 MicrobesOnline MSQSRYAGLSRSELAVLLPELLLIGQLIDRSGMAWCIQAFGRQEMLQIAIEEWAGASPIYTKRMQKALNFEGDDVPTIFKGLQLDIGAPPQFMDFRFTLHDRWHGEFHLDHCGALLDVEPMGDDYVVGMCHTIEDPTFDATAIATNPRAQVRPIHRPPRKPADRHPHCAWTVIIDESYPEAEGIPALDAVRETKAATWELDNVDASDDGLVDYSGPLVSDLDFGAFSHSALVRMADEVCLQMHLLNLSFAIAVRKRAKADAQLAISVNTRQLIGVAGLGAERIHRAMALPGGIEGALGVLELHPLLNPAGYVLAETSPDRLVVHNSPAHADGAWISLCTPASVQPLQAIATAVDPHLKVRISGTDTDWTAELIEADAPASELPEVLVAKVSRGSVFQFEPRRSLPLTVK
VIMSS3222200 1 1866 0.143609539121114 PF00680.20:RdRP_1:1188:1687,PF00910.22:RNA_helicase:490:593,PF00548.20:Peptidase_C3:1096:1134 RNA1 polyprotein; B RNA polyprotein; Bottom component polyprotein; Genome polyprotein B; P1; EC 3.6.4.-; EC 3.4.22.-; EC 2.7.7.48 1866 643 12 1866 0 Cowpea mosaic virus (strain SB) (CPMV) SwissProt::P03600 1 SwissProt MGLPEYEADSEALLSQLTIEFTPGMTVSSLLAQVTTNDFHSAIEFFAAEKAVDIEGVHYNAYMQQIRKNPSLLRISVVAYAFHVSDMVAETMSYDVYEFLYKHYALFISNLVTRTLRFKELLLFCKQQFLEKMQASIVWAPELEQYLQVEGDAVAQGVSQLLYKMVTWVPTFVRGAVDWSVDAILVSFRKHFEKMVQEYVPMAHRVCSWLSQLWDKIVQWISQASETMGWFLDGCRDLMTWGIATLATCSALSLVEKLLVAMGFLVEPFGLSGIFLRTGVVAAACYNYGTNSKGFAEMMALLSLAANCVSTVIVGGFFPGEKDNAQSSPVILLEGLAGQMQNFCETTLVSVGKTCTAVNAISTCCGNLKALAGRILGMLRDFIWKTLGFETRFLADASLLFGEDVDGWLKAISDLRDQFIAKSYCSQDEMMQILVLLEKGRQMRKSGLSKGGISPAIINLILKGINDLEQLNRSCSVQGVRGVRKMPFTIFFQGKSRTGKSLLMSQVTKDFQDHYGLGGETVYSRNPCDQYWSGYRRQPFVLMDDFAAVVTEPSAEAQMINLISSAPYPLNMAGLEEKGICFDSQFVFVSTNFLEVSPEAKVRDDEAFKNRRHVIVQVSNDPAKAYDAANFASNQIYTILAWKDGRYNTVCVIEDYDELVAYLLTRSQQHAEEQEKNLANMMKSATFESHFKSLVEVLELGSMISAGFDIIRPEKLPSEAKEKRVLYSIPYNGEYCNALIDDNYNVTCWFGECVGNPEQLSKYSEKMLLGAYEFLLCSESLNVVIQAHLKEMVCPHHYDKELNFIGKIGETYYHNQMVSNIGSMQKWHRAILFGIGVLLGKEKEKTWYQVQVANVKQALYDMYTKEIRDWPMPIKVTCGIVLAAIGGSAFWKVFQQLVGSGNGPVLMGVAAGAFSAEPQSRKPNRFDMQQYRYNNVPLKRRVWADAQMSLDQSSVAIMSKCRANLVFGGTNLQIVMVPGRRFLACKHFFTHIKTKLRVEIVMDGRRYYHQFDPANIYDIPDSELVLYSHPSLEDVSHSCWDLFCWDPDKELPSVFGADFLSCKYNKFGGFYEAQYADIKVRTKKECLTIQSGNYVNKVSRYLEYEAPTIPEDCGSLVIAHIGGKHKIVGVHVAGIQGKIGCASLLPPLEPIAQAQGAEEYFDFLPAEENVSSGVAMVAGLKQGVYIPLPTKTALVETPSEWHLDTPCDKVPSILVPTDPRIPAQHEGYDPAKSGVSKYSQPMSALDPELLGEVANDVLELWHDCAVDWDDFGEVSLEEALNGCEGVEYMERIPLATSEGFPHILSRNGKEKGKRRFVQGDDCVVSLIPGTTVAKAYEELEASAHRFVPALVGIECPKDEKLPMRKVFDKPKTRCFTILPMEYNLVVRRKFLNFVRFIMANRHRLSCQVGINPYSMEWSRLAARMKEKGNDVLCCDYSSFDGLLSKQVMDVIASMINELCGGEDQLKNARRNLLMACCSRLAICKNTVWRVECGIPSGFPMTVIVNSIFNEILIRYHYKKLMREQQAPELMVQSFDKLIGLVTYGDDNLISVNAVVTPYFDGKKLKQSLAQGGVTITDGKDKTSLELPFRRLEECDFLKRTFVQRSSTIWDAPEDKASLWSQLHYVNCNNCEKEVAYLTNVVNVLRELYMHSPREATEFRRKVLKKVSWITSGDLPTLAQLQEFYEYQRQQGGADNNDTCDLLTSVDLLGPPLSFEKEAMHGCKVSEEIVTKNLAYYDFKRKGEDEVVFLFNTLYPQSSLPDGCHSVTWSQGSGRGGLPTQSWMSYNISRKDSNINKIIRTAVSSKKRVIFCARDNMVPVNIVALLCAVRNKLMPTAVSNATLVKVMENAKAFKFLPEEFNFAFSDV
VIMSS3242678 1 663 0.294727450980392 hypothetical protein (NCBI) 663 0 12 663 0 Emiliania huxleyi virus 86 VIMSS3242678 1 MicrobesOnline MPSIAFSGISTKPGELEFHVPSVLSKHNRAGLLKSIDFPYSQRTIESSWNKLHYMESIRITPESRSVSVLLTDKESGDRVEMLAMVPLTTNKIIEISTATTDGTIILVTEEPHGFFAPGCFGKEVRNVISSYKSIFPHGTPPFILIHGSNGSVQVDPALFEYNDEYSVKIKYTAIRTEFKLFGKGDHGWMVTPEFPTISMLCQVITNAMNSAIIFNHDDPAARTRMYPGTCSNTHVIESVSGDSLAKALLGYDGVYAGWEEITIPAGMYCYGELDLSKMIAAKMNRWHIDRESSIIFRGVSGYTWNVTLPSGNYGTPEKLAHCIQHMMNMTAKNRKNPYCVRFTLNEGSSHRGKFVFVAAEPFDLLFGDDESIDPSILGFEPVDHIGRNSYMSENDLGAPLMKPNCNVYDVDEIPGTHQIRIGRRTRLAVDGKIRGYSGGTLRLNTVNRTTGAPKCHGMNKGDVVTLTTVMPAPDGATGTKREGFTFRAKNKIMGVVVADENENPDASSLHVSVPSMSWTLGIGSYITIDSQAAPISVALFDPGKNQFFRDSIGASRLGFSNGVSTGQHGVVVSQCAVNLEPRTVDVSFIEGSMTSASTEMYNQNGKSLITQVPSDRSSGPVPQGMVRFNNALQQFKLEFTNPDGSPYHFNHASLSLLMEFDD
VIMSS32534 1 68 0.405744117647059 PF13459.6:Fer4_15:5:63,PF13370.6:Fer4_13:8:62,PF06902.11:Fer4_19:4:65 POSSIBLE FERREDOXIN (NCBI) 68 62 12 68 0 Mycobacterium tuberculosis H37Rv VIMSS32534 1 MicrobesOnline MGYRVEADRDLCQGHAMCELEAPEYFRVPKRGQVEILDPEPPEEARGVIKHAVWACPTQALSIRETGE
VIMSS32563 1 347 0.297685878962536 PF00296.20:Bac_luciferase:23:277 hypothetical protein (NCBI) 347 255 12 347 0 Mycobacterium tuberculosis H37Rv VIMSS32563 1 MicrobesOnline MNAKDDPHFGLMLAATVNGLAVGSYREMVVVSQTAEEYGFDSVWLCDHFLTISPGEYAKVAGIAADTGSATGTETGGAGQCAPSRSLPLLECWTALAALSRDTTKLRLGTSVLCNSYRHPSVLAKMAATLDVISQGRLDLGLGAGWFRRESQAYGIPFPPVGDRVSALAESLQVIKAVWTEPNPTYAGRFYTLDGATCDPPPVQRPHPPLWIGGEGDRVQRIAAKHAQGLNVRWWSPQQVTQRRGFLTQASEAAGRDPDTLRLSVTLLLAPTQSGEEEVRIREEFASIPEPGLIVGTPDRCVERIREYQDRGVGHFLFTIPHVVKSDYLHIIGSDIIPRVKTEVTIP
VIMSS32598 1 351 0.231807407407407 PF09995.9:DUF2236:59:294 hypothetical protein (NCBI) 351 236 12 351 0 Mycobacterium tuberculosis H37Rv VIMSS32598 1 MicrobesOnline MTQDTSATCPLTSTVQDSSPVAGQLGRPIGFRGLAGGCPVSPLGYESPPLPLGPDSLTWRYFGDWRGMLQGPWAGSMQNMHPQLGAAVEDHSTFFRERWPRLLRSLYPIGGVVFDGDRAPVTGVQVRDYHITIKGVDGAGRRYHALNPDVFYWAHATFFVGTLHVAERFCGGLTEAQRRQLFDEHVQWYRMYGMSMRPVPATWEEFQDYWDHMCRNVLENNFAARAVLDLTELPKPPFAQRVPDWLWAAPRKLLARFFVWLTVGLYDPPVRELMGYRWLRRDEWLHRRFGDIVRLVFALVPFRFRKHPRARAGWDRATGRIPADAPLVQTPARNLPPPDERDNPTHYCPKV
VIMSS32614 1 430 0.0551195348837209 PF07690.16:MFS_1:54:254 PROBABLE CONSERVED INTEGRAL MEMBRANE PROTEIN (NCBI) 430 201 12 177 11 Mycobacterium tuberculosis H37Rv VIMSS32614 0 MicrobesOnline MRYTGPERCSGDGQVRAAGDRYSTVIWLLGGNLLVRSAGFGYPFLAYHVAGRGHGAGAVGAVVAAYGLGWAVGQLLCGWLVDRVGARVTLVSTMLVAAAVLVLMAGLHTVPGLLVGAMIAGLVCDAPRPVLGAVIAELVADPQRRAQLDGWRYGWVLNIGAAITGGVGGVVAGWLDTPVLYWINGIGCAIFAGLAGRCIPADVCRRTESGLRACTAMSKVGYRQALSDKRLVLLAVSGLATLTTLMGFFAAVPMLMSASGLGVGAYGWVQLINALAVVAVTPLLTPWLSKQLALGPRPDILAGAGVWVTLCMAAAGLARTTVGFSVAAAACSPGEIAWFVVAAGIVHRIAPPAHGGRYHGIWSMAVAASSVAAPILAAFNLANGGRLVLAATTVTVGFFGAALCLPLARVLAAASCGPLSSKEPSRDSYQ
VIMSS32619 1 130 0.359881538461538 Lipoprotein LpqS 130 0 12 107 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O53859 1 SwissProt MVWMRSAIVAVALGVTVAAVAAACWLPQLHRHVAHPNHPLTTSVGSEFVINTDHGHLVDNSMPPCPERLATAVLPRSATPVLLPDVVAAAPGMTAALTDPVAPAARGPPAAQGSVRTGQDLLTRFCLARR
VIMSS32876 1 106 0.256683018867925 Antitoxin MazE3 106 0 12 106 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O53451 1 SwissProt MYLPWGVVLAGGANGFGAGAYQTGTICEVSTQIAVRLPDEIVAFIDDEVRGQHARSRAAVVLRALERERRRRLAERDAEILATNTSATGDLDTLAGHCARTALDID
VIMSS329538 1 1231 0.529067181153532 PF06458.12:MucBP:511:574 cell surface protein precursor (putative) (NCBI ptt file) 1231 64 12 1190 2 Lactobacillus plantarum WCFS1 VIMSS329538 1 MicrobesOnline MYTENTGKHHRNGLPVWLLPLLVVISFWGVSQNIMVVDASSSVTVLPGNGGTLPLVNQLVIKQNDTALQGITNNAGDRGSLTPKNGAQRVLIHKVKDSDTITSTYGTVGTFHGQEVTAKVTISHIKVHDDSHKAPSGMKQTDGAFQIGPGFSSDTTMSNVAQFNVSYEFYYADTHAAVNIQNAFITLSSLDGPVAGTSTGFEYTAYLGAGKIYTVENSIVKQIANPLGGGQLVMAGQTARDASWPYTSSTAATFGVSGTKLEFIYGTTRVNSGNSWLQPVYNVSTITLGTPAIATPTLSATQSATDKQNRTLTYDLQQKVNVLDQDLMTKYKDWSENITIPANAKYTKGEVVNDAGQALPSTAYQVSYDEKTHQVKWHLTDAGIKSLPFKGETYHFKAQVQFSDDVDDQTKVTATGQTAIDKQTKTSNTVTNTIDNQATITVHHYMTDSTDKVAPDETVKVGYGKAYDVTKQVKTITGYKRNATLDEHTRGTASKTTKEAVMYYDPLPYNIHVNYLLTDGQKLDELDVTGLYGDTYTTEATDFEDLYTVDTDRLPTNAQGTVTEKPTTVNYYYQPTTGQWVDVGNQSSVLVRQDTKHNVRSVSQIYANDSGFTVKYNQDAAQVAIAASDTNGTQDNSLVFDYNSKYTFELSKNETVTFKVDDQGQVTATRVLGAEQTVTTFDKSGQLKTVTTVTNANGTKSQQTNTVDGLKSMVTGEQYDLGLLNGLKVTAQKEINPSQAATTESKTTTDTSQSGSNQSTSTTATDQTETNESTAGSSTNATNASSSVDASSANSQGDTEATSQSGTSASADSKTDSSVASSTSQTTDGKTDGETTNTGDTTTGTTTDSGLGFKSPFTEDQNTSSALGSAQTSSSLNSDTSAAVQALIAEPNSTPVVLGEDASFEEGVPVNDPVFSNDEGVSPNNNPSSAATPLAQATNTRARLTQNGKLLYEGTLKADQGEQNLYVSPDTTVEVDGGDDGDGFYLDTYDGDKGMAYTLGSGYAWAAENNDVTAAPASSATTSSESAASESNTNSSDSSRTASSAVDHSTSSASTSDASQSSHSTSSGESSHPESSSGSSTTSDSADADKQAAARSSQTQSNSVNGSSQAVSSSTVTSQSSVPTKANTKQASSTPTTKANRATVAAATSSTAPRQSRATTASASVPSVTSASAVAASRDKQQSAFKKQHPILNQILPKTNSAVATWLVWLGVGLLLLTVAITMVIKKRGRD 3
VIMSS330249 1 724 0.459874171270719 PF18483.1:Bact_lectin:271:511,PF06458.12:MucBP:518:593,PF06458.12:MucBP:607:718,PF17966.1:Mub_B2:602:687 cell surface protein precursor (NCBI ptt file) 1010 434 12 724 0 Lactobacillus plantarum WCFS1 VIMSS330249 1 MicrobesOnline MLKKDNFGEHKTHYKLYKCGKNWAIMGITLVSLGVGTVTMTRAAAADSEVTNDSASQHVTSISTDASKNQHTSSNVILTNDDKSVSASINQDASASVVNKAVSATSQENSSVQNTSQATSTSKQESSSTKNTSQTTSTSNQEANSAKSINQTTRTSKQESSSTKNTSQTTSTSNQEANSAKSINQTTRTSNQESSSAKNTSQTTSTSSRKINSTKSQAQSLTITTTGKAVRATSTSVKKYSTKTKVSYSTLLQQLRTSKALISDEAALTHVDKDNFLKYFSLNGSATYDAKTGIVTITPNQNNQVGNFSLTSKIDMNKSFTLTGQVNLGSNPNGADGIGFAFHSGNTTDVGNAGGNLGIGGLQDAIGFKLDTWFNSYQAPSSDKNGSEISSTNSNGFGWNGDSANAPYGTFVKTSNQEISTANGSKVQRWWAQDTGESQALSKADIDGNFHDFVVNYDGATRTLTVSYTQASGKVLTWKTTVDSSYQAMAMVVSASTGAAKNLQQFKLTSFDFQEAATVNVKYVDTTGHQLAQGTANYPDGAYVNGRYTTKQLIIPNYRFIKMDDGSVTGTKSLDANGTLIQSGDNGTVIYVYVPEYMAIVKTVNETINYVDENGHALTTSYTANPIHILTVTNPVDGTTTTYYSTITTSIELDATTGRPVDSGWVLGNSQDFDAVTNPQIKGYTVTSTDAPNSDLQHVSAQTVTGDSGDLEFTVVYTKNAPIV 1
VIMSS33028 80 202 0.333611382113821 PROBABLE TRANSCRIPTIONAL REGULATORY PROTEIN (NCBI) 202 0 12 123 0 Mycobacterium tuberculosis H37Rv VIMSS33028 1 MicrobesOnline KIADVVEPAERLLVSITTTLRMVRDNPALAAWFTTTRPPIGGEMAGRSEVIAALAAAFLNSLGPDDPTTVERRARWVVRMLTSLLMFPGRDEADERAMIAEFVVPIVTPASAAARKAGHPGPE
VIMSS33235 1 277 0.32814440433213 PF01458.17:UPF0051:135:267 hypothetical protein (NCBI) 397 133 12 277 0 Mycobacterium tuberculosis H37Rv VIMSS33235 1 MicrobesOnline MTAPGLTAAVEGIAHNKGELFASFDVDAFEVPHGRDEIWRFTPLRRLRGLHDGSARATGSATITVSERPGVYTQTVRRGDPRLGEGGVPTDRVAAQAFSSFNSATLVTVERDTQVVEPVGITVTGPGEGAVAYGHLQVRIEELGEAVVVIDHRGGGTYADNVEFVVDDAARLTAVWIADWADNTVHLSAHHARIGKDAVLRHVTVMLGGDVVRMSAGVRFCGAGGDAELLGLYFADDGQHLESRLLVDHAHPDCKSNVLYKGALQGDPASSLPDAHT
VIMSS33291 75 319 0.216274693877551 PF13632.6:Glyco_trans_2_3:27:161,PF00535.26:Glycos_transf_2:2:83 hypothetical protein 319 160 12 245 0 Mycobacterium tuberculosis H37Rv NP_216034.1 1 RefSeq LRNEPRLGLNRNHNKCLEVARGGLIQFVHGDDRLLPGALQTLSRRFEDPSVGMAFAPRRVESDDIKWQQRYGRVHTRFRKLRDRNHGPSLVLQMVLHGAKENWIGEPTAVMFRRQLALDAGGFRTDIYQLVDVDFWLRLMLRSAVCFVPHELSVRRHTAATETTRVMATRRNVLDRQRILTWLIVDPLSPNSVRSAAALWWIPAWLAMIVEVAVLGPQRRTHLKALAPAPFREFAHARRQLPMAD
VIMSS33412 1 489 0.291835787321063 PF00756.20:Esterase:200:392 hypothetical protein (NCBI) 489 193 12 402 4 Mycobacterium tuberculosis H37Rv VIMSS33412 1 MicrobesOnline MAQNELVTASTPPAATQPLAVGHTSLMHGWVPLAVQVVTAVVLVLAAGWRSRHWQRRWLPTAAAIGATLAWGTRWYVTGNGLANERPPSTLWIWVALTGAAATVLILGWRSARWWRRGASLLAVPLCLLSATLTLNLWVGYFPTVQTAWNQLTSGPLPDQADQAAVAALAHSGVRPSHGTLLPVVIPSDASHFKHRGELVYLPPAWFDREHRSENPPPPQLPTVMMIGGQFNTPADWARAGNAVKTLDDFAAAHSGNAPVVVFVDSGGAFNNDTECVNGRRGNAADHLTKDVVPYMVSKFGVSPEQTSWGIVGWSMGGTCAVDLTVMHPTLFSAFVDIAGDFYPNAGNKTQTIVRLFGGNEDAWSAFDPTTVITRHGSYTGLSGWFAISSPGPPSPDNAVADTTTMRLAGRDAAANPGNQAAAANALCALGRANGIYCAVVPQPGKHDWPFADRVFAAALPWLAGQLATPGVPKIPLPGTTQQIAGTGR
VIMSS33420 1 316 0.371938924050633 PF00211.20:Guanylate_cyc:127:265 adenylate cyclase (EC 4.6.1.1) 316 139 12 316 0 Mycobacterium tuberculosis BRENDA::P94982 1 BRENDA MAGSARTTYPCHVEVGPQDSESGAPDETATAMASPVPRQRSALRWLRTVNRSPGLVSFIHRARRLLPGDPEFGDPLSTAGEGGPRAAARAADRLLRDRDAASREVGLSVLQVWQALTEAVSRRPANPEVTLVFTDLVGFSTWSLHAGDDATLTLLRQVARAVESPLLDAGGHIVKRLGDGIMAVFRNPTVALRAVLVAQDAVKSLEVQGYTPRMRIGIHTGRPQRLAADWLGVDVNIAARVMERATKGGIMISQPTLDLIPQSELDALGVVARRVRKPVFASKPTGIPPDLAIYRIKTVSESTAADNFDEMSPDAQ
VIMSS33459 1 226 0.0174451327433628 PF01061.24:ABC2_membrane:3:188,PF12698.7:ABC2_membrane_3:28:218,PF12679.7:ABC2_membrane_2:40:223 Transport permease protein 226 221 12 92 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O33188 0 SwissProt/TReMBL MILLVPILIITLMYFMFENVPHRPGTPSGFNTACLVLLGLFPLFVMFVITAITMQRERASGTLERILTTPLRRLDLLAGYGTAFSIAAAAQATLACIVAFWFLGFDTAGSPVWVFAIAIVNAVLGVGLGLLCSAFARTEFQAVQFIPLVMVPQLLLAGIIVPRALMPTWLEWISNVMPASYALEALQQVGAHPELTGIAVRDVVVVLSFAVASLCLAAVTLRRRTS
VIMSS33538 1 365 0.376755342465754 PF02720.17:DUF222:22:306,PF01844.23:HNH:314:352 hypothetical protein (NCBI) 365 324 12 365 0 Mycobacterium tuberculosis H37Rv VIMSS33538 1 MicrobesOnline MSSTATSGAAVVSPAERVEVLFEELAELAGQRNAIDGRIVEIVAELDRDGLWGVTGARSVAGLVAWKMGCSSGNAHTIATVARRLPEFPRCARGMREGRLSLDQVGVIAGRAGEGSDAHYAQLAGVATVNQLRTALKLEPRPEPEPDFRPEPRPSITRSADEQFSCWRIKLPHVEAAKFDAALQSHLDALIAEYKRDHDNSDGVSDQRPPLPGNVEAFLRLVEAGWDAEVARRPHGQHTTVVMHLDVQERAAGLHLGPLLSESERRYLLCDATFEAWFERDGQVIGCGRTTRQINRRLRRALEHRDRTCVVPGCGATRGLHAHHIRHWQDGGATELANLVLVCPYHHRAHHRGLNRPGESGDSLI
VIMSS33559 1 67 0.429294029850746 PF13459.6:Fer4_15:3:60,PF13370.6:Fer4_13:6:58 PROBABLE FERREDOXIN (NCBI) 67 58 12 67 0 Mycobacterium tuberculosis H37Rv VIMSS33559 1 MicrobesOnline MKVRLDPSRCVGHAQCYAVDPDLFPIDDSGNSILAEHEVRPEDMQLTRDGVAACPEMALILEEDDAD
VIMSS33588 1 221 0.264942986425339 Uncharacterized protein Rv1815 221 0 12 221 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WLR9 1 SwissProt MVRLVPRAFAATVALLAAGFSPATASADPVLVFPGMEIRQDNHVCTLGYVDPALKIAFTAGHCRGGGAVTSRDYKVIGHLRAIRDNTPSGSTVATHELIADYEAIVLADDVTASNILPSGRALESRPGVVLHPGQAVCHFGVSTGETCGTVESVNNGWFTMSHGVLSEKGDSGGPVYLAPDGGPAQIVGIFNSVWGGFPAAVSWRSTSEQVHADLGVTPLA
VIMSS33744 1 437 0.266525400457666 PF02470.20:MlaD:43:116 MCE-FAMILY PROTEIN MCE3F (NCBI) 437 74 12 414 1 Mycobacterium tuberculosis H37Rv VIMSS33744 1 MicrobesOnline MLHLPRRVIVQLAVFTVIAVGVLAITFLHFVRLPAMLFGVGRYTVTMELVEAGGLYRTGNVTYRGFEVGRVAAVRLTDTGVQAVLALKSGIDIPSDLKAEVHSHTAIGETYVELLPRNAASPPLKNGDVIALADTSVPPDINDLLSAANTALEAIPHENLQTVIDESYTAVAGLGLELSRLIKGSAELAIDARANLDPLVALIDRAGPVLDSQTHTSDAIAAWAAQLAAVTGQLQTHDSAVGDLIDRGGPALGETRQLLERLQPTVPILLANLVSVGQVALTYHNDIEQLLVVFPMAIAAEQAGILANLNTKQAYRGQYLSFNLNLNLPPPCTTGFLPAQQRRIPTFEDYPDRPAGDLYCRVPQDSPFNVRGARNIPCETVPGKRAPTVKLCESDAPYLPLNDGYNWKGDPNATVPGLGSGQDIPQTWQTMLLPPGS
VIMSS340211 1 286 0.193605944055944 PF12833.7:HTH_18:199:276,PF00165.23:HTH_AraC:186:226,PF00165.23:HTH_AraC:239:276 transcriptional regulator ExsA 286 91 12 286 0 Vibrio parahaemolyticus RIMD 2210633 NP_798078.1 1 RefSeq MDVSGQLNTETVGSSLRKIRSFSHYEKHDEVFHSDQSHIVVVHNGQLRVQTGDCTIDVVAGSGVFLSQGDYLLEYSPQGGNYHSSIIEFDNELVSQLLQKHSDLLMTLPKVDKLNSGLFSFGLNILIEQVLSGMKTLEEQSYPDAIMRLKYEEMLILLLHSQGGEVLYALLSQQTNRTSDRLRRFMEQHYLKEWKLTDYAQEFGASLTTFKELFNEHYGISPRAWISERRLLHAHKLLLTSKMSIVDVAMEAGFSSQSYFTQSYRRRFGTTPSKVRSGDEQVAIAN
VIMSS34171 1 272 0.0329503676470588 PF00528.22:BPD_transp_1:73:265 PROBABLE SULFATE-TRANSPORT INTEGRAL MEMBRANE PROTEIN ABC TRANSPORTER CYSW (NCBI) 272 193 12 136 6 Mycobacterium tuberculosis H37Rv VIMSS34171 0 MicrobesOnline MTSLPAARYLVRSVALGYVFVLLIVPVALILWRTFEPGFGQFYAWISTPAAISALNLSLLVVAIVVPLNVIFGVTTALVLARNRFRGKGVLQAIIDLPFAVSPVIVGVSLILLWGSAGALGFVEQDLGFKIIFGLPGIVLGSMFVTCPFVVREVEPVLHELGTDQEQAAATLGSGWWQTFWRITLPSIRWGLTYGIVLTVARTLGEYGAVIIVSSNLPGTSQTLTLLVSDRYHRGAEYGAYALSTLLMAVSVVVLIVQMVLDARRARAVSEG
VIMSS342924 1 160 0.165446875 PF00486.28:Trans_reg_C:27:100 putative transcriptional regulator ToxR (NCBI ptt file) 253 74 12 137 1 Vibrio parahaemolyticus RIMD 2210633 VIMSS342924 1 MicrobesOnline MTSKKYRIDQKILSSDSPFLISLGSQDRVKLGTHEHLVLLALCEQPGTLLDKETLIEKGWPGKFVTDSSLTQAIRNIRAHLNDNGKSQKHIKTIAKKGYLIEKDYVQSLEVIDDKNINETESIRKLVTLTKRNILLISIILQLAFIIYVAYSYTSIFVSS
VIMSS34331 1 236 0.230122881355932 hypothetical protein (NCBI) 236 0 12 236 0 Mycobacterium tuberculosis H37Rv VIMSS34331 1 MicrobesOnline MPGSAGWRKVFGGTGGATGALPRHGRGSIVYARSTTIEAQPLSVDIGIAHVRDVVMPALQEIDGCVGVSLLVDRQSGRCIATSAWETLEAMRASVERVAPIRDRAALMFAGSARVEEWDIALLHRDHPSHEGACVRATWLKVVPDQLGRSLEFYRTSVLPELESLDGFCSASLMVDHPACRRAVSCSTFDSMDAMARNRDRASELRSRRVRELGAEVLDVAEFELAIAHLRVPELV
VIMSS34445 1 528 0.363063068181818 PF08386.10:Abhydrolase_4:425:526,PF00561.20:Abhydrolase_1:160:482 POSSIBLE SECRETED PROTEASE (NCBI) 528 367 12 505 1 Mycobacterium tuberculosis H37Rv VIMSS34445 1 MicrobesOnline MATVVGMSRPMTSTAMLVALTCSATVLAACVPAFGADPRFATYSGAGPQGAATTTPPPAGPPPLAAPKNDLSWHDCTSRVYSNAGIPAAPGVKLECASYDTDLDPLVGGSTAVSIGVVRARSNQTPSDAGPLVFTTGSDLPSSTQLPVWLAHAGIDVLRSHPIVAVDRRGMGMSSPIDCRDHFDRDEMRDQAQFQAGDDPVANLSDISNTATTDCTDAIAPGESAYDNTHAASDIERLRKLWDVPALAFVGIGNGTQVALAYAASRPDNVARLILDSPIALGVSAEAAAEQQVQGQQAALDAFAAQCVAVNCALGSHPKGAVSALLSAARSGDGPGGASVAAVANAVATALGFPDSGRVDSTTKLADALAAARSGDMNLLSALINRADTTRDTDGQFISSCSDAVNRPTPDRVRELVVAWGKLYPQFGAVAALNLVKCVHWPSSSPPQPPKDLKVDVLLLGVQNDPIVGNEGVAATAATAINANAASKRVMWQGIGHGASIYSSCAVPPLVAYLDTGKLPDTDTYCPA
VIMSS34472 1 100 0.49734 PF13834.6:DUF4193:1:99 hypothetical protein (NCBI) 100 99 12 100 0 Mycobacterium tuberculosis H37Rv VIMSS34472 1 MicrobesOnline MPTDYDAPRRTETDDVSEDSLEELKARRNEAASAVVDVDESESAESFELPGADLSGEELSVRVVPKQADEFTCSSCFLVQHRSRLASEKNGVMICTDCAA
VIMSS34516 1 270 0.221101111111111 POSSIBLE CONSERVED TRANSMEMBRANE ALANINE RICH PROTEIN (NCBI) 270 0 12 224 2 Mycobacterium tuberculosis H37Rv VIMSS34516 1 MicrobesOnline MAVKAGQRRPWRSLLQRGVDTAGDLADLVAQKISVAIDPRARLLRRRRRALRWGLVFTAGCLLWGLVTALLAAWGWFTSLLVITGTIAVTQAIPATLLLLRYRWLRSEPLPVRRPASVRRLPPPGSAARPAMSALGASERGFFSLLGVMERGAMLPADEIRDLTAAANQTSAAMVATAAEVVSMERAVQCSAASRSYLVPTINAFTAQLSTGVRQYNEMVTAAAQLVSSANGAGGAGPGQQRYREELAGATDRLVAWAQAFDELGGLPRR
VIMSS34586 1 270 0.250310370370371 PF13401.6:AAA_22:44:173,PF09848.9:DUF2075:46:138 hypothetical protein (NCBI) 270 130 12 270 0 Mycobacterium tuberculosis H37Rv VIMSS34586 1 MicrobesOnline MMHKLISYYGFSRMPFGRDLAPGMLHRHSAHNEAVARIGWCIADRRIGVITGEVGAGKTVAVRAALASLDRSRHTIIYLPDPTVGVQGIHHRIVASLGGQPLTHHATLAPQAADALAAEQAERGRTPVVVVEEAHLLGYDQLEALRLLTNHDLDSSSPFACLLIGQPTLRRRMKLGVLAALDQRIGLRYAMPPMTDTNTGSYLRHHLKLAGRDDALFSDDAIGLIHQTSRGYPRAVNNLALQALVAAFAADKAIVDESTTRTAIAEVTAD
VIMSS34728 1 321 0.167879439252336 PF05050.12:Methyltransf_21:133:290 [2-O-methyl-α-L-fucopyranosyl-(1→3)-α-L-rhamnopyranosyl-(1→3)-2-O-methyl-α-L-rhamnopyranosyl] dimycocerosyl phenol-phthiocerol 4'''-O-methyltransferase 321 158 12 321 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) metacyc::G185E-7209-MONOMER 1 metacyc MQFQDVRLMRVVVCRRLGPAKGQRRWRPLDLGTTGCFENLGAQRPTYRMRAIRMLECAMPNRLVRSLQRWRPFGLPPHRWRLAPWYWRGLQVTLEPGSAIAWIVRLTGGFEETEIDIAAALYSALYPDRCILDVGANVGIHSLAWARLAPVVALEPAPGTHSRLEANVAANGLQDRIRTLRTAAGDAVGEVDFFVAADSAFSSLNDTGRIRIRERTRVPCTTLDALAAELPLPVGLLKIDVEGLERAVIAGAAELLRRDRPVLLVEIYGGAASNPDPERTIADIRAYGYEPFVYADDAGLQPYQRHRDDRYCYFFIPSRKG
VIMSS34803 1 274 0.243582846715329 PF08241.12:Methyltransf_11:66:157,PF13649.6:Methyltransf_25:65:153,PF13847.6:Methyltransf_31:64:161,PF13489.6:Methyltransf_23:53:198,PF08242.12:Methyltransf_12:66:154,PF05148.15:Methyltransf_8:52:158 hypothetical protein (NCBI) 274 147 12 274 0 Mycobacterium tuberculosis H37Rv VIMSS34803 1 MicrobesOnline MCAFVPHVPRHSRGDNPPSASTASPAVLTLTGERTIPDLDIENYWFRRHQVVYQRLAPRCTARDVLEAGCGEGYGADLIACVARQVIAVDYDETAVAHVRSRYPRVEVMQANLAELPLPDASVDVVVNFQVIEHLWDQARFVRECARVLRGSGLLMVSTPNRITFSPGRDTPINPFHTRELNADELTSLLIDAGFVDVAMCGLFHGPRLRDMDARHGGSIIDAQIMRAVAGAPWPPELAADVAAVTTADFEMVAAGHDRDIDDSLDLIAIAVRP
VIMSS351 1 121 0.0411413223140496 hypothetical protein (NCBI ptt file) 121 0 12 75 2 Chlamydia trachomatis D/UW-3/CX VIMSS351 0 MicrobesOnline MQLPSIIQSFFFPKAPPSPLKKPIYQQTERIINIAYLVLLSLSVVGIISGVFLSLSFPLLGAGICLISLAVGSCLLVLFPLLPDIEKIIARREPKVSITTSSPLPTLMRYFKSIGLGKAAH
VIMSS35108 1 146 0.325534246575342 PF09278.11:MerR-DNA-bind:44:108,PF13411.6:MerR_1:1:67,PF00376.23:MerR:2:39 MerR family transcriptional regulator 146 108 12 146 0 Mycobacterium tuberculosis H37Rv NP_217851.1 1 RefSeq MKISEVAALTNTSTKTLRFYENSGLLPPPARTASGYRNYGPEIVDRLRFIHRGQAAGLALQEVRQILAIHDRGEAPCAHVRQLLSTRIDEVRAQIAELIALEGHLQTLLDHASYGPPTEHDHSTVCWILESDLDEPTAIEVSDIHA
VIMSS35392 1 395 0.234735443037975 PF00296.20:Bac_luciferase:6:317 POSSIBLE MONOOXYGENASE (NCBI) 395 312 12 395 0 Mycobacterium tuberculosis H37Rv VIMSS35392 1 MicrobesOnline MKAPLRFGVFITPFHPTGQSPTVALQYDMERVVALDRLGYDEAWFGEHHSGGYELIACPEVFIAAAAERTTHIRLGTGVVSLPYHHPLMVADRWVLLDHLTRGRVMFGTGPGALPSDAYMMGIDPVEQRRMMQESLEAILALFRAAPDERIDRHSDWFTLREAQLHIRPYTWPYPEIATAAMISPSGPRLAGALGTSLLSLSMSVPGGYAALETAWGVVREQAAKAGRGEPDRADWRVLSIMHLSDSRDQAIDDCTYGLPDFSRYFGAAGFVPLANTVEGTQSSREFVEQYAAKGNCCIGTPDDAIAHIEDLLHRSGGFGTLLLLGHDWAPPPATFHSYELFARAVIPYFKGQLAAPRASHEWARGKRDQLIGRAGEAVVKAITEHVAEQGEAGS
VIMSS356009 1 242 0.0763028925619835 PF04647.15:AgrB:2:175 agrBfs protein (NCBI ptt file) 242 174 12 136 5 Enterococcus faecalis V583 VIMSS356009 1 MicrobesOnline MLIDWILKNIMDMDQEDQSGKTQWTKYYLTVYFSGLFNLLMILILSVLFGTLSETFIVYVVLIFLRPVAGGWHAKTKWLCRLESIVIYVAIPFVLKNSSVSLPFIYKILLMCLLVVLFYWYAPQGTAIEPVQPSDLNVLKKQSLIRVCLLILCSLFVKEKIASVILYGLVIQGLMILPVTKNLIEGSVFMKFGKKIIKNVIEKRVAKVSDGVGTKPRLNQNSPNIFGQWMGQTEKPKKNIEK
VIMSS35664 1 95 0.315287368421053 PF06013.12:WXG100:4:79 ESAT-6 like protein EsxC 95 76 12 95 0 Mycobacterium tuberculosis WP_003899750.1 1 RefSeq MSDQITYNPGAVSDFASDVGSRAGQLHMIYEDTASKTNALQEFFAGHGAQGFFDAQAQMLSGLQGLIETVGQHGTTTGHVLDNAIGTDQAIAGLF
VIMSS364159 1 51 0.0841803921568627 PF01848.16:HOK_GEF:6:47 Qin prophage; toxic protein HokD 51 42 12 32 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11130-MONOMER 0 ecocyc MKQQKAMLIALIVICLTVIVTALVTRKDLCEVRIRTGQTEVAVFTAYEPEE
VIMSS3690345 1 567 0.388951851851852 hypothetical protein 567 0 12 567 0 Mycobacterium tuberculosis EAI5 WP_003405180.1 1 RefSeq MVLRSRKSTLGVVVCLALVLGGPLNGCSSSASHRGPLNAMGSPAIPSTAQEIPNPLRGQYEDLMEPLFPQGNPAQQRYPPWPASYDASLRVSWRQLQPTDPRTLPPDAPDDRKYDFSVIDNALTRLADRGMRLTLRVYAYSSCCKASYPDGTNIAIPDWERAIASTNTSYPGPATDPSTGVVQVVPNFNDSTYLNDFAQLLAALGRRYDGDERLSVFEFSGYGDFSENHVAYLRDTLGAPGPGPDESVATLGYYSQFRDQNITTASIKQLIAANVSAFPHTQLVTSPANPEIVRELFADEVTNKLAAPVGVRSDCLGVDAPLPAWAESSTSHYVQTKDPVVAALRQRLATAPVITEWCELPTGSSPRAYYEKGLRDVIRYHVSMTSSVNFPDQTATSPMDPALYLVWAQANAAAGYRYSVEAQPGSQALAGKVATISVTWTNYGAAAATEKWVPGYRLVDSTGQVVRTLPAAVDLKTLVSDQRGDRSSDQPTPASVAETVRVDLSGLPAGHYTLRAAIDWQQHKPNGSHVVNYPPMLLSRDGRDDSGFYPVATLDIPRDAQTAVNAS
VIMSS370753 600 3335 0.193365021929824 PF11996.8:DUF3491:1670:2614,PF12919.7:TcdA_TcdB:1:183 adherence factor (NCBI ptt file) 3335 1128 12 2736 0 Chlamydia muridarum Nigg VIMSS370753 1 MicrobesOnline SDAVLKLAVGETTTVSIEDIGKDIDISRLTEEDKTKLGELFKELEFFVKKDTQNSSKLGKAKSFFQPMNMDIVRDTMPILRRYHYYPELGWFVRGLNGLMVSHKGSAAVSHVIKGQQDAYQELAAMRQEVLSGEFFRSLEDLTHRQHKDLIGGHLVSDYLAKSLFFDYRQDSIMPEAVSTLGITGPDLVAQKLVELFKDWGPLGRDFLTPRGKKLGDMAFLGSYKKIPLDPSDPRKYTFDWMNPLTVGSNDVTPADESTWCGSKKRCVAELLFSDSSTKLSTNKLQGVTRTKIDTSTFTSLWKEESKKKLPPGLLESFNRFITEKTVDILKLSELDQKIYVTQSAIQDDNIAKASLFSLQLQLAHLLRFAPYPVTNHVHFFPQIQKELDSDYVKAIKLFLKTSSTTTVVLWHSSQQNLSLFFKELLAISERRVAIYNLLNPEEAAKARKALEKKERDKKGKQQEKDSSEGEDQQGDQKKNFSEYAELLKQYEQHKAKDSLGLLSEAEQMTFLEITTQIAENPTLFSVISQIEAEISSGYVFRALESQVSKWFSLPEQQRRQQILNLLKELEKDAIKNSKQDKKDHKNWLETLYDQAEQKWLQEPKKKLQDLIKNSGDNSRVILKDSDQLLSRNELFQSMIKKGYPFADFANILRFMVADAGISGIFSSKAIFPAPSKQLVDLLKKTLGGDFATLQDALPTVYDWILEEPNSERTNKLFKQFPENLQKELKNIAPEHLLTPPIDTSVSALGMRFSTEVGLESDSVMASIGSGFFNPSSYSMARYMEAIFELQQKIVNGELQTVETVKNILQKKGAESLYHADRAALLVQFSELRYHLSLTEVQKGLADLDNLGQAPSYLLTGPLPGLGHIMLRDRDFGLPLATSMTDPIGLSTYDFSGIGGRKDVFSTPSEVPSIHTIIDRVKYDTFSWTKFYERYSGIWGDLAFRLGAESLRTHPQTFIYDTEGRCMGLSYLFLAAENIAAYGILQDNLSTLSALFLEREREKLPLSREDNRFLDRGLALIEWLQHRGNSELQAGGIFSTVDWDIPSLTKLFEKSSVPGVLVTTPSHAVTLHFFDGAFRVTDPNFGHVDFPSLESALYFLEYMVQISSDVRAQYGIKEGVSVPQQLKVYVPDSIEARNAWNIPTDAGLVTGYQMTTLDRMIVRGEASFSGIRTTWATLFAMGLTLNGNRIDERTKESDLDKTQINGDLLTSFLSKNVLDERGVALGRTLVETLPFVAGTRLVSSGAIVETPNDVASLLQASRERLSHFKDVIQVLLRELATKIHGKGLKDSDKVSVKSVTVEPSGEAAIVLEKQDTPKKTSSYTISVKIKSLRDAFQNFGKSLNELANTGVMDVELGLSVLSLIQYARLVDAGKGSSPEALFNLILDVKELTEMTVGTVIQALQKQFITPAGIDGFRTETLLARQIQKVGTRVGGTVGKALGSVARVLELPVLETIAGVWGLVSSVEDLLHADSYSDRVAAKVQISFDVITLALTLSSALAPLAMLAVGPIAAIGMGAASIARNVARKEERHYAWVEYKQFLDSAAKLVVKAYPESHLLDLSGNRVLGNLYLDLSQNPPLLKGDVSRNYDSLIGHVGDWSDRQVRNRLGYGYRSSPERALAKGHANTRWPREIPHIAKGVYETVYLGYGIQYKVYTEIVYLSNQVAWRDAVMDPTSRYYIPPLVEEGKSATIIAGNTPLNVIMLRLLDEDTPARISQNLAYKDYKIKLVGGKGGLTVQIGGGGTYTLTGDVSAKNTISFRAIPPPLGVCFNLSNHAMQNVPMMRPNGTKIEALKIQQTGFSVIAGSAEGYDILTGEKDTHFYISSGGGKIFSGLGKNWYHIPKLKGRLDIILAKNSAEHLLLMDDYSYNWQSLGTNLTLIPRGEGNNNSGIFVSNFDASPSFEQWVNKFTVKMADGITLFALNKSSEDQNFSLATTNTIVTLGVHSVDQTIWSNRLPEEPSYIENIFNWLKKFCWWLAPKVTVLQREGTADFYYRDQKLIYHPKPFAELDLHPQPGYHTYVNGSIGDTYIFSESPWVNLSTVKLSLADDLGHSQTVDLSSLVPTFVRGRMTSQTVNGPSIDLEISSPRYTLPLQISWGSHVLPRNTRFDVMPNHSPTLGEWYDKLNTNTSEWHTLFHNSMLIPKSLVSVLSLNNTVTVMVRHLERKNEHILGVENRDSINRKVHGQLYAGFITHTIENRHWYTLQPNLVEFDITVPAKSIKYFVFRGRLLFRSYLEPKTLEVRSGTPIDFHVWSQYDKIHVHATTLKLEKFQVYNVTTATDALNRYLMYAQNLAYIYGRDFVLKFFFIRSGTGIGAIQLVFKDLFKDSFGTEKTLGKEAKSTTPLYSIINPSYKDHLKFFLGEGEYDLTQYIQEISDTSHIIEMLRDPTTHELQEPSHLPKNPIVLTYTIDPQKDSAGGGKLQFLDKTIKAYQLPFPTMAEHYYYMDPVSGDLYITCIALTNPVERAFSLRLPKFKLNWLEFQNIFIFGTHSRTSQALGSSGTGVMFVGPEILYMEIDLSRVIADRSFPDRVSSRSSVVFPTNDQVVLYNPSLAKKFYSYSESMIWNLRDRAKGESDRAKAYDIYLLDACTSKDKNLNWAIPPKLLDFALAYYRAWVSHWVKQSLKKGYLIQLPAGSIQVSLITTQSEYFARQRKQGFQVFYSIYGLQGKVIPKQFPGDMLCDIKEDVVLTVKAVDESDYDNRKIYVVLDLATEEERKLRADKNVIVIPGGEQSKY
VIMSS3793973 1 175 0.201853714285714 hypothetical protein 175 0 12 175 0 Chlamydia trachomatis D/UW-3/CX NP_220221.1 1 RefSeq MHYEPYDEFEPDNELDHLICESDKTKPLDAYHDTGVYIEEDDRENGDLLIVLGKSILNGAIRQFYISDHNYAYTRGYYQGCWEGWFNIPPKKITTAEYDCDQLLQPDLLLTTNVEKLIHAPEDFPAQNANLDNIIICMTALNGEHRVQFLIGDNHRSFWIRHHDGESWSKWSTFI
VIMSS3794433 1 163 0.155361963190184 PF10722.9:YbjN:10:134 hypothetical protein (RefSeq) 163 125 12 163 0 Chlamydia trachomatis 434/Bu VIMSS3794433 1 MicrobesOnline MTTWTLNHNNLTKFLTHAQLEPSLERESGLIYITVPAGEHELPLFFVIRNEGEVLQLVCYFPYQIQQNQRDTTARLLHLVNRDIDIPGFGMDEEQNIIFYRLVIPCLKGEINEDLLRVYIDTIKLICDSFFHAIGLISTGNMDLDELKKQAKLENNQSDQLEP
VIMSS4040836 71 494 0.034741037735849 PF02378.18:PTS_EIIC:41:339 PTS system, trehalose-specific, IIBC component (RefSeq) 494 299 12 217 9 Listeria monocytogenes 10403S VIMSS4040836 0 MicrobesOnline AIFYNEFSKISGVEGVNKEDAKVDAKKNMSLLQRLLAGLAEIFTPLIPAIVVGGLILGFRNVIGDIKFLEDGTKTIVDVYPFWAGVYSFLWLIGEAVFHFLPVGITWSIAKKMGTTQILGIVLGLTLVSPQLLNAYSVVETKAGDIPVWDFGFAQVQMIGYQAQVIPAIMAGFLLAYLEIWLRKFIPNAISMIFVPFFALVPTVLAAHVILGPIGWKIGDAISNVVYAGLTGGLSWLFAALFGFLYAPLVVTGLHHMTNAIDLQLMSQFGGTNLWPMIALSNIAQGSAVLAIIFLHRGNEKEEQVSIPATISCYLGVTEPAMFGINLKYLYPFVAAMIGSAIAAVVSVSSGVMANSIGVGGLPGILSINPKYYAVFAVCMLITIVVPFILTVLFRKYNILNKVDTAPIRTFGKKEYRESAKTTN
VIMSS4042574 1 482 0.0429022821576764 PF02378.18:PTS_EIIC:109:401,PF00367.20:PTS_EIIB:8:41 PTS beta-glucoside transporter subunit EIIBCA 634 327 12 232 11 Listeria monocytogenes 6179 WP_003721643.1 1 RefSeq MKYEQLAKDILKNVGGKENINSVFHCITRLRFKLKDENIANTKEIEKLDGVISVIKSGGQYQVVIGNHVPDVFKAVLEVGGISAEGDEGSSAPATGNIFNRFIDMISGVFTPVLGVLAATGMIKGFTAMFVAFGWITVTSGTYQLLYAIGDCLFYFFPIFLGYTAMKKFGGNIFIGMAIGGALVYPTLAGITAGDPLYTLFAGTIFESPIHVTFLGIPVILMSYASSVIPIIVATYFGSKVEKGFKKIIPDVIKTFVVPFCTLLIVVPITFIVIGPIATWAGQLLGAGTIWVYNLSPIIAGLILGGFWQVFVIFGLHWGLVPVAINNLTVLGHDPILAMTFGASFAQIGAVLAVFFKSRNKKIKSLSIPAFISGIFGVTEPAIYGVTLPLKKPFIMSCIAGGIGGGIIGFAGSQTYIMGGLGIFGLPNFFKPGSGISGEFWWVVIAIVISFILGFILTYVVGFKDPADVVVEQSNTVEGETL
VIMSS44323 1 465 0.11457376344086 methyl-accepting chemotaxis protein (mcp-5) (NCBI ptt file) 636 0 12 419 2 Borrelia burgdorferi B31 VIMSS44323 1 MicrobesOnline MVSMKLKARMLLLVLILIAFFISILFFAFGMLINSKLVDQQFNLMINLIESIKSSFNLYISSMEEKVRVSSMYFNSAEKFNEASKIKSKRLSFISDQSEILIQTGSNMMVTDKEGKIVFTTAVKDNSDFGKSIGDREYFTKLKESNSIVYNSFVMLADPGSIEESLLKDISKIKNKKGQIPYILIGMPLRDFETDNIFGYFMFLYSMDYIYRSFRGINFGILSSGRALAYDTTGRLLVHHVVLPGDILTDISASYSNIIKKTSEDLLQKNKEISTVYYYDPKSNKKYVGISQKVLLNLSNNKFILLMRTSEDDFYYMSRATTIILAISFVFTLLMLAIATLYLVKKLSSSLNKILEYSERLASGNFTADINFGKWDTVELYSLYEGLEQLRTNFSSVAKGVIENLDYLYENAIQIANASQNLSSGAVEQASTLEQMTANIEQISQGVSENTENAATTEKIAVNTN
VIMSS44740 1 297 0.39503164983165 B. burgdorferi predicted coding region BBK01 (NCBI ptt file) 297 0 12 297 0 Borrelia burgdorferi B31 VIMSS44740 1 MicrobesOnline MRKSLFLYALLMGGLMSCNLDSKLSSNKEQKNNNNVKEVSDSVQEDGLNDLYNNQEKQKSFTKNFGERKYEDLINPIEPIIPSESPKNKANIPNISIAHTEKKETKKENLIPSTNEEKEADAAIKYLEENILKNSKFSELIREVRVIKDEYALIKADLYDVIGKINNKKTSLMENPKNNRDKINKLTQLLQNNLKIDSELEQLINMIDMAENEISSAAFFFDNAQKRLKESIIKRLESKNNRSYALKLSRQALSDARSALSNLESFASKRIEPMVRKEEIKELIKHAKTVLESLNKK
VIMSS45148 1 183 0.0297049180327869 B. burgdorferi predicted coding region BBB27 (NCBI ptt file) 183 0 12 165 1 Borrelia burgdorferi B31 VIMSS45148 1 MicrobesOnline MKKFLISVYFLLFYGCSTISLVKIPEKDKINLTVLSSLMNYPDLKISNFKIKDYEHLHYSSDFESLSDTKNSAYIYVDESSFNNNINFIKDLFIYNKKLYRILIAYSLTQGASFKAEVLSYLEKQKIMKNFSLKINFPTAKKFMDNKYWIVIAKNHLDSLVKSKNYLVLANVKMEYILKKFLT
VIMSS45159 1 175 0.114684 PF03978.13:Borrelia_REV:1:174 rev protein (rev) (NCBI ptt file) 175 174 12 152 1 Borrelia burgdorferi B31 VIMSS45159 1 MicrobesOnline MQKINIAKLIFILIFSLFVISCELFIIKRRATITETTTIEKKRINWLIMSVSGLNDEADEVAFKHCREKISLLKEDLKYATNAKEFEEKFLNLQKLFQEKLTSKLNALKAVRVDIQRFNANDNDDLEKNKLKIRSIALSAGVNTSPIALHAINIKELSEDVVAHIDSIIKYLEED
VIMSS46005 1 152 0.252514473684211 PF04542.14:Sigma70_r2:28:97 flagellar biosynthesis RNA polymerase sigma factor 238 70 12 152 0 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002343525.1 1 RefSeq MNSKKDEEMLKEPPKAYAQMLKKEQDELVLSYMPALRAMAFRLKERLPSSIDVNDLISIGVEEMIKLSRRYDKEQNDNFWGFARKRVNGSMLDYLRSLDVMSRNNRKIIKDIDAIMDEYFLEHECEPDDEYLAKKLDLDVEKIKEVRTAHAI
VIMSS46031 1 207 0.298428019323672 PF13036.6:LpoB:47:196 putative lipoprotein (NCBI ptt file) 207 150 12 187 1 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46031 1 MicrobesOnline MKKTKILGTALIGALLFSGCAQTAYTDGKASQVKKGDALTLGLDRQDFESAAETMINSMLSDPAFANIKPGTRKVIAIGRVVNDTPQRIDTEKLTAKITSALRKSGKFVLTSAVAAGGALDSMSEDVRELRDNDEFNQKTIAKKGTLVSPDFSLAGKIRQDNVKLSNGKTQVEYFFLLRLTDLTSGLVYWEDEQTIDKTGSSKSVTW
VIMSS46142 1 448 0.010128125 PF03600.16:CitMHS:41:394,PF03553.14:Na_H_antiporter:24:209 putative transmembrane transport protein (NCBI ptt file) 448 371 12 180 12 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46142 0 MicrobesOnline MEIFLALLGFFSLGLIVWLLLKDYTTPALAFISVSISVACILLILERLGFGVGVALGVKGGVFDIKTLVVFIKDGVKSVTDTAALFVFSILFFSVLNASGFFTKILNAFLSKMKANVYQVCILTVFIAAAVHLDGSGASTFLIVIPALLPIYERLGIRKTSMLLIITSAMGVMNVIPWGGPTLRAATNIGMDANLLWHHIIPIQIVGLVLSLLLAIWIAKIEIKRGAGAGNLSGINLNIEKSEHHNEKWFWLNLLVAIGVIGLLISGIIPSYICFMIGLAIILPLNYPNLKTAKKVLDRASAGAMLMYITLIGAGILIGVFDKSGIMEKMGVLILNFVPDYLGAYIPLMVGILAVPMAIIFCTDSYFYGVMPIVLSVTKAFGAEPLTIAIIMVIARNCATFISPVVPATLLGCGLAEVNIKDHIKRSFFYIWGISIICLIFAEVAGII
VIMSS46147 1 363 0.105681542699724 PF02086.15:MethyltransfD12:15:318 Type II methyltransferase recognizing RAATTY with methylation at 3(6mA) 363 304 12 363 0 Campylobacter jejuni subsp. jejuni FDAARGOS_263 REBASE::M.Cje263I 1 REBASE MKENPSFLKEQIITYLGNKRALLSFLNNGFKVAKKELGKDKFSFCDIFSGSGVVSRFAKAHSNYILANDLEDYSKLINECYLANKDKDLLQNIKKYYKNLIQNLDFQKGFISELYAPKDDDDIKKNERVFYTFKNALYLDTIRQKIENEIPKELRHFFIAPLIYEASVHSNTSGVFKGFYKGKDGIGKFGGEGQNALKRIKGEIELKMPIFSNFSCEFEVMQKDANMLAKELDFFDVVYLDPPYNQHPYSSNYFMLNLIANYKKPEEISKISGIPKDWNRSTFNKSKFAQDALFELINDLKARVILLSYNCEGFVKKEIFLKRLSTLGKCRILEQKYNTFRASRNLKNRNIHLHEQLYILVKN
VIMSS46191 1 362 0.323720441988951 PF01145.25:Band_7:64:264 putative transmembrane protein (NCBI ptt file) 362 201 12 339 1 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46191 1 MicrobesOnline MPADLNDYFNKKNGNSNNNGNNNRQNFNFKAPEFNFKGFGKFSPFVYGVIIIILFLIVAKPFMVINSGEMGIKSTTGKYDPNPLEPGLHFFLPFVQKITIIDTRVRQINYASIEGSNENLSSGSGVINKNSISVLDSRGLPVSIDVTVQYRLNPLQVPQTIATWSLNWENKIIDPVVRDVVRSVVGKYTAEELPTNRNTIATQIEEGIRKTIEAQPNEPVELRAVQLREIILPSKVKEQIERVQIAKQEAERTKYEVERANQEALKKAALAEGEANATIISAKGKAMAVKIEADAQAYSNKEIANSLNTPLLNLKQIETQKEFNEALKVNQDAKIFLTPGGAVPNIWVDTKDAKKQSAANMN
VIMSS47046 1 473 0.240362579281184 PF11853.8:DUF3373:106:447 outer membrane protein 473 342 12 473 0 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002344561.1 1 RefSeq MKTRFSLILSACLLSSSLFAKNTDDEITKLQKQLAQIQAELAQIRKEREAQVKQNEAVKAELADLNDRADETEFQAALSKVKFGLEFSTAVSNTNYKVSGQDYSANNKWMNELHLNMNADINDKTKFYGRLSMAKNWSQMGWSGTPYDLDAGRNTRSSGPVLYVDRAYLDYYITPEWIATVGRQPGTDGPGSNLRNNALRQSTYPALAINALGDAAVITYKPESLQDHKVAIRAAYGKTYQWDEESGKVRDWMSDQKDADANLYYAAVEGELPIEGMGDNLIIFNVAHMTDFALPIPGSMLLGDDDEVVNLGNLTLANIHFENYKAFGTNFNWFASLGYSNGSNNEINPLLSTALQSKGYGNGKFNEKDGYAVHVGGRYDFTKALKVGYEFFWGSRYWYTMSRPSINDPLNIRMTRGTAHDFYVIYQLDRYQFLRLSYTNIQNIWGNRGLPFGGAKKDKARADNIMLMYNVKF 4
VIMSS47155 1 411 0.309980535279806 putative fibronectin domain-containing lipoprotein (NCBI ptt file) 411 0 12 411 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47155 1 MicrobesOnline MMKRFRLSFYLSFLTLLLSACSVSQMNSLASSKEPAVNESLPKVESLKSLSDMSNIAFEWEPLYNENIKGFYLYRSSDENPDFKLVGTIKDKFQTHYVDTKLEPGTKYRYMMKSFNEQGQISEDGKVIEVSTAPRLEAVPFVQAVTNLPNRIKLIWRPHPDFRVDSYIIERTKGDDKEFKKIAEVKNRLNAEYIDSDLKPNENSSYRIIAVSFNGIKSGSSQVVSSTSKALPPQVEHLSASTDGSSKIILTWDAPTYEDFSYYKVYSTSSSFLPFSVLAKTDKNSYEDIVEGAGKSKYYKVTMVDKDGLESPMPKDGVEGKTLGNPLAPSIILAQSTSEGINLEWSDNDTRAVEYEVRRYGGEQNAVFKGIKEKRLKDVKALPGVEYSYEVIAIDSAGLRSEPSSKVKAAQ
VIMSS47212 1 628 0.128034872611465 PF01973.18:MAF_flag10:207:381 hypothetical protein Cj1337 (NCBI ptt file) 628 175 12 628 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47212 1 MicrobesOnline MREENNFNKNLKALSGFEYNNLRKKLEDLKELREFTFTQGKDNLDINIIKKRNLKKMYQDPIKELEKNLEYFKDFTRYPVLFFYGFGNGILYKILLQNQALKRIIIFEKELELIFLALNFIDFSKDLSLGRLIILHHDDINLPKMDKVFRLIGDLFYRSYNLHIANDFYEHYKEDILKLNKLNMQIIKNHNLMHGNDPKDALQGIEQFVYNLPSMITHPSYKELLSKRKGISDTAIIVSTGPSLTKQLPLLKKYASKATIFCADSSYPILAKHGIKPDYVCMLERDEIVAECFNNDFKDFDKDIIFLVASLVHKKTISYLKKNKRKYILIIKGQPFARCLGLDDYGYINAGMSVSHMAYELAENLGHNNIILIGQDLAYAKDGQTHSQGFIHANLHNGDYERDLDRFSTTAYGGNGKVQSSEIWTLFRQIFENFIAFSKSKTYNCTEGGARIESAIEKPFKELCEDLLKNKKDKKFKKLQVLNTKEQVKLGLKIYQKIKKNMNLSLNFKKECKKVQKQIHNLTHGKNKLSLEQINQNIDKIKEKLSNKKYLFLQEILGPTLHHEQSILTPLYLKDIKDESDKQNKLFAWVYAHEALIENIIELLEVQDKRLKIAILPLLDFLEKKKAL
VIMSS47259 1 104 0.147163461538462 PF10087.9:DUF2325:2:87 hypothetical protein Cj1384c (NCBI ptt file) 104 86 12 104 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47259 1 MicrobesOnline MSVLVIGADEITPIRAVLHDLGAKKIEHWDARNENRVNRKPIPCDTECIVMLTSFLNHNTMKKIKNEAKKRKIPLVCAKRSVSCVYCEYCKIFNLNKEFSCYKG
VIMSS520963 1 434 0.605081336405529 hydrolase 572 0 12 434 0 Corynebacterium diphtheriae WP_010934947.1 1 RefSeq MTIRSSMSSRTTTKVMRGLIASGLSIACLGATSTVVAEPANPSDTEIASADSQVGVAQGEVSKLVASVSNSDSEIAALELEMGGLREAVNKALVDLHDAQSSAERARQGVTVARKKLDDIQAEILKAQKTLDEISRSAYRQGAVPSGVAGVSGKATSEEALDRQTYLRTNAQKQREAVDALDRLRTEQANEESRLREVKNLAEKRESEAESAKASAQQAIDSSNSKLEENLRKRAELISKREEAQRGLDSARGNADSLRQQRSEYEEYKRAEEARKKAEAEAAAAEKARQEAEAARKAKEEAARIAAEAARKAADEAARKVAAEKAEKARQDAEKAAEAAQAQAEAERQASENRRSTANDSARAAAALIEAATPNHASLDDPYDSNSQDGNYIAAVEKEDNDSSILDDLDVAQVDSMEDVSKKASALVENASRS
VIMSS52744 1 694 0.170570317002882 PF08495.10:FIST:30:215,PF00563.20:EAL:599:691,PF00990.21:GGDEF:419:480,PF10442.9:FIST_C:220:340 Cyclic di-GMP phosphodiesterase CdpA; c-di-GMP PDE; EC 3.1.4.52 829 462 12 694 0 Vibrio cholerae serotype O1 (strain ATCC 39315 / El Tor Inaba N16961) SwissProt::Q9KVL2 1 SwissProt MFTVSRLIPDLASANQVLETMDLPHGQSILVQIFSPLSREHVVQLARLIRSRHPQACLLGCSTEEVIFQGEVHHQVTLLQITVFEQTYLSRAVVDYSDDEAADAERLARQLELTSMSRAVVCFSWQMDTLQVARFALRDTQGAPVPVAGGAAKQTPSGRWVLLDEACYQNASVAIALHGEALYVETGGYTEWQPVGRTYRVTAVEGDRVLRLDDEPIEAIYQRNLGAQADLPHDWLISFPLMKGECRHQDLYLPLGLAEEGGLRFNRPLALQDEVRFCFDHPSLTLERVYLTAQQLQAKQCQQVWVFNCALRLNFMHENHELQPLQAVAPTDGCYCWGELLYEHGQQQVMHHSMTFLALREGAVRDDLVPIPLPSYPEGMTSPLFNLIRHAFHDLDAMTDNLAQQIRAQTSLLTASYRRDRRTGLPNRVVLRERLANFAANEHLIALKVTNFNQINEKYGYPVGDKLLRDLSEQFQVFLDQKLAGQSGLYAIGVGEWATVFRAKLDGKSIHSHFYQFVEQLEHVNFEPYGLPNVDYLSISLCAGLVSQGDFAEHSPDELLLRAIEARRYAFNNNHHFCNAARLKVQESVRQERLNWLSRVSRAVVRDDVVVYAQPICQARSHIVASYECLVRIEDEGEIILPGNFLPIITDTHLYTRLSRQMITHTFNMMRHRPEAFSINLSPQDLMSERTLQH
VIMSS53 1 520 0.605402692307692 hypothetical protein 520 0 12 520 0 Chlamydia trachomatis D/UW-3/CX NP_219554.1 1 RefSeq MNKKERINKKNASTKFQRSTPTRALLSIGSQQLSSFTKLSFDGQAKLTGVATPTRDTDVVPLQYLQARYLSKNDPNPGYLPIHGGNMTGNINMGTHSVFNLKQPEKPKIELPSETDKPKDPREEDGFAEKTAEEQEQEIKEYNTKLAEYQKKIDDYNAAWEAFYSEAATVKYVKGIVDKILNNDKLSTALNSATEVEKKIALAQKALGIEITINPDADTNPDTDQETPDPAPVADTEEKESPPLSYNDLPSVIKNSQFVVTQSQNKITGDLKMTNAQIANIKTPDTGDSNYAANVTYLESKLKQPQRAFLSNTLPTESSSSISLNGHIPWLSTTNGSSSPAEPDFKSKLADQCFDTSSQENLKVKTAGLLVLSVRGTWSPTTSPITNGSTPTPTTISVNLTVTPDNSSRTNTSSSGSDSSGDASATTLTIPLTLYSGESVQLQLPITTTSSVKIATTTSQTSNGGSDTSSQITLSSWSWEAALYPTDVTVTNKTTPPTTETPSSPSPSSPNSESTEGQTP
VIMSS53435 1 489 0.270557055214724 toxin co-regulated pilus biosynthesis outer membrane protein C 489 0 12 489 0 Vibrio cholerae O1 biovar El Tor str. N16961 NP_230479.1 1 RefSeq MKKTIISTLVIGLVSGCSNTNLLKDNLASEQSVINLSKSSNEAKSRNIEFLSGAYLSERKVPKHDIKFSGKYVEFESKSPIELIDVLDGLSKQYNIQYVFSDELEDENSEENKKSSGSSSAKKIKYSGPLAGFFDYLSSAYNMHFEFGHNNLVKAYHYKNQVFNLQQYFDDNKFSSSMQIGGTSGTSSGLKGTADTAIESNSWEKIDEFLSASLGETGKFTIFEDYSLVTVKARPDKFLLLHTFFDKLINESKMQIAVDYRVVSLSEERLNQLAAKFGIENAGKYSITSDMVDAISLSQVGGGLGASYRSASARLDAVVNELSQEVMHEGHFIGIPNRVMPLNVTTNSKYISSIETTKDTNTDEETRTVKVSDLVTGFSMMVMPKILDDGRIQISSGFSRKQLVSIGTAQGITLPTVDENESMNTVTMNPGEVRLAMLFKDNYIQNSNGVQLLGGGTENKKSARYIAVLVGASSYKTNDLASNRVNIYD
VIMSS539237 194 423 0.47368 PF12484.8:PE_PPE_C:126:202 PPE FAMILY PROTEIN (NCBI) 423 77 12 230 0 Mycobacterium bovis AF2122/97 VIMSS539237 1 MicrobesOnline VADAQATLAQLPPGILSDILSALAANADPLTSGLLGIASTLNPQVGSAQPIVIPTPIGELDVIALYIASIATGSIALAITNTARPWHIGLYGNAGGLGPTQGHPLSSATDEPEPHWGPFGGAAPVSAGVGHAALVGALSVPHSWTTAAPEIQLAVQATPTFSSSAGADPTALNGMPAGLLSGMALASLAARGTTGGGGTRSGTSTDGQEDGRKPPVVVIREQPPPGNPPR
VIMSS539369 100 275 0.283824431818182 PF12484.8:PE_PPE_C:93:173 PE FAMILY PROTEIN (NCBI) 275 81 12 176 0 Mycobacterium bovis AF2122/97 VIMSS539369 1 MicrobesOnline SPLSGITGEASAIIQATTGLFPPELSGGIGNILNIGAGNWASATSTLIGLAGGGLLPAEEAAEAASALGGEAALGELGALGAAEAALGEAGIAAGLGSASAIGMLSVPPAWAGQATLVSTTSTLPGAGWTAAAPQAAAGTFIPGMPGVASAARNSAGFGAPRYGVKPIVMPKPATV
VIMSS541762 49 262 0.333238785046729 PF13419.6:HAD_2:79:182,PF00702.26:Hydrolase:72:178,PF13242.6:Hydrolase_like:140:213 PROBABLE HYDROLASE (NCBI) 262 142 12 214 0 Mycobacterium bovis AF2122/97 VIMSS541762 1 MicrobesOnline FDAYLAERAERTGEKFVPFDPAADYHTYVDGKKREDGVRSFLSSRAIEIPDGSPDDPGAAETVYGLGNRKNDMLHKLLRDDGAQVFDGSRRYLEAVTAAGLGVAVVSSSANTRDVLATTGLDRFVQQRVDGVTLREEHIAGKPAPDSFLRAAELLGVTPDAAAVFEDALSGVAAGRAGNFAVVVGINRTGRAAQAAQLRRHGADVVVTDLAELL
VIMSS541970 1 53 0.557126415094339 HYPOTHETICAL PROTEIN (NCBI) 53 0 12 53 0 Mycobacterium bovis AF2122/97 VIMSS541970 1 MicrobesOnline MCTMPKLWRAFMAGRPLGSTFTPRQPTGAAPNHVRALDDSIDPSSAPAARAAL
VIMSS55377 1 1085 0.257494285714285 hypothetical protein (NCBI ptt file) 1085 0 12 1016 3 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55377 1 MicrobesOnline MSNPNQAAKTGQTNDAQNPASACPFKQPLIGIIPVRYAFDVYDDQGQALHPLPKADRQWKGQFSIKQRSYTLRQLRDGWLYVYDETAKTLHEYEVVGCKLTKIDWSDDEANKPTHERGSKGESKSCLLYPAQHTLSIGYAHQRWTWRVCEHMRSNTSSRHAVMRKVSLKQFESNGTHPHAHFAQYLEDYVADIGTPAEQDIFKDTCTPSLPVEKSEEAVKGTEFKFVADKAVVSSSDYLQDLPEQNCGLFVALNDPLADVSDLFVTFTTQVAKRTKAIGDETQQHKMQMAELTRTLGRIRLEEKEIPDFVKQDPIRILELERAITEYCATAKLAEIESHHLASEGHSPSGNYALMQQQAEQKLAELKTLYRFEPTSAQMRKWRKKDNSFIDEVRWADLDNFLVEHYTELKGLDEQIKQHYAQFMSAFNQLGLDPLLFGMDNQDEVQQAYLLALTSQFLVVVTQVNHDEKSLEILKKDLSFDSPKNLMALASTGFSLQANQAINNHIQGFSTAFLSTSNPSDMVAFATAIANWDTFTGDERIQEKAWFKRWIEPAQSSFGALQKAVANQAKESWQAVMELLFPYQNQPKGGTPSLLANLRLLLVESLVREEAVLQHNPKYAAELKQFETKLNAILQEMNDALELKPGNVSPKNHQIATAQSAQRKLGQLLSSELPMMLTLKNQAAMNTFQQSVNEKLSALSKNVKTSSASVSQKLGGLGGLLFALNLWNTMTVLENIRYKVAQYPSWNPFKNPALGEAIYATGNTIVVAGAISAGRAWVTIAEQGLLDRTLKNALNTTKVLGTKDALKTFAKSIALVATVGMIASALETWESWGKFNDSSKTDLERFGYLLKAGATGAQGIIFYIQFFTLLGSGIGGPSIAAISAGWMLAGFAVIGIVYLIGVILTNVFKRSELEIWLSKSTWGKESAHWPVGKELTELEHLLHRPSLRLSQVTQRKAAQWMDSGSLQWQLELTLPDYLKGQTIGLQITRLPAQPAYYQPQREAVTPILINEQQGKWSIEDNQPVYRITLGGSEKDTVGVCVALPLRWGKELSLKFYASGTRAGELDLQSAEANDIATRNLVVGKG
VIMSS56025 1 285 0.132288421052631 PF01966.22:HD:42:153 3'3'-cGAMP-specific phosphodiesterase 1; 3'3'-cGAMP PDE 1; V-cGAP1; 5'-pApG 5'-nucleotidase; EC 3.1.4.-; EC 3.1.3.- 431 112 12 285 0 Vibrio cholerae serotype O1 (strain ATCC 39315 / El Tor Inaba N16961) SwissProt::Q9KLR1 1 SwissProt MRWSEIGCTMKSVNIEWNVNLRQAFFCIARALDSVGVDDINHGHRVGYMAYSCAQAMEWSEEECQLVFALGLIHDCGVAQKRDFYRLLENMQPDNTQQHCVRGNELLSNCPPLAPFADAILYHHTPWDELKNIAISDRNKRFAALIFLADRVDYLKELYPRDEYGNVTQEARNQVCLEIGRLSGSLFERDLVRTMQHLLSKEFIWFSMEHHHIEAMGHNLPSTPFFEQKLGVEEIMSIAMLMANVVDAKSQFTFQHSQKVAELCQHLAKELGLNVEMQKALYLTG
VIMSS5606898 1 1228 0.292164413680782 PF13519.6:VWA_2:145:253,PF00092.28:VWA:144:266 Minor fimbrium subunit Mfa5 1228 123 12 1209 1 Porphyromonas gingivalis (strain ATCC 33277 / DSM 20709 / CIP 103683 / JCM 12257 / NCTC 11834 / 2561) SwissProt::B2RHG5 1 SwissProt MMKRYTIILAVFLLFCTVFTFQIKARPYERFADVEKPWIQKHSMDSKLVPANKGNLIQAEIVYQSVSEHSDLVISPVNEIRPANRFPSHRKSFFAENLRASPPVVPVAVDKYAVPVANPMDPENPNAWDVTLKITTKAVTVPVDVVMVIDQSSSMGGQNIARLKSAIASGQRFVKKMLPKGMATEGVRIALVSYDHEPHRLSDFTKDTAFLCQKIRALTPIWGTHTQGGLKMARNIMATSTAVDKHIILMSDGLATEQYPVKNVTTADFIGETGNANDPIDLVIQGAINFPTNYVSNNPSTPLTPNYPTHSSKVGRRNLPESKFDYSNLSARITFDGVAGALVYEPRFPHPYYYYFPCNAAINEAQFAKNSGYTIHTIGYDLGDFALANNSLKLTATDENHFFTATPANLAAAFDNIAQTINIGIQRGEVTDFVAPGFIVKNLTQSGDVTHLLNVSNGTVHYDVSTKKLTWTTGTILSSSEATITYRIYADLDYIQNNDIPVNTTSAIGPDLGGFDTNTEAKLTYTNSNGESNQQLIFPRPTVKLGYGVIKRHYVLVNKDGQPIQANGTVVSSLSEAHVLQSQDFFLPSGGGHIVPKWIKLDKTTEALQYYSVPPTNTVITTADGKRYRFVEVPGSTPNPGQIGISWKKPAGNAYFAYKLLNYWMGGTTDQQSEWDVTSNWTGAQVPLTGEDVEFATTENFGSPAVADLHVPTTNPKIIGNLINNSDKDLVVTTNSQLTINGVVEDNNPNVGTIVVKSSKDNPTGTLLFANPGYNQNVGGTVEFYNQGYDCADCGMYRRSWQYFGIPVNESGFPINDVGGNETVNQWVEPFNGDKWRPAPYAPDTKLQKFKGYQITNDVQAQPTGVYSFKGTLCVCDAFLNLTRTSGVNYSGANLIGNSYTGAIDIKQGIVFPPEVEQTVYLFNTGTRDQWRKLNGSTVSGFRAGQYLSVPKNTAGQDNLPDRIPSMHSFLVKMQNGASCTLQILYDKLLKNTTVNNGNGTQITWRSGNSGSANMPSLVMDVLGNESADRLWIFTDGGLSFGFDNGWDGRKLTEKGLSQLYAMSDIGNDKFQVAGVPELNNLLIGFDADKDGQYTLEFALSDHFAKGGVFLEDLSRGVTRRIVDGGSYSFDAKRGDSGARFRLSYDEEWVESAEVSVLVGTVGKRILITNNCEHACQANVYTTDGKLLIRLDVKPGSKSMTEPLIDGAYVVSLQSPATSSNVRKVVVN
VIMSS561640 301 821 0.36457236084453 PF00801.20:PKD:187:253,PF00801.20:PKD:275:334,PF00801.20:PKD:361:424,PF13620.6:CarboxypepD_reg:97:175,PF08308.11:PEGA:138:175 PKD domain-containing protein 821 270 12 521 0 Porphyromonas gingivalis W83 WP_005873522.1 1 RefSeq RHADDEWYKLISRNYAAACQSISASYMTSETNSGIINGSDWYVIRGSRQDNANYFHRLREITLEISNTKLVPASQLPKYWNLNKESLLALIEESLYGIHGTVTSAANGQPLKCQILIENHDKRNSDVYSDATTGYYVRPIKAGTYTVKYKAEGYPEATRTITIKDKETVIMDIALGNSVPLPVPDFTASPMTISVGESVQFQDQTTNNPTNWEWTFEGGQPAMSTEQNPLVSYSHPGQYDVTLKVWNASGSNTITKEKFITVNAVMPVAEFVGTPTEIEEGQTVSFQNQSTNATNYVWIFDGGTPATSEDENPTVLYSKAGQYDVTLKAISASGETVKTKEKYITVKKAPVPAPVADFEGTPRKVKKGETVTFKDLSTNNPTSWLWVFEGGSPATSTEQNPVVTYNETGKYDVQLTATNEGGSNVKKAEDYIEVILDDSVEDIVAQTGIVIRPQNGTKQILIEANAAIKAIVLYDINGRVVLKTTPNQLRSTVDLSILPEGIYTINIKTEKSARTEKIHIG
VIMSS562106 1 244 0.0791122950819671 PF13568.6:OMP_b-brl_2:30:210 PorT family protein 244 181 12 244 0 Porphyromonas gingivalis W83 WP_005873603.1 1 RefSeq MQFLYNSRFSLRVALFCLICAWAGRTLSAQTEKVQNRPYADYKRYHLGFHVGMHAQDLVISNNGFVPEGSTSTPIYAQISKYSPGFSVGVIGDMFLLPNLNLRLLPTLHFGDKQFVFSDGEKPVASFSVRSNYLEFPLLLKYGSRRLNNMRPYLIGGPYWTMELGRKKGLEIYTKANDYGVQIGLGCDFYLPFFKLCPELRFSFGFPDVITHERPDLLDDYKLIYTQSISRARTRMIMLTFNFE 1
VIMSS562305 1 168 0.203033928571429 PF04542.14:Sigma70_r2:13:75,PF08281.12:Sigma70_r4_2:109:158 sigma-70 family RNA polymerase sigma factor 168 113 12 168 0 Porphyromonas gingivalis WP_010956176.1 1 RefSeq MNTIAFKEIFLPIRPSIRAVCHAFLRDDEEAEDATQEVYLRLWEARMRLDGLDNPRAYAIRIARNYCLNLIRKASNSPYPTSLEAAEVQEVSETHGGEADLLLSEQIGRLRQWLRGVSELYRTVFAMSHFRRLSNGEIAERLGLTEGNVRVILCRLRREAKEVMKDDA
VIMSS562654 1 400 0.26368425 PF13428.6:TPR_14:287:328,PF14559.6:TPR_19:239:295,PF13181.6:TPR_8:262:282,PF13181.6:TPR_8:287:319,PF07719.17:TPR_2:289:319,PF13432.6:TPR_16:257:320,PF13176.6:TPR_7:290:320 TPR domain protein (NCBI) 400 90 12 400 0 Porphyromonas gingivalis W83 VIMSS562654 1 MicrobesOnline MNKKSLLAAVLFAAVMPVSFAQNANVKGAESLSGGSKPNFPEARTLIGEAMKNPETMNDAKTWFVAGQVEERLFTSENLKQTVNQEPDRAMMNDALLRILPFYLKADQLDNMPDQKGKVKPKFTKKIMESLKGNHLYYINAGGYYMEKKDYKKALEAFDQFMQIKELPMFAAVPEISAQDSNSMMVGFFSAVCAYQANDLDRTLEICNKIKDVPYRQNDVYQLIATVYQDKKDTEGYIRMLEEGVQKFPKEAYYLLNLINKYIETGRTEEAISSLERAIADDPQNVQLYDVMAKLYENTQDYDKAEEWLKKGLALDPDNASVCYDLGRVYYNRAADLKGADQVNAETEAKAKEYLQKALPLLEKAYSINPDESWYVLRNVYYNLKMNDKYKELTERHEGK
VIMSS56621 1 180 0.258608333333333 hypothetical protein (NCBI) 180 0 12 180 0 Pseudomonas aeruginosa PAO1 VIMSS56621 1 MicrobesOnline METLDLLAMRESYTRQRILLCFNGPISRSLIEEIGHALRNYLHAEQAKPSEAMDVFAVYIEMTQNIRHYANLKGYGEHEAAATVAIARNEDGHYVVSAGNLVERDDGQSLVRSIQAIANLDKAALKAAYKEQLRRPRDSGCASGAGLGLLDIARKSSEPLAASLKEQPDGRAFFSLRAVI
VIMSS56985 1 429 0.179025641025641 PF01266.24:DAO:35:385 hypothetical protein (NCBI) 429 351 12 429 0 Pseudomonas aeruginosa PAO1 VIMSS56985 1 MicrobesOnline MFLQSNEHVGTYYAAVNPELIQPRQALEGDLDCEVLVVGAGFSGLHTALRLVEGGRQVCVIEASRIAWAASGRNGGQALPGWSSDLPPLEADLGYEGARRLWDGMLWAAGEMRELPQRHGFDCDYRVGHLWTAILPRRVGLLYDWQAEANRRWGYEGLRFVAKDELPEWIASERYLAGLYDPHAAHLNPLKLAFGLAAAIERAGGRIHERTRALNYREEGDGYRVRTEHGEIRCAALVLACNAYIDGLDSELSQRMLPVGTYQVATEPLGEERAKSLLPRNCCVTDNQFVLDYFRLTPDHRLLFGGGCTYLGGMPSDIRAATRPYLERVFPQLRGVALEYAWGGHIDCSMRRTPDIGRQGNRYWLQGYSGHGVLPSLAAARAVSDALLGDDETLALYQRLRNPKFPGGQRFAAPLEALGKAYYRLRDLF 2
VIMSS57026 1 665 0.174844962406015 PF00497.20:SBP_bac_3:39:256,PF00989.25:PAS:312:414,PF00989.25:PAS:563:656,PF13426.7:PAS_9:331:425,PF13426.7:PAS_9:448:548,PF13426.7:PAS_9:572:660,PF13188.7:PAS_8:313:352,PF13188.7:PAS_8:438:484,PF13188.7:PAS_8:562:609,PF08447.12:PAS_3:336:408 hypothetical protein 1245 542 12 642 1 Pseudomonas aeruginosa PAO1 NP_249266.1 1 RefSeq MQRLQAVLLLCLACCWPGLAGALTLTPEERAWLAEHPSIRLGMDTSWPPFEYRDEQGRYQGLAAGYIGLLQERLSVSLTPVEPKTWTQVLEQAKDGRLDLLPGIMATPERQEYLSFTRPYLDFPIIILARKNGPMPKRIDELYGLKVAVVDHYAPHELLIAQHPDLTLLPLPSVAAALQALATGQADAFVGDFASSVWNLRQLKLNGLEISGETPYRYQLAMAVPRGQPILAGIVDKVLADLSAGEIERLQAPWVGGLLDRRPAWREMATYGLPIVLLILVSVAILLAMNRRLRREVSRRRQLERELRDSEQHYRGLVESLNAIAWEMRLEENRFTYVSPHAERLLGYPLGEWLQPGFWQRTLHPMDAEYAIHYCMSESQAGRDHSFDYRMLAADGRVVWIRDLVTPITQGRDLLLRGLMIDITEAKLTEQAMRLSEQKFASVFHHCPDMVVLANGADGRFLAVNSTFEQQIGIAADDAIGKTATELGIWALPGLGPQVLEKLGHGNLTNLEVPLRRRNGSTFSALLSAQHVALDQTPALVVVIRDITHLVETQELLRISEEKFANAFHAYPDGLLISSLEDGTLIDVNEGFTRLTGYRRDEVISRSTLELGLWVDTEDRKRLISLVRHHTLTQGFTAPVRDRNGGIRQCEMSAHRISIDGEDCV
VIMSS57076 1 745 0.362574630872483 hypothetical protein (NCBI) 745 0 12 745 0 Pseudomonas aeruginosa PAO1 VIMSS57076 1 MicrobesOnline MSKDMDLVVSIGGIADPSLGKAFETVKARLDSLQERARQASSLRDVLGDAIRLERELADMRKVGDRGVAEHARQLGERQEQLKRLGIEARAAGDAYARLGEMQRGLDMQVRGLQRLEQASQAMPLASAFSGLVVEASKTAAGYQARLRDLSIRNGLDVGREPALASLIQDSANQSGLGRTVTLDMLEHLNATGMGFAAAQMNLGLAGRFGFGQGIASAEVAGLVRALQLAQGSDSPEQLSATLDRLVVLGKGRVGSEALARRLPALLSALGNAGEATAGDVGALGALLEIQAKNTTPDKADVRMKAWLEFVGSGSLKRAYGQDYDRDLEALRKDGASLLEANLELAARYRDKGGKLSAGVASPALEAYRASRGEFQGLLESQQSSVGSSERDAQRRKGMSQELWKASSDSWERAQTALGSALNPYLDNLAKGSAVLGESTAELLEAYPRTTAGLTAAAGAVLSGYLAYKGGRGAIDVLRGGRLGRRGTAAVGDLIERGAGRVSGGSEIQRVFVTNWPVPGGDSTLESARRPAQRKRGQTPRRKRGKGGGLKARSLPSLGFSAGGGLGAMAGKLPRLSRLPIRNAPLQVASSLIDVAEVYSSDLSESEKTVAYGEAGGSLAGSLAGAALGASIGSVVPVVGTLIGGLVGGAIGAWGGSELGGRLGRSLAGDPPAASDNKPAVAVPQAGPVAAAPNWTFAPQINLTVQGNVHEPQRLADELLPYLQRMLVDFADERQRRSLYDPAMV
VIMSS57081 1 120 0.225021666666667 hypothetical protein (NCBI) 120 0 12 97 1 Pseudomonas aeruginosa PAO1 VIMSS57081 1 MicrobesOnline MSRLALLLPAVLLVLLAGALLGGGLVARHYRPQLEEALGQLTASRVASGQLEALLDEQQRALAAVRASAERRAKDVEQALGEARAQAAEQYAAAVRLLQEPDFGTDCQAAGAAIDRELGL
VIMSS57158 1 259 0.167475289575289 PF00563.20:EAL:22:248 Exotoxin A regulatory protein 259 227 12 259 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::P09852 1 SwissProt MTATDRTPPPLKWLCLGNRDANDGFELFAHGIYARNGALVGSKLSLRERRQRVDLSAFLSGAPPLLAEAAVKHLLARLLCVHRHNTDLELLGKNFIPLHASSLGNAGVCERILASARQLQQHQVELCLLLAIDEQEPASAEYLTSLARLRDSGVRIALHPQRIDTDARQCFAEVDAGLCDYLGLDARLLAPGPLTRNLRQRKSIEYLNRLLVAQDIQMLCLNVDNEELHQQANALPFAFRHGRHYSEPFQAWPFSSPAC
VIMSS57169 1 96 0.194808333333333 PF17525.2:DUF5447:7:96 hypothetical protein of bacteriophage Pf1 (NCBI) 96 90 12 96 0 Pseudomonas aeruginosa PAO1 VIMSS57169 1 MicrobesOnline MAASPYYLRQTHAPDCACSVCWSARQVIPLHSPSPCPDCRPPGLPYLEGGRWLCRPRSFCAKHDPSRRPPKYWHVVYDSGKPTPFVPVREAFQLEG
VIMSS57753 255 851 0.316970854271356 PF00593.24:TonB_dep_Rec:85:594 heme utilization protein 851 510 12 597 0 Pseudomonas aeruginosa PAO1 NP_249993.1 1 RefSeq AMLKYGYHSNDQQKIYSGAVFGRSEDRRVDALLYLNGRDGRDMKLADNLPLSPTDYPINPKRLPNSAQDEKTGLFKLNLHPTEEHDLGFTYLRSKSSRWTPFSASSYPTPPSQWTIDRYGYELGLTRLLAHRDTTDTTWTGKYNYHPLDNPWIDLQLSYSDARTEQLDRREDTAFYQLATGGKRMRTEYQDKVLELRNTSRFDTGALQHELTLGAALHKHKRDILMHMPGKTYETPRYNYGWLQPAFMPAGKQDTQSFYIQDAITYGSLTVTPSMRFDSVRNDGQANLAPIYDNPKLGHDYRAQTYSGWSPRLSVFWTATPNLAFFADYTETWRAPVIDEQYEVQNSSTIGGSSRDLDAERIHAIRGGSVINLPDLLVAGDSLQIRTTLFQNRIKDEIFRTRSVGCRQQSIDNGSIGGSCGDMLPLSNYRNLPGLTIKGFEIESFYDSQRLFGSLSYSWMTGKHDGAYSNPWGPNVWARDIPPPKWVAMLGLKVPEWDAKLGWQGEFVRKTDRLPSDRYSGGMGTGSGDIYWDHAANDSYDTHRLFAEWVPAKLGLKDTRIDFTVDNLFNRSYRQPLGGDLVYSQGRNAKISVTQFF
VIMSS58380 1 298 0.207273489932886 PF13426.7:PAS_9:36:132,PF13426.7:PAS_9:154:253,PF08448.10:PAS_4:28:134,PF08448.10:PAS_4:151:255,PF08447.12:PAS_3:42:127,PF08447.12:PAS_3:164:247,PF00989.25:PAS:36:127,PF00989.25:PAS:154:251,PF13188.7:PAS_8:146:189 probable chemotaxis transducer (NCBI) 431 217 12 298 0 Pseudomonas aeruginosa PAO1 VIMSS58380 1 MicrobesOnline MLFGRKSRAVESAAKDEDLAWRLDAIGQNVATIRFTPDGQILSANPLFLAVVGYSADELVGKHHRIFCEEDFQASAAYVRFWKELASGTPQRGVFKRLRRDGTPVWLEATYFPVKNAEGAVVEVLKIAADVTRNHSELLLLNAINDAIRQSMAVIEFTPDGEILDANENFLRLFGYSLKSLKGQHHRMLCFDEFYRENPDFWARLRHGEFSRGHFERRSAAGERVHIEATYNPVKDSSGRIIKVIKFAIDVTEQVNRNEEVRRAAELSFSTAEETAQISTRGIDSLDQSVALSAKTLS
VIMSS58753 1 268 0.308891417910448 PF02668.16:TauD:39:252 hypothetical protein (NCBI) 339 214 12 268 0 Pseudomonas aeruginosa PAO1 VIMSS58753 1 MicrobesOnline MSASFSAPRLRPRQLSAGDLVEESLLDPANDYLRIVRARQPGMDLRQWIAAAGAGLRDSLLRHGGILFRGFAVDGAEGFSQAVQSFSPNMLDYLERAAARQEVAHRVFTSTEFSPDGWIPPHHEMSYSHNWPSYIHFYCQTPPATQGRTPLADERRVSARIPEAIRQRFLRHGVCYVRNYGPEIDLTWQEGFQTDSRAEVEAYCRQTGTQWTWLDDQRLNTRQVRQAMVRHPLSGETLWFNHAHMFHVSNMPPALARALLDEVGEQGL
VIMSS58877 1 155 0.425336129032258 hypothetical protein (NCBI) 155 0 12 155 0 Pseudomonas aeruginosa PAO1 VIMSS58877 1 MicrobesOnline MLPSPASSLSFPSPLERREADPYARCDRQRPACNESHEPAQERPEPVATCAFIEEERRRGDPTGLLYALGPRERGEPERVAEWLAALVHHFYLDGPVEPPRTTLGKERCETAPGLCRCCGQPFDFRHKRAALLLLGTHFAARAEAQAASVRRYRR
VIMSS58902 1 308 0.433808766233767 PF11806.8:DUF3327:171:281 hypothetical protein (NCBI) 308 111 12 308 0 Pseudomonas aeruginosa PAO1 VIMSS58902 1 MicrobesOnline MSAVSTSLLLFLNLLTPAQAAQPVPLADGRIEIAAGESRQRYLDLAPGELQLLDLEVDRGRVRLRATTPDGKALRATELAGRQSLGLQAGERQGLRLTFSADEDTALTLIPTQRLTPQTPALDSPVPQSPTLQRLQAELAEKRPGALKAFWKQVAKQGTPLVEPLDAERVLVTFLWRQQRPGDVRLLWPTPEVNTRRFEALAGSDVRYLSLPLRRDARVSYQLSADLPDLQQADRGTLRLALQAAARPDPLSRTPWLNSRALPRAEQASLVQLGGAPAETWDQPRKDVPRGKVERLGYSSQALANQRH
VIMSS5928209 1 570 0.299095789473684 PF07504.13:FTP:107:153,PF02128.15:Peptidase_M36:397:520,PF01447.18:Peptidase_M4:285:413 zinc metalloprotease ZmpB (RefSeq) 570 283 12 550 1 Burkholderia cenocepacia J2315 VIMSS5928209 1 MicrobesOnline MQTSRKALPFALGLALGFGIVGGTVADTKVSNPQAASLRESLTRGVAPPAAKADTAAGQFRADGVAVTLYNPAYRARKAAATPAATARDFVASQAAQLGLDAAALASLVVTSERNDADFTVVRLQQQAAGLPVYGSEIAVTVAKDGRILYVASNTINGVVATTRKSQAVDQQQALDRARAYLGVSGFTHLDAQLVAFVDKAGTHTAWKVRGRPQDGPKGDWELLIDSGSGEVLRAEDKAFYATDGTGFVFRPDPLSPTKSSYGSTGYKDSNDADSTQLTAARVRVTLKDLAQSGTRYTLTGPYAACVDFDAPLDKACPSQSTPAFEFTRGNLYFEAVNVYYHIDTFLRYVNQTLGIKALPYQYTGGVQYDPHGESGDDNSSYSSSSGRLTFGQGGVDDAEDADVVIHELGHGIHDWITNGGLSQQEGLSEGTGDYLAAAYSRDFIQWSPSDAQYHWVYNWDGHNEFWGGRVTNWNVGRTYAQARGAEIHTAGQYWASCNLVARDAIGAQAMDKAFLKGLSMTNGSTNQKAAAQAVLTAASALGYSSAQLTAIGNAYNKSCTYGVTVPQKS 1
VIMSS59317 1 245 0.175708163265306 PF00672.25:HAMP:157:205 probable chemotaxis transducer (NCBI) 490 49 12 204 2 Pseudomonas aeruginosa PAO1 VIMSS59317 1 MicrobesOnline MGTWISDIPLKYKFWAVNAVAFFTTLLLVLFAMQQEAAGRNASAQRAAAAEGELVQSWPAGSALPARSNLLPLEQAASLGGTAAQALGKGQGWIELSGARQGDTPLLGAWVGQTGSGQRFAVLAPASDLWQVFADRAGAYAVAVLVLMLALLAASQLLIRFILTHLHTLKDVMLHVEKSGDLSARVPLQGRDEVGQMASAFNAMQAGYQRIVGTVAAAATKLDEGAQALARSMGQVRQGMLGQQS
VIMSS60320 1 272 0.274755882352941 PF00639.21:Rotamase:122:214,PF13616.6:Rotamase_3:118:215 probable peptidyl-prolyl cis-trans isomerase, PpiC-type (NCBI) 272 98 12 272 0 Pseudomonas aeruginosa PAO1 VIMSS60320 1 MicrobesOnline MSCSHYEERVEKVELPQLKVNGMAIGEDLLARELQYHPADSYALALEAACRALIVRQLLLQRADALGIEARCEDGETPEEARIRQLLEEEVQVPEADEDACRTWYAANPGRLLGPWRLQLRHVLLACAPDDLEGRETARKQAAELLDELRGHPERFVDLARRFSACPSKESGGDLGWIEPGQTVPEFEKRLLRRAPGLLEHPLESRYGLHVVELLAREGGEPLDFDAARAQIAAHLQAQVLQRAVGQYIGVLAGDACIEGFAFEGADGALVQ
VIMSS60669 1 394 0.0822631979695431 PF03929.16:PepSY_TM:6:253 hypothetical protein (NCBI) 394 248 12 244 7 Pseudomonas aeruginosa PAO1 VIMSS60669 1 MicrobesOnline MPALDLLLNLHKSLFVGFPGRVLVSLFGVSLLLLCLAGVLLHSRRWRDLRRWRRDRGLRLALFDLHGLIGIWGLPWLLLFGFTGALSGLGALGTLLLAPVAYPQEPNRVFVELMGPPPPAAEGRPLASRIDLDRLLAGDAVRAPGFVAQRLSLSHAGDVAGSVEIAGIRRGLPSTANFERHRYRLADGTLLGERSSAQRGFWLRAFIAVQPLHFAQYQWLGPGWSAALRGLHLAMGLGACLLCASGLYLWLQRRASAPDARVRLLQRLSQGFCAGLVAAAALLLLGLQLAPSELLAGPWPGRLFLVLWAAAGLAALLLPGDWPLARGLLGVAGLACLAAAVAHLAPWLMRGRLPALGPDLTLILCGALLIRHAWMQARAAAPPAHPRVTGDHHA
VIMSS60740 265 538 0.418456569343066 probable chemotaxis transducer (NCBI) 538 0 12 274 0 Pseudomonas aeruginosa PAO1 VIMSS60740 1 MicrobesOnline VELTGRQLEGIAELAAGVESQITEIAQGAEINRSQLASLFAAVEQVRGDLSASEEQTLRLGEAASQLENQAEVISERLAEVSLDAYHQSVYDLAREGAAAIAARFEEDVRSGRIDLDDLFDRSYQPLPNTQPTKYRTRFDSYTDQVLPGIQEQLLERNRNLVFAIACTPEGYVPTHNRAFAHPPSGDPQTDALRSRSKRLFNDRTGIRCGSHQQPLLLQTYTRDTGELMHDLSVPIFVHGKHWGGLRLGYRPEGAEPGKAAAPTAQGLSLALNG
VIMSS60808 216 766 0.0734931034482759 PF07670.14:Gate:134:227,PF07670.14:Gate:294:472,PF07664.12:FeoB_C:237:289 ferrous iron transporter B 766 326 12 347 9 Pseudomonas aeruginosa PAO1 NP_253048.1 1 RefSeq GPALGLPPATLEQARRGCGEEPELAIVDARYRLIGEICAAVCDHQQAQPHRLTQWLDRVVLNRWLGLPIFLLVMYLMFFFAINIGGALQPIFDKGSSAIFIDGIQWLGIRFGLPDWLTVFLAQGIGGGVNTVLPLVPQIGLMYLFLSLLEDSGYMARAAFVMDRLMQALGLPGKSFVPLIVGFGCNVPSIMGARTLDAQRERLITIMMAPFMSCGARLAIFAVFAGAFFGQGGALVIFSLYLLGIVVAILTGLLLKHTLMRGEASPFVMELPLYHVPHLKSLLLQTWSRLRGFVVRAGKVIILVSLVIGGLNSITLDGKPVQGDIGHSALASVSQRLTPLLAPLGVQPDNWQATVGLVTGAMAKEVVVGTLNTLYTAEQIQGEAFDYEGYDLPGQLREALVETWDGLVDTFSLSVLANPVEASMADGDMETGSMGTMASKFGSPIAAYSYLVFVLLYVPCVTAMGAIARESSKGWMAFSVLWGLNVAYSLATLCYQVATFAAHPERSVLTIAVVLLFNLILMTCLRLFGREQVLQLPGRMADAPSGQGGCH
VIMSS60978 1 290 0.0388779310344827 PF06750.13:DiS_P_DiS:20:126,PF01478.18:Peptidase_A24:137:245 prepilin peptidase (EC 3.4.23.43) 290 216 12 162 6 Pseudomonas aeruginosa BRENDA::P22610 1 BRENDA MPLLDYLASHPLAFVLCTILLGLLVGSFLNVVVHRLPKMMERNWKAEAREALGLEPEPKQATYNLVLPNSACPRCGHEIRPWENIPLVSYLALGGKCSSCKAAIGKRYPLVELATALLSGYVAWHFGFTWQAGAMLLLTWGLLAMSLIDADHQLLPDVLVLPLLWLGLIANHFGLFASLDDALFGAVFGYLSLWSVFWLFKLVTGKEGMGYGDFKLLAMLGAWGGWQILPLTILLSSLVGAILGVIMLRLRNAESGTPIPFGPYLAIAGWIALLWGDQITRTYLQFAGFK
VIMSS61097 1 102 0.142529411764706 PF05229.15:SCPU:29:101 hypothetical protein (NCBI) 182 73 12 79 1 Pseudomonas aeruginosa PAO1 VIMSS61097 1 MicrobesOnline MNKRIPAVLLFCACASLLGTAQAAGTLIGQVGVQMVIGAGCTIINGSVSGGINQWGTLDFGSHSDLTNVVDAQTVGTSGNIQIQCSTGLTPSLTVNAGLHAS
VIMSS61643 1 144 0.268227777777778 PF05618.11:Zn_protease:4:124 hypothetical protein (NCBI) 144 121 12 144 0 Pseudomonas aeruginosa PAO1 VIMSS61643 1 MicrobesOnline MVGLRAKIDTGASTSSLHASDIVPFERDGEKWVRFTAHLGTLVQRRHRCEAPMVARKSIRSSNGQAQTRYVIRTTLALGDRMWPVEFTLACRKSMRYRVLLGSKALIAGQLVVNPALAYVQDRPESPAALPAATSIDLLDGSPV
VIMSS61709 1 358 0.101180726256983 PF06580.13:His_kinase:163:241 alginate biosynthesis protein AlgZ/FimS 358 79 12 266 4 Pseudomonas aeruginosa PAO1 NP_253949.1 1 RefSeq MPIRFKPGRSTRPAVQDDFFIPELCEAEALFTLVLLAELLVLVLVLAEPMTPSFNWVRLALASLFVQWIVLLSAALFCRLRPLLARLPVALAGSACCLLVVALTLGCTAVAEHYQLGGELTRAGEVNLYLRHALIALIMSALVLRYFYLQSQWRRQQQAELQARLESLQARIRPHFLFNSLNSIASLIELDPLKAEHAVLDLSDLFRASLAKPGTLVSWEEELALARRYLSIEQYRLGDRLQLDWQVHGVPANLPIPQLTLQPLLENALIYGIQPRVEGGLVQVEAVYREGVFQLCVSNPYDEALESPPSKGTRQALHNIDARLGALFGPKASLSVERRDGRHYTCLRYPCARLMQEA
VIMSS61922 1 186 0.221997311827957 Acetyltransferase PA5475; GCN5-related N-acetyltransferase; GNAT; EC 2.3.1.- 186 0 12 186 0 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9HT95 1 SwissProt MSNVQNASRSSAFAAVEGDHWVESLDDGRHVLIRPLREEDRERERQFINRLSPATRHFRFLGEIKEASPALLDQLMDIDYQQSMAFVALVHEDGELREVGISRYAACCEEGQCECAVTIADDYQGLGLDAVLMRHLIDVARRNGFRQMYSVDSAANRAMRDLCCALGFVGQRDPDDSTQVIHRLAL
VIMSS619233 1 246 0.112162601626016 PF17152.4:CHASE8:47:148 putative exported protein (NCBI) 425 102 12 200 2 Yersinia pseudotuberculosis IP 32953 VIMSS619233 1 MicrobesOnline MKPKKNHNSAEKLPTLAGVLRRIHLSVAIVSVVTACIFLTVAALFALRVYVDHNLHLIARAISYTTEAAVVFGDNVEANELLALIASNEEVAEAKIIDKHNRQIAYWALPDDGPIHHMEQIVARWILPAPVVLPMFHAGDEIGKIVIVGHGGSLLRFLLQGLAGMLACLTVSTLVALFLSRRMLLGIVGSLKRITEVAHNVSRHRSFGQRVPSAKVAELNELSNDFNGLLEELEVWQKHLTQENDS
VIMSS61995 1 401 0.0290882793017457 PF07690.16:MFS_1:21:308,PF07690.16:MFS_1:230:382,PF05977.13:MFS_3:68:311,PF00083.24:Sugar_tr:48:184 probable major facilitator superfamily (MFS) transporter (NCBI) 401 362 12 131 12 Pseudomonas aeruginosa PAO1 VIMSS61995 0 MicrobesOnline MNQKISPPSQKLDGLFLLALSMAVFFVGVTEFMLSSMLGPLAQAFHTTTSGAAWLISSYAFSYAIAAPLLGYFSDRMQRRKLLLLALVLFAVDTLAIVIAPTLEIAVVLRVIGGIASAIIIPTTFALVAEVVAPQRQAGAMGQVMLGMTLGIAAGPALAGLLSDFFGWAAPFLLVSCGCVLVFLMARQRLPVQAVAPRAEGHALGWCRQWSILRPLIAKGAWNGTGVAGLLLSGEVLRQRYGFSTAQIGVSIAAFGVGLAVGNLAAGYLRRYLKRDEDMLLLVLALLVLSMSTFMLLPLPLPVALCCLGAWGAALGLGAPAGTVVLASRSGPDKGMVLSFAETFNNIAILASVPLAVRLLELKGPPATLWVLGIGLGIGAWFTVLDWFASRRSNEALPLRD
VIMSS6576867 1 791 0.332388748419722 PF07964.11:Red1:4:790 meiotic recombination protein Rec10 791 787 12 791 0 Schizosaccharomyces pombe CharProtDB::CH_125562 1 CharProtDB MDIFSEFLNCLSSDGTLNESSIYKTYQILESLNPKDVDTKENYIKLSNTFSTLGSGVGFQDNLLIEMFKILTVLFFKTRSTDLGDLLIESFTSLEIEKLMRVKKTIGSIVLTKGIQELQEIELPKVGFNCMTYDESIFQGISLERLILQLMSIFIAKCEENKLWLVNNRKDLDLRVIGQRLLHRDFACRFLSGLFISRFSVSGDTDESKHQNGIRLQLFIDFSKFETRLTMQILKADRIFSTCVANTVHAYEGLFSSGSNIDSTIATLVLEPRDLIVYRKGFALLQIPWTSVTTIDKIKKVKSLKIITEVSSLDEFVFQCKDGDKFDELFSTSEEIMNKLLPAIIVSPKLTLRNRSIIQIKEGESKLPNTSKQASQNLPHLDDELAYQRFEDQVIDKSVCDDECTNTENTPSSNIPADVKDSLSADDYAYDTKRKTQIEDLEEDQNKSKIASKDGTNLKEINSVPEFSDENVINQTGPAKKTPVQRRKDGKFAKSTKRKKQKSLKPDTENQESSVKNKKAKSNVNLQYSPKTPICKINDETLKPPTIANIAGHKQMNHLTSENIETPVPVPNGNWYNGVKHETATDIFTTCHDGNNSLKSSVWKELLKEKHWKQESKPQLTGNSRQIDLSTFVKQANTPNITSLLDGTCSSPPNNECFNDKEPDSSSSTLISDRQELEYRNPNAETVKLEEIPYNKFFKTVEKNEAYNPSSKSATIDGLQRYTSMIGNQIYEGILQNEKELRSKLEAYHINCNKVIKEFSKRQTARYKIIEKELAQIEVNLVSQIDSLMFK
VIMSS6578745 172 371 0.5973165 DNA repair and recombination protein rti1; Rad twenty-two isogene 1; Rad22 homolog 371 0 12 200 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O42905 1 SwissProt NLLRANKRPYARFAQKVSTPIESHANKSVKLEHKNSIEKKISNVDKPISDLIENDIHESLPALQNPPIQSHSETDLYADEELDSILMHHERPPIPESPRVEEFEELLNQFEGDEKVSVDKIDAHDKMTEAQVVKIPPVQFMNARVAAAENPHIKHEGMAFQLHKKSNSILKSSNIDHNRSMPIRRPSLTSNNSANTFSTK
VIMSS6578830 1 319 0.363421943573668 PF07558.11:Shugoshin_N:21:63,PF07557.11:Shugoshin_C:280:302 Shugoshin-1 319 66 12 319 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9P7A0 1 SwissProt MNFQFINSNINNEDKLPMESLKKKFLKQNREIIKINTQLSIKIRESENEIQDLIQENFTLKSYLVKLEARFRNQSQTEDLLKNFFPEIQTIHKKISQVQSLLKIIEKKCSSDFLEANVKSQFTTCENKDSKEDYQILHNKRLEYVSFNDELKSLETGQPLYCFQDFQKKVHGPPALSEKPGKCILKDKTNAHVNKIPQDEVNYSLPQKNITIFSKELKENEFESINEGETEEEKAKTSNVCVCIPCKSAEQITDLKGQATGDSSPCDFEESQPRINGREKLRRSVKVINYAIPSLRTKLRRDFDLPSDRKRKRHPRGKA
VIMSS6580418 1 585 0.0932381196581196 PF00324.21:AA_permease:87:540,PF13520.6:AA_permease_2:91:523 Uncharacterized amino-acid permease PB2B2.01 585 454 12 367 10 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9HDV2 1 SwissProt MNAYVRDSESVYSESYPPENFISNEPEKSKDKDNFNGEEVISYVGEVETVPAKEENVFRRFINGFKIEKNQQDSAGQGLKRRLKSRHIQMIGIGGAIGTGVWVGSSKSLYRGGAASVLIDYCIVGTMVFCTVYALGELAVAFPTRGSFVTHATRFIDESWGFALSWNYVFSFIVTIPLELTTGTMMIKYWTNLNSGIWVTVFIVFLFFINIFGVKGYGEMEFIMSTIKVVAMCGFIILGIIIDCGGVPTDHRGYMGTHIFRENAFRHKFKGFCAVFTSAAFSFSGTEYVGVAAAETENPAKAFPVAVRQTLFRIAIFYILSLFIVSLLISGADPRLTSYHGVDASPFVLAIKDANIKALPSILNAIILISVISSANAQLYAGSRAIHSLGCNGFAPKCFTLVDREGRPLVALLILFLFMFLGYLVETGQYDTVFDWMLSISGLGTLFCWGSICLAHIRYRAAMKHQNRSLKEVGFVSPFNVYASYYAFILVCLVLAAEFYVSIFPVGGKPDASAFFENYLSAPVILVFFICHKLYYKTKRITLSNMDLETDFAYKTPVEEEEEEEKSAGSLSIKQRMKKLSDMMC
VIMSS6580642 283 1322 0.57040673076923 PF00624.18:Flocculin:1:33,PF00624.18:Flocculin:40:78,PF00624.18:Flocculin:85:123,PF00624.18:Flocculin:131:168,PF00624.18:Flocculin:176:213,PF00624.18:Flocculin:221:258,PF00624.18:Flocculin:266:303,PF00624.18:Flocculin:310:348,PF00624.18:Flocculin:355:393,PF00624.18:Flocculin:401:438,PF00624.18:Flocculin:446:483,PF00624.18:Flocculin:490:528,PF00624.18:Flocculin:535:573,PF13928.6:Flocculin_t3:738:781,PF13928.6:Flocculin_t3:810:853,PF13928.6:Flocculin_t3:861:904 Flo9p (RefSeq) 1322 627 12 1040 0 Saccharomyces cerevisiae VIMSS6580642 1 MicrobesOnline WTGTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTTASTIITTTEPWNSTFTSTSTELTTVTGTNGVRTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTELTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEITTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAITTTEPWNSTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAITTTQPWNDTFTSTSTEMTTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEITTVTGTNGLPTDETIIVIRTPTTATTAMTTTQPWNDTFTSTSTEMTTVTGTNGVPTDETVIVIRTPTSEGLISTTTEPWTGTFTSTSTEMTTVTGTNGQPTDETVIVIRTPTSEGLVTTTTEPWTGTFTSTSTEMTTITGTNGQPTDETVIIVKTPTTAISSSLSSSSGQITSFITSARPIITPFYPSNGTSVISSSVISSSDTSSLVISSSVTSSLVTSSPVISSSFISSPVISSTTTSASILSESSKSSVIPTSSSTSGSSESETGSASSASSSSSISSESPKSTYSSSSLPPVTSATTSQEITSSLPPVTTTKTSEQTTLVTVTSCESHVCTESISSAIVSTATVTVSGATTEYTTWCPISTTEITKQTTETTKQTKGTTEQTTETTKQTTVVTISSCESDVCSKTASPAIVSTSTATINGVTTEYTTWCPISTTESKQQTTLVTVTSCGSGVCSETTSPAIVSTATATVNDVVTVYSTWRPQTTNEQSVSSKMNSATSETTTNTGAAETTTSTGAAETKTVVTSSISRFNHAETQTASATDVIGHSSSVVSVSETGNTKSLTSSGLSTMSQQPRSTPASSMVGSSTASLEISTYAGSANSLLAGSGLSVFIASLLLAII
VIMSS6580652 1 1047 0.239179083094555 PF00172.18:Zn_clus:65:100 Oaf1p (RefSeq) 1047 36 12 1047 0 Saccharomyces cerevisiae VIMSS6580652 1 MicrobesOnline MVENSTQKAPHAGNDDNSSTKPYSEAFFLGFNNPTPGLEAEHSSTSPAPENSETHNRKRNRILFVCQACWKSKTKCDREKPECGRCVKHGLKCVYDVSKQPAPRIPSKDAIISRLEKDMFYWKDKAMKLLTEREVNESGKRSASPINTNNASGDSPDTKKQHKMEPIYEQSGNGDINNGTRNDIEINLYRSHPTMIMSKVMKREVKPLSENYIIIQDCFLKILVTSVFLDTSKNTMIPALTANANITRAQPSVANNLLKLKEMLIRQCQTEDEKNRVNEFTDRILQNTNSNRNLKIGMLLSMLYNSVGYQYLEDHCPQGGEYSDLLRNLINECEAILPSYEIIERYKNHFYEYVYPSLPFIELEIFEESLSQTIFPDPNNPSKVQIRMGSTHLRAKVENLSLLLVILKLSYMSIRFLDHSTADSSFYLSKEIIDKYPIPNDFILLSPRCLASENWCACANENIISCLLYIWSFFAFSPEEGDFFLEHPTDVISSLIMMLSTSIGLHRDPSDFPQLISPSTSDKRTLNHRRILWLSIVTVCSFEASLKGRHSVSPISLMALFLNIKDPDSLTVYMNRVRGDLSDINNHTLLRIHKFTFKRAQLALLLSDLDNLTMTYYGSFHLHSIEFIREKIEIFVEENFPIVPLKSVAQDKSDLDDMNVISEMNILSSENSSSFHNRIMNKLLMLRTSMAVFLHFETLITKDKSIFPFYKKYFMVSCMDALSLINYFNKFFNGEYRHAISSLTSFNVTKFIQLALSSTIFSLLGIILRIGLAIHMLSSEVQKLSGTTDPRIKELNTKVEKFSTLQRDLESALEGIYCSASEHLRFTYFPVFKMLALFDVIVQRMRKGELWHGIFTMIQMEQMHSRIIKTLSITLGVKLDKKDRLLEELMACNHVANFSVEDIDELNRNIKKEIQISSGLKPPVNTIDLTNGEPFGNAVPTFTKTWSSSLDNLEKLSSAAAVGQSLDYNSGLRQGPLAGGGSKEQTPIAGMNNLNNSINATPIVDNSSGSQLPNGFDRGQANNTPFPGYFGGLDLFDYDFLFGNDFA
VIMSS6580659 1 785 0.294526369426751 PF11935.8:DUF3453:91:333 Pre-tRNA-processing protein PTA1 785 243 12 785 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01329 1 SwissProt MSSAEMEQLLQAKTLAMHNNPTEMLPKVLETTASMYHNGNLSKLKLPLAKFFTQLVLDVVSMDSPIANTERPFIAAQYLPLLLAMAQSTADVLVYKNIVLIMCASYPLVLDLVAKTSNQEMFDQLCMLKKFVLSHWRTAYPLRATVDDETDVEQWLAQIDQNIGVKLATIKFISEVVLSQTKSPSGNEINSSTIPDNHPVLNKPALESEAKRLLDMLLNYLIEEQYMVSSVFIGIINSLSFVIKRRPQTTIRILSGLLRFNVDAKFPLEGKSDLNYKLSKRFVERAYKNFVQFGLKNQIITKSLSSGSGSSIYSKLTKISQTLHVIGEETKSKGILNFDPSKGNSKKTLSRQDKLKYISLWKRQLSALLSTLGVSTKTPTPVSAPATGSSTENMLDQLKILQKYTLNKASHQGNTFFNNSPKPISNTYSSVYSLMNSSNSNQDVTQLPNDILIKLSTEAILQMDSTKLITGLSIVASRYTDLMNTYINSVPSSSSSKRKSDDDDDGNDNEEVGNDGPTANSKKIKMETEPLAEEPEEPEDDDRMQKMLQEEESAQEISGDANKSTSAIKEIAPPFEPDSLTQDEKLKYLSKLTKKLFELSGRQDTTRAKSSSSSSILLDDDDSSSWLHVLIRLVTRGIEAQEASDLIREELLGFFIQDFEQRVSLIIEWLNEEWFFQTSLHQDPSNYKKWSLRVLESLGPFLENKHRRFFIRLMSELPSLQSDHLEALKPICLDPARSSLGFQTLKFLIMFRPPVQDTVRDLLHQLKQEDEGLHKQCDSLLDRLK
VIMSS6580722 1 74 0.258122972972973 PF00674.18:DUP:1:38 DUP240 protein YAR029W 74 38 12 74 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39549 1 SwissProt MNKYLFDHKIWSTPYYFYCEEDCHRLFLSFIEGRTFEKPTSNAEENVQETEAGESFTLNPGEDFQNCFPRQRIL
VIMSS6580911 1 366 0.562004644808743 PF17098.5:Wtap:245:364 Protein MUM2; Muddled meiosis protein 2 366 120 12 366 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38236 1 SwissProt MNYMAYDYDPQHSLETSFNNLAFHPHQQSQQQALYESGERNDARPGLMNTLGQASKMNNSMLPQGSSASPLTGQHSLNSTTNFNMPPSMNTYNYQNVPQASMRNTLNHNNIMNGATANDYWLDPMNNMTNNKDTNGNPNDSMSSMSNMTAKTSINSTAFKNSFVPFNHVTALSMNNVNSNEMNSNKDDRMEALEVELQIKESQIESLENEIQRLKKIFNEGLNYKQNEHKYEKENCHIPQTFELPASLEVIFRKLSSSLHAKEKELAETKENLESILTALALNPTNSVTKYGRYDAESIAHKMVVRLENLTNENKEMAKMLAYGRSKETQIELQLAKKENLELREKIASLEAHLASKESSKEDVAN
VIMSS6580922 1 609 0.117190476190476 PF00324.21:AA_permease:99:561,PF13520.6:AA_permease_2:99:521 Leu/Val/Ile amino-acid permease 609 463 12 354 12 Saccharomyces cerevisiae CharProtDB::CH_091448 1 CharProtDB MLSSEDFGSSGKKETSPDSISIRSFSAGNNFQSSSSEKTYSKQKSGSDKLIHRFADSFKRAEGSTTRTKQINENTSDLEDGVESITSDSKLKKSMKSRHVVMMSLGTGIGTGLLVANAKGLHYGGPAALIIGYILVSFETYFMIQAAGEMAVTYPTLPANFNAYSSIFISKSFGFATVWLYCFQWLTVLPLELITASMTIQFGNDKINPDIYILIFYVFLVFIHFFGVKAYGETEFIFNCCKILMIAGFIILSIVINCGGAGNDGYIGATYWHNPGAFAGDTSIGRFKNVCYILVTAYFSFGGMELFALSVQEQSNPRKSTPVAAKRSIYRIVVIYLLTMILIGFNVPYNDDQLMGAGGSATHASPYVLAASIHGVKIVPHIINAVILISVVSVANSSLYAGPRLICSLAQQGYAPKFLDYVDREGRPLRALIVCCVFGVIAFVAASSKEEIVFTWLAAIAGLSELFTWTSIMLSHLRFRQAMKVQGRSLDELGYKATTGIWGSIYGVFFNILVFVAQFWVALAPLGNGGKCDAESFFQNYLAFPIWLAFYFGYMVYNRDFTLLNPLDKIDLDFHRRIYDPELMRQEDEENKEKLRNMSLMRKAYHFWC
VIMSS6581061 1 465 0.16846 PF03239.14:FTR1:8:402 iron transporter FTH1 465 395 12 304 7 Saccharomyces cerevisiae CharProtDB::CH_091019 1 CharProtDB MAFEDYFSFQIFFIFLRKSLEIVVIVSILLTIVKQDLSVEDDSPFEGSSSSAGLPSPNTNTNADSTTAFLQAGPSDGNAIGTSATAANNKSRPLNVEEEEEIYEYSNELRDQDRESDEHTADNVKLYQKLKIQILAGGAFGLLLCMLIGGAFVSIFYHIGTDLWTLSEHYYEGVLSLVASVIISVMGLFFLRMGKLREKFRVKLASIIYSKDNNLLGNKTQKGVKFSQKYSFFILPFITTLREGLEAVYSIGGIGIDQPLSSIPLSMVLATAISTVFGIFFFRYSSSLSLKICLVVATCFLYLIAAGLFSKGVWQLELQDYVNKCNGQDMSEVENGPGSYDISRSVWHVNCCNGEKDGGWMIFTAIFGWTNSATVGSVISYNAYWLVLKYALKLLMIEGKCGYIPYLPISWQKKRIMKRLSIAKASLDLKHHTSELNSSTSEPDSQRRSKDSSVPLIIDSSGSAN
VIMSS6581070 1 625 0.683821599999999 PF08729.10:HUN:561:599 Histone promoter control protein 2 625 39 12 625 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01448 1 SwissProt MDQKAIVLDNSKSGSKQTKSSGKMQTQTDTNAEVLNTDNSIKKETGSDSEDLFNKFSNKKTNRKIPNIAEELAKNRNYVKGASPSPIIISGSSSTSPSGPSSSSTNPMGIPTNRFNKNTVELYQHSPSPVMTTNKTDTEEKRQNNRNMDNKNTPERGSSSFAAKQLKISSLLTISSNEDSKTLHINDTNGNKNSNAASNNIPSAYAELHTEGNSIESLIKPPSSPRNKSLTPKVILPTQNMDGTIAKDPHLGDNTPGILIAKTSSPVNLDVESTAQSLGKFNKSTNSLKAALTKAPAEKVSLKRSISSVTNSDSNISSSKKPTSEKAKKSSSASAILPKPTTTKTSKKAASNSSDSTRKKNASNKTTSAIKKESNAGSKLNTVKKENSSLSSIKATEKEKDKGGNSTEAKNSTSNVRKEPTAKSPKRLVAAPTVSPPKILQTAETKAKEPSILIDVPLYQADTNDYLDENGQVIFNLSTLIKEKYHPKSKELAQLKDSKRNLLMQLSDHSNGSLEKEKDEEGDVIELDDDEDMEEDEGEIDTETNTVTTTISPKKKSHPMKGKNLIGKYDVEDPFIDDSELLWEEQRAATKDGFFVYFGPLIEKGHYASLERANGTMKRGGVKNK
VIMSS6581122 1 320 0.1193603125 PF00106.25:adh_short:8:55,PF00106.25:adh_short:77:215,PF08659.10:KR:9:197,PF13561.6:adh_short_C2:71:211 3-ketosphinganine reductase, catalyzes the second step in phytosphingosine synthesis, essential for growth in the absence of exogenous dihydrosphingosine or phytosphingosine, member of short chain dehydrogenase/reductase protein family (RefSeq) 320 208 12 297 1 Saccharomyces cerevisiae VIMSS6581122 1 MicrobesOnline MKFTLEDQVVLITGGSQGLGKEFAKKYYNEAENTKIIIVSRSEARLLDTCNEIRIEAHLRRETTDEGQVQHKLAAPLDLEQRLFYYPCDLSCYESVECLFNALRDLDLLPTQTLCCAGGAVPKLFRGLSGHELNLGMDINYKTTLNVAHQIALAEQTKEHHLIIFSSATALYPFVGYSQYAPAKAAIKSLVAILRQELTNFRISCVYPGNFESEGFTVEQLTKPEITKLIEGPSDAIPCKQACDIIAKSLARGDEDVFTDFVGWMIMGMDLGLTAKKSRFVPLQWIFGVLSNILVVPFYMVGCSWYIRKWFRENDGKKAN
VIMSS6581185 1 119 0.514815966386555 Putative protein of unknown function; epitope-tagged protein localizes to the cytoplasm (RefSeq) 119 0 12 119 0 Saccharomyces cerevisiae VIMSS6581185 1 MicrobesOnline MEKKKILDAALAPRIISGVPTDGQPLSGGPLSWAWCHTTLKRWALMKTRPYKYPLSTEPPTPPSVPNSASVNHNTTTNTTLSYTRCHSTTYTKPLRERSSRPWTRSATISRLPPRSWTN
VIMSS6581322 1 65 0.231087692307692 Mating-type protein A1; MATa1 protein 126 0 12 65 0 Saccharomyces cerevisiae (Baker's yeast) SwissProt::P0CY10 1 SwissProt MDDICSMAENINRTLFNILGTEIDEINLNTNNLYNFIMESNLTKVEQHTLHKNISNNRLEIYHHI
VIMSS6581410 1 477 0.349409224318658 PF00641.18:zf-RanBP:355:384 Asparagine-rich protein; Protein ARP 719 30 12 477 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32770 1 SwissProt MHYVVLELQVAHLPDTPKDQCRIANIAFQIVNAETLVCHYGTNSLPSIEVNGTTKSLESAMVQLDKDIHDVIGNDDFVLVSLYSTWHIRVTLPRQARDDGFILTSYLQHPKVFDLWKEFDRWCVNHPEILGQKKAISNNNCNTKSISINAAKNTKDLDEIVRILEVSIPTEEAGSVPEIYSLLKRTTDILIQLHKKCTSPEDMESVLTKPYDSHTDIRAFLQEKSKILYMNNLPPDTTQSELESWFTQYGVRPVGFWTVKNIVEDTSNVNNNWSLNNSPYVEDQDSISGFVVFQTHEEATEVLALNGRSILSNLANTKQPRVVEHVLELQPSSTGVLDKAQEILSPFPQSKNKPRPGDWNCPSCGFSNFQRRTACFRCSFPAPSNSQIHTANSNNNVNSSRNNLNNRVNSGSSSNISNTAANHPYGAPEFNMIANNTPAALTYNRAHFPAITPLSRQNSLNMAPSNSGSPIIIADHF
VIMSS6581447 1 291 0.594026116838488 Uncharacterized protein YDL129W 291 0 12 291 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07555 1 SwissProt MELRSRRSAEAYLVTPEEPAKNKSERSIESNERVGTREAKSENTSVFSPAYSDTATTDSSKKVDDNEYYNFTSHFMPSLKNTRELENTILNLIQRIKEGDDETLVSEKDLILSVLNRSLASTSHWKLQAQLSELRATSEGRYAVETNLLKKEVEFLKNKTPKTNESASSAELRPLLERPLKRKLSLPGLAQRPLSTGARLEGGYGGVSPNSWKTKVPKLPLPASRPSLNLSPQKVPTGTDKVEEDTKIDTLELVENNKPHPRMRRRSDNPATNEYVRVFHLEKKEPKSRKK
VIMSS6581620 1 604 0.123342549668875 PF00324.21:AA_permease:94:556,PF13520.6:AA_permease_2:94:516 Valine amino-acid permease; Branched-chain amino-acid permease 3 604 463 12 344 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41815 1 SwissProt MSDPIVTSSKMEKSAEFEVTDSALYNNFNTSTTASLTPEIKEHSEESRNGLVHRFVDSFRRAESQRLEEDNDLEDGTKSMKSNNHLKKSMKSRHVVMMSLGTGIGTGLLVANAKGLSLAGPGSLVIGYVMVSFVTYFMVQAAGEMGVTYPTLPGNFNAYNSIFISKSFGFATTWLFCIQWLTVLPLELITSSMTVKYWNDTINADVFIVIFYVFLLFIHFFGVKAYGETEFIFNSCKILMVAGFIILSVVINCGGAGVDGYIGGKYWRDPGSFAEGSGATRFKGICYILVSAYFSFGGIELFVLSINEQSNPRKSTPVAAKRSVYRILIIYLLTMILIGFNVPHNNDQLMGSGGSATHASPYVLAASIHKVRVIPHIINAVILISVISVANSALYAAPRLMCSLAQQGYAPKFLNYIDREGRPLRALVVCSLVGVVGFVACSPQEEQAFTWLAAIAGLSELFTWSGIMLSHIRFRKAMKVQGRSLDEVGYKANTGIWGSYYGVFFNMLVFMAQFWVALSPIGNGGKCDAQAFFESYLAAPLWIFMYVGYMVYKRDFTFLNPLDKIDLDFHRRVYDPEIMRQEDEENKERLKNSSIFVRVYKFWC
VIMSS6581641 1 224 0.161657142857143 PF03162.13:Y_phosphatase2:3:179 Putative tyrosine-protein phosphatase OCA6; Oxidant-induced cell-cycle arrest protein 6; EC 3.1.3.48 224 177 12 224 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12454 1 SwissProt MTLVTPLQFSTVQPNLYRGSYPREINLPFLRTLRLKYILSLTPEPLSTDPLMVKFCEENNIKTIHIKCQSERKADKTKPKIKRKKKTVPIEYDVVVRCVKFLIDKGHYPCYMHCTNGELIISLVVACMRKFSYWSTVSILNEFLVYNSSINIHERNFIENFNSEIEVDDLDIKDKVPWITVRYIARTATESKDELRVDDANASEKVARVSSVSNSLPKLKFHSM
VIMSS6581666 1 310 0.11418935483871 PF04193.14:PQ-loop:8:63 Uncharacterized membrane protein YDR090C 310 56 12 172 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03193 1 SwissProt MISEKAATALATIATVCWCVQLIPQIIYNWKKKDCTGLPPLMMFLWVVSGIPFAIYFCVSKGNVILQVQPHLFMFFCSISFVQSCYYPPISMARSKIVMIVAAIIAADVGMEVGFILWLRPLYEKGVKWPDLIFGISASVLLAVGLLPPYFELAKRKGRVIGINFAFLFIDSLGAWLSIISVILGNMDIMGIILYSIVAGMELGIFASHFIWWCRFRFLAKGNTFDEESGQAQKEEPDEKIEQDISKSDRNVTNYNLDNCSIPDDASSFADDFNIYDSTDGGTLSRAQTLHAVHGVVVRTDPDRYSRLSV
VIMSS6581694 1 652 0.132814110429448 PF12896.7:ANAPC4:224:407 Anaphase-promoting complex subunit 4 652 184 12 652 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04601 1 SwissProt MSSPINDYFIDYNPLFPIFATRIAKGLAIYRVSDHARLAVIPIRNINLVANYDWDTTTGKFLSIFFKDGTIRIHDIFKDGRLVSFLRIPSTKISKGIWDRIPLRYEPNNRDFACNIIDDLPKLIRFVKDSKRINIVPYTQPNSLWRGPDEDDLDSNEKLDVHVVFNEGNDKITVFFNGDYAVFLSVDNIENENSLKSIIKVQDGFYQCFYEDGTVQTLNLGPLLQSKSSVNLLNYIMVIKELIGYMLTHLEFINRELATPYLDFVKRLCDEAYGYGKLKSELEALFLLGEISCDLEDWLCNSVGEKNFKRWKYLGCEAYQKTVQILTLIFVPACERIIIYVEKLRAILQAFSIQNKLSYTSDLTAVEVLLKSSQKLLTMTLNSIIGLGRDETLFEKFFIWFNDRLHEALDEDYKLKFQFEDDLYFGYDLLSYFDRILSKKGTEPSSIIDVKLYRDLINSMSDMEKDIAQSNVNSHIQQHILVDLKTDVFAQKYPSSQINLLDAIKLPKHNYIVYLIQVTKHNSAQEPFSEENKKKLYIGTLKDENLGIISKESSVKIPALFKSYRLSSTRFVPNRVHSLLRDIGLSDSNYHSSHVTDYRGENYENEEDDGTIAIPAYIRENRENDDFIACTAKVSVDGRSASLVFPKEKQNV
VIMSS6581695 1 768 0.216777083333333 PF07690.16:MFS_1:259:666 Vacuolar basic amino acid transporter 4 768 408 12 460 14 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04602 1 SwissProt MGKKDRQRKKLREFAKLKNRQRNLRKSVQTLKNEVQREAKVPRTSNQIALGNDKIEEINENSPLLSAPSKQEEVSIPKAVDIDTIDAQPLHEGPKIDDSPQDEVNSIKGKPADKANEDDLKPPSQHEACGNSALQSSITDFSDRSVSPLQSITSCNTPMSEHELPVSSSNSFERADDMPVVQADNQTSSSKSLHIVAPSPEVPVSGDEITSYGYGSIPQSIGDVENGLNPPYVENTSSDELVHDLTRRRIFSSCMCTYLFFIAMDSSIILVIASKIASEFHELWRLSLVISAYLLSNAIGQLVFLKLSLISSVKLLLCIAQFSFILGGYLSWSSAHFWTFIFARCVTGFGGGSLIALKSTIMNRFSQKNDSRYSLSASMITFAMGVVIGPFMMNLFDSSHGSGWRNAFLIPVPFCLVNASIMLADMYSVKSTLYGRPTPTLWKRFKNTLLSPDLYEILTLTLFLLCFVQVTSLDLTGLKNNTMIQALLFSVIIVCGILFFLIETSDTYMNSVISMSLQGDKRLIWTMIGISFCFAALMCIIPFGTTYFIIVLNLSTLQLAERLSPFFFSIVLGYFSVSYFWKSKGQNFLLKFVLSGATLLLYVALMGVSLNLPVWKQYICLSLPFLGSSMILTLLSNLYHEYHEQRKSPISGSIVYCFGAVGGTVGISLGGYVFHKTLIKLMHEKVMPFSKQGYLKKDLLKIIKHATESSDWVHESAPKFVFQTLIECYLQACRNVFKLSTLFFTITVVAIFIFNRIHCRSQNCLSLS
VIMSS6581698 1 196 0.521035714285714 DNA polymerase epsilon subunit D; DNA polymerase II subunit D 196 0 12 196 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04603 1 SwissProt MPPKGWRKDAQGNYPTTSYIKEQENITIQDLLFPKSTIVNLAREVPQQSGKKLLINKDASLALQRGATVFVNHLLLFAREIAKSQDKKSCSVDDVLSALDHIGHSALKGPVRDKLDEYQAAVEQRKKEKLDSGEVDADGDIDMGEDKENVPVEKVKEHDEIEEQGDALQDVEESSEKKQKTESQDVETRVQNLEQT
VIMSS6581701 1 324 0.229318827160494 PF11001.8:DUF2841:89:207 Uncharacterized protein YDR124W 324 119 12 324 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04608 1 SwissProt MRIGMEELRRALALLNGQGYEFMVFIKEKDHLISESIPKNLFSESFISSSIEKNPPLTFDPLVNRKRITVYEENIQPAIMLPLDQPLLIQNYLYTAFKLLRQVPCKAIAKLWIKIIEPRKKTRFPYIKGNAGRPEWWPKDVEHKEPDHLQKADRLKLMCTIIMNVLPQSPFSLEILDELTGVTAAMTIFKKETVKKVIMKNVFEIAKCLCNKDFKQKTISLDDLNDLAQKQKKSYHSRRFDVNKMVKVEKDLLQQSSGSDSSPSMILPSKESDTEYFPREYPKDPVSEDYSNSISRLENLVEFDPLFLTKLDELTSSDDCKDFI
VIMSS6581757 1 481 0.4922237006237 PF15460.6:SAS4:124:220 Something about silencing protein 4 481 97 12 481 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04003 1 SwissProt MGIFQSIEEANNTSERLLRSEIKNSHGEFEKFDFDTEEYEINPKRKLRLVSRINPNAGHLRKSKSCFTVDEHVDETRCQKPVMKSPFMSNVDDEIKKKRETITKMTLEIEHHELSQNIRKPTDDLLPDSTYQPYHKKMLKQENRMIQSDIVNGENEADRLSLISDRLGMLNWEVTLQKVTKINDPTDENEMETKRYQTKELIDSMLHKFESMKKKSRNLARRPASSDSLLKLVSGKDWPKIYTRIDRTFIPDYASSSDEEEEKITVEEIRERRLKKREQQCGGSIIVLLSDHQSQKGMTRFAIVAEPLRKPYLIKTSTKERNSWKNKVPTNPKKFKKAPRISTQIAVKRRREVIPLTMEVEPEVIRDIRQDTQKSMKLNVKAEEISVTETVKSKEMNALRNNAASISPTLSEKAPLGSISSCTASQISQRSSENVGAIINNINPNLAIVPSCNEKTFVKTHNGMKTNSGINILPVRKKKKV
VIMSS6581763 1 450 0.361404222222222 SRP-independent targeting protein 1 877 0 12 450 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04007 1 SwissProt MDTVGTDAAAASINERRFAQSTSPKVSVKSQDSLFLITYSNMQQTVVQASLADRYPSLKKLNILLYIDIPTIDYYNDEMTHNKLSRLNKRFKLHRLRNSIAQSFSNTSTAEDNDKFWEELKSLISSRSTPENKFDLNVLVSSSGSLRYVETIRFLVEKLFNSFKDLYVQKKLNLCFQINVSPTSLKWFSTFLNAELLNLKIINWQNIGSFTKTIQNSKSLPFKEYYTKLNEKFTGSNQSNGSMQDQTVLDSIVIVTNSTGVKALLTLLSDHPLTSLISQESIKALHEYSDAVNEDKGDDQSNTSLKRNSSSLLNFQNSVLTSNKDKSVRIRSLSINRKSNRAHMFKTNESITTIPSTSINNLIGQESNLRKQPSGTALHLQSHLHPHSRSQSYSSSNMSRSPSPFPYGKTPSNDELVYDELNNQINEVQDRAKNEEIVLYNNNNYDDYTK
VIMSS6581847 1 639 0.391479186228482 PF13920.6:zf-C3HC4_3:61:109 E3 ubiquitin-protein ligase HEL2; Histone E3 ligase 2; RING-type E3 ubiquitin transferase HEL2; EC 2.3.2.27 639 49 12 639 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05580 1 SwissProt MSESVKENVTPTRNFRRTQGPQNNTKPHNDRKNFRRKQKKNNLSAEPNLTTSSADDTDEENELCVICARKLTYVSLTPCHHKTCHICGFRQRALYNKKSCLICRTENEEVMFTDRIDGDISDKYNFCEKNEKYGINFTSEEVATETLNLLKFFCPLSKDEQVCDFGSFKKYNEHLKSEHNRMICLICATHKHAFPCELEIFTQNQLRNHQTKGNSEGFKGHPMCAFCSGKRFYSDDELYIHMRNQHEKCHICDKMNPASPQYFKDYNQLFDHFKHSHYVCTVQTCLDNKFVVFKDELELQAHILQEHGNILKGKPKFFQSELSTFISAPSRVIRERDDYDLPSISSLPGSSSGSRTDVRSASSPEESRLRLAERAKYYLENSKEDFNKFSSYNEDYSKGRLSAEKLLESYKLLFTKPNADVYLLIHNLAETFPKNSSKYNNLNAIYEQREQTLARQTSLPSLSSDSSLSMSIGRGHWGGTNDGGSAGAALGVRNIKNLPTLKSPSASYDPFATTVKKNTLRPVQNIKRTTPQSVSYRTSTNTVAFSPTYLESKKGSSSTSLNNSKDKLKSLNLPQLPPPKPKVQIPGLNRPQIADPKQWGKKSSTQDTNVHDNLRELNTTSGGNKKKGKQKQLLFHIGV
VIMSS6581997 1 341 0.229375659824047 PF04511.15:DER1:30:248 DER1-like family member protein 1 341 219 12 249 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12743 1 SwissProt MAGPRNVRTLHGNGGRNNDVMGPKEFWLNIPPITRTLFTLAIVMTIVGRLNLINPWYFIYVWNLTFKKVQIWRLLTSCVMLSSRAMPALMELYSIYDRSSQLERGHFGPGLSNRRGPMVTVDYAYYLCFCILAITTATTIIYGSYYPVVLTSGFISCITYTWSIDNANVQIMFYGLIPVWGKYFPLIQLFISFVFNEGDFVISLIGFTTGYLYTCLDTHTLGPIWGMISRKADPTYGISPNGKFSTPWWFTSLYARITGAHNETATFNNNFANVPSSQRETRTFSGRGQRLGTAPATLSQTSGTDSGRASGSQLRSGPSNLNQFQGRGQRVGQTNSPSDSQ 1
VIMSS6582072 1 123 0.502688617886179 Mitochondrial zinc maintenance protein 1, mitochondrial; Altered inheritance of mitochondria protein 8; Found in mitochondrial proteome protein 36 123 0 12 123 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03429 1 SwissProt MSTRTKALNAYRHGLRATRIAFRNDAEVLLAARAKMRSGMLCPPDPKLTTEDQIQHLEDVAVFLRRNLVQGKKVDGSSTKEPRYHLNIHKDTELGDNETIADPTARVKTNLKARPFKCSDKKQ
VIMSS6582126 1 107 0.138647663551402 Putative protein of unknown function; located adjacent to ARS503 and the telomere on the left arm of chromosome V; regulated by inositol/choline (RefSeq) 107 0 12 107 0 Saccharomyces cerevisiae VIMSS6582126 1 MicrobesOnline MVNLANVLTNATAATLSAWSNTVPLETYFHFDEASGFGDYYLNVSVIWMNETLYETRIVPAIINVREWLDHMEANDPSPSVTNPYETSGYYAFSTVVPVLMGNMKVA
VIMSS6582235 1 276 0.160510507246377 PF01066.21:CDP-OH_P_transf:82:152 CDP-diacylglycerol-serine O-phosphatidyltransferase 276 71 12 230 2 Saccharomyces cerevisiae S288C NP_010943.3 1 RefSeq MVESDEDFAPQEFPHTDTDVIVNEHRDENDGYASDEVGGTLSRRASSIFSINTTPLAPPNATDIQKFTSDEHHFSMMRNLHMADYITMLNGFSGFYSIVSCLRFTLTGKPHYVQRAHFFILLGMCFDFLDGRVARLRNRSSLMGQELDSLADLVSFGVAPAAIAFAIGFQTTFDVMILSFFVLCGLARLARFNVTVAQLPKDSSTGKSKYFEGLPMPTTLALVLGMAYCVRKGLIFDNIPFGIFREDQILEFHPIILVFFIHGCGMISKSLKIPKP
VIMSS6582256 1 385 0.528612207792208 ATF/CREB activator 1 489 0 12 385 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39970 1 SwissProt MDYKHNFATSPDSFLDGRQNPLLYTDFLSSNKELIYKQPSGPGLVDSAYNFHHQNSLHDRSVQENLGPMFQPFGVDISHLPITNPPIFQSSLPAFDQPVYKRRISISNGQISQLGEDLETVENLYNCQPPILSSKAQQNPNPQQVANPSAAIYPSFSSNELQNVPQPHEQATVIPEAAPQTGSKNIYAAMTPYDSNIKLNIPAVAATCDIPSATPSIPSGDSTMNQAYINMQLRLQAQMQTKAWKNAQLNVHPCTPASNSSVSSSSSCQNINDHNIENQSVHSSISHGVNHHTVNNSCQNAELNISSSLPYESKCPDVNLTHANSKPQYKDATSALKNNINSEKDVHTAPFSSMHTTATFQIKQEARPQKIENNTAGLKDGAKAW
VIMSS6582268 1 548 0.465158211678832 PF03370.13:CBM_21:434:534,PF16760.5:CBM53:447:535 GLC7-interacting protein 2 548 102 12 548 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40036 1 SwissProt MYIKAEQKPQQFERKNEKLDRNKNQQLPDLETDFKGYRVNSDLYNKERDGSTEETLNSLKFLHKPQRVTQMRANRFPEEEVQRNTDLNKRIFSAGNDENVDNESGWSKIAAAKNHTSVESLNGSTRPPFKIELPPLSPKSTVPKSFQAEYPEAKSPGNDMNFEYDEEILIPFAPPVYKKSGELLKSSLKRRSKSLPTTPGIRSGNGVQARDGSPMLIRSKSVHFDQAAPVKYFAEDESPINVNKTEQHDNCLSFKHKPVNLMVDPEEETKMLSSGLETTSIDDDLTTVAPKGFAHPAKISNPNNGKGTNNTKLRKSKRFQNLLKNRTDMPPSKSNKKFVNGGGAHEISDRNSKNYHVVGLYSKNFPILSNKNPKSLKLNIFINLSQNKKVFLQELSLYIHRDNNYFSNSSSFYNIPNSHNGNDCNGVAKGYNAGCTRLIAGRILVKNIFYDKRVVVRYTWDSWRTTHEVECVYISDGDGILPGTNMDIFHFIIDDVSKVDPRGKLEFCIHYSTRNDYEREEYWDNNNGNNYKVDVVMDGFNDPFAAAA
VIMSS6582269 1 151 0.125732450331126 PF01634.18:HisG:58:138 ATP phosphoribosyltransferase, a hexameric enzyme, catalyzes the first step in histidine biosynthesis; mutations cause histidine auxotrophy and sensitivity to Cu, Co, and Ni salts; transcription is regulated by general amino acid control (RefSeq) 297 81 12 151 0 Saccharomyces cerevisiae VIMSS6582269 1 MicrobesOnline MDLVNHLTDRLLFAIPKKGRLYSKSVSILNGADITFHRSQRLDIALSTSLPVALVFLPAADIPTFVGEGKCDLGITGVDQVRESNVDVDLAIDLQFGNCKLQVQVPVNGEYKKPEQLIGKTIVTSFVKLAEKYFADLEGTTVEKMTTRIKF
VIMSS6582274 1 420 0.497979047619047 PF08613.11:Cyclin:170:269,PF08613.11:Cyclin:324:388 PHO85 cyclin-6 420 165 12 420 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40038 1 SwissProt MSIKGDSPSSTNASSSPKSTYSIQSDDKANLGSGNVDIRTDNSQQDSNNRRDIVVVTRVASEETLESQSSTSSMGIRPESSFNYEDASNQARVEMNNRVHGSNMNTINKYYPVRFPKNNERQLSDTNNLNEKVQGTHTVQSSTQEDKILDGDTSNSQVTPSLNIAEFPTDKLLKMLTALLTKIIKSNDRTAATNPSLTQEIENGRCLALSDNEKKYLSPVLGFRGKHVPQIGLDQYFQRIQKYCPTTNDVFLSLLVYFDRISKRCNSVTTTPKTNTAKHESPSNESSLDKANRGADKMSACNSNENNENDDSDDENTGVQRDSRAHPQMFVMDSHNIHRLIIAGITVSTKFLSDFFYSNSRYSRVGGISLQELNHLELQFLVLCDFELLISVNELQRYADLLYRFWNNAKAQSQALVTGM
VIMSS6582386 1 338 0.192382840236687 PF03690.13:UPF0160:16:337 UPF0160 protein YER156C 338 322 12 338 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40093 1 SwissProt MNSVKRVKLNSKMSKQICTHSGSFHADESLAVYMLRLLPEFKDAKLVRSRNPKDWEASDILVDVGAQYDGVKFFDHHQRGFFETFNEKYKTKLSSAGLIFKHYGRDIIKTILNNKVSSSDLDLLYDKVYKQFVEALDANDNGISKYTIPKDSNLEPNFRDNAISIPGIISGMNPNWNEDTSDESFDRCFARASEFIGGVFVTLVRGYGQSWLPAKALVAQAIDERMDVDKSGKIIVLPQFCPWKEHLYELEREKNIEKQIEFVLFTDSSGAWRVSTVPINSTSFQFRRGLPEPLRGLRDEELSTKSGVPGCIFIHAAGFIGGAKSKEAVYELAKMSLA
VIMSS6582400 1 851 0.641955581668624 Bck2p 851 0 12 851 0 Saccharomyces cerevisiae S288C NP_011094.3 1 RefSeq MPKNSHHHRSSSVNSTKSRSTESTNKWKIPHYYRRSASGSTQASPDRNSSTGSCSTPVLPTMNVMSSPKKVLLEDPRDNHTKAKKSSRKKSGEMVFVNYTVQDTANENDTDLQTQPVSVPAPKAKLKKKSSKRRMLKIFGSSKNEHIEDIVEEQPMVLQMDSESKPLSGTPISESGIDASSLTTKRSYNSFLKHNRLNGKTPFSGNLSFPSLNMMGNTTDLPIDNNDFCSEKEVVPKSTHDPSLAKPPSRFTESETNSTPNLSSIPLMNTKNTRLKYNKVAPQSSDRQKSQESGLYHSTESFNFKDQNYSNNKSSLSLNSDLSTPHFAKHSPDSPRTSRSFNCGDSQSKVKLPEENDASIAFSKMFTRKRANTGGSTCSLASPTIAQTIQQSNIKVNKLPTQRTTSVGSLSSMSNRYSPIRVASPGRARSATRGSSLYRLSRDLNSLPSVTDLPEMDSTTPVNEIFLDGQPQHKSGSVKGGHRKKQESISDAQRIQHSNSYITTPSSSLVTPPYYMTGYTLPSSASASSTPNVLETHNMNFVPSTSTVTSYRPSSNFSSFDKEYSNENDASGEFSAFNTPMENIPALKGIPRSTLEENEEEDVLVQDIPNTAHFQRRDIMGMDTHRKDDSLDFNSLMPHGSTTSSSIVDSVMTNSISTTTSNATGNYFQDQDKYTLVNTGLGLSDANLDHFIRSQWKHASRSESNNNTGNRVSYSGSTPNNVDTTKTNLQVYTEFDFENPESFFHEQSKLLGEMGHSNNNSNSAINMNEPKSADTYIGNISPDTSATVSLGDLMGSNVSNNSERNFYDGHTFVPQYQANSSVENSNNQNAAPIANNDIDNNLQSFYFDNSN
VIMSS6582422 1 141 0.382213475177305 PF17276.2:DUF5341:2:136 Putative protein of unknown function; induced in respiratory-deficient cells (RefSeq) 141 135 12 141 0 Saccharomyces cerevisiae VIMSS6582422 1 MicrobesOnline MLIDQIVAAVPGVPDISGNASALSLQRRSQEFATSWISMTYSQSYGDLAQNWQNDEGGAGNFDSYAEEKLQNFFSGNRDWKYCFAAEDTKNGEPLDYDDIPGDGAGTGSAFKSEIYFNTYGGIDNYCNDEHIGAQNTGDGR
VIMSS6582445 1 623 0.360264526484751 PF08624.10:CRC_subunit:84:219 SWI/SNF global transcription activator complex subunit SWP82 623 136 12 623 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43554 1 SwissProt MLGEDEGNTVLEKGNNPSVKQGEVGAVFIVPKILIREHERVILKQILQILDQDELVQPPLDKFPYKKLELPKYIDELKTRDATNTSYKMIQLDAYGEKKVGSNGELFGGRHYLFNTFTFTAHMGVLLVLLQDVIKVLYQSNATHDEDEFIVQHDQILVMETSEEQTKFLAKNGVIPEESKGSFKYITARSAFVEFGASVIAGGQRIVDDYWESLAKKQNLSSHQRVFKLSTNLISKISLLRPSFQNNRISNANEISANTNNTCTISTSKFESQYPIVTEQPSAEIREAYIENFAKGEHISAIVPGQSISGTLELSAQFRVPRYHSKNSFQQALQMKAMDIPIGRHEELLAQYESQAPDGSASISLPNHIPSVNPSNKPIKRMLSSILDINVSSSKNKKSEENEMIKPMNKGQHKNNTSLNINGWKFESLPLKSAENSGKQQYYRGLPLYEKNTLLERLKQLTPNEIKELEHLHDAVFVNTGLQNVRKVRTKKWKKYWQYKAGIPIGLKRSQLDEFKNKYLKDVLAQTSVTTNFNEITNTDETITTKRVPNPNFLGNCNIKDFKPPYIYSHVNKVPQNVAGDKTAVKLDTEVKNTNANPVVATDPVAAKPDNLANFSNEVAMNN
VIMSS6582461 1 1073 0.429885927306617 PF05277.12:DUF726:536:874 Putative integral membrane protein that interacts with Rpp0p, which is a component of the ribosomal stalk (RefSeq) 1073 339 12 981 4 Saccharomyces cerevisiae VIMSS6582461 1 MicrobesOnline MSDSEEDLGVQLKGLKIARHLKESGEHTDEESNSSPEHDCGLSNQDDLTVMHTQAKEEVFKRREEDGTRTEDALHEGEAGKEGTGFPSSQSVCSPNEADSGIDRADKPILLDPFKSVHDTDPVPGTKSRSNSDSDSDSDDGGWQEMPAVSSFNIYNHRGELELTSKVRNSEQASETSPTVPPGKNCKSVNDSRFDYTKMAAEQQAQRSYRTNKKTDFLFDHKVLKKKINSSQTSVNLTSSPSTTSLNNEKNNDDDDDDSYDEYEDDVEPVNDLNRDSQLNITKNLLSDMEKFAYVGAINILANQMCTNLATLCLCIDIKSHKNLAHRLQFTQKDMAAWKTVVLSRLYDHLGISQEEIVMIEKLSLHKIQLEDLCKCLKTTQSIDNPWENDRDHEEDGIEETTERMSPNEQNGSVQASTPDPEQSATPETPKAKQSPLSSDVPGKVLDPENVKSQDKLNIDVAWTIICDLFLICLQSSTYDSRSRTLLINFAKVLNMTSLEICEFERRVTDSLDMEQSTEDQVWDEQDHMRNRRRSKRRKKMAYVALAMVGGSLVLGLSGGLLAPVIGGGIAAGLSTIGITGATSFLTGVGGTTVVAVSSTAIGANIGARGMSKRMGSVRTFEFRPLHNNRRVNLILTVSGWMVGNEDDVRLPFSTVDPVEGDLYSLYWEPEMLKSIGQTVSIVATEIFTTSLQQILGATVLTALISSIQWPMALSKLGYILDNPWNVSLDRAWSAGKILADTLIARNLGARPITLVGFSIGARVIFSCLIELCKKKALGLIENVYLFGTPAVMKKEQLVMARSVVSGRFVNGYSDKDWFLAYLFRAAAGGFSAVMGISTIENVEGIENINCTEFVDGHLNYRKSMPKLLKRIGIAVLSEEFVEIEEMMNPEEVKRKRKLINDVDAAQKKLSERKKHNSWVPKWLKPKKSKWKVMVEEAVEEGRDMQDLPENDVNNNENENPDEHEGIARQKRRDAALVDHGALMHELQLIKQAMHEDEIKNKACLPGEDKEVESSNDFLGESHYKPPSTPKINPPQSPNNFQLLSAGRTILPEDDDFDPRGKKKVEFSFPDDI
VIMSS6582685 1 802 0.200368329177057 PF06011.12:TRP:172:602,PF14558.6:TRP_N:32:167 Putative flavin carrier protein 3; FAD transporter 3; TRP-like ion channel FLC3 802 567 12 575 10 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53121 1 SwissProt MRFLQVYKSSALIGLIILLASKVNLAEAKRKLVATSLVTCMENSQLSANSFDVVFNPDDRSLHYDLDMSTQIDSYIFADIDVYAYGFKIITKNVDLCSINWKQFCPVHPGNIQIDSIEYISSEYVNEIPGIAYQVPDIDAYARVKITNNVSEYLACIQIYFSNGKTVSQIGVKWATAVVAGIGLLLSAILSTFGNSTAASHISANTMSLFLYFQSVVVVAMQHVHRVPPIAAAWAENLVWSMGLIRISFMQRIFRWYVQSTGGTPSLYLTSTSMSVLAQRSWQYLMELPLIKRATNVLYGNANTLIFRGIKRLGYKMGIENTSIVCTGFTFFVLCGYVLAGFIIVFKCCVELATRLGWIQKARFWEFRKQWRMILKGALLRYIYIGFVQLTILSFWEFTERDSPAVIVIACLFILLSCGLMLWAAWRTVFFARRSVALYNNPAALLYGDEYVLHKYGFFYTMFNANHYWWNIVLLSYIFVKSLLVGFAQASGQTQVLFMFILDLFYFVAIIYYKPYLDRPTNIMNILIATVTVVNSFLFMFFSDLFNQSYKVAAIMGWIFFIMNAAFSFILLMMILAFAGMMLFSKNPDLRFKPAKDDRTSFQRNTMKPEGTVNRSVANELLALGNVAKDHDDNSDYESNDTGVNDELKQAQDETTPTTVTSSDDNKPTFSEKILSKFSRPKNENASTDALRVEAPKQQTFPHNLTNLSRENLSTLGSKPYPGHTRSQSDAHNGLINSFEEEDTSSNTDPFHDSTEGDLLDTSSSDGGFRSQNYVRDDSINSLGNNKQPLRKPPGFFDEGFM
VIMSS6582686 1 345 0.217448115942029 Putative protein of unknown function; has no significant sequence similarity to any known protein (RefSeq) 345 0 12 345 0 Saccharomyces cerevisiae VIMSS6582686 1 MicrobesOnline MYNFLEFFFFFITYTLFKSTFVQGKSSFPGHDVCKFEDQNFQTEFFLNVLKGDKLQNLKQEYEQYKKQSTLYTGFVIEKQYEYQVAPLQINNFLQVTFCKGGKPIWNHILPFQKDLDWAEPLCIPAQEDDTISQNSSVCFKFARVQKYTQRNVTLYFPNKFVGFVFVCNSSKTHPPTQNNFETIPLTPIISDDIRDYKISWSIKGRITKVVPYLHSLSIPMSKYEMLIHSDKNISNELEYKSLTSEFWKSYKNLRKFKNKEMSWINEINPMEQYDSSGNENVPNFHEKLDRTINRIAQNIERPHDALIRAIAAHNRNSNSGNITRRKYLRRKISKMLKNKIPLKN
VIMSS6582699 1 644 0.201790527950311 PF03164.14:Mon1:181:643 Mon1p (RefSeq) 644 463 12 644 0 Saccharomyces cerevisiae VIMSS6582699 1 MicrobesOnline MNLNESYLDAEIPKGQLKHSKSGNFEGIPIVATTSEPTTSVNLDETFFKKAPIAMPICDDHSVSKSTSVNSLNTTSLASRRSPLQTKKLQAKNNLLSADLAKSNDDTTRALNCPKKDFGPYLDSENDIRSRLAESIYSMETSIRGSELQRRPYVSNEIPNVFKFSKFNSNCKLNESQTLCDKNFFIFTSAGKPIYCMHGKDEQIMSYTGLVNTVISYFQVNGPSELKTISTLTSGKRLTFLDKSPILLMAQSERGESSNELLNQLDFLYSYILSSLSERQLLRLFSKRENFDLRNYLESTDFENLDEICSLICNRMFPDLLLNSLQCLPFNHSSRLKLQNVVLQQLEKRQDIPRGTLLYGLIIAPQNKLCCVLRPRGHTLHTTDLHLLFCLISHQFQNLDETQELWVPICFPKFNSSGFLYCYIKFLPNDTHSNEKSALVLISAQKDAFFSLKSFSDELIIKLEEEKLLKKINTSKGFKLSDIPAPMVHHFIYKSKQNVQYVMPHFEVNSNIALDSSQGLEYELKLKTYYQQLHGTVVRDNGNLLSRSMLNFVRWSSKDNEDLAMDETQMDFSELDEYIIGNSSFKQESVNMVGMAWVTPTFELYLIGNNGIVDKRVLFKSARKVANWCQKHESRLFISDGAVF 2
VIMSS6582735 1 120 0.183480833333333 PF05436.11:MF_alpha_N:1:81,PF04648.12:MF_alpha:87:99,PF04648.12:MF_alpha:108:120 Mf(Alpha)2p 120 107 12 120 0 Saccharomyces cerevisiae S288C NP_011426.1 1 RefSeq MKFISTFLTFILAAVSVTASSDEDIAQVPAEAIIGYLDFGGDHDIAFLPFSNATASGLLFINTTIAEAAEKEQNTTLAKREAVADAWHWLNLRPGQPMYKREANADAWHWLQLKPGQPMY
VIMSS6582750 1 387 0.259147803617571 PF17060.5:MPS2:10:386 Monopolar spindle protein 2 387 377 12 387 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53159 1 SwissProt MSNGAFDAIFEYAWGQIDKPISGDFIYGKDLPKLIEIIENIFQKAQKSGSYELRLPLFSEINKDLFRTFSNTKTFFKIHKEEFDDIFFNLVNHPLREILENAFIGVDSIPSDFIVSMNLNSPSKFLVENKNKNTEGAGISTPRKKLTESPIKLLSRNNIGKALEVQVEELKRELTAKQSLLQENERQVSELKIRLETYQEKYASIQQRFSDLQKARQVEDNQNSSRTSDPGSPLVTGIDQKAILEEFRRRLQRQTDTISFLKDQIRRERGLNCSNDKVSHSKRKHATTDGDGTFKNFISAVPSNIWVKATIRIIVCFALLAGVLPYIRKYVYAHDTPSQNSRLQLSWWENSGILSKIVWFFEDQTDLETEYRSNANVDDAYSRVFGI
VIMSS6582763 144 442 0.1656 PF10436.9:BCDHK_Adom3:2:93 Protein kinase; the authentic, non-tagged protein is detected in highly purified mitochondria in high-throughput studies (RefSeq) 491 92 12 299 0 Saccharomyces cerevisiae VIMSS6582763 1 MicrobesOnline NIFESFRKYPTIRTLEDASQFADFIKNMLEGFNTLNLPHLIMGALECTILDLYPREKMDQLLSDLLRARISRRLIVEEHVVYTANYTSGKEENTLVLGDIFQECSAKKYLLEASEESQKFIQDMYFKDIPMPEFIIEGDTQLSFYFLPTHLKYLLGEILRNTYEATMKHYIRKGLEKPEPIIVTVVSNDESYLFRISDKAGGVLHDDENLWSFGKSKERAQESLNNFHKLPGLQTVSIYDEVHSHTKYNSKLKSLQSITLKPYMHTSLEPMSYPSIINGHIKYETPLIELLKRSFRYKL
VIMSS6582862 1 239 0.0409292887029289 PF01569.21:PAP2:61:182 Dolichyldiphosphatase; Dolichyl pyrophosphate phosphatase; EC 3.6.1.43 239 122 12 170 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53223 1 SwissProt MNSTAAAINPNPNVIPFDDTYILYDSHDFLSFLSAYFSLMPILVLAFYLSWFIITRELEACIVAFGQLMNEIFNNVIKNIIKQPRPVSFGASFQNDTIRSGYGMPSAHSQFMGFCFTYNSLKIYTSWKNLNFLEKCIFSGALALLSFCVCFSRVYLHYHNLDQVIVGFSVGALTGSLYFFIVGIIRELGLINWFLKLRIVRLFYMTDSYNLAPLTLKENYEAYWKRINQRSFNDKSKRD
VIMSS6582891 1 192 0.230834375 PF09783.9:Vac_ImportDeg:78:183 Putative protein of unknown function (RefSeq) 292 106 12 192 0 Saccharomyces cerevisiae VIMSS6582891 1 MicrobesOnline MTSLNIMGRKFILERAKRNDNIEEIYTSAYVSLPSSTDTRLPHFKAKEEDCDVYEEGTNLVGKNAKYTYRSLGRHLDFLRPGLRFGGSQSSKYTYYTVEVKIDTVNLPLYKDSRSLDPHVTGTFTIKNLTPVLDKVVTLFEGYVINYNQFPLCSLHWPAEETLDPYMAQRESDCSHWKRFGHFGSDNWSLTE
VIMSS6582902 1 370 0.420406756756757 Putative protein of unknown function; YGR079W is not an essential gene (RefSeq) 370 0 12 347 1 Saccharomyces cerevisiae VIMSS6582902 1 MicrobesOnline MSSAANEGCVYLFIVVLRLSSFSCVNSFIHSFTRSRTRSSYSLDERSLVSYSIVYVAMNKSSKAFQVPNKVITKEDITPLSRSHTKKADTRGTADGKNTTASAVEATPIIITTARSIDTAGSLSENATEDDGTQNGDLHDDDDDDDLESTLGYSSEPDPLFSPCHQPSFTNSTFSYSADNELPMEENHNKNNFHDSSESSIFLPQIQQSFFFGDNSKSDANNTDFWKEVNGTAEEAICLQETRQRKCSLVALHPGDATTSSNDTLGIEDFIKDDINSAEAMEPSPSSSPSSSLLDNLDYNIKLLCYRDNEGKFTLKKRKFLKNSLRSSSAISKKWKPLSKRDKLLKRAIRRKSGVCQTLSAGFGIGEFML
VIMSS6582991 1 263 0.623777566539924 Protein phosphatase type 2A regulatory subunit RTS3 263 0 12 263 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53289 1 SwissProt MIATSRAVNMNKESKHKKAVAKPCRERQTSVTRAMRPAVARDPRRLSTSSSPSSSPMSAQRRLSREEIINEMEKEQDAIVVRLLREIETLKEENSRLKNQLHHPVPARRSSPFFEGESAILDDDDCNYGYTLDTPKLKLTDGASRHTVLPLTPKDSMTHISHSARRSSRNASISNGTSISDTIFPIETKIHSAPTTNRNLPSADLPHHTLLPRSLSGISSSDLTESGALLHDRRRRSSNYSLDGSNSLKADLMAKRFQTGSLK
VIMSS6583132 299 697 0.14398045112782 Putative protein of unknown function, potential Cdc28p substrate (RefSeq) 697 0 12 399 0 Saccharomyces cerevisiae VIMSS6583132 1 MicrobesOnline AKEDANKDGNAEDNRFHPVTDINKEPYKRKGSQMVLLERKKLKAQFPNTSENMNVLQFLGFRSDEIKHLFLYGIDIYFCPEGVFTQYGLCKGCQKMFELCVCWAGQKVSYRRMAWEALAVERMLRNDEEYKEYLEDIEPYHGDPVGYLKFFSVKRREIYSQIQRNYAWYLAITRRRETISVLDSTRGKQGSQVFRMSGRQIKELYYKVWSNLRESKTEVLQYFLNWDEKKCQEEWEAKDDTVFVEALEKVGVFQRLRSMTSAGLQGPQYVKLQFSRHHRQLRSRYELSLGMHLRDQLALGVTPSKVPHWTAFLSMLIGLFYNKTFRQKLEYLLEQISEVWLLPHWLDLANVEVLAADNTRVPLYMLMVAVHKELDSDDVPDGRFDIILLCRDSSREVGE
VIMSS6583140 1 150 0.051184 PF00674.18:DUP:24:121 Putative protein of unknown function; member of the DUP380 subfamily of conserved, often subtelomerically-encoded proteins (RefSeq) 150 98 12 127 1 Saccharomyces cerevisiae VIMSS6583140 1 MicrobesOnline MKQRFSQVATVIFFVMSIRSPRNLGFFFTLALFVVLVCSQEWFSFEMNRSCSMKVEHRMQFLSTIISEHQKSDVNCWDQIAKKMNVYLFEQKVSGSDVFFLDGADCERFFERNFLRYLPSRKSSHPDLPIAELLPYIRKADIACAGKQLI
VIMSS6583196 1 523 0.339825812619502 PF01266.24:DAO:23:497,PF13450.6:NAD_binding_8:26:66 Putative oxidoreductase TDA3; Batten disease protein 3; Topoisomerase I damage affected protein 3; EC 1.-.-.- 523 475 12 523 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38758 1 SwissProt MGEDFMHPPFQTYPSKNSEGKKHIVIVGGGIIGCCTAYYLTQHPSFSPSTHHITIIESRRIAGGASGKAGGLLASWAFPHQIVPLSFQLHQELSDEYDGENNWDYRRLTTVSLEADVREEVIENYERLSKKAYNLNVPPPKKRPGYISNKFNIGDSNSSLSSSGSSLKNDSASNEEEGSDIHVSSSVPSLHSLTNERMRSHTNSASDLDSVSPVEQLRETNIHNPLPADLDWIRRELVNDWSSLGGTDTTAQLHPYKFTHFILSKAMETGAVDLLLGKVVGLKCDEMDCVHSLKYLPSVVKNRRNSRGHAENPDIKLGTIFNDENAKPIEINDIQQIVLSMGPWTSKILKDCPISGLRAHSVTIKPSEKTVSPYAILAELKVNDREFFSPEMYARKDEVYVCGEGDTLVNIPESSDDVEVVSEKCDELYHYVSKLSPTLSKGHLLRKQACFLPVLNVPTSSGPLIGETNVKDLYIASGHSCWGINNAPATGKLMAEILLDGEATSAEISSLDPKLYFDATILS
VIMSS6583256 1 181 0.429137569060773 Vacuolar ATPase assembly protein VMA22 181 0 12 181 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38784 1 SwissProt MSETRMAQNMDTTDEQYLRLIELLSNYDSTLEQLQKGFQDGYIQLSRSNYYNKDSLRGNYGEDYWDETYIGQLMATVEEKNSKVVVEIVKRKAQDKQEKKEEEDNKLTQRKKGTKPEKQKTQSHKLKQDYDPILMFGGVLSVPSSLRQSQTSFKGCIPLIAQLINYKNEILTLVETLSEQE
VIMSS6583267 1 229 0.299965938864629 PF00134.23:Cyclin_N:78:178 PHO85 cyclin-5; G1/S-specific cyclin PCL5 229 101 12 229 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38794 1 SwissProt MDGNHRFTPDSKEFNTVVKSKESSTGRNPYQTPPLEHNGTHHQTNYSRKKTNLAIIISNFLSEISRPLSNGKINNSTHNILKFLNEVLKRSKCSKENAVLATFYFQKIHQSRGVRDESSLPEFSHCSRRIFLCCLILSHKFLNDNTYSMKNWQIISGLHAKDLSLMERWCLGKLNYELAIPYDEFLLWETNTLMKAKLRVGTPANAPVKRPRESDNDYDANSWKQIKSC
VIMSS6583330 1 131 0.615934351145038 PF04667.17:Endosulfine:26:109 mRNA stability protein IGO2; Initiation of G zero protein 2 131 84 12 131 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q9P305 1 SwissProt MSEDLSPTSSRVDLSNPHGFTKEGVDLSKLSPQELKLYKMYGKLPSKKDLLRHKMQDRQYFDSGDYALKKAGVIKSDDVIVNNSSNNLPVTNPSGLRESIIRRRMSSSSGGDSISRQGSISSGPPPRSPNK
VIMSS6583357 1 340 0.392672352941177 PF02213.16:GYF:285:333 Protein LIN1 340 49 12 340 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38852 1 SwissProt MKYTQYPNSSKLKRNSDRREHDEKLSDELHNQSTIYEDEELSRAEYDSDSDSSVEDSTDNENSGKEMDEKSYEKNEDHVEDHRKRKKSKIQLLDIAEFKKENLADLDYQIGNSESKVEKGVNIEPFNIDDEIKHGVFDKDGNYIKTENATENDQQDNEEWMNDVINTEEVNRLEKEQSVKTQNSRHYMVHEALNLLKFFLVDENETVLESLGRLNKLRKIAISKKNKSLKYVIHGIELLSDLINILEKKGFSEVYEYNRLKVQDAIEEEIFDDSSRIVNHKTKLWGFKWLNKLDEYHGLYTNYEMSYWQKSYFKNSVIVKFHSEPDRDENWIHVSCLSFM
VIMSS6583469 1 436 0.731022706422019 Protein VHS2; Viable in a HAL3 SIT4 background protein 2 436 0 12 436 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40463 1 SwissProt MDTSNHNQDHDSHVAAQRENDNNYMPPSPSMSESSMIFERNVEDPSYLYKTVSNNAANSLSRQSSRTSLFNHNNSSNRNFHNLSQRSSAVNLHLQPSRTNESIASYQTYNPDFVVQTPLDHRRTLENFVPPALDAGCSIVTDDTTGLDDVDMVYSRRPSTIGLDRALGRTRSLSSQSFDNETSPAHPRSPNDHGSRLLRFYSYADMLSDDNNNNVSNATSTSSTANPLRRPPMQGHYSFSSSLLNSPSHLPSPPSASASPPQHMNFTNPFIISRRYSNTTINNANGGTSAGSTTGAALSRSPSNQQYLLKQQRSPSGSARSRRNSNRPGSAANIMIGKPKSKFHMESSGSEGFSSEEEDNTMIERDKLNLKQKLQSQLAQPPSIANMVNDNHNNTNKHKNTINNNIKNSPAFTNSNPSSKSNSNSTITSMNPDTTK
VIMSS6583483 224 475 0.257507142857143 PF03856.13:SUN:2:239 Protein of the SUN family (Sim1p, Uth1p, Nca3p, Sun4p) that may participate in DNA replication, promoter contains SCB regulation box at -300 bp indicating that expression may be cell cycle-regulated (RefSeq) 475 238 12 252 0 Saccharomyces cerevisiae VIMSS6583483 1 MicrobesOnline PCDKFPSGQGVISIDWIGEGGWSGVENTDTSTGGSCKEGSYCSYSCQPGMSKTQWPSDQPSDGRSVGGLLCKNGYLYRSNTDADYLCEWGVEAAYVVSKLSKGVAICRTDYPGTENMVIPTYVEGGSSLPLTVVDQDTYFTWEGKKTSAQYYVNNAGVSVEDGCIWGTSGSGIGNWAPLNFGAGSTGGVTYLSLIPNPNNSDALNYNVKIVAADDSSNVIGECVYENGEFSGGADGCTVSVTSGKAHFVLYN
VIMSS6583498 1 696 0.259992672413793 PF12044.8:Metallopep:7:430 Putative zinc metalloproteinase YIL108W; EC 3.4.24.- 696 424 12 696 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40483 1 SwissProt MVGSKDIDLFNLRENEQIVSPCLIVHGKCNKQNGAKTVQVQHPQLPPITYPIHNQFFKATVILTPGENKLTFVTDTNTARTIVCYYTPLTQNPPVHLCLILAKDSPLQFDSPREQKDREGGNGLELAIKKLRLGARLMQAYTNEQMLRNSMGNRTFPFVEEFTWDTLFERPAMRNTIKIHVVRSEKTVKEIQDPDIAQQNSKGKNTGALFGIAMDALKSYGGPFTNNEKPVQAACMFLDTHWDGKLIRGHAALGGGDDSIKLAIFGSHGLYSWPTCLEQLVPYFTDETRSSTSEVANDCNECGTYWECLTITLGAFMHEIGHLLGCPHQESGVMLRGYTTLNRSFLTKEAYSVRTNSTGASPPIFPKEECTWNRLDTVRFLYHPSFTLPQDYYDPSFMRPTKLGGYPNIKHSVYPLGNGSCRILSPTGIYLIEIICDDLARGHIEYLPVSLGGQGPQREVIVTLDDLRARLPKNELAKFGNTFKLKILSVNAPETEFDKFPSLLDVQPLDMSKYGFSKNVQGIKSPLYGRSDGGNAVGVVAFDVRLVTAVRIYHGYALDGVRFYYKEKPTGTKDAPASKPSVPPRNYFSKITHSIKNHASINEENLKSVLFGHETQNFTDATLEPGEIIIGFNLRCGAWVDAIQIITSHGRMTDMFGNKDGGGFAELQPPNGQYILGVTGRVGQWVDAFGIIYGAL
VIMSS6583627 1 98 0.421280612244898 Transcriptional activator of sulfur metabolism MET28; Methionine-requiring protein 28 187 0 12 98 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40573 1 SwissProt MSAKQGWEKKSTNIDIASRKGMNVNNLSEHLQNLISSDSELGSRLLSLLLVSSGNAEELISMINNGQDVSQFKKLREPRKGKVAATTAVVVKEEEAPV
VIMSS6583656 1 120 0.145755833333333 PF00660.17:SRP1_TIP1:22:114 Part of 23-member seripauperin multigene family encoded mainly in subtelomeric regions, active during alcoholic fermentation, regulated by anaerobiosis, negatively regulated by oxygen, repressed by heme (RefSeq) 120 93 12 120 0 Saccharomyces cerevisiae VIMSS6583656 1 MicrobesOnline MVKLTSIAAGVAAIAATASATTTLAQSDERVNLVELGVYVSDIRAHLAQYYMFQAAHPTETYPVEVAEAVFNYGDFTTMLTGISPDQVTRMITGVPWYSSRLKPAISSALSKDGIYTIAN
VIMSS6583785 103 829 0.163152682255846 Protein SIP4 829 0 12 727 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P46954 1 SwissProt/TReMBL NASSSANANSNLPFINDTFYCFDNYNTQSENQRFLGHLTWNILTNTFPTQKAVVFTDDRNNIDLQLQLLTNFLNLNGDFNHLPNFLLLKYDYNLQFLKNLLSVIIKDFFKRQNSLLLLLYPTNLWKNLLLDKINSTAMTGEPITLLALLYIIQFTWSCFDDFKLFKVTKLIVSLTTNSKLDLKVLQLVNLSIFYFMGASVDSCKSKSSLTEHSNVNSVIWTNDLLNLNFTNILNMGLYINPKNLIPISGNNNNNKSNEEDDRIVTFWCFQFLSSWWSLIQGLPKSNFLTEEFQPKSISVLEIPRLKPFEILLNFIIYSLDGCNLLNISSLNVSDPNFQFFQNELESFKKNLLLWNLYHNLSDHDNFRFLTSSSNKKLTTNLLLKNLTGLNHKLNQPDFVEIQLTLFYLSLKLMTLKEGDQDLKKEDISLEILSLYFLILTDDSNNDDNQQLQPQQLNLYHFTPFNSIDIIDLCLNNLNNWSLSLKYESGQNQPHSSKIKFEKFQNFLNHWCPIWYYDEFSTNPFLQILKINFKLLPFETIHYSQEEQRLLISLNKLRYLDAVSSFNSSSVKSNFASKVNTQLNLLQHSSSNSNFLDASPYDFNKIFMNNFENYDYETDEGYAEDDDEEDSDSDNSLPLEIPFKKSKNKCKNRNKELSQRLSLFENRDSNSVDFNTDTNLNLNPDSPSVTSSKKKYLDHIILDNRDIVSNHDSSKQKFKIQNILNSTF
VIMSS6583881 1 650 0.239921846153846 PF11766.8:Candida_ALS_N:50:300 Alpha-agglutinin; AG-alpha-1 650 251 12 630 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P20840 1 SwissProt MFTFLKIILWLFSLALASAININDITFSNLEITPLTANKQPDQGWTATFDFSIADASSIREGDEFTLSMPHVYRIKLLNSSQTATISLADGTEAFKCYVSQQAAYLYENTTFTCTAQNDLSSYNTIDGSITFSLNFSDGGSSYEYELENAKFFKSGPMLVKLGNQMSDVVNFDPAAFTENVFHSGRSTGYGSFESYHLGMYCPNGYFLGGTEKIDYDSSNNNVDLDCSSVQVYSSNDFNDWWFPQSYNDTNADVTCFGSNLWITLDEKLYDGEMLWVNALQSLPANVNTIDHALEFQYTCLDTIANTTYATQFSTTREFIVYQGRNLGTASAKSSFISTTTTDLTSINTSAYSTGSISTVETGNRTTSEVISHVVTTSTKLSPTATTSLTIAQTSIYSTDSNITVGTDIHTTSEVISDVETISRETASTVVAAPTSTTGWTGAMNTYISQFTSSSFATINSTPIISSSAVFETSDASIVNVHTENITNTAAVPSEEPTFVNATRNSLNSFCSSKQPSSPSSYTSSPLVSSLSVSKTLLSTSFTPSVPTSNTYIKTKNTGYFEHTALTTSSVGLNSFSETAVSSQGTKIDTFLVSSLIAYPSSASGSQLSGIQQNFTSTSLMISTYEGKASIFFSAELGSIIFLLLSYLLF 1
VIMSS6583968 1 578 0.587606228373702 PF00076.22:RRM_1:363:412 Protein JSN1; Pumilio homology domain family member 1 1091 50 12 578 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47135 1 SwissProt MDKSKQMNINNLSNIPEVIDPGITIPIYEEEYENNGESNSQLQQQPQKLGSYRSRAGKFSNTLSNLLPSISAKLHHSKKNSHGKNGAEFSSSNNSSQSTVASKTPRASPSRSKMMESSIDGVTMDRPGSLTPPQDMEKLVHFPDSSNNFLIPAPRGSSDSFNLPHQISRTRNNTMSSQITSISSIAPKPRTSSGIWSSNASANDPMQQHLLQQLQPTTSNNTTNSNTLNDYSTKTAYFDNMVSTSGSQMADNKMNTNNLAIPNSVWSNTRQRSQSNASSIYTDAPLYEQPARASISSHYTIPTQESPLIADEIDPQSINWVTMDPTVPSINQISNLLPTNTISISNVFPLQHQQPQLNNAINLTSTSLATLCSKYGEVISARTLRNLNMALVEFSSVESAVKALDSLQGKEVSMIGAPSKISFAKILPMHQQPPQFLLNSQGLPLGLENNNLQPQPLLQEQLFNGAVTFQQQGNVSIPVFNQQSQQSQHQNHSSGSAGFSNVLHGYNNNNSMHGNNNNSANEKEQCPFPLPPPNVNEKEDLLREIIELFEANSDEYQINSLIKKSLNHKGTSDTQNFG
VIMSS6584009 1 639 0.182812989045384 PF01053.20:Cys_Met_Meta_PP:367:633 Cystathionine gamma-synthase; O-succinylhomoserine (thiol)-lyase; Sulfur transfer protein 2; EC 2.5.1.48 639 267 12 639 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47164 1 SwissProt MISRTIGESIPPNTKHAVSVCLPTWEATVGYEEGESSIINSLTTGYPRFFIHKSIKKLCEILSAKYSMEDEACLCFPSYKVANRCREFIKVKTGLSTKVRILQLCTPKPMNQEEKLWRRECKITVVFVDQEIFPVMKQYWQHSGEIVSSRMAEYILHELQVKDNLKKMETVDNGKKFMTEDENRVNEEYIETRFGRNLNFLAADKAKYLIRKRIATKVVEKIDSEGLSDLFSFEHYNESNGPFNVGSGEALDDDQLNSDIPAETITSMGESGSNSTFENTATDDLKFHVNPNTDVYLFPSGMASIFTAHRLLLNFDAKRLSRSSSRQDKLIGYGPPFKKTVMFGFPYTDTLSILRKFNHTHFLGQGDSTSMNALKNILHSGEQILAVFIEAPSNPLLKMGDLQELKRLSDLYSFYIVVDETVGGFVNIDVLPYADIVCSSLTKIFSGDSNVIAGSLVLNPRGKIYEFARKFMKTEDGYEDCLWCEDALCLERNSRDFVERTIKVNTNTDILLKRVLLPQVGKLFKKIYYPSLTSEDTKRNYDSVMSTKDGGYGGLFSLTFFNIEEAKKFFNNLELCKGPSLGTNFTLACPYAIIAHYQELDEVAQYGVETNLVRVSVGLENSDVLCNVFQRAIEKALGE
VIMSS6584127 1 111 0.370945045045045 PF08583.10:Cmc1:31:97 COX assembly mitochondrial protein; COX biogenesis factor CMC1; Cx9C mitochondrial COX assembly protein 1; Mitochondrial metallochaperone-like protein CMC1 111 67 12 111 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36064 1 SwissProt MEQNKDPQMISKHSSRLPIWVLSPREEQQARKNLKTETYKKCANFVQAMADCAKANGMKVFPTCDKQRDEMKSCLLFYQTDEKYLDGERDKIVLEKINKLEKLCQKQSSTK
VIMSS6584212 1 353 0.0470045325779037 PF10277.9:Frag1:10:286 Protein SFK1; Suppressor of four kinase protein 1 353 277 12 218 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P35735 1 SwissProt MIQFKSPGNWLFIVPWIAFIPWYGMLIAMLICWASQGHPIYWFMHSEQFPVYISDIGATNLRPLFISCAGWQGLGYVITVALEFFQRSGYLPFQLKKKDPSISDSTSYAEKLHSGKYLMPPYYTKDERNLIFAAFVLGSIGELALLFSSIFSTALYHRVHIAMVSVFVVFMFLSTCCLIAEYFLMGRHYASVHPLASPHFNPQSSEKSFNQDYNTVDELPWYKWKGHVWNKFTISATLKVIWLTLAVVWAICFGAINDRSKSACFEWLLAFWFGIIFMILSADFYLGGRYRQSRYFNHVESFSGYYKYDKALGLYHSEDVLPSDDNAGVIATETASSNIYNNSSSNESIQVVV
VIMSS6584329 66 863 0.12675 Oleate activated transcription factor 3 863 0 12 798 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36023 1 SwissProt ADPLRKQSTPAERISPGFIKKRRSSQTRQDEDHWQRVRELENQSSLYYLPIHEETPFFIDLIPNGFYLETKRSADNLFGLFTDRAIENRDPYLQAMVTFRSIAIKKMMDKLGSNGNNVKNGSLPKSFEALSTFDADDERHISDDVVGKGNNFRMHQTIHKSLFNKFAQYRENNAKKFSSETILAKDYLPPLKILESEVLALFEEKIYNMIPIFDMKVLRHEITIFYQNIVEKGNPISIKHYDHMVFCIILLIIKICRLSVQFSKLTPYIYPVLQEIDTSKFLALVKHYLFETKVLRKCNLLQLQCLILLRFLHWCAPEDGDGPETQYCQILMGTIISSCKEMGINWYCFSHPEKYSFKINRHTRPSYDIMKPSDYISVFRKIWSYVLFWDRKMCFISGEECQIGKTLQCHFKEEADTPTWYIRMLTLDNLMKKINDTLNDDPGKVDLNLLHRLINDLKRNFHILKSLSKNEKETMRHFDFEMEWIIDLFSLSLLHGEMIFYEYDCNITKFYKSFQDLWDMVIHISEKCYNYFFNSDALEVDSLTKFYTNRIVEIVANKVLVIVPAFILRGDRFKTIQYADKKKMIEFLYGVSSVYFNEFGFEYYRCFRKMFTAKIAYKILNRSCEKDAWRIILKFLLNELKLEDNGDSYIDYNDMRLNDICPIILEFQETVQKYDGYRPDILSIWNNEFYPIGKYNDDMTGFKFQMRIKEMQEFLDMEKYSDRFNIFSSFYDHASSQLAKHTEVDTNISITNEQVAEIPQKELLQQPLAPALPVNDLIVSEFDVIEDIFDPVDFVSFF
VIMSS6584348 1 133 0.563428571428572 PF08654.10:DASH_Dad2:6:129 DASH complex subunit DAD2; DUO1 and DAM1-interacting protein 2; Helper of ASK1 protein 1; Outer kinetochore protein DAD2 133 124 12 133 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36162 1 SwissProt MDSIDEQIAIKRKELQSLQKITSLTDGLKIQLTELNEQIKEMGMNADSVAQLMNNWDSIINNISQASLGLLQYAEGDYEIGPWKDSKKKESEQSNETGLEAQENDKNDEDNDEDEDLVPLPETMVRIRVDGNE
VIMSS6584353 1 337 0.0942629080118694 PF09335.11:SNARE_assoc:155:272 Golgi apparatus membrane protein TVP38; TLG2-vesicle protein of 38 kDa 337 118 12 225 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36164 1 SwissProt MSQSYEAGNANMGQGEDDEFDGYFEDFDNDIMPNSNNGQRVGTNAGLSFNDEVNVNDDDFLDIYNMSPRERLMHNIRKNVQKLQFYFYSLRLWQQIIIVLLGIMLMIMGILLLVFHNAILHKVVVTSNDLREKMSTHFILMVLIFFVAFPPMIGYSLLSTTTGLIYGVSFEGWVTLALGSVTGSIASFVVFKTILHSRAEKLVHLNRRFEALASILQENNSYWILALLRLCPFPYSLTNGAIAGVYGISVRNFSIANIITTPKLFIYLFIGSRVKSLAESESTGSRVFDLVSIIITLLILSLTAWLLYFKTKKRYLELQNRDRQVSTDQLPELSFEV
VIMSS6584362 272 1195 0.131696428571429 PF13638.6:PIN_4:756:904 EST/SMG-like protein 2 1195 149 12 924 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36168 1 SwissProt GIALIQKLQELYKVIVKQEIELQERCSQLTNSQTTELKSLWTIYKINTDLVNNYVTFITTALLPSQPPHDLVIGQEIVEIYRIERRLWVYGTITFLDVLKNFSNFMDPEVCCQFITHVFVSLSTMISDIPSKYSITWLQRLGDLSRMAIALYPSSFIDWKLSAEHWYTEAMKYIYNHGKLYYHMSTVQQNTLEAFVNLGKSVFCQETFTPSPQYMQLVIDNIYQRAFVERNNGNLRNSLLIEYLKHSEAMLLPSFLESPDLQNVVLSYFIEKFGIDANGCNIFNAEDMFVQNPDFFKYFFRHGPSFAQSHILQIVGFGEPKNPFAILFELPKYLKERKDKKERKKSSNNDSSVTESSTGNSRNDNEDDDEIMSSTTSISDHDLLAEFFNDIDTLRRPILPSMLTNEAWLETLKFLNMTSLKCGIIVLRKFLHGPLGIALPHILPWIYFIISICLKSSQLSDPVSKEFWMIIVKRAFPWDTMVTFMNVLIVYLLDNQTSNSIIGDLCDDYDKLSLSELLELFNEGEELPEILGCWGTLWFDTICEKNTHSISSEDNFQEIGIKDYMALDSPTDGIIFDEKDENGEKFWKRACRTIFLFRELSRSFPIGVIIRNDPLIYRSSFQNTNILGSLVFKLEPLCNIHNNIPVLGALESIIDISEARSENNTDLHAVPELSVNEGDNIFHYVGYKKLRADYTCFDKNGEFLSASLYTTWYVPNSNNTNIEDNINYNSEKENEGLFLECIKSDYPEIDFKTTYFVFDATSWLRHSARIFKLAQNRLLRFAICLTTFQELRFLRKSKDENVMEAATRGIITIRQLYYENKVLPLRFTGNVATHIEENLEFEEQITWRTHVDEFVIESVMKAQEKLESASEPRLSPRRFNYVVLISDDDAMKKKAEEKEIKTLSTRFVFSLCTKLGEQRHLCTD
VIMSS6584463 46 250 0.566419512195122 Integral membrane protein SED5 340 0 12 205 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01590 1 SwissProt GNGKSVSEFQKKASGIAHEISSTAQLLSKLAVLAKRKPMFNDNPVEIAELSFLIKRKIYAIEQSLVQLSQLKKTDVNGNTSNQSSKQPSAVQHSKNVVNLLNTQMKNISGSFKDVLEERQRLEMANKDRWQKLTTDTGHAPADDQTQSNHAADLTTYNNSNPFMTSLLDESSEKNNNSSNQGELSFPQNDSQLMLMEEGQLSNNV
VIMSS6584486 1 686 0.178530758017493 PF01794.19:Ferric_reduct:119:232 Probable ferric reductase transmembrane component 8; Ferric-chelate reductase 8; EC 1.16.1.7 686 114 12 577 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12209 1 SwissProt MNLKSIVSWFKEHLPSFDVDVDKHFRTLRVRKYSQICLLISFIIICVIIPLMNYLLLTDKFFKICHHLKHHVFNRRSWVHKTHMYHKQSLQLCLICFVFTSFFVIQGANGDLLEITKRMGRISVALMPPLLFLTLRPSPLPHTLYLALLPLHKWISRIVVLESILHTWFYLYYMYINDTLYVKMRKLPNIYGVIALGLFLLIAITSVRYARRWSYRVFYYVHYVSTWLILVFLHYHARPGISYYTTLNVLILTGQIVYRLHITNVTRVTIVPISSSLSLLEFPLTDLPKKPILPGGHLRINIYHRNFLRRFFSHLIPFQHPFTIASIPSDNLVRLIIRNGHFPLRTNEKYYITGAFEPELSFISKPTVPFNITTKSSKNPFRNNSSALINSPLNFLIKAQRVFMCVGGSGISFGLPLLRILNFNGVNVRLLWVSRDYKDLEVLNHFKNNFEGMEIYISGTEGNEQDIEIDYIDYHDCAADINDEVRSISSSGRVSELGDNSMLSDGNPQPTEPNENTALLSKKSTLRNHHPPKTSDIPDINADDEIDFTYAFSRSKSRKNTAQGTLTTHSSFNGSSVFRQPKIIEPPAQDPCLEAAPKKIRIPAGVKVFFGRPTLGDKDYEWCLQTECDAETDSIQCCRWANQGRDHAEYLSQVWVLAAGPRGLIESTKRWATDGGLHFHGESFAL
VIMSS6584715 569 1432 0.219562152777778 PF13923.6:zf-C3HC4_2:671:708,PF13639.6:zf-RING_2:670:709,PF00097.25:zf-C3HC4:671:708,PF13920.6:zf-C3HC4_3:670:709 Uncharacterized ATP-dependent helicase IRC20; Increased recombination centers protein 20; EC 3.6.1.- 1556 40 12 864 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06554 1 SwissProt IYNFRMIMSYLKLHPFCDEVDFIRTLQEEIKLRNEAKDYTSNDFVCQLKGVRFSIKDCMNIFYRYDLCIRHSKANVASQIHIPRQHNFIIPLEFAPIEWDNYLNLWNNFLELSGYNSDGSGSPRVSNAFLNEWLSRLRYICCHALFPEILSTRQKRLHGHLSRISNIDDILISMRMDAFDSLIGYYRERFHLSIKQAQYELEISNTPAKALESFIKIRDDLMIHIRQKFNVEDPFDKSLNLSEDEDEHMDERFGEKETSSGDESDREINGAKNHDNHNNDGMLSNHLKKKGLRAMMNLLHDCYFFLGSVYYNLGTRKLEEADDKHRKEKTEEVVYSDVFPKNELEEIEENRLLEQENYANAEILRKSILSSEARKVDMTIKMARTKFAPMTSNIPLRLINIEFDHKNDYSSNLAVSRCFKSLSKLIEGLNEQTKNFNELLDELLIIIYEPVHRTEDDDSTNKIIGNEEYSTSIDSQDKIFSLLGCLEIILQNRDNILTSESEVKIPKHLVPEGSIISKYQKQLLNSLRLISGTPLRTVFDELKNSRIVRRISSSNESESTIQNFEDYLLQYEVESKSLFKYNKQVRESLKILGSIYNAKTEYYSQLQRISDSLVSLHSLSAPQLSHLIRTINKSLGGTLDAKINNIESRLIYLKNLSRLKDTLNDNQILSCSICLGEVEIGAIIKCGHYFCKSCILTWLRAHSKCPICKGFCSISEVYNFKFKNSTEKREKEIQEPRREGADSSQDNSNENSIISNMSEVEKLFGNKYEQFHQINEVHQIHIKESFGAKIDFVIKLISYLRLKSEQENADPPQVILYSQKTEYLKVIGKVLKLYHIEHLACLSNTANVGETINNFKRQPSVTCL
VIMSS6584720 1 569 0.149849384885765 PF03109.16:ABC1:166:288 ABC1 family protein MCP2; MDM10-complementing protein 2; MIOREX complex component 13; Mitochondrial organization of gene expression protein 13 569 123 12 569 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06567 1 SwissProt MMTKAFFNKLPFEVFRRYVRTGKSIPQRSPRTRKSLLVGGTIASAVVLYNFNDTFHDSVKHTALTTKRIAVVTQATTRCFYHYKRALNKSYENKKEREVALNKCHKMCALITLHALRSNGGIYIKLGQHIGAMTYLLPKEWTDTMIPLQDHCPESTYEEIDELFKEDLGTSIEDMFLEFNKTPIGVASLAQVHVAKLKNSDGKGSSVAVKCQHPSLKEFIPLDVMLTRTVFELLDVFFPDYPLTWLGDELQSSIYVELNFTKEAENAEKTRHYFSKFKKQTALKIPKVIESHKRILIMEYVGGKRLDDLEYIDSHGISRSEVSSCLSHIFNNMIFTPNVGIHCDPHGGNLAIRSVKPAKDNGYHNFEIVLFDHGLYRYPSTRTRRLYAKFWLSLLFDKDQTKMKKYAKGFANITDEQFPLLAAAITGRSIDAALNYDISTSRTQEEMDVMANGILEGTLLSDLMSILSRIPRVVLLILKTNDLTRHLDECLQNPLGPERTFLIMTQYCAKTVYDEKVERINSEYARWSIKWMWENLTNWIVYERRINQLYFYDFVLWWKKFIPKTWLSS
VIMSS6584777 1 911 0.507720307354556 PF01465.20:GRIP:867:906 Golgin IMH1; Integrins and myosins homology protein 1 911 40 12 911 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06704 1 SwissProt MFKQLSQIGKNLTDELAKGLADDMSPTPSEQQIEDDKSGLPKEIQAKLRKFEKYEQKYPLLLSAYKNEKLKSEKLEAVEKILAENTPISNIDDAVDTLPAFFQDLNNKNNLLNDEIKRLTKQNSEIPESASSETLKDKEEEFLKKEQNYKNDIDDLKKKMEALNIELDTVQKEKNDTVSGLREKIVALENILKEEREAKKQKEEVSISELKEELAIKNHSLEDSRMKITELEQNLSSKSTIMEEKSSELAELNITLKEKERKLSELEKKMKELPKAISHQNVGNNNRRKKNRNKGKKNKGGITTGDISEEETVDNSINTEEYDKLKENLQELQEKYKDCEDWKQKYEDIEAELKDAKELENSQLEKSAKELETLNTELIDTKKSLKEKNSELEEVRDMLRTVGNELVDAKDEIKESSSKQNEEVKTVKLELDDLRHKNATMIEAYEAKNTELRSKIELLSKKVEHLKNLCTEKEKEQTTSQNKVAKLNEEISQLTYEKSNITKELTSLRTSYKQKEKTVSYLEEQVKQFSEQKDVAEKSTEQLRKDHAKISNRLDLLKKENETLHNDIAKNSNSYEEYLKENGKLSERLNILQEKYNTLQNVKSNSNEHIDSIKRQCEELNVKLKESTKKILSLEDELNEYANIVQDKTREANTLRRLVSDSQTDDSSKQKELENKLAYLTDEKNKLEAELDLQTSRKATELQEWKHTVTELKSEIHALKLREEGLKSEVDALKHVNNDIKRKTQATSDDSDQLEQITSNLKLSLSKADEKNFELQSANEKLLNLNNELNKKFDRLLKNYRSLSSQLNALKERQYSDKSGRVSRSGSIGTLANANIDSSPANNSNPTKLEKIRSSSSLELDSEKNEKIAYIKNVLLGFLEHKEQRNQLLPVISMLLQLDSTDEKRLVMSLK
VIMSS6584853 1 880 0.177980909090909 PF11916.8:Vac14_Fig4_bd:573:746,PF12755.7:Vac14_Fab1_bd:60:156,PF02985.22:HEAT:87:116 Vacuole morphology and inheritance protein 14; Swollen vacuole phenotype 2 protein 880 271 12 880 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06708 1 SwissProt MEKSIAKGLSDKLYEKRKAAALELEKLVKQCVLEGDYDRIDKIIDELCRDYAYALHQPMARNAGLMGLAATAIALGINDVGRYLRNILPPVLACFGDQNDQVRFYACESLYNIAKIAKGEILVYFNEIFDVLCKISADTENSVRGAAELLDRLIKDIVAERASNYISIVNNGSHGLLPAIKTDPISGDVYQEEYEQDNQLAFSLPKFIPLLTERIYAINPDTRVFLVDWLKVLLNTPGLELISYLPSFLGGLFTFLGDSHKDVRTVTHTLMDSLLHEVDRISKLQTEIKMKRLERLKMLEDKYNNSSTPTKKADGALIAEKKKTLMTALGGLSKPLSMETDDTKLSNTNETDDERHLTSQEQLLDSEATSQEPLRDGEEYIPGQDINLNFPEVITVLVNNLASSEAEIQLIALHWIQVILSISPNVFIPFLSKILSVLLKLLSDSDPHITEIAQLVNGQLLSLCSSYVGKETDGKIAYGPIVNSLTLQFFDSRIDAKIACLDWLILIYHKAPNQILKHNDSMFLTLLKSLSNRDSVLIEKALSLLQSLCSDSNDNYLRQFLQDLLTLFKRDTKLVKTRANFIMRQISSRLSPERVYKVISSILDNYNDTTFVKMMIQILSTNLITSPEMSSLRNKLRTCEDGMFFNSLFKSWCPNPVSVISLCFVAENYELAYTVLQTYANYELKLNDLVQLDILIQLFESPVFTRMRLQLLEQQKHPFLHKCLFGILMIIPQSKAFETLNRRLNSLNIWTSQSYVMNNYIRQRENSNFCDSNSDISQRSVSQSKLHFQELINHFKAVSEEDEYSSDMIRLDHGANNKSLLLGSFLDGIDEDKQEIVTPISPMNEAINEEMESPNDNSSVILKDSGSLPFNRNVSDKLKK
VIMSS6584936 1 818 0.197929584352078 PF11603.8:Sir1:3:88,PF00271.31:Helicase_C:608:711,PF00270.29:DEAD:380:539 Y' element ATP-dependent helicase YML133C; EC 3.6.4.12 1374 350 12 818 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03099 1 SwissProt MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVRSFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPDFQGGPIPSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCHEIASARPNDSSTMRTFTDFVSGAPIVRSLQKSTIRRYGYNLAPHMFLLLHVDELSIFSAYQASLPGEKKVDTERLKRDLCPRKPIEIKYFSQICNDMMNKKDRLGDVLATAQRIRRRYNKNSSSEPRLKTLDGLTSERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDHHQKISRVTRKRPREPKSTNDILVAGQKLFGSSFEFRDLHQLRLCHEIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLSRCGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGAAEKVSRTKEFVTDGSMRVLIGTKLVTEGIDIKQLMMVIMLDNRLNIIELIQGVGRLRDGGLCYLLSRKNSWAARNRKGELPPIKEGCITEQVREFYGLESKKGKKGQHVGCCGSRTDLSADTVELIERMDRLAEKQATASMSIVALPSSFQESNSSDRCRKYC
VIMSS6585007 1 252 0.263807142857143 PF05615.13:THOC7:42:159 THO complex subunit MFT1; Mitochondrial fusion target protein 1 392 118 12 252 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33441 1 SwissProt MPLSQKQIDQVRTKVHYSEVDTPFNKYLDILGKVTKLTGSIINGTLSNDDSKIEKLTEQNISQLKESAHLRFLDLQSSIDTKKVADENWETCQQETLAKLENLKDKLPDIKSIHSKLLLRIGKLQGLYDSVQVINREVEGLSEGRTSLVVTRAEWEKELGTDLVKFLIEKNYLKLVDPGLKKDSSEERYRIYDDFSKGPKELESINASMKSDIENVRQEVSSYKEKWLRDAEIFGKITSIFKEELLKRDGLL
VIMSS6585077 1 156 0.64823141025641 Mitochondrial intermembrane space cysteine motif-containing protein MIX17; Mitochondrial intermembrane space CX(n)C motif protein of 17 kDa 156 0 12 156 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03667 1 SwissProt MARSRGSSRPISRSRPTQTRSASTMAAPVHPQQQQQPNAYSHPPAAGAQTRQPGMFAQMASTAAGVAVGSTIGHTLGAGITGMFSGSGSDSAPVEQQQQNMANTSGQTQTDQQLGRTCEIDARNFTRCLDENNGNFQICDYYLQQLKACQEAARQY
VIMSS6585200 1 462 0.564772077922078 PF12550.8:GCR1_C:296:385 Transcription factor-like protein EUC1; Enriches ubiquitin on chromatin protein 1 462 90 12 462 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04461 1 SwissProt MPAREYNYVEGFGGYGSLDDDDSDRDSERRNHDLGQRTITTSPTGVSRHAALNRYMIPGRINPLFRPTDAAQPPIVSTSTSASATEPTNRIGPGRIKETPETNFNAFLIAQLTRMEEQNANLKEEISLMKKEQELFFLENQKKLEKGFKDINKYVEDVSAMKEVFKEVVGIMTGERIRFIDHTGENVTPQEAARVGNPSTSTQAHQSQSRSTNWQEYSMHASILAGDPRIKPEPGLSDFENGEYDGNESDENATTRNLPLNNPDSVSNADDSNNQLDGTGNENDIRNRRGCVGTSYKLNRAIQNVTDAAREYFEGLPGQPSVLSLERRYGSTWRRSAKERTLFTKRMTIIKRIIDIKDDPSKYGLSLPENKISRNQAIKVVENIRLGNNTFKGHHCRLSMSQLYEYFSKKMDKLEDYSLTLKRRGKPRRIFLLEEREARLSLQQPHSIPNSSTGTPEHDQDT
VIMSS6585214 1 943 0.695589925768822 Uncharacterized protein YMR124W 943 0 12 943 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39523 1 SwissProt MDAGLSTMATRNGQSSARVKLRNNLLNNDIGNIDIRDETPISRNGNDSNINIQPSSVPQQQQQQQQYYRNGMNEAPIQAPLQQRQIPMQNYSQQQRQQQQYNFEYSNPHMNEIPLMQHNFTKPSLSNNRDNVNGKKASSFTQSSFSNFFKHKHQFGKSKKNTKGTGGGGDGDDDDEVILDDSANSDLTFNDIQTFGHKGGDKYGYGGDSTPIIPTLVTKDRGNMSNTEYRKYITNQRKTAMNAMAKQTKNGTLASLPPRAMSLQSFPNGNPLMQAPTPHPRFQPNKMVSANYSRSNSLMSGPPGQFRQPQQQRMLPMNNYNNHPGQFQNTPPVMPSGQQPPQQPRTLSLTNGPRYSPQNPRPFAGHQQISQRQQQQQQQLQLHPMSEGYRTMSLQSQNVPQGFNPWSPNDNDRKAVSMKQPISQSSISSKNNSAYSIPNVQNNSLTTFSPSSPTDATAMPNSTKQGSSPLKKQVNIDQPIENKGKLNVLQLSTPQQNELKEKERKLAEMEKSLREREALVEEKEKERAEKNTEANEEEEISHESDDLNLRPASALETGLKDLKLESESAVANRASLSTFSSTFSDSPSKQRIINTRTGMYKLENSTDINEYVTAQEFPSPGKYNSNSDNGEMNTTNEVDFDFNTSKRASLLQSIPERDPKRNVSDATIKRRESDGNGRRLSNVNISMNQENINNDTFLYKKNNRDGHLSAVSHMSSSSRRSFISNTLPLNIDSASESDNFVPHMDGSPSKTKSAPVSYDKDGMNASEEDFSFDNTLAKPYEPLYARRGDITSAGSTSGEDSSQPKMITISGEQLNLITENKELMNELTLVSTELAESIKRETELEERIRLYETNNSAPSFDDSSSVSFSDFEKELRKKSSKIVQLIQQLNDERLKRFIAEEQLLLQENGTKPSSMELVGRIENLNKLIDERDSEIEMLKGRLQ
VIMSS6585421 1 336 0.255677083333333 Protein DIA1; Digs into agar protein 1 336 0 12 336 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54005 1 SwissProt MGSISRYLLKKAADGLKDEQRLKIEMSDSKSVPECFHFNRERRMPIAEINGEDGFFMFPSQQSLENFENTKKYSNELSPDAIGIPLFQIINCTLPFGKRGHSNTVVGNVPYYKIFKFILRTADEPPPYTVAKIVCSNNGLILYKVPLYDIYKNVSQANVTYSFVGTTSTEPNLLAMAHREGHRDLDTKVNNLNLRWHVTYSPVVTNDHYKLILLADYEVNRLDEDVIRAAKNKMSIDQKDQKVQRFVAAHYTREFETSLFRWVAQEGHLILGEYSTDQGSFGLNNIPPLTEELGCQSLLIHYIEYMKRQRKKIAKEARRQNKRNVANTTNMNMNLM
VIMSS6585503 1 314 0.21723821656051 PF03878.15:YIF1:60:313 Protein transport protein YIF1; YIP1-interacting factor 1 314 254 12 209 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53845 1 SwissProt MSYNPYAYATSEQNGVNDRFSHTPQQQRPMQIPRNTPVNGQGNANMNANVNGSGGGFPFQDPRGSMAFQLGQSAFSNFIGQDNFNQFQETVNKATANAAGSQQISTYFQVSTRYVINKLKLILVPFLNGTKNWQRIMDSGNFLPPRDDVNSPDMYMPIMGLVTYILIWNTQQGLKGSFNPEDLYYKLSSTLAFVCLDLLILKLGLYLLIDSKIPSFSLVELLCYVGYKFVPLILAQLLTNVTMPFNLNILIKFYLFIAFGVFLLRSVKFNLLSRSGAEDDDIHVSISKSTVKKCNYFLFVYGFIWQNVLMWLMG
VIMSS6585573 1 238 0.637266386554622 Cell division control protein KAR1 433 0 12 238 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P11927 1 SwissProt MNVTSPKDGNHSFSKKNRFNTNKPRFHKLNEQAQSINLPEDRDSIVSSNTTSIMTDDAFDYNEGIASRTKNINSDSDRSNDTIKQNNYNKRETGYNPFYNGSGINQRYTQFRKREFEPTLAENKAEEYISDEDNVKIDEDNIENELQFTPKIKEASILRSSLLGQRNVLNTRNPKSKESHIKVKPIINNKSSSQRKSSAALRKQLGKPLPLPYLNSPNSDSTPTLQRKEEVFTDEVLQ
VIMSS6585642 1 644 0.204549844720497 PF10329.9:DUF2417:26:328,PF00561.20:Abhydrolase_1:348:619 Uncharacterized vacuolar membrane protein YNL115C 644 575 12 539 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53925 1 SwissProt MKANGLDNDPARTGMERTDIDSEHPEAQPLLNNNHRTLGAGSANGPAVNEGRDIESDGFIKDSLFQIRKGYRIFIHNSKWILNILILINTIWLVTTLISDFFFNINILFGFSNRYASFNDLTLIFISIIANSFNLWFNKLGLYSALDYSLNVTLCVLTLFNLALTYLIKYTRQRIGFVGTFTYLWTSFSFFIGAILDWYLLFYNNSINEPLEERRIDDANISTFNENHTNSTENRDRSQYGSGSPTPTHRSQLVQNKHTLTEWVSIGFRNTIKFLILIFFALFTLNTLLTTLDTYRLTHKLPITVQSPSYEAFHYVDAAKTYQLHITCYGDVFDQENNTDLSENKKQPIILFEHGGYDTGYLSATWIEELYHLDKIQRYCLYDRPGYGLSDSPPAPISIAMVAESLRYALIKDAKIKGPFTTVGYDLGGLFTRVFTAKNVDIVDSMMLVESWHEELLLKNYIQRLLPPGRGDGDDGDDGNGNDGDGRNHDKTWLPSEIERHNEFRLWWKGIWSSLGWRLQTSWLLAHHGSKERIYGRDMKYQGRFLRSKFLESVTSSILSYRDVTNNAESLQNVKTSIVSSKEMVKKSALWGDWQRDLTKISHKTQEWKIVEGGHEIYKYGLGKQQTQEVLLRLIGELGKLTED 1
VIMSS6585672 1 545 0.138654128440367 PF00153.27:Mito_carr:231:335,PF00153.27:Mito_carr:345:436,PF00153.27:Mito_carr:457:543,PF13499.6:EF-hand_7:17:78,PF13202.6:EF-hand_5:89:107 Calcium-binding mitochondrial carrier SAL1; Suppressor of AAC2 lethality 545 365 12 499 2 Saccharomyces cerevisiae (Baker's yeast) SwissProt::P0CI40 1 SwissProt MLLKNCETDKQRDIRYACLFKELDVKGNGQVTLDNLISAFEKNDHPLKGNDEAIKMLFTAMDVNKDSVVDLSDFKKYASNAESQIWNGFQRIDLDHDGKIGINEINRYLSDLDNQSICNNELNHELSNEKMNKFSRFFEWAFPKRKANIALRGQASHKKNTDNDRSKKTTDSDLYVTYDQWRDFLLLVPRKQGSRLHTAYSYFYLFNEDVDLSSEGDVTLINDFIRGFGFFIAGGISGVISRTCTAPFDRLKVFLIARTDLSSILLNSKTDLLAKNPNADINKISSPLAKAVKSLYRQGGIKAFYVGNGLNVIKVFPESSIKFGSFEVTKKIMTKLEGCRDTKDLSKFSTYIAGGLAGMAAQFSVYPIDTLKFRVQCAPLDTKLKGNNLLFQTAKDMFREGGLRLFYRGVTVGIVGIFPYAALDLGTFSALKKWYIAKQAKTLNLPQDQVTLSNLVVLPMGAFSGTVGASVVYPINLLRTRLQAQGTYAHPYVYNGFKDVLLKTLEREGYQGLFKGLVPTLAKVCPAVSISYLCYENLKKFMNLE
VIMSS6585771 1 249 0.519403614457831 PF08528.11:Whi5:103:127 Transcription factor NRM1; Negative regulator of MBF targets 1 249 25 12 249 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53718 1 SwissProt MSIMKQRLPLGEFSSSKINKLAIANIADASEPRNHGENNVGTVCLPSIKSLMVSPEVYENTKSLPVPLMRSSGGGMACASKSSCQDGISTKTTSRDYSELSKKLQIRLQFAYYKYKTKQTDKNFTDLKSKHSITRPSKVATHSKSEPLTRRRKLVLSQGHYKTPARSKIKTPSSICSHDNTSSFTSFRGVSESSSTTADMNVADTTTPIRNNINTKHSNSHNRTLYQRQETPTSIKAAKSLIHLFTSNQ
VIMSS6585889 1 501 0.0734534930139722 PF07690.16:MFS_1:105:425 Mch4p (RefSeq) 501 321 12 231 12 Saccharomyces cerevisiae VIMSS6585889 1 MicrobesOnline MLNIPIIANSKRFLFSKDHEAQSTRDHDVELETREGPSSGYNPNFNAADAILKKNSDQVDLDVNKLTNVTSRVLNTPEASLIYDDDREFPDGGLKAWLVVFGAFMGLVPVFGLINSLGAIESYISKHQLANISSSTISWIFSLYLAISFLSCILSGGYFDRNGSIGLMCTGTVIYAGGLFALANCKSVWQFILAFSVCSGLGTGILMTPLIGTVATWFLKRRGIATSISTMGGSIGGIVFPIMLRKLYKEVGFQWAIRILSFICLTCLICASVLARERTKPVVQPFKSKAEVAKWYISSVFNWRYFLEGKFLFVAIGASFAESSLTSCATYLASYSMTRGNTENVAYTMITASNAVGILGRYIPGYFADKFIGRFNVEIITISMAALFNFVMWLPFGGNTKVLWAYVCLWGFSTGSILSLTPVCIGQISKTTDFGKRYATVYLLQALVTIPVLPIGGTLIGKGTVANYNHFIIFNSALMAAGAACYIISRHICVGAKLCKF
VIMSS6585909 1 312 0.0966397435897435 PF03006.20:HlyIII:61:288 ADIPOR-like receptor IZH4; Implicated in zinc homeostasis protein 4 312 228 12 158 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99393 1 SwissProt MVSLTTIEQSPVKCETTTEKESNDTRGTDSNENAETKETKKGFPFHDLAKLQKQYKNKSSRNESLVALIYLLGSMLSFCLLIFFTDFYLIPLFPTTTTMTDYIVFNFYLLNVFVFCMVHFIYHFVKNISLQQHLEHWQKFSYLSNINLLISSQITILYYLFYDYVFFFKIFTLLMNFIGLVAYFFILTDKLISSKRFNKTVFFISVSVVCCSLPLLTAIITFDGLENLKERIKVNAITWELVALVAASIIYVTRFPESLFRRNKKEEGWNHSEYLFHLLISGTAFYHFFILIQSYILMHSSLNQPELINFKS
VIMSS6585920 1 609 0.408926765188833 Sporulation-specific protein 21; Meiotic plaque component protein 70 609 0 12 609 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12411 1 SwissProt MDNILKASNMEGTSTMTVTSRSSEDSSCISNHEQDTDTHKDGDTSGLENSKISKRKWMKEFFKLSKSPASKSSRSIGSMKSNQSLVSMKSSDDGNSYKNDYSSICGNSLPSAGLSRSNSVKELKLDSTGSQRSKNNVAMLARSSTTSQTTCSSSSSSSSYNSIKGNENDILLQNNNHFRHNKEIPQSKGSSNINTASIMSQYNVDTQATAIMSDMQKQYDSQQMTSPFVNEDLHFDPNGEVSHVIKAIFKEIGYKYDDFSDIPVFQLMQEMYQLVKKNSSARRTKITDYASKLKEKEAQLKSQNDKILKLETTNKAYKTKYKEVSLENKKIKEAFKELDNESYNHDEELLKKYKYTRETLDRVNREQQLIIDQNEFLKKSVNELQNEVNATNFKFSLFKEKYAKLADSITELNTSTKKREALGENLTFECNELKEICLKYKKNIENISNTNKNLQNSFKNERKKVLDLRNERNLLKKEILLIECHGSYSLLLVSNILTCYRFLLPSDTIIETESLIKELLNMNNSLSNHVSSSDEPPAEYSKRLELKCVEFEEKLLYFYQELVTKKIIDVIYKCFINYYKKSRQTDQKSNQNSSTPYKQSQRQVPHSIK
VIMSS6586011 1 341 0.419422287390029 Csi2p (RefSeq) 341 0 12 318 1 Saccharomyces cerevisiae VIMSS6586011 1 MicrobesOnline MRLPEISIWKVILLLHLFALQEFQLVSAANLPSLSSSTKAADSSSKGSSSAKTTTSLGKSSVTSKDVSSSHNVTSSTKMPKITTSASTSLYTNSSLWSNNSVISTSSITPSSVYIPVTDGNKFLYQAHHPNGTVFIAFAGCLGAILLSLTGAWIALNIKSWRSARKENKLRNLENQYQHDPFYFQTNINDDESETSSHSDDSDISEKVLKNNSSRMSLYTLGSTSVLNLLNNKTDANDNFRSSMFISPTEILQSDANNSNTWSQSNESAIYDSLSSTPREPGATQILGKFTDSTNPFNYTSYNLSPDSEDRSTPKSNVSQGKVKKYRPPSVHLDQLLDGKE
VIMSS6586078 1 450 0.0886391111111111 PF05057.14:DUF676:3:211,PF07819.13:PGAP1:9:128 Lipid droplet phospholipase 1; EC 3.1.-.-; EC 3.1.1.4 450 209 12 427 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08448 1 SwissProt MTSDKHLFVLIHGLWGNYTHMESMRTILSTTLKKEDVNDDMIYFLPKQNAMFKTFDGIEIIGYRTLIEVCEFIRDYKDGKITKLSVMGYSQGGLVARFMIGKMLTEFKELFEDIEPQLFITMATPHLGVEFYNPTGIAYKSALYSALRTLGSTILGKSGREMFIANSSNNILVKLSQGEYLEALSLFKWRIAFANVKNDRTVAFYTAFITDCDPFIDFDNKLKYTFEEKIPGSGYKGILPKIVDLNALNVNSHAPTKPTKTYKKWGRTILIILVATFLILPIALVMNGLGTAYSYIVTCKYRKMLSNGILHNEVRGKLGLTEQLKGYVTDAYGSIINSALDMDANYEASNSNLVNEEELPWKEFIQKYTTINDGVWKSKFKKLPFDENRKVILRNLNKLKWIRVPIYIKAVNAHGVIVARRGMDENTAATGIACIEFTAQLLAYLMHKSN
VIMSS6586178 1 539 0.105017996289425 PF04515.12:Choline_transpo:187:510 Pns1p (RefSeq) 539 324 12 320 10 Saccharomyces cerevisiae VIMSS6586178 1 MicrobesOnline MPLNEKYERPPQPPPAYDPNHRPPSSSENSAAANVNDGQTPYHFRQDQYYNLNSKTSGAPIGSFDEAFPTENDNKPRWNDWPFTIFFLCTVGGFIAIAAITLRAWSQTYSSTGSGIYDGVNTGTLNTNAAILLVFVCIIALVFSVLGLTLCRIFPKQFIYCGMVINLVASLGTAIMYMSLRYWSAGIVFLVFTFMTAWCYWGMRSRIPLSVAVLKVVVDAMKKCPQIFFVSFVGALVASAFGFLFSAVIVATYIKYDPNSSNGGCDVSGGSCSHSKLIGVLVVVFFCGYYISEVIRNVIHCVISGVFGSWYYMSKSDQGMPRWPAFGALKRAMTYSFGSICFGSLLVALIDLLRQILQMIRHDVTSSGGGQIAIQILFMVFDWIIGFLKWLAEYFNHYAYSFIALYGKPYLRAAKETWYMLREKGMDALINDNLINIALGLFSMFASYMTALFTFLYLRFTSPQYNSNGAYNGALMAFSFVIALQICNIATEAIRSGTATFFVALGNDPEVFHHSYPHRFDEIFRAYPDVLRKLSHQNV
VIMSS6586195 1 483 0.376112629399586 PF03370.13:CBM_21:238:359 Gac1p (RefSeq) 793 122 12 483 0 Saccharomyces cerevisiae VIMSS6586195 1 MicrobesOnline MVIQTATTLSPAKARPSFPHNDLIKSMSDSLISRPTHPPIRKLKSSLKISHPEPISRSKSEIFCTSPEKNVRFAIELTTVKRFDKNAEPSSISNENSPTLSPVDSNTAADDVQLFNNEDCWFNDSSLVTNLLKNEKKFRYMNSLNNMFKLDLYDSEDEDDIDEHINSQAEYGYTYNSLSTRGKTSENKSATSSLATQATNICDWKLHCTDLVPFKIAPPLFTKTLSASDLQGQLTKYLNGQNVKLHSLTQLGDDSSKITGLVYVKNLSFEKYLEIKFTFNSWRDIHYVTANFNRTINSNVDEFKFTIDLNSLKYILLIKRIITMEKNTSSCPLNIELCCRYDVNNETYYDNNNGKNYHLFMTTFKKGGETKEKIPVVVEPASQTDAAMSPKEMKARFVSSNPTLSRFLPQSRKFSEDTDYYNTSPLKHLYHNDTTSWVKPKRLNVVLDKLENATPPPPSSALANDTARTGKITKDKNNVLAPP
VIMSS6586235 1 484 0.539247314049586 PF10375.9:GRAB:401:419 GRIP domain-containing protein RUD3; Golgin-related protein 1; Relieves USO1-1 transport defect protein 3 484 19 12 484 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12234 1 SwissProt MGKNKKKTGKKAKSHPHVEDVDETVNKPEEIINSVNVTVPPKMSTDPEADGIVASPDDEGKDLSEGVDKQKVNDGLTVDTINPLEDKKAGDEMKELREEIERLKLELSHKKDQETPNEDFKNELANVIKERDEFKTQYDTLLSKISSMKSIFNKMKEAQKQLEEVQEQLTEYESQNLKLKKKLEATKTENSELQSTIVTLNTELENLEKEQESTEEVFLEYESRIEALEDEKHDIIEKHSKELNTYRKEKDQLNLQVQELMIILENNKQDISDLRTERDELRQALESHEKEKAVLKNSLNDLELKIEEVDNKREEEARERDQEVKSLRSQLDTEIETHNNDTEALESMKKQLEAMKEDASMKEKYEEESKQHILQIGKLRHEAIILNEHLTKALAMLKKSSDSESVDKELISNLLISFVSIPRADPRKFEVLELLSNFLNWDEDKKQQAGLISNNESKNSSAVSRTESFVSLWTNYLEKESEKD
VIMSS6586238 1 265 0.490776981132076 Regulator of calcineurin 2; Weak suppressor of PAT1 ts protein 1 265 0 12 265 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12044 1 SwissProt MANQKQMRTQILITDIPSGKFTSKWPTQLEKTLFKEQFPNLQSHLQYYTPLPFLNRIIIIFDNEDDTLQVFKFLQELLAKENSGPMKLFVTESLLNNQHPRSRSTDDAVSLQDNNLALLEDHRNKPLLSINTDPGVTGVDSSSLNKGGSSLSPDKSSLESPTMLKLSTDSKPFSYQEPLPKLSRSSSSTSNLSLNRSSQTSLPSQLENKDKSASGTKCLFASKPLGLTIDTSTRSNAASCTENDVNATASNPPKSPSITVNEFFH
VIMSS6586242 1 292 0.396854452054794 PF13373.6:DUF2407_C:153:290,PF10302.9:DUF2407:25:125 DSC E3 ubiquitin ligase complex subunit 3; Defective for SREBP cleavage protein 3 292 239 12 256 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12015 1 SwissProt MSAEPLLPTHNGSQGGEVRSPDQKFIVIRFSDVSVRDLQLNISNVPFSNINTHWLRRMCRELRPQQTQKRRLKFIRNGSILNTHSKIAEELTHYFDTANNSNVATGTSVAPEQNNYYIHCIIGTEELTQAELANEDLKDDATPSNDSMTTQAIGFDRLRSVGFTEQEIELLRQQFRATYGDLEEEEERLAQNGNRDDEGHDIRQLEEQWMESGSGTAQGNGAGGGNEDRFNSVPIANIKHNKDLLLGICVGFFFGVFGILLMKFDGLFNRRQKMAIFAGVIVNVMFCLVRGF
VIMSS6586248 1 437 0.353754919908467 Transcriptional modulator WTM1 437 0 12 437 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12363 1 SwissProt MPKKVWKSSTPSTYEHISSLRPKFVSRVDNVLHQRKSLTFSNVVVPDKKNNTLTSSVIYSQGSDIYEIDFAVPLQEAASEPVKDYGDAFEGIENTSLSPKFVYQGETVSKMAYLDKTGETTLLSMSKNGSLAWFKEGIKVPIHIVQELMGPATSYASIHSLTRPGDLPEKDFSLAISDFGISNDTETIVKSQSNGDEEDSILKIIDNAGKPGEILRTVHVPGTTVTHTVRFFDNHIFASCSDDNILRFWDTRTSDKPIWVLGEPKNGKLTSFDCSQVSNNLFVTGFSTGIIKLWDARAAEAATTDLTYRQNGEDPIQNEIANFYHAGGDSVVDVQFSATSSSEFFTVGGTGNIYHWNTDYSLSKYNPDDTIAPPQDATEESQTKSLRFLHKGGSRRSPKQIGRRNTAAWHPVIENLVGTVDDDSLVSIYKPYTEESE
VIMSS6586279 81 430 0.413220285714286 Protein DSE3; Daughter specific expression protein 3 430 0 12 350 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08729 1 SwissProt FKKRPRSQLEVIREKKFTDMQVPKGPVCPQSTILPLRERKKVKSLPIQRKSLRRPTLSKPAVVQSLGHKTHSDHIIDKVFVSRPAPIVMPVKALTPINPVSLMQTQTQDCCRKNKYGKSGSEILFDEILSAYENVSTSDSTALNSEIDRIIDICASKQIAKKNEAFQVPYVVCPDDTETLFSSTTPKLKPVNSNTLNDVISSPEYTTSGCSTYSDQSNSDEELSEVESIVWNTNKRTMRSSIVSESTSEEGYCTAAETLPSTVSVEDLDIHNKLPKVAQTSSCNTLLNKLSIRKLKKVILDPPKIMHVMTFDDDSDDGDDNDDEDRALNILQKKIDCIEIASCSSSIYSE
VIMSS6586299 85 230 0.285831506849315 PF00300.22:His_Phos_1:5:122 Broad-specificity phosphatase YOR283W; EC 3.1.3.- 230 118 12 146 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12040 1 SwissProt KHSKQENVPTSYTSGLRERYMGVIEGMQITEAEKYADKHGEGSFRNFGEKSDDFVARLTGCVEEEVAEASNEGVKNLALVSHGGAIRMILQWLKYENHQAHKIIVFNTSVTIVDYVKDSKQFIVRRVGNTQHLGDGEFVVSDLRLR
VIMSS6586314 1 1289 0.190727928626843 PF10540.9:Membr_traf_MHD:1045:1180,PF00168.30:C2:859:952,PF05664.11:DUF810:639:700 Uncharacterized protein YOR296W 1289 292 12 1289 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08748 1 SwissProt MNRTVSTLSSTVSDVSVEIPSICNVINTELPTSDVYLYTLKLILLDYINEPRFKEAALLSNRTGTSRVLSDKTNHQQTQHGKKLVVDKQDDMSERDIVQATLRILKGKLAQISGNKNLAPNEMHWKSIVKMYYSMLDSSSADTFSKMGQMEEVVGYFTNIASNELKKMTIKNSRDELFSEVAYFIDLVIDVLPDSCANIIKRLLDYKINLKKGETTVKKKRAASPATVPQYRSISGSTISNKQPSFKVQDISHMKYFMQLFETDETKLHQDVMAVKDDCTNPIFCGELRYLRKKIKKDNGTLTASDFSSDREYNLWKNYELLEIANLMDRFEIGEKVTSHGNRLIPKDAKSVFVRLIGLVLKKECSNAVNAINLSQEALFFFHKSARYWRIEYPSTISSLVYSAANLSVLGDEELNIPITENLFSVIRNKYLCSEDNLDPSAWNAQDRYLWAANLFHTTDQSMRTINNLLTAIFSGTKPKFSPVLSFYYSNIVGDPVMEFYETQSVAVKKYWIKLFKKTLFKASEDYFVSLLQDMLKANAIEIQNVQNLVETIIEAIKAIQKRYNKPLLDEISLPRQCAVFLCEVYGSDSLNLIKTAEKSTMKMTGQKLGPIDALDMYDVLKELRQIYLQVKPKGKFFFNLENYFIKYLTRLCDDVSRNVQKVIKSSLESENWQPVNDQDHFSRSVLDIFKMINESTSMLEKFGWQNEFQLAQMITVILKAFSDGMLSYSAQLMELIQRDLQEGDEPSYSLESSDTRSSLSLNNANVNHEKSRSSRLFEDLKNVVKSTPKMVAPAPYQFKKRTCVLLNDLDKTLFLLESFEEKADPSKISSVIAQYHSSHNLEDNGKSFDDQNMKQVYTLRIIGAENIKGFSKTGLSNTYVSMRNITLQREIGTTKIVARSITPKWDEEFVFESPFGKSNDIMFTIWHHPHSRLKNLAEDDLCGKANMKFTPRKLKDDGFPIDFSLTLNPQGTLYCQISLESEKIDAVSSMGRIYRSFSRSRDRAINLIVNKFSDFIAFAFSRTTLKTVCGHHGSALASDEAVYDAILPLFDYLNANLNILASELSQRLLFMVMLRAWNLVLENADLLLLPALNSAKVNILRSAKKSLWENTLSTTKTVSGYGRPLTQAEIEAVFKWLDALCVDFFHNKGEGPPLAELKNEYYQNILLIPAFYDKSVSELKDEVQRLIPLYEEYLRWFYLKKTPITFTNKSAGTISRKKSLVANIVKEPKEQLERDAEVMNIILRILIAKGQHDYVHRILHDRKELVNTMKNRRAVSRAVTPTGKKGRN
VIMSS6586315 1 192 0.0718921875 PF05328.12:CybS:47:180 mitochondrial import inner membrane translocase subunit TIM18 192 134 12 192 0 Saccharomyces cerevisiae CharProtDB::CH_091657 1 CharProtDB MLLFPGLKPVLNASTVIVNPVRAVFPGLVLSTKRSFYSINRLNAENKINDIANTSKEASSSVQMFKPPEFSQFKDSYQKDYERIAKYTLIPLTMVPFYASFTGGVINPLLDASLSSIFLIYLQYGFTSCIIDYIPKGKYPRWHKLALYCLYGGSMLSLYGIYELETKNNGFVDLVKKLWNENDDHLYIFGRN
VIMSS6586333 1 439 0.222115945330296 PF01545.21:Cation_efflux:13:304 Cobalt uptake protein COT1 439 292 12 309 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32798 1 SwissProt MKLGSKQVKIISLLLLDTVFFGIEITTGYLSHSLALIADSFHMLNDIISLVVALWAVNVAKNRNPDSTYTYGWKRAEILGALINAVFLIALCVSILIEALQRIIAPPVIENPKFVLYVGVAGLISNTVGLFLFHDNDQEHGHGHGHSHGGIFADHEMHMPSSHTHTHAHVDGIENTTPMDSTDNISEIMPNAIVDSFMNENTRLLTPENASKTPSYSTSSHTIASGGNYTEHNKRKRSLNMHGVFLHVLGDALGNIGVMLSAFFIWKTDYSWKYYTDPLVSLIITGIIFSSALPLSCKASKILLQATPSTLSGDQVEGDLLKIPGIIAIHDFHIWNLTESIFIASLHIQLDISPEQFTDLAKIVRSKLHRYGIHSATLQPEFITREVTSTERAGDSQGDHLQNDPLSLRPKTYGTGISGSTCLIDDAANCNTADCLEDH
VIMSS6586403 1 624 0.280600801282051 Putative protein of unknown function; expression regulated by copper levels (RefSeq) 624 0 12 606 1 Saccharomyces cerevisiae VIMSS6586403 1 MicrobesOnline MRFHRQGTAATVGVLLIVLLGFCWKLSESYGIVSTALPHKQPATKITDTPSIRWDNYHEFVRDIDFDNSTAIFNSIRAALRQSPSDIHPVGVSYFPAVIPKGTLMYHAGSKVPTTFEWLAMDHEFSYSFGLRSPSYGRKSLERRHGRFGNGTHGDHPKGPPPPPPPDEKDRGSQKMLTYRAARDLNKFLYLDGASAAKTDSGEMDTQLMLSNVIKEKLNLTDDGENERMAERLYAARICKWGKPFGLDGIIRVEVGFEVVLCDFSADNVELVSMLEMVQPNQYLGLPAPTVISKEEGWPLDENGNLVEDQLTDDQKAILEREDGWEKTFSNFNAVKSFNQLRAGTAHDNGEHRIHIDYRYLVSGINRTYIAPDPNNRRLLDEGMTWEKQLDMVDDLEKALEVGFDATQSMDWQLAFDELVLKFAPLLKSVSNILNSNGDINESIAINATALTLNFCLRFEPASNNSDEFGSGKDFAVYQYVSPYQALKTDADFLIWSSAVSVVGEIVDAIYKVNDLLIPEVYSFMTDNTTSSDLIKNVETARSTIDGLIESLGWIELNYRCERQCNWDEVCYTPSWGPSPMGMTEPGSHNEGFGTHFDESRQRLVINSKLQCININDLMVNRNH
VIMSS6586416 1 487 0.267836344969199 Uncharacterized protein YPL277C 487 0 12 469 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08989 1 SwissProt MRFHRQGISAIIGVLLIVLLGFCWKLSGSYGIVSTALPHNQSAIKSTDLPSIRWDNYHEFVRDIDFDNSTAIFNSIRAALRQSPSDIHPVGVSYFPAVIPKGTLMYHAGSKVPTTFEWLAMDHEFSYSFGLRSPSYGRKSLERRHGRFGNGTNGDHPKGPPPPPPPPDEKGRGSQKMLTYRAARDLNKFLYLDGASAAKTDSGEMDTQLMLSNVIKEKLNLTDDGENERMAERLYAARICKWGKPFGLDGIIRVEVGFEVVLCDFSADNVELVSMLEMVQPNQYLGLPAPTVISKEEGWPLDENGSLVEDQLTDDQKAILEREDGWEKAFSNFNAVKSFNQLRAGAAHDNGEHRIHIDYRYLVSGINRTYIAPDPNNRRLLDEGMTWEKQLDMVDDLEKALEVGFDATQSMDWQLAFDELVLKFAPLLKSVSNILNSDGDINESIAINATALTLNFCLPICEPIPGLKNGCRLFDLVICCQRCRRNC
VIMSS6586478 1 1102 0.208209709618875 PF10537.9:WAC_Acf1_DNA_bd:26:124,PF15613.6:WSD:750:917,PF02791.17:DDT:381:420 Putative ISWI chromatin-remodeling complex subunit YPL216W 1102 307 12 1102 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08964 1 SwissProt MVLLNRRKIQPKEIGQSADSFSETPWVIKESSERINDYDSDLKKLDFYKRDIFTCEISGKDGLSYFKALKSEEQHREKVRYLLPKELRKAIANFANFSPIRKVGHLVESAFQRFSNRFFIGDTVCLKTIQKNALITYKEGEPNLVESPTIENNVTLFLVKDVFQSNGMMESEKGEISAPKLSLYLITECLNRESKGAALIVGQNEIKRPESHFSKFIIACFLNEILIKVSNKEHAPWRVKQEYIERYDVNPKCSPNMIDYLPDRMNSSSSELYTPLTIPPESDVEPADWKETSETSETSETSLSKIKAIDDEISVSFDHIYDNVNSLAYNDLKGTVDDKELPFTGPSIPFENISYLDSSLEYKNIDQKWFKECSQFPTERLLVVYQFLSFFGRFIGLSHFNFDQFLTTIKCTSPEALVDEYVKINFLKTYNSKGSFTNEKPRNEIYNQVTSSNVSQREKANVFNADESQRIPSNFTRNQKMRKFITDKSTEFVMYSIFKGKPLKNDDMEFQSYEKVNILYIDIVCSLMCLMTDNEPDWNCNLMDNWTEEKRKEEGNKTEIDIAIEKCLNYGDTSWVKLLHNKNFSNGNWLICLLGILQQNTHMIAYSDVAKCITKKILPLSMNFVNLGDELWDNFRKRLSIKDKIDVLWVLVDFASNFSSYIKELVDKVPKLCNGIRLKLDSAKKEYIKLKRQLKTLTKNRVKLHSNVSMNRYGSDECKGKVNALKVKIAYLMEDIAFLEAKLIQSDIKRLEILGKDRNGNRYYWMDSNGSSSAISEKNEELYNCCFLWVQGPSEADINFCLDVDVESLKKWELLAKAKGTAYATKEVFSIFRSTDGSYYQIAQGENFMIINSNGILMRPTIPAFIDKKIISETPEKLLLSHHQWAFFNDIEDIHMLVDRLDDLRENEGQLKKALTSKMDRIEVSYKQQFKIKRRIECDETFKKNHKLLKNNEFTFPELKRIETTCTSNGQHFSNMEKISKKLSRTKNDLVLEAILKDVAHLGECERALLKKQQNLIYPLNFHFEQLRTIDLEFIVETKRKRQEDILTKLLNHQRYKHISHVSGYGISSQRVDKAAHLDVQGILEEIECQLISRRREDEERN
VIMSS6586516 1 156 0.579365384615385 Homeobox protein CUP9 306 0 12 156 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41817 1 SwissProt MNYNCEIQNRNSKNVDNQVSLPPIQVLFNSIEKRSMPELAFSNIEYSHGNLRSSTEEQNYPAPVLLPQHHSIAYPAINSGGTSTTATPTASTVETSKTSSSAMDTQSQYGSSKKSKSASDDAKPCYKSAPIYEIINKEKDAGAQYNRPFSDFVESK
VIMSS6586656 1 157 0.474682165605096 PF01849.18:NAC:41:97 Nascent polypeptide-associated complex subunit beta-1; NAC-beta-1; BTF3 homolog EGD1; Beta-1-NAC; GAL4 DNA-binding enhancer protein 1 157 57 12 157 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02642 1 SwissProt MPIDQEKLAKLQKLSANNKVGGTRRKLNKKAGSSAGANKDDTKLQSQLAKLHAVTIDNVAEANFFKDDGKVMHFNKVGVQVAAQHNTSVFYGLPQEKNLQDLFPGIISQLGPEAIQALSQLAAQMEKHEAKAPADAEKKDEAIPELVEGQTFDADVE
VIMSS6586713 1 902 0.163239800443459 PF00153.27:Mito_carr:531:618,PF00153.27:Mito_carr:621:710,PF00153.27:Mito_carr:725:814 Mitochondrial aspartate-glutamate transporter AGC1; Aspartate-glutamate carrier 1 902 268 12 902 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12482 1 SwissProt MEQINSNSRKKKQQLEVFKYFASVLTKEDKPISISNGMLDMPTVNSSKLTAGNGKPDTEKLTGELILTYDDFIELISSSKTIYSKFTDHSFNLNQIPKNVFGCIFFAIDEQNKGYLTLNDWFYFNNLLEYDNYHLIILYEFFRKFDVENLKAKQKKELGSSSFNLKAADDRIKSINYGNRFLSFDDLLLNLNQFKDTIRLLHESIDDNFVKDNKLLLDWNDFRFLKFYKCYHENEEYLSLNSLVTILQNDLKNEKIFIGFDRLAQMDSQGHRLALSKNQLTYLLRLFYSHRVSADIFSSLNLSNTELLKADNNSIPYNVFKDIFYLFQNFDLLNQIFHKYVTENNLNEQDIREQIVTKNDFMTVLNAQYNKVNNIIEFSPSQINLLFSIVANSKENRRLRKRNQDRDDELLNDHHYDSDIDFFIHNEYLHGVSRSRKNLESFNDYYHDLSDGFDQDSGVKKASKASTGLFESVFGGKKDKATMRSDLTIEDFMKILNPNYLNDLVHQMELQKNQNESLYINYYFYPIFDSLYNFSLGSIAGCIGATVVYPIDFIKTRMQAQRSLAQYKNSIDCLLKIISREGIKGLYSGLGPQLIGVAPEKAIKLTVNDFMRNRLTDKNGKLSLFPEIISGASAGACQVIFTNPLEIVKIRLQVQSDYVGENIQQANETATQIVKKLGLRGLYNGVAACLMRDVPFSAIYFPTYAHLKKDLFDFDPNDKTKRNRLKTWELLTAGAIAGMPAAFLTTPFDVIKTRLQIDPRKGETKYNGIFHAIRTILKEESFRSFFKGGGARVLRSSPQFGFTLAAYELFKGFIPSPDNKLKSREGRKRFCIDDDAGNEETVVHSNGELPQQKFYSDDRKHANYYYKSCQIAKTFIDLDNNFSRFDSSVYKNFQEHLRSING
VIMSS6586871 1 221 0.543351583710408 PF08537.10:NBP1:94:214 Nuclear envelope protein YPR174C 221 121 12 221 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06616 1 SwissProt MGIQEKTLGIRKERKLVVVPRERNHVRHASQRTRSKNYKNISKKRAQQHAFGFNIAKTLAKIQAFVWGSPADEEEESVVPLSKNSQDCVPLQWQAKFAQLRQQLHSTQKELQFVKEKCHLLQSVLDDANIDQRYLESRRDMKNIERDNLKPTENLPPSPVRAVNPLVTSSPIHMSPLQSRQRPVSSLQPPKGPNFYAKYPKLPQTNILRESPTEDSVPHAE
VIMSS668035 1 498 0.301782329317269 PF06039.15:Mqo:6:493 putative malate:quinone oxidoreductase 2 (NCBI) 498 488 12 498 0 Staphylococcus aureus subsp. aureus MRSA252 VIMSS668035 1 MicrobesOnline MAKSNSKDIVLIGAGVLSTTFGSMLKEIEPDWNIHVYERLDRPAIESSNERNNAGTGHAALCELNYTVLQPDGSIDIEKAKVINEEFEISKQFWGHLVKSGSIENPREFINPLPHISYVRGKNNVKFLKDRYEAMKAFPMFDNIEYTEDIEVMKKWIPLMMKGREDNPGIMAASKIDEGTDVNFGELTRKMAKSIEAHPNATVQFNHEVVDFEQLSNGQWEVTVKNRLTGEKFKQVTDYVFIGAGGGAIPLLQKTGIPESKHLGGFPISGQFLACTNPQVIEQHDAKVYGKEPPGTPPMTVPHLDTRYIDGQRTLLFGPFANVGPKFLKNGSNLDLFKSVKTYNITTLLAAAVKNLPLIKYSFDQVIMTKEGCMNHLRTFYPEARNEDWQLYTAGKRVQVIKDTPEHGKGFIQFGTEVVNSQDHTVIALLGESPGASTSVSVALEVLERNFPEYKTEWAPKIKKMIPSYGESLIEDEKLMRKIRKQTSKDLELGYYEN
VIMSS7010 219 932 0.303815266106442 PF00593.24:TonB_dep_Rec:309:689 hemoglobin-binding protein (NCBI ptt file) 1010 381 12 714 0 Haemophilus influenzae Rd KW20 VIMSS7010 1 MicrobesOnline INKDYYVSYKKGYATENNQSFDTLTLAGRYKKFDVLVVTTSRNGHELENYGYKNYNDKIQGKKREKADPYKIEQDSTLLKLSFNPTENHRFTFAADLYEHRSRGQDLSYTLKYQRSGNETPEVDSRHTNDKTKRRNISFSYENFSQTPFWDTLKLTYSDQRIKTRARTDEYCDAGVRHCEGTDNPTGLKVTNGKITRRDGSDLQFEEKNNTAKSSDKTYDFKKFIDTDKRVIDDKLVLNNPSDTWYDCSIFNCENNAKIKVFKGNNYYGYDGKWKEVDLEIKELNGKKFAKIKDNDRKIKSILPSSPGYLERLWQERDLDTNTQQLNLDLTKDFKIWHIEHNLQYGGSYNTAMKRMVNRAGNDASDVQWWATPTLGEDSWTGKPHTCATTYEWNANLCPRVDPEFSYLLPIKTTGKSVYLFDNFVITDYLSFDLGYRYDNIHYQPKYKHGITPKLPDDIVKGLFIPLPNNSNSDPNKVKENVQQNIDYIAKQNKKYKAHSYSFVSTIDPTSFLRLQLKYSKGFRTPTSDEMYFTFKHPDFTILPNTDLKPEIAKTKEIAFTLHNDDWGFISTSLFKTNYKNFIDLIFKKQETFKVGGSGRGETLPFSLYQNINRDNASLKGIEINSKVFLGKMAKFMDGFNLSYKYTYQKGRMNGNIPMNAIQPRTMVYGLGYDHPNHKFGFDFYTTHVASKNPEDTYNMFYKEENKKDSTIKW 3
VIMSS7059 1 1084 0.363754612546125 PF00593.24:TonB_dep_Rec:542:1082,PF04886.12:PT:25:56,PF04886.12:PT:50:84,PF07715.15:Plug:104:213 hemoglobin-binding protein (NCBI ptt file) 1084 711 12 1084 0 Haemophilus influenzae Rd KW20 VIMSS7059 1 MicrobesOnline MTNFKFSLLACSIAFALNASTVYAAQPTNQPTNQPTNQPTNQPTNQPTNQPTNQPTNQPTNQPTNQPTNQPTNQNSNVSEQLEQINVSGSSENINIKEKKVGETQISAKKLAKQQASDSRDLVRYETGITVVETGRTGASGYAVRGVDENRVGIMVDGLRQAETLSSQGFKELFEGYGNFNNTRNSIEIENVKTATITKGADSLKSGSGALGGSVIFETKDARDYLIDKDYYLSYKRGYQTMNNQNLKTLTLAGRSKKFDILIIDTTRDGHEIENYDYKIYPNKQADLRAVGPTREKADPYQITRQSTLIKLGFQPNENHRLSVALDDSTLETKGIDLSYALRPYSTAGNEKYGERIINDQSKRKNIQFSYENFSQTPFWDHIKLSYSSQKITNKARSDEYCHQSTCNGVSNPQGLHLVEEGGVYKIVDKNGDKLTYNKNAGWYGQFQNKNGENVDNDIDSTGGSLDSVLIDCERLNCKNKFQVFVEKDEEGKDKYEYEERDIIVETLPNGKKYGKITLKKGKTPLWDDVYQEESARFLFPKSYGYSTDFVNDRDLNTNTQQIKLDLDKEFSLWHTQHSLKYGGFYEKTLKSMVNHQYNTVANVQWWAGNFFCNKLENGKRTPAPDYSHRCSLMNTDKGKETYLIPVTTKNNVLYFGDNVQLTSWLGLDLNYRYDHVKYLPSYDEKIPVPNGLITGLFKKFGPKDYVYGSKYSKPADYTDCTYNSDCYKKNFKDNLALLLRKTDYKHHSYNLGLNLDPTDWLRVQLKYANGFRAPTSDEIYMTFKHPQFSIQPNTDLKAETSKTKEVAFTFYKNSSYITLNAFQNDYRNFIDLVEVGPRPIEEGSTIAYPFHQNQNRDRARVRGIEIASRLEMGDLFEKLQGFHLGYKFTYQKGRIKDNGLNPKYKEFLELNKDKHPEYEAIARKPQPMNALQPTTSVYNIGYDAPSQKWGVDMYITNVAAKKAKDSFNSQWTSMVKRKEKIYGNEKDAEASTANGKEVKDSRGLWRNNRYTVIDTIAYWKPIKNLTFTAGVYNLTNKKYLTWDSARSIRHLGTINRVETATGKGLNRFYAPGRNYRMSVQFEF 5
VIMSS732916 1 87 0.0146954022988506 Hypothetical protein (NCBI) 87 0 12 68 1 Bacteroides fragilis YCH46 VIMSS732916 1 MicrobesOnline MAFSANYPFLFSYPYRGECPGTFCFFARKTGLGKGKSLCFHFEEPIFRPKEVSIYSERRLCFSKMNKSFAQYLYMFSSITYIVLIWE
VIMSS73742 1 109 0.280960550458716 orf, hypothetical protein (NCBI ptt file) 215 0 12 109 0 Escherichia coli O157:H7 EDL933 VIMSS73742 1 MicrobesOnline MPVILNFSSERVLSESELEALRHVGRVSQSEQLVVRGRTMRLHHISFMDSFSVEPVSGGLLDRLSARGHRLLAENLEIQLNRGHTFLQAFRLYMEQSRATPCTRQNVSS
VIMSS758621 1 482 0.185378838174274 Hypothetical protein (NCBI) 482 0 12 482 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS758621 1 MicrobesOnline MFSANQSLDFAWLSMQMFLINLLKDKIMRYAVTYCAMDHEFSGNPFWHSCILLSQWDDNGKIEVVDNWGFYGVPSTVRDTWLSKLKIKLGLDVDLKGNHGMLRHEELRYLDVGYGLHGVTFELTKEKFDLLQLKCQTMLEEQKQAVKEVVESQGIAGKQKYRIYEHEEYSPLIFALEKIKAKQKGHQPRLKPFELNLSFTLWGPALNQSYTCKSLMVDLLKGILTQEQIARITEAGKHPTVPRYSGKLERIYLHSSGPLREHKKSSGAIVHYRDLQDEGVKLHWTLPPQEIEALSGDTIKLLEISEEYCDEVKQAISKLQKLEWLFIDAKLPEKYKPYQDDLVARIRQYYEAFAQVEPKKAKSTATGWMGFAFSLLSLPRDLDEKCLLQKLNNAKYLINSLYMAIVDHWKIYDDWPSETKEDSTEYNPLEALAAYLCEEDKKKLCKIIGRNYLDPSSEEEFDDIEESEWEQPELSRSVASPM
VIMSS76068 1 151 0.0929185430463576 PF07720.12:TPR_3:32:65 type III secretion system LEE chaperone CesD 151 34 12 151 0 Escherichia coli O127:H6 str. E2348/69 WP_000087467.1 1 RefSeq MSRKFSSLEDIYDFYQDGGTLASLTNLTQQDLNDLHSYAYTAYQSGDVITARNLFHLLTYLEHWNYDYTLSLGLCHQRLSNHEDAQLCFARCATLVMQDPRASYYSGISYLLVGNKKMAKKAFKACLMWCNEKEKYTTYKENIKKLLGNTE
VIMSS765215 1 1052 0.270067205323194 p97 cilium adhesin paralog (NCBI) 1052 0 12 1029 1 Mycoplasma hyopneumoniae 232 VIMSS765215 1 MicrobesOnline MSKLTKSKTFKIGLVGSIIGLGVFGLTVGLSSLAKYRSEHPRKVVNDFATKVSTLSFSPDAFFANSDYWTIKNHLLDSKNQIKNSEKVLKSFSFFSKNGDQLEKINLEDPEYKNAGISFHILEIIPDDVNQNFKVKFQLWQKFANGDIAKSDIYQEESVAFIKQSNLLVAEFNFSLKKITDKLNQQVGNLSLKSTNFADDLAKLTKPTSSYKNPASFRVLDFQEDLNQARNSEELVKKLAIYFPSLDNLITKLNESSENKLPGNSGHIFEFSLRKSQATNQYVSVQNQIPFLFLEADLSQSARDLIGQDFNFRPIVSSIKLQKQDNSSYFLDFNQFLGNLKLKDISKTDFNEQGLKTSAYEILSTIRSGFFDNNDLRSDQAKESINKILKNKIKFDFGKLDAIFSDKGNSESLQYYLDVKKASLDKTDKSTILIPFRLKVDESFFKTSTNLPENIIARKDGIFKLTGFDQGLNNQLPKINQEIYKTKYLSFFEKGKENQDLVDFGSEPINGPLLISKVEADALFKENKPEAIHKVLETNYNYQFNPYQSLLDSWTGNLVQPKLENIKALNENEKAAVSEAGIAEILSRDFFLDGHQVASFYQDLLTKDRLTVIETLYELGKKWGLHTNTANFPRWKFRNAKNIFEEATQYKFLLGKKGKENFRKITKLTFNGLYRNEKGQGFYATLVLPKEIKDKLANKTDAEVFAELKKHSLIDSSGFKTINIDKNLLEGEDFENFGDLLKAFFLKAAQFNNFAPWAKLDDNLKYSFVPKKGDQEKEGKKAEIDKKVKELTDKISSPGSVLPKSEAGKPVAAKPEAAKPSSSTTSSVSSASLEGNYLPISFEFKLSYRDGAKSELKTPEIKVFLELQTDKDYQENKIIKELDKTVLELQSEFKEWRLDESAFSSLTFPKSQKSEGTQNQGKKAEGAPNQSKKSEENSNKLTEYIQELGTKVEKSLKSKGKNYSAEVEKIIEAFSGGYKFLDFALVEQTPKPETPKTEAAKPETTKPVAARPEAAKVAAKPSAAKPVSSPAPKKSTLYVRVLIRKKENKQVK
VIMSS765645 1 1194 0.408234924623115 conserved hypothetical protein, p102 paralog (NCBI) 1194 0 12 1171 1 Mycoplasma hyopneumoniae 232 VIMSS765645 1 MicrobesOnline MNQFDEKEKQHNKAKAILSTGFSVTSIATTVVAVPIGLTIFEKSFSSQVSGGVDKNKVVDLKSDSDQIFSEEDFIRAVENLKLFDKYRHLTARMALGLAREAANAFNFLDTYDYTPITKHSFKISLDISDAFAANKEVKAVVVSAYSQKYQVTYSRLTSLKGWKEEDDFGDDIIDYQINQELSGLSLSSLAPESAHLLASEMAFRLDNDFQVAYKKTGSRAEAFRQALIKNYLGYNLVNRQGLPTMLQKGYVLAPKTIENKNASEEKLVNINENDRARVNKLQKVENLAFKNLSDPNGTLSITFELWDPNGKLVSEYDFKIKGIKKLDFDLKKQEEKVLQKVTEFVEIKPYVQLGLIRDNLSLSEIIYKSDNNPEYLRKILAKLKEHNNNKRVDNNTSTTKFQEEDLKNEPNSNGSEQDSFEKAKENFLSFFDLRSRLIPIPDLPLYYLKVNSINFDRNIEENEKEKLLKNEQVVLKVDFSLKKVVSDIRAPYLVSSQVRSNYPPVLKASLAKIGKGSNSKVVLLDLGNLSSRFKVQLDYSAKQREIINTLLKENPEREKELQAKIESKTFSPIDLNNDDLLAIEFQYEDNPEGDWITLGRMEKLVKEVIQYKKEGKTFLDDEVAKTLYYLDFHHLPQSKKDLEEYKEKHKNKFINEIKPATPASQAKPDQAKNEKEVKPESAQAESSSSNSNDSNSKTTSSSSMMAGTTQTNNSSTETTNSNSATTTSTTTQAAATSASSAKVKTTKFQEQVKEQEQKQEKAKETNQLLDTKRNKEDSGLGLILWDFLVNSKYKTLPGTTWDFHVEPDNFNDRLKITAILKENTSQAKSNPDSKNLTSLSRNLIIKGVMANKYIDYLVQEDPVLLVDYTRRNQIKTEREGQLIWNQLASPQMASPETSPEKAKLEITEEGLRVKKGGTKIKETRKSTTSNAKSNTNSKPNKKLVLLKGSIKNPGTKKEWILVGSGNNATKNGSSSNNSNTQIWITRLGTSVGSLKTEGETVLGISNNNSQGEVLWTTIKSKLENENQSDNNQIQYSPSTHSLTTNSRSNTQQSGRNQIKITNTQRKTTTSPAQSPIQNPDPNQIDVRLGLLVQDKKLHLWWIANDSSDEPEHITIDFAEGTKFNYDDLNYVGGLLKNTTNNTNTQAQDDEGDGYLALKGLGIYEFPDDESIDQAATVEKAERLYKHFMGLFRE
VIMSS793 1 253 0.0969264822134387 PF01553.21:Acyltransferase:28:142 snGlycerol 3-P Acyltransferase (NCBI ptt file) 253 115 12 253 0 Chlamydia trachomatis D/UW-3/CX VIMSS793 1 MicrobesOnline MKIGFWRRLYEVCYTSLIGCALKLRYRVLVEGIESINQNSQKGALFLSNHVAEIDPVILEHVFWLKFHVRPIAVDYLFNNPVVKWFLNSVRAIPVPSVVPGRDDKRLLERMERFYVCVTQALDRKESLLLYPSGRLSRNGKEEIVNQQAAYTILHRAKECDVFLVKITGLWGSSFSRYRTGSTPKLGKVFKEAVKALLRCGIFFMPKREVRVSVCPADYLVLKQFPTKQEFNTFLSDWFNQEGGETPLEVPYA
VIMSS82487 1 301 0.155708970099668 PF05050.12:Methyltransf_21:16:182 hypothetical protein (NCBI ptt file) 301 167 12 301 0 Mycobacterium leprae TN VIMSS82487 1 MicrobesOnline MALWRSLMKRPNLIIDVGMHNGQDTAFYLAKGFDVVALEANPVLVDAARIRFASEIESGQLQILPEAIAETEGTLPLAICDEESLWTSMSPAIIERNKSVAGVTYHYIDVPARTFASVLEEVGVPHYLKVDIEGLDMLCVHALKQADNLPDFISIESNVSSPGGAFDAAFEELAVLWELGYRRFAYVDQRKHSRYKPPNPAREGCFVGVDFTEHCSGLFGEELPVRWEMIDKAVRKAQVLQRRYNVRGFGGKGMRSLQRLLPMPSYRTVSNFTKRPFGRRVVTWTMEVVLSGWYDLHARLD
VIMSS884 1 482 0.592829460580913 hypothetical protein (NCBI ptt file) 482 0 12 482 0 Chlamydia trachomatis D/UW-3/CX VIMSS884 1 MicrobesOnline MDTPTPLSSVPTNASLKGEPGSSSQFSSAEKGVLKTSVGDVVLSQSIEDGGNETQISLVGVVNINMAQEELPTLVSPRTFIFLPPETVELEIQIAEMFQALEETPSSDSRSLQQKETSAQTPPAPSGKVSIFSLQAQGSSQTRSLPSSQESLSPQQPARAIQGLNTPFSPAARCTIRAVPLSIVPHRRANPTSSQSVSHHSSRTYQTGHSTGTAQLSSQEWEFSSQTVKTCSTGREKRDGQQERHSDQEQNSDHSYQEEDLSDDMQVSSSKRSSHPEDENTEEVFSVSHFAYHAAPHPSSNLDQESNQSTFQKRPPSPMSLFSSQNATEEAPKEARVENVFLRFMRLMARILGQAEAEAHELYLRVKERTDNVDALTLLLSKINNEKGAIDWNQDEEMRALVDQAKKLGVPIGDSYDWSEEGKKLLKENIQMRKENMEKITQLERTDMQRHLQEVSQCHQARSNVLKLLKELMDTFIYNMRP
VIMSS911914 1 190 0.519208421052632 PF03413.19:PepSY:54:100,PF03413.19:PepSY:130:186 hypothetical protein (NCBI) 190 104 12 190 0 Staphylococcus aureus subsp. aureus COL VIMSS911914 1 MicrobesOnline MKLKSLAVLSMSAVVLTACGNDTPKDETKSTESNTNQDTNTTKDVIALKDVKTSPEDAVKKAEETYKGQKLKGISFENSNGEWAYKVTQQKSGEESEVLVADKNKKVINKKTEKEDTMNENDNFKYSDAIDYKKAIKEGQKEFDGDIKEWSLEKDDGKLVYNIDLKKGNKKQEVTVDAKNGKVLKSEQDH
VIMSS913648 1 86 0.397661627906977 hypothetical protein (NCBI) 86 0 12 86 0 Staphylococcus aureus subsp. aureus COL VIMSS913648 1 MicrobesOnline MAMTVKKDNNEVRIQWRVADIKIPTSEIKNITQDQDIHAVPKLDSKDVSRIGSTFGKTNRVIIDTEDHEYIIYTQNDQKVYNELTK
VIMSS92897 1 75 0.065248 PF07119.12:DUF1375:45:69 DUF1375 domain-containing lipoprotein YceK 75 25 12 75 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12689-MONOMER 1 ecocyc MRLIVVSIMVTLLSGCGSIISRTIPGQGHGNQYYPGVQWDVRDSAWRYVTILDLPFSLVFDTLLLPIDIHHGPYE
VIMSS93232 1 135 0.271927407407407 hypothetical protein (NCBI ptt file) 135 0 12 135 0 Escherichia coli O157:H7 str. Sakai VIMSS93232 1 MicrobesOnline MEFKDLPTPLQEMASNIVRSQLATLDLSTAEKETIDNMVRNVRNAFSGLYGSDNQKQESDVNKRVISVCVNGHVLSSIKTETATVFDCLCIVQSLVDALFRSVNLENDANLRGRIIAHPYAHTLGSVDIKDPTNL
VIMSS96020 1 92 0.0813619565217391 PF06287.11:DUF1039:17:76 hypothetical protein (NCBI ptt file) 92 60 12 92 0 Escherichia coli O157:H7 str. Sakai VIMSS96020 1 MicrobesOnline MVNDISANKILVWAAVAAANHKLPKYAEAILNVFPQIIPDKKDIAHLEFIILFGLNRKNDAVKALEDCMDDETSQLLYSLVHENGSGWVRGF
WP_000368558.1 1 216 0.163327777777778 PF01584.19:CheW:22:163 chemotaxis protein CheV 333 142 12 216 0 Salmonella enterica subsp. enterica serovar Typhimurium str. SL1344 WP_000368558.1 1 RefSeq MDNFQKDIDDRANLTLSNRFELLLFRLGTSLHEQKSELFGINVFKLREIVPMPAFTRPAGMKAPLLGMVNIRDQVIPVIDLPAVAGCKPETGLNILLITEYARSVQAFAVESVENIMRLDWQQVHTAEKAVNGRYITSIACLDDNKETNNLALVLDVEQILYDIVPSSHDLRATNLKTNKFYITPGAVAIVAEDSKVARAMLEKGLNAMGIPHQMH
WP_000565254.1 108 229 0.130681967213115 PF01730.16:UreF:19:79 urease accessory protein UreF 229 61 12 122 0 Staphylococcus aureus subsp. aureus Mu50 WP_000565254.1 1 RefSeq LALELYNSPWIAWYHQQMQDKKAKLNPAICFTMLGHHLGVDIETIIDYYLYQNVSSLTQNAVRAIPLGQTAGQKIVTHMIPYIEETRKQIFELKEADFGMTAPGLELNQMAHENVNVRIFIS
WP_000938855.1 1 339 0.0298920353982301 PF00528.22:BPD_transp_1:114:336 dipeptide ABC transporter membrane subunit DppB (EC 7.4.2.9) 339 223 12 204 6 Escherichia coli K-12 substr. MG1655 ecocyc::DPPB-MONOMER 1 ecocyc MLQFILRRLGLVIPTFIGITLLTFAFVHMIPGDPVMIMAGERGISPERHAQLLAELGLDKPMWQQYLHYIWGVMHGDLGISMKSRIPVWEEFVPRFQATLELGVCAMIFATAVGIPVGVLAAVKRGSIFDHTAVGLALTGYSMPIFWWGMMLIMLVSVHWNLTPVSGRVSDMVFLDDSNPLTGFMLIDTAIWGEDGNFIDAVAHMILPAIVLGTIPLAVIVRMTRSSMLEVLGEDYIRTARAKGLTRMRVIIVHALRNAMLPVVTVIGLQVGTLLAGAILTETIFSWPGLGRWLIDALQRRDYPVVQGGVLLVATMIILVNLLVDLLYGVVNPRIRHKK
WP_000987828.1 1 488 0.141549180327869 hypothetical protein 488 0 12 488 0 Salmonella enterica subsp. enterica serovar Typhimurium str. 14028S WP_000987828.1 1 RefSeq MMSSITKSIQNEYVLLMENAFSEKYKYAFRNLELKELRRFILKELISSPELDNQLTNLIVLLNKFWDTHAAALITEVRASKSYRLLLPIQRFGYNISNHLRSLGIYFDSIIMVDPLHFPSLSSLHSFLSLPPDNSYVRMRRLVLLEHVSNLFRAIPFMTIDDDYPIFLIVPELLDFDKERNHEQSAAFLSQLFFKDRKMDYATYLAFLEHFGRNEETFQKILTNKELLKTLLNNFNNIEKEVWVYDTDLKSFTTREVDLLDYDLPSAIATLLGKVEGAIYAQRSTQLSATLLGIDPVIYSNHMFLHEWTTEQLVKDYGKLNPLSSEEQAITMGLSAAEVKFLTALSDLELKKIRERGQLESLRHELRISRHDLQGKTPDQMQDAANNFSKHLINVVEEYGKSHESAIKNSKKKKISSGLIFAGTATLGIASLAMPQLTLLSAAATGIGLAFGGKSLADIFSEHKAHKNEIQILEKTPVSLLYGAYKKK
WP_001738217.1 1 133 0.0762691729323308 Salmonella pathogenicity island 2 protein C; Secretion system apparatus protein B 133 0 12 133 0 Salmonella typhimurium (strain 14028s / SGSC 2262) SwissProt::D0ZWR8 1 SwissProt MSEEGFMLAVLKGIPLIQDIRAEGNSRSWIMTIDGHPARGEIFSEAFSISLFLNDLESLPKPCLAYVTLLLAAHPDVHDYAIQLTADGGWLNGYYTTSSSSELIAIEIEKHLALTCILKNVIRNHHKLYSGGV
WP_003410820.1 1 98 0.201454081632653 PF00934.20:PE:1:92 PE family protein 98 92 12 98 0 Mycobacterium tuberculosis WP_003410820.1 1 RefSeq MSFVNVDPFGMLAAAATLESLGSHMAVSNAAVASVTTKVPPPAADYVSKKLSLFFSSHGQQYQVQAARGTAFHRKLVRTLANGALAYEEVEIANNEGF
WP_010872792.1 1 351 0.0670834757834758 PF00487.24:FA_desaturase:68:314 Delta(12)-fatty-acid desaturase; EC 1.14.19.6 351 247 12 264 4 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P20388 1 SwissProt MTATIPPLTPTVTPSNPDRPIADLKLQDIIKTLPKECFEKKASKAWASVLITLGAIAVGYLGIIYLPWYCLPITWIWTGTALTGAFVVGHDCGHRSFAKKRWVNDLVGHIAFAPLIYPFHSWRLLHDHHHLHTNKIEVDNAWDPWSVEAFQASPAIVRLFYRAIRGPFWWTGSIFHWSLMHFKLSNFAQRDRNKVKLSIAVVFLFAAIAFPALIITTGVWGFVKFWLMPWLVYHFWMSTFTIVHHTIPEIRFRPAADWSAAEAQLNGTVHCDYPRWVEVLCHDINVHIPHHLSVAIPSYNLRLAHGSLKENWGPFLYERTFNWQLMQQISGQCHLYDPEHGYRTFGSLKKV
WP_010963759.1 1 367 0.176664032697548 PF00989.25:PAS:243:345,PF13188.7:PAS_8:242:286,PF13426.7:PAS_9:138:229,PF13426.7:PAS_9:251:300 PAS domain-containing protein 637 196 12 367 0 Clostridium acetobutylicum EA 2018 WP_010963759.1 1 RefSeq MSYLFEEIYSDDEKIYLEISELFDKYNISLQNRNKETWLECINGINKGIRNQEKEKVVECILQFTQKDDRILKFIENAYTNYVIKNISNINHMKKALVELNDFFYEVERSIIENRTSYMHKVNIQFIDRIIDSIPFLVIIKNENGKHIKVNKEAREFYGVKEEIKKDNKLDKYNYSELNNLKIESESSKTFCEQVLNKDNEERTLYVIAIPVTINNNEKFTVIIKSDITKFQNNQIENNGENLKNLFKSVPEAIFIHDNTKVIYLNKVAKELFGCEKLQEIIGKDYTNLIKIQNISEDKKSNLNGTEYNIRSAEIVRTCDNRVLKVEFLVEKYLYRDKEIELVVVWDMEYKTKMEELKNRMSEKNDF
WP_011089603.1 1 314 0.323886942675159 PF00700.21:Flagellin_C:229:313,PF00669.20:Flagellin_N:7:140 flagellin 314 219 12 314 0 Bradyrhizobium diazoefficiens WP_011089603.1 1 RefSeq MGSSLLTNSSAMTALQTLRNVSTQLATTQNRISTGQRVSTASDNSAYWSIATSMRSDNAALSAVSDSLGLSAAMVDTEYTALNTVIGDKNSGLTKLQALLVEAKTAGIDRTKIQAEVTQIQQDMKLKSDSATINGINWLSIDITPSSSTATPTTFNLVSSYSRVGGTPTIGSITVTTATYALYTTGGSSTTGILDTVVGGSTGASVASINIGALTDSATDQTKLDGYINQVTAAINSVASAAANLGAVKNRIATNTEFVKNLMDSVDRGIGQLVDADMNAESTRLQALQTQQQLGVQALSIANQNSQSILSLFR
WP_011408018.1 1 127 0.370638582677165 PF06123.12:CreD:2:53 membrane protein 127 52 12 127 0 Xanthomonas oryzae pv. oryzae KACC 10331 WP_011408018.1 1 RefSeq MQDRARYHDEAVARVAQSKAGEQQFIAPVRVLPYTEDVQVTEPDEQCNQRKVWCKHAFLHAAPRCRVSPRKARTRSDGPRQRLSNGRYWARSTDALLGMLLATEDAAVWLLRQQPQTKVLHHWHWLR
XP_001347832.1 1 241 0.234841493775934 PF08246.12:Inhibitor_I29:163:220 falcipain-2' 482 58 12 218 1 Plasmodium falciparum metacyc::MONOMER-15379 1 metacyc MDYHMDYIPNEVISHQGERFVDKYVDRKILKNKKSLLVIISLSVLSVVGFILFYFTPNFRKSDLFKNSSVENNNDDYIINSLLKSPNGKKFIVSKIDEALSFYDNKMKDINKNNNNNTSSDFKGLSLFKENKPSNNFIHNENYFINVFDHKFLMNNVEHINQFYTFIKTNNKQYNSPNEMKERFQVFLQNAHKVKMHNNNKKSLYKKELNRFADLTYHEFKSKYLTLRSSKPLKNSKYLLD
XP_001348240.1 1 1272 0.19305251572327 PF03815.19:LCCL:277:379,PF03815.19:LCCL:672:769,PF03815.19:LCCL:1059:1159,PF03815.19:LCCL:1173:1263,PF00530.18:SRCR:408:514,PF00530.18:SRCR:540:641,PF13385.6:Laminin_G_3:840:990,PF01477.23:PLAT:159:255 LCCL domain-containing protein 1272 850 12 1272 0 Plasmodium falciparum 3D7 XP_001348240.1 1 RefSeq MIKFKDILIFINIYILILNAYCKEWCKATFEYGKSDYAECISEGDSISRYMIETIPILSKDVDLYSNVSLVLSNGYGSKTKEIIIGNENDGLLNKIFTVRSDIGNPEYIHIKLNSQNKNWKCKKITVWKDYKYWVFDCIGSLNDKKPESTYFLSGNKIYIAYVQTGKDIEAATTGTIEIILLGNNKRSNTKVLHEGFSAGGLKKIKFQASDVGELEDIILINNSLNDPWYCDFVKIKSDNNNKIYIFNVKSWIGAPYDKNVKVNIKSDTIEGTSKDIDCHIRAIDLINTNNINKLLQNKVQIFKVRCPQNCHNSEFAIIEGSSIHPSSTSICAAAIHDGSISPSGGEIIVTVASELNHYYTIKEKIFNELEALDFSAKADEKNFTFFTYHLDSIDDIISNVRIVDSFGKLSSLGRLEIRLKNKNSWGTVCVKGPNFEFNDDAAKRACKDLGFPNGIHIKENCANLNGQNYCAGYKYPFASSGILCTGHEKDISKCNADDFSHCVDHHDDVIIQCLHYSSNELINDGAIRLVDINGAPSNNGIGRLQIYYHGVFGSVCSEGWVKEAENIVCHELGYNGLKGNGFSHHSCTDISGENLCGPDTEKINAVNIKCKGDEKLLRNCPHETHDDIYCSHDEDVIVGCVGGDNNSHGEEQNKKHLINLEKKKFHPKIELTCFDKMLSKSNLSVATTGDVFLASCPEKCDEEVGIVKGTFLYTYDSPICKSAIHSGVLPNNIAEDIVLSIAHTHNNFIGTKRNNIESHDFKGTSKSFTISIPTMSLLREERKSNPKSEDEMINKNEIDLTYDHSVFNNKLDHHITSSMKPTFQWIAPTGFVGFNGKENDYIDCTNLPNEKYIRSLSNFTFIVYFTLNGGEGTWRTILSHSLCEGISISINEDNELIIEQNCNPHLLKSKFKPKFGQTYHISLVFNKINKTLYLYINGKKVITEKNTYNFTLSGDLIIGRSNQTTKDYFIGNIHLVEIYKYTLSEQEIKESLNSSLSLEYLNMNILEQMSNTKKDKKKNQKNKKGVQKTIDGRDCVTPCKSKNMINKDLQINTQQINLKCQDNLLSEQFNGKIGSQFLVSCIENCTKSKYFIKGTNNYYTPDSSICKAAIHAGIYKPNMGNKNNTFVIRIVEGLLEYKSSRGHFGILSKSEKQSQLRSFSVLSENEENIFTCSTDGQFILNLSVGEKRTINCPSNCNIIKDKIYGTNIYSPTSVLCKAAIHSGALSNQGGLVEIIVGTGQEEFKGSTQNNVESFSSNNHSRSITFNKHMEL
XP_001348781.2 342 1071 0.0458917808219178 conserved Plasmodium membrane protein, unknown function 1071 0 12 569 7 Plasmodium falciparum 3D7 XP_001348781.2 1 RefSeq IKFFISKDIEKKKNKQNDINESVYNAIKNKNLHLRRNNIKEIFDVDDLVKNIKSFLGIKSNIHEAFENQKLIIKNCNYESFGPELCSVDEKAKEMLWKYEKKKNSAFLFIILFTLFFSLIIQNIVYFIEKKVRNSKDQFRKDLLNTAFRQISLITIINLTIWGILQTNIAEALDEVIFNDILPRHRNIDGVLHNVEPLLEVIFEKILFISMNFLICYSIFIVSIHFVTRTILKWFSESDNSDVSSVAKEVKESQRKCFRNYFFFFRNVRNSKYLAHRYDFSENVDAISIPGLDPNGYYYYEYMRASLLKYNVKLIKIPNAVILFLIFVCISLRPFYNIRLKAEVIFLNVLSLICIIGLISLFVYLYRIDTKLLPRDISKYLLNKYHIETCDKNKRDVTPYYKLLKQESVYPSALNYFFYKTTFPNKHEQLFLLWGNGPSLINFIFQTLCFCFLIILSCWIFLLRVDNITWFQLYSYGSLSICVCILVFFFILKYIIYYNVMVTKTGYLIDTKLLEQVWEYERSDNIKRISEFIDAIKIKSTLHALKEGGEIFWRQLLIKSSTVPSNIQEKMFSIWIGLDEENRGIIDSSKILKFLKSQGINLTSEHDIREFLEVFDRNNKNGLNQEEFFVLIIIVKQILVELLDINAVQSLFEEVYGIPWKSLSSIDVNSLKKILTELNLKWPHGKIRNLIDFVCENKKTKYVSAEYFIKQLINIEEVTLQPFHSASDSK
XP_001349580.1 1 156 0.654957051282051 merozoite surface protein 4 272 0 12 133 1 Plasmodium falciparum 3D7 XP_001349580.1 1 RefSeq MWIVKFLIVVHFFIICTINFDKLYISYSYNIVPENGRMLNMRILGEEKPNVDGVSTSNTPGGNESSSASPNLSDAAEKKDEKEASEQGEESHKKENSQESANGKDDVKEEKKTNEKKDDGKTDKVQEKVLEKSPKESQMVDDKKKTEAIPKKVVQP
XP_001349750.1 1 128 0.12138046875 PF09716.10:ETRAMP:1:88 early transcribed membrane protein 13 229 88 12 86 2 Plasmodium falciparum 3D7 XP_001349750.1 0 RefSeq MKVSKLVLFAHIFFIINILCQYICLNASKVNKKGKIAEEKKRKNIKNIDKAIEEHNKRKKLIYYSLIASGAIASVAAILGLGYYGYKKSREDDLYYNKYLEYRNGEYNIKYQDGAIASTSEFYIEPEG
XP_001351305.1 1 1086 0.0906564456721917 Rh5-interacting protein; PfRipr 1086 0 12 1086 0 Plasmodium falciparum (isolate 3D7) SwissProt::O97302 1 SwissProt MFRIFFTLLIIILIKKTSAIDLIEGIFYEKNEIDKLTFSLDHRVRDNLKTDLILNNNGENDYAYLNKYVYTILNRDSTEKIKTFFSHNKDMKSCDYFISKEYNSSDKTNQICYKKTFCGVVIPNSEEIKTNKITNDKLYCAHFNSTHIIIYYISQPLLLEPHVVYEETFFEKGKNDQINCQGMYISLRSVHVHTHNAILQQETLTYIKNLCDGKNNCKFDFDSIKYENKSLTHYLFFINIQYQCISPLNLQENEMCDVYNDDTHKATCKYGFNKIELLKNVCEENYRCTQDICSVNQFCDGENETCTCKTSLLPSAKNNCEYNDLCTVLNCPENSTCEQIGNGKKAECKCENGKYYHNNKCYTKNDLELAIKIEPHKKEKFYKNNLYQGKALKPEYIFMQCENGFSIEVINAYVSCYRVSFNLNKLKYVTESLKKMCDGKTKCAYGNTIDPIDDLNHHNICNNFNTIFKYDYLCVFNNQNITSDKNSHLHSNIPSLYNSSILPDINKSKFHLISRNSRTNQYPHNNISMLEIQNEISSHNSNQFSTDPHTNSNNINNMNIKKVEIFRSRFSSKLQCQGGKINIDKAILKGGEGCNDLLLTNSLKSYCNDLSECDIGLIYHFDTYCINDQYLFVSYSCSNLCNKCHNNSTCYGNRFNYDCFCDNPYISKYGNKLCERPNDCESVLCSQNQVCQILPNDKLICQCEEGYKNVKGKCVPDNKCDLSCPSNKVCVIENGKQTCKCSERFVLENGVCICANDYKMEDGINCIAKNKCKRKEYENICTNPNEMCAYNEETDIVKCECKEHYYRSSRGECILNDYCKDINCKENEECSIVNFKPECVCKENLKKNNKGECIYENSCLINEGNCPKDSKCIYREYKPHECVCNKQGHVAVNGKCVLEDKCVHNKKCSENSICVNVMNKEPICVCTYNYYKKDGVCLIQNPCLKDNGGCSRNSECTFKYSKINCTCKENYKNKDDSCVPNTNEYDESFTFQYNDDASIILGACGMIEFSYIYNQIIWKINNSKESYVFYYDYPTAGNIEVQIKNEIFHTIIYLKKKIGNSVIYDDFQVDHQTCIYENVFYYSNQN 1
XP_001697291.1 1 645 0.326698449612403 PF14874.6:PapD-like:115:187 Cilia- and flagella-associated protein 221 homolog; Flagella-associated protein 221; Primary ciliary dyskinesia protein 1 645 73 12 645 0 Chlamydomonas reinhardtii (Chlamydomonas smithii) SwissProt::A8J6X7 1 SwissProt MALASNPRRRLHEVRVQKYVVIAVTWRGAQPMSQRARPSRVVRAAAWGMFARCHPGAAAGWHRCTDLVTRSSARPAYTVASQSFSQGLVEDAPLQNPNTLTYNRVYSKVGNTRILQAEPAVLNFGGYELGKVYSQVLRIRNVRASGTRFHIIPPSTPFFKATCPAKKGLLAPGMTEEVAVEFCPTQYRYYYDCVRVHCEEENLLIPLHAYPVANEALFPTRVDFGRVALGQEVVRSHTLECKVPVDFEYEIVEVKPNPAFRVEPARGVVPGRGRVTVDMWFCPLALTTEEAVIETEVAGVYVPDTMLLSAAEVGLRTKDVRGAIEARKAAAAEQQAALEKGALFRLQLALAEEAARKVALGTAPHSGQQLLTAEQPELEAGGAVHQPSAPVGSSSSGGGGGSDPAFKPEHKRTRVLDKFMRAVWRVVTHQRLQRRLERIKEVLAHLGYDKQRLAEEAANPVLLVSESDRPGTAPTKYLRPEMVRVRPLPLYRDVLFQVHHATDLSHYTDFDELAPFTSKVRERLVPSGLVPPLSDYPTMPDACKNMPYITLEIGNRYGDDRVYGAPDPSYSPYGSLDVDYAVQPRQYDVYDSARHEAVASGGVRSLRGGPGLSDSWLVRQICPAVPTDEQLAKCGGTGIVNTIPN
XP_002373200.1 1 300 0.599281666666667 C2H2 type master regulator of conidiophore development brlA 421 0 12 300 0 Aspergillus oryzae (strain ATCC 42149 / RIB 40) (Yellow koji mold) SwissProt::Q2UQZ5 1 SwissProt MRTQNNLTVEVDCHSLGSNECPSMTSSFSPMDSPTPTPTSIYSQGSLASPGWQDAGSYPGHAYERHTGATPMRSAFRLAGMTSNENMGMSYGAMEAQERMPMPDFLSAYDDNVEHFWLPSDGPKTYETGTHSLPYPHTLPQCPPMVRSNYRPHAAYLPEAATNPCLSRSIFHHAERVPQSMSMGNMMPWIPQATESIAPQTIAPSQVGPVTPPPSYSEFPTSIQTFKTHSPTTPLRSCSIGTASGPDTPISRLSGGAADYLEDFQQSPPFRDGLNRLQRQPSRKMIRKQSSRQNMSLENL
XP_002379932.1 1 220 0.259949545454545 Sterigmatocystin 8-O-methyltransferase 418 0 12 220 0 Aspergillus flavus (strain ATCC 200026 / FGSC A1120 / NRRL 3357 / JCM 12722 / SRRC 167) P55790 1 SwissProt/TReMBL MTLPNKAALVGLAHTLSEQVKRYLVTADETKSPEDHKLCIEGERTPSSTEHAQAWEIVRTCDRIGSLVHGPVPWLLSNALSHLDSACLAAATQLNLQDIIVDGPSPTSLDTIVTATGVSEDLLRRILRGCAQRFIFEEVAPDQYAHTDASKMLRVTGIHALVGFSCDEVMRSAAYFSNFLQQTKGKPPSWNVPSPFSLAFDPTKGLFDYYSTVDEVRGRR
XP_002895918.1 1 100 0.331098 RxLR effector protein Avrblb2; Avirulence protein Avrblb2 100 0 12 100 0 Phytophthora infestans (strain T30-4) (Potato late blight fungus) SwissProt::D0P1A8 1 SwissProt MRSFLYGVLAFAVLARSSAVAAFPIPDESRPLSKTSPDTVAPRSLRIEAQEVIQSGRGDGYGGFWKNVAQSTNKIVKRPDIKIGKLIEAAKKAKAKMTKS
XP_005208086.1 1 177 0.392750847457627 PF00363.18:Casein:112:164 alpha-S1-casein isoform X25 177 53 12 177 0 Bos taurus XP_005208086.1 1 RefSeq MKLLILTCLVAVALARPKHPIKHQGLPQEVLNENLLRFFVAPFPEVFGKEKVNELSKDIGSESTEDQAMEDIKQMEAESISSSEEIVPNSVEQKHIQKEDVPSERYLGYLEQLLRLKKYKVPQLEIVPNSAEERLHSMKEGIHAQQKEPMIGVNQELAYFYPEKSQVNSEGLHSYGL
XP_005245027.1 1 794 0.171811083123426 PF05804.12:KAP:13:720 kinesin-associated protein 3 isoform X2 794 708 12 794 0 Homo sapiens XP_005245027.1 1 RefSeq MQGEDARYLKRKVKGGNIDVHPSEKALIVHYEVEATILGEMGDPMLGERKECQKIIRLKSLNANTDITSLARKVVEECKLIHPSKLNEVEQLLYYLQNRRDSLSGKEKKEKSSKPKDPPPFEGMEIDEVANINDMDEYIELLYEDIPDKVRGSALILQLARNPDNLEELLLNETALGALARVLREDWKQSVELATNIIYIFFCFSSFSQFHGLITHYKIGALCMNIIDHELKRHELWQEELSKKKKAVDEDPENQTLRKDYEKTFKKYQGLVVKQEQLLRVALYLLLNLAEDTRTELKMRNKNIVHMLVKALDRDNFELLILVVSFLKKLSIFMENKNDMVEMDIVEKLVKMIPCEHEDLLNITLRLLLNLSFDTGLRNKMVQVGLLPKLTALLGNDNYKQIAMCVLYHISMDDRFKSMFAYTDCIPQLMKMLFECSDERIDLELISFCINLAANKRNVQLICEGNGLKMLMKRALKFKDPLLMKMIRNISQHDGPTKNLFIDYVGDLAAQISNDEEEEFVIECLGTLANLTIPDLDWELVLKEYKLVPYLKDKLKPGAAEDDLVLEVVIMIGTVSMDDSCAALLAKSGIIPALIELLNAQQEDDEFVCQIIYVFYQMVFHQATRDVIIKETQAPAYLIDLMHDKNNEIRKVCDNTLDIIAEYDEEWAKKIQSEKFRWHNSQWLEMVESRQMDESEQYLYGDDRIEPYIHEGDILERPDLFYNSDGLIASEGAISPDFFNDYHLQNGDVVGQHSFPGRTSILLKGRVTGPPWNGWLWPTSWHSWTPCHSIWIPP
XP_005249511.1 1 230 0.0305647826086956 PF04750.14:Far-17a_AIG1:6:216 Androgen-dependent TFPI-regulating protein; Fatty acid esters of hydroxy fatty acids hydrolase ADTRP; FAHFA hydrolase ADTRP; EC 3.1.-.- 230 211 12 104 6 Homo sapiens (Human) SwissProt::Q96IZ2 0 SwissProt MTKTSTCIYHFLVLSWYTFLNYYISQEGKDEVKPKILANGARWKYMTLLNLLLQTIFYGVTCLDDVLKRTKGGKDIKFLTAFRDLLFTTLAFPVSTFVFLAFWILFLYNRDLIYPKVLDTVIPVWLNHAMHTFIFPITLAEVVLRPHSYPSKKTGLTLLAAASIAYISRILWLYFETGTWVYPVFAKLSLLGLAAFFSLSYVFIASIYLLGEKLNHWKWGDMRQPRKKRK
XP_005255684.1 30 384 0.286772676056338 PF13445.6:zf-RING_UBOX:102:126,PF00097.25:zf-C3HC4:102:131 E3 ubiquitin-protein ligase TRAF7 isoform X1 668 30 12 355 0 Homo sapiens XP_005255684.1 1 RefSeq METTFGPAFSAVTTITKADGTSTYKQHCRTPSSSSTLAYSPRDEEDSMPPISTPRRSDSAISVRSLHSESSMSLRSTFSLPEEEEEPEPLVFAEQPSVKLCCQLCCSVFKDPVITTCGHTFCRRCALKSEKCPVDNVKLTVVVNNIAVAEQIGELFIHCRHGCRVAGSGKPPIFEVDPRGCPFTIKLSARKDHEGSCDYRPVRCPNNPSCPPLLRMNLEAHLKECEHIKCPHSKCTFIGNQDTYETHLETCRFEGLKEFLQQTDDRFHEMHVALAQKDQEIAFLRSMLGKLSEKIDQLEKSLELKFDVLDENQSKLSEDLMEFRRDASMLNDELSHINARLNMGILGSYDPQQIF
XP_005259395.1 134 347 0.470174299065421 histone-lysine N-methyltransferase KMT5C isoform X2 347 0 12 214 0 Homo sapiens XP_005259395.1 1 RefSeq PALPPRPLDKYQLRETKRRLQQGLDSGSRQGLLGPRACVHPSPLRRDPFCAACQPLRLPACSARPDTSPLWLQWLPQPQPRVRPRKRRRPRPRRAPVLSTHHAARVSLHRWGGCGPHCRLRGEALVALGQPPHARWAPQQDWHWARRYGLPYVVRVDLRRLAPAPPATPAPAGTPGPILIPKQALAFAPFSPPKRLRLVVSHGSIDLDVGGEEL
XP_005266404.2 68 2149 0.278988952929875 PF15787.5:DUF4704:405:676,PF06469.11:DUF1088:1899:2065,PF13385.6:Laminin_G_3:175:335 neurobeachin isoform X1 2967 600 12 2082 0 Homo sapiens XP_005266404.2 1 RefSeq RNIRMKFAVLIGLIQVGEVSNRDIVETVLNLLVGGEFDLEMNFIIQDAESITCMTELLEHCDVTCQAEIWSMFTAILRKSVRNLQTSTEVGLIEQVLLKMSAVDDMIADLLVDMLGVLASYSITVKELKLLFSMLRGESGIWPRHAVKLLSVLNQMPQRHGPDTFFNFPGCSAAAIALPPIAKWPYQNGFTLNTWFRMDPLNNINVDKDKPYLYCFRTSKGVGYSAHFVGNCLIVTSLKSKGKGFQHCVKYDFQPRKWYMISIVHIYNRWRNSEIRCYVNGQLVSYGDMAWHVNTNDSYDKCFLGSSETADANRVFCGQLGAVYVFSEALNPAQIFAIHQLGPGYKSTFKFKSESDIHLAEHHKQVLYDGKLASSIAFTYNAKATDAQLCLESSPKENASIFVHSPHALMLQDVKAIVTHSIHSAIHSIGGIQVLFPLFAQLDNRQLNDSQVETTVCATLLAFLVELLKSSVAMQEQMLGGKGFLVIGYLLEKSSRVHITRAVLEQFLSFAKYLDGLSHGAPLLKQLCDHILFNPAIWIHTPAKVQLSLYTYLSAEFIGTATIYTTIRRVGTVLQLMHTLKYYYWVINPADSSGITPKGLDGPRPSQKEIISLRAFMLLFLKQLILKDRGVKEDELQSILNYLLTMHEDENIHDVLQLLVALMSEHPASMIPAFDQRNGIRVIYKLLASKSESIWVQALKVLGYFLKHLGHKRKVEIMHTHSLFTLLGERLMLHTNTVTVTTYNTLYEILTEQVCTQVVHKPHPEPDSTVKIQNPMILKVVATLLKNSTPSAELMEVRRLFLSDMIKLFSNSRENRRCLLQCSVWQDWMFSLGYINPKNSEEQKITEMVYNIFRILLYHAIKYEWGGWRVWVDTLSIAHSKVTYEAHKEYLAKMYEEYQRQEEENIKKGKKGNVSTISGLSSQTTGAKGGMEIREIEDLSQSQSPESETDYPVSTDTRDLLMSTKVSDDILGNSDRPGSGVHVEVHDLLVDIKAEKVEATEVKLDDMDLSPETLVGGENGALVEVESLLDNVYSAAVEKLQNNVHGSVGIIKKNEEKDNGPLITLADEKEDLPNSSTSFLFDKIPKQEEKLLPELSSNHIIPNIQDTQVHLGVSDDLGLLAHMTGSVDLTCTSSIIEEKEFKIHTTSDGMSSISERDLASSTKGLEYAEMTATTLETESSSSKIVPNIDAGSIISDTERSDDGKESGKEIRKIQTTTTTQAVQGRSITQQDRDLRVDLGFRGMPMTEEQRRQFSPGPRTTMFRIPEFKWSPMHQRLLTDLLFALETDVHVWRSHSTKSVMDFVNSNENIIFVHNTIHLISQMVDNIIIACGGILPLLSAATSPTGSKTELENIEVTQGMSAETAVTFLSRLMAMVDVLVFASSLNFSEIEAEKNMSSGGLMRQCLRLVCCVAVRNCLECRQRQRDRGNKSSHGSSKPQEVPQSVTATAASKTPLENVPGNLSPIKDPDRLLQDVDINRLRAVVFRDVDDSKQAQFLALAVVYFISVLMVSKYRDILEPQRETTRTGSQPGRNIRQEINSPTSTVVVIPSIPHPSLNHGFLAKLIPEQSFGHSFYKETPAAFPDTIKEKETPTPGEDIQVESSIPHTDSGIGEEQVASILNGAELETSTGPDAMSELLSTLSSEVKKSQESLTENPSETLKPATSISSISQTKGINVKEILKSLVAAPVEIAECGPEPIPYPDPALKRETQAILPMQFHSFDRSVVVPVKKPPPGSLAVTTVGATTAGSGLPTGSTSNIFAATGATPKSMINTTGAVDSGSSSSSSSSSFVNGATSKNLPAVQTVAPMPEDSAENMSITAKLERALEKVAPLLREIFVDFAPFLSRTLLGSHGQELLIEGLVCMKSSTSVVELVMLLCSQEWQNSIQKNAGLAFIELINEGRLLCHAMKDHIVRVANEAEFILNRQRAEDVHKHAEFESQCAQYAADRREEEKMCDHLISAAKHRDHVTANQLKQKILNILTNKHGAWGAVSHSQLHDFWRLDYWEDDLRRRRRFVRNAFGSTHAEALLKAAIEYGTEEDVVKSKKTFRSQAIVNQNAETELMLEGDDDAVSLLQEKEIDNLA
XP_005268830.1 1 544 0.233470404411765 PF00535.26:Glycos_transf_2:281:381 beta-1,4 N-acetylgalactosaminyltransferase 1 isoform X4 544 101 12 524 1 Homo sapiens XP_005268830.1 1 RefSeq MWLGRRALCALVLLLACASLGLLYASTRDAPGLRLPLAPWAPPQSPRRPELPDLAPEPRYAHIPVRIKEQVVGLLAWNNCSCESSGGGLPLPFQKQVRAIDLTKAFDPAELRAASATREQEFQAFLSRSQSPADQLLIAPANSPLQYPLQGVEVQPLRSILVPGLSLQAASGQEVYQVNLTASLGTWDVAGEVTGVTLTGEGQADLTLVSPGLDQLNRQLQLVTYSSRSYQTNTADTVRFSTEGHEAAFTIRIRHPPNPRLYPPGSLPQGAQYNISALVTIATKTFLRYDRLRALITSIRRFYPTVTVVIADDSDKPERVSGPYVEHYLMPFGKGWFAGRNLAVSQVTTKYVLWVDDDFVFTARTRLERLVDVLERTPLDLARLEATSLSLQVGGAVREISGFATTYRQLLSVEPGAPGLGNCLRQRRGFHHELVGFPGCVVTDGVVNFFLARTDKVREVGFDPRLSRVAHLEFFLDGLGSLRVGSCSDVVVDHASKLKLPWTSRDAGAETYARYRYPGSLDESQMAKHRLLFFKHRLQCMTSQ
XP_005273080.1 1 360 0.503225277777777 PF15964.5:CCCAP:6:356 serologically defined colon cancer antigen 8 isoform X14 360 351 12 360 0 Homo sapiens XP_005273080.1 1 RefSeq MAKSPENSTLEEILGQYQRSLREHASRSIHQLTCALKEGDVTIGEDAPNLSFSTSVGNEDARTAWPELQQSHAVNQLKDLLRQQADKESEVSPSRRRKMSPLRSLEHEETNMPTMHDLVHTINDQSQYIHHLEAEVKFCKEELSGMKNKIQVVVLENEGLQQQLKSQRQEETLREQTLLDASGNMHNSWITTGEDSGVGETSKRPFSHDNADFGKAASAGEQLELEKLKLTYEEKCEIEESQLKFLRNDLAEYQRTCEDLKEQLKHKEFLLAANTCNRVGGLCLKCAQHEAVLSQTHTNVHMQTIERLVKERDDLMSALVSVRSSLADTQQREASAYEQVKQVLQISEEANFEKTKHPSQ
XP_005273466.1 1 672 0.0787071428571429 PF07786.12:DUF1624:239:362 heparan-alpha-glucosaminide N-acetyltransferase isoform X1 672 124 12 454 10 Homo sapiens XP_005273466.1 1 RefSeq MSGAGRALAALLLAASVLSAALLAPGGSSGRDAQAAPPRDLDKKRHAELKMDQALLLIHNELLWTNLTVYWKSECCYHCLFQVLVNVPQSPKAGKPSAAAASVSTQHGSILQLNDTLEEKEVCRLEYRFGEFGNYSLLVKNIHNGVSEIACDLAVNEDPVDSNLPVSIAFLIGLAVIIVISFLRLLLSLDDFNNWISKAISSRETDRLINSELGSPSRTDPLDGDVQPATWRLSALPPRLRSVDTFRGIALILMVFVNYGGGKYWYFKHASWNGLTVADLVFPWFVFIMGSSIFLSMTSILQRGCSKFRLLGKIAWRSFLLICIGIIIVNPNYCLGPLSWDKVRIPGVLQRLGVTYFVVAVLELLFAKPVPEHCASERSCLSLRDITSSWPQWLLILVLEGLWLGLTFLLPVPGCPTGYLGPGGIGDFGKYPNCTGGAAGYIDRLLLGDDHLYQHPSSAVLYHTEVAYDPEGILGTINSIVMAFLGVQCCPDWVTKQACLTEPLSPLWRILFGPCLEVRATEPAQAGKILLYYKARTKDILIRFTAWCCILGLISVALTKVSENEGFIPVNKNLWSLSYVTTLSSFAFFILLVLYPVVDVKGLWTGTPFFYPGMNSILVYVGHEVFENYFPFQWKLKDNQSHKEHLTQNIVATALWVLIAYILYRKKIFWKI
XP_005662467.1 1 187 0.209336363636364 PF02199.15:SapA:31:59,PF03489.17:SapB_2:110:142,PF05184.15:SapB_1:66:103 pulmonary surfactant-associated protein B isoform X1 373 100 12 187 0 Sus scrofa XP_005662467.1 1 RefSeq MAKSHLLPWLLLLPTLCGPGTAIGTTSSPVCDQGPEFWCQSLEQALQCQALGHCLHQVWGHAPTDDLCQECEDIASILTKMAKEAIFQDTMRKFLEKECDVLPVKLLVPQCHHLLETYFPLVVDHFQSQMNLKAICKHLGLCKPEHPEPGQGPELTGSLLDKLALPLLPAGLQARPGPQTQDLSKQK
XP_006235054.1 1 245 0.0248008163265306 PF01384.20:PHO4:43:222 sodium-dependent phosphate transporter 1 isoform X2 245 180 12 179 3 Rattus norvegicus XP_006235054.1 1 RefSeq MASTLAPITSTLAAVTASAPPKYDNLWMLILGFIIAFVLAFSVGANDVANSFGTAVGSGVVTLKQACILASIFETVGSALLGAKVSETIRKGLIDVEKYNATQDLLMAGSVSAMFGSAVWQLVASFLKLPISGTHCIVGATIGFSLVAKGQEGIKWSELIKIVMSWFVSPLLSGIMSGILFFLVRAFILRKADPVPNGLRALPIFYACTIGINLFSIMYTGAPWLKSPRRWLACTHFRRLQASVC
XP_006245210.1 1 579 0.578612953367876 PF01271.17:Granin:28:319,PF01271.17:Granin:318:576 secretogranin-2 isoform X2 579 549 12 556 1 Rattus norvegicus XP_006245210.1 1 RefSeq MAESKAYRFGAVLLLIHLIFLVPGTEAASFQRNQLLQKEPDLRLENVQKFPSPEMIRALEYIEKLRQQAHREESSPDYNPYQGISVPLQLKENGEESHLAESSRDVLSEDEWMRIILEALRQAENEPPSALKENKPYALNLEKNFPVDTPDDYETQQWPERKLKHMRFPLMYEENSRENPFKRTNEIVEEQYTPQSLATLESVFQELGKLTGPSNQKRERVDEEQKLYTDDEDDVYKTNNIAYEDVVGGEDWSPMEEKIETQTQEEVRDSKENTEKNEQINEEMKRSGHLGLPDEGNRKESKDQLSEDASKVITYLRRNLQIPPEDLIEMLKAGEKPNGLVEPEQDLELAVDLDDIPEADIDRPDMFQSKTLSKGGYPKAPGRGMMEALPDGLSVEDILNVLGMENVANQKSPYFPNQYSRDKALLRLPYGPGKSRANQIPKVAWIPDVESRQAPYDNLNDKDQELGEYLARMLVKYPELMNTNQLKRVPSPGSSEDDLQEEEQLEQAIKEHLGQGSSQEMEKLAKVSKRIPAGSLKNEDTPNRQYLDEDMLLKVLEYLNQEQAEQGREHLAKRAMENM
XP_006256357.1 1 512 0.084935546875 PF01770.18:Folate_carrier:23:426,PF07690.16:MFS_1:76:400 Reduced folate transporter; Methotrexate carrier 1; MTX-1; MTX1; Reduced folate carrier 1; RFC-1; RFC1; Solute carrier family 19 member 1 512 404 12 248 12 Rattus norvegicus (Rat) SwissProt::Q62866 1 SwissProt MVPTGQVAEKQACEEPRQDRELKSWRWLVFYLCFFGFMAQLRPGESFITPYLLERNFTKEQVTNEIIPMLPYSHLAVLVPIFLLTDYLRYKPVLVLQCLSFVCVWLLLLLGTSVVHMQLMEVFYSITMAARIAYSSYIFSLVQPSRYQRMASYSRAAVLLGVFISSVLGQVLVTLGGISTYMLNCISLGFILFSLSLSLFLKRPKRSLFFNRSALVQGALPCELDQMHPGPGRPEPRKLERMLGTCRDSFLVRMLSELVKNVRQPQLRLWCLWWVFNSAGYYLITYYVHVLWKITDSRLNYNGAVDAASTLLSAITAFTAGFVNIRWALWSKLVIASVIAIQAGLVFCMFQIPDIWVCYVTFVLFRGAYQFLVPIATFQIASSLSKELCALVFGINTFLATALKTSITLVVSDKRGLGLQVHQQFRIYFMYFLTLSIICLAWAGLDGLRYYRRGRHQPLAQAQALSPLEDSVQAISLQDGDLRRPQPSAPQLLPEDGSVEDGRADLRVEAKA
XP_006498342.1 85 821 0.668171913161465 PF12171.8:zf-C2H2_jaz:522:546 cip1-interacting zinc finger protein isoform X7 821 25 12 737 0 Mus musculus XP_006498342.1 1 RefSeq LQRALLLQQLQGLDQFAMPPATYDGASLTMPTATLGNLRAFNVTAPSLAAPSLTPPQMVTPNLQQFFPQATRQSLLGPPPVGVPINPSQLNHSGRNTQKQARTPSSTTPNRKDSSSQTVPLEDREDPTEGSEEATELQMDTCEDQDSLVGPDSMLSEPQVPEPEPFETLEPPAKRCRRVRIKGIDHHNWLFAYLWIFASSEESTEKGPTGQPQARVQPQTQMTAPKQTQTPDRLPEPPEVQMLPRIQPQALQIQTQPKLLRQAQTQTSPEHLAPQQDQVEPQVPTQAQSQEQTSEKTQDQPQTWPQGSVPPPEQASGPACATEPQLSSHAAEAGSDPDKALPEPVSAQSSEDRSREASAGGLDLGECEKRAGEMLGMWGAGSSLKVTILQSSNSRAFNTTPLTSGPRPGDSTSATPAIASTPSKQSLQFFCYICKASSSSQQEFQDHMSEAQHQQRLGEIQHSSQTCLLSLLPMPRDILEKEAEDPPPKRWCNTCQVYYVGDLIQHRRTQEHKVAKQSLRPFCTICNRYFKTPRKFVEHVKSQGHKDKAQELKTLEKETGSPDEDHFITVDAVGCFESGQEEDEDDDEEEEEEGEIEAEEEFCKQVKPRETSSEQGKGSETYNPNTAYGEDFLVPVMGYVCQICHKFYDSNSELRLSHCKSLAHFENLQKYKAKNPSPPPTRPVSRKCAINARNALTALFTSSHQPSPQDTVKMPSKVKPGSPGLPPPLRRSTRLKT
XP_006503711.1 1 714 0.52246162464986 PF07546.13:EMI:1:29 EMILIN-1 isoform X1 919 29 12 714 0 Mus musculus XP_006503711.1 1 RefSeq MYRSFLRPRYRVAYKTVTDMEWRCCQGYGGDDCGEGPASVLGPAPSTPLPRPRPVRPNLSGSSAGSHLSGLGGEGPVESEKVQQLERQVKSLTKELQGLRGVLQGMNGRLAEDVQRAVDTVFNGRQQPADAAARPGVHETLSEIQQQLQLLDNRVSTHDQELGHLNNHHNGGPGGGGRASGPVPVPSGPSEELLRQLERQLQESCSVCLTGLDGFRQQQQEDRERLRTLEKLMSSMEERQQQLVGPAMARRPPQECCPPELGRRVSELERRLDVVTGSLTVLSGRRGSELGGAAGQGGHPPGYTSLASRLSRLEDRFNSTLGPSEEQEKNWPGGPGRLGHWLPAAPGRLEKLEGLLANVSRELGGRMDLLEEQVAGAVRTCGQICSGAPGEQDSRVNEILSALERRVLDSEGRLQLVGSGLHEAEAAGEAQQAVLEGLQGLLSRLRERMDAQEETAAEILLRLNLTAAQLSQLEGLLQARGDEGCGACGGVQEELGRLRDGVERCSCPLLPPRGPGAGPGVGGPSRGPLDGFSVFGGSSGSALQALQGELSEVILTFSSLNDSLHELQTTVEGQGADLADLGATKDSIISEINRLQQEATEHVTESEERFRGLEEGQAQAGQCPSLEGRLGRLEGVCERLDTVAGGLQGLREGLSRHVAGLWAAVRESNSTSLTQAALLEKLLGGQAGLGRRLGALNNSLLLLEDRLQQLSLKD
XP_006507026.1 1 689 0.106522786647315 PF03137.20:OATP:27:617,PF07690.16:MFS_1:33:425,PF07648.15:Kazal_2:455:503 solute carrier organic anion transporter family member 1B2 isoform X1 689 591 12 416 12 Mus musculus XP_006507026.1 1 RefSeq MDQTQHPSKAAQPLRSEKTRHCDGFRIFLAALSFSYICKALGGVIMKSSITQIERRFDIPSSISGLIDGGFEIGNLLVIVFVSYFGSKLHRPKLIGTGCFIMGIGSILTALPHFFMGYYRYATENDISSLHNSTLTCLVNQTTSLTGTSPEIMEKGCEKGSNSYTWIYVLMGNMLRGIGETPIVPLGVSYIDDFAKEGNSSMYLGTLHTIAMIGPILGFIMSSVFAKLYVDVGYVDLRSVRITPQDARWVGAWWLGFIVNGLLCIICSIPFFFLPKIPKRSQKERKNSASLHVLKTDEDKNPVTNPTTQEKQAPANLTGFLWSLRSILTNEQYVIFLILTLLQISSFIGSFTYLFKFIEQQFGQTASQANFLLGVITIPTMASGMFLGGYLIKRLKLTLLGITKFVFFTTTMAYVFYLSYFLLICENKAFAGLTLTYDGMNPVDSHIDVPLSYCNSDCICDKNQWEPVCGENGVTYISPCLAGCKSFRGDKKLMNIEFYDCSCVSGSGFQKGNHSARLGECPRDKCKTKYYFYITFQVIISFFTALGSTSLMLILIRSVQPELKSLGMGFHSLVVRTLGGILAPVYYGALIDRTCMKWSVTSCGARGACRLYNSRLFGMIYVGLSIALKTPILLLYVALIYVMKRKMKRNDNKILENGRKFTDEGNPEPVNNNGYSCVPSDEKNSETPL
XP_006508412.1 233 655 0.423025531914893 PF10186.9:Atg14:16:183 UV radiation resistance-associated protein isoform X1 655 168 12 423 0 Mus musculus XP_006508412.1 1 RefSeq SAFSTEHGKLQLQKDSLSELRKECTAKRELFLKTNAQLTIRCRQLLSELSYIYPIDLNENKDYFVCGVKLPNSEDFQAKDDGSIAVALGYTAHLVSMISFFLQVPLRYPIIHKGSRSTIKDNINDKLTEKEREFPLYPKGGEKLQFDYGVYLLNKNIAQLRYQHGLGTPDLRQTLPNLKNFMEHGLMVRCDRHHISNAIPVPKRQSSTFGGADGGFSAGIPSPDKVHRKRASSENERLQYKTPPPSYNSALTQPGVAMPTSGDSERKVAPLSSSLDTSLDFSKENKKAGVDLGSSVSGDHGNSDSGQEQGEALPGHLAAVNGTALPSEQAGPAGTLLPGSCHPAPSAELCCAVEQAEEIIGLEATGFTSGDQLEALSCIPVDSAVAVECDEQVLGEFEEFSRRIYALSENVSSFRRPRRSSDK
XP_006508795.1 1 100 0.933798 PF02188.17:GoLoco:28:48 Purkinje cell protein 2 isoform X5 100 21 12 100 0 Mus musculus XP_006508795.1 1 RefSeq MEEQRCSLQAGPGQNPESPEGGPAPEMDNLMDMLVNTQGRRMDDQRVTVNSLPGFQPIGPKDGMQKRPGTLSPQPLLTPQDPAALSFRRNSSPQPQTQAP
XP_006522053.1 38 132 0.539194736842105 tumor necrosis factor receptor superfamily member 17 isoform X2 132 0 12 95 0 Mus musculus XP_006522053.1 1 RefSeq PRSAQLDKADTELTRIRAGDDRIFPRSLEYTVEECTCEDCVKSKPKGDSDHFFPLPAMEEGATILVTTKTGDYGKSSVPTALQSVMGMEKPTHTR
XP_006522563.1 1 949 0.497751738672287 PF13778.6:DUF4174:142:270,PF13778.6:DUF4174:615:747,PF13778.6:DUF4174:770:901 Coiled-coil domain-containing protein 80; Up-regulated in BRS-3 deficient mouse 949 394 12 949 0 Mus musculus (Mouse) SwissProt::Q8R2G6 1 SwissProt MMWKMGPHFTTLLAMWLVCGSASHSPALDSDSHTGRKVPLVSPISSRSARYLRHTGRSGGVEKSTQEEPNPQPFQRRKSVPVLRLAHPTMRPPPSGINGVPVRPEVRPIARSSAREMVRDEGSSARTRMLRFPSGSSSPNILASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELAERHIQQIVLFHQAGEEGGKVRRITNEGQILEQPLDPNLIPKLMSFLKLEKGKFSMVLLKKTLQVEERYPYPVRLEAMYEVIDQGPIRRIEKIRQKGFVQKCKASGIEGHVVQEGNEGGGGAGGTGLGGDKRKEDPRRTQVHPTREAPRKQATSKAATPQPPPTPRATTLPPAPVTTATRATSRVVTIAARPTTTTAYPATQRPWTSRLHPFSVSHRPPATAEVTTARGPSVSEQLYPLPRKEQQREKPQATRRPSKATNYGSFTATPPPTLWEVSARVVGTSRFRDNRTDKREHGHQDPNAVPGPHKPVKGKLPKKKDRILSNEYEDKYDLSQPTSSQGEEERQVDSVPSQNAKESKKLEKLEKPEKEKKKKGKSAKQDKLLKSEKQAKKAEKKTKQEKDKNKKKKAGKTEQDDNQKPTAKHLAPSPKKSVADLLGSFEGKRRLLLITTPKAENNMYVQQRDEYLESFCKMATRRISVVTIFGPVNNSSMKIDHFQLDNEKPMRVVDDDDLVDQHLISELRKEYGMTYDDFFMVLTDVDLRVKQYYEVPIAMKSVFDLIDTFQSRIKDMEKQKKEGIACKEDKRQSLENFLSRFRWRRRLLVISAPNDEDWAYSQQLSALNGQACNFGLRHITILKLLGVGEEVGGVLELFPINGSSIVEREDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVYDLIDSMQLRRQEMAIQQSLGMRCPEDEYAGYGYHSYHQGYQDGYQDDYRHHESYHHGYPY
XP_006522568.1 130 320 0.805362303664921 ADP-ribosylation factor-like protein 13B isoform X3 320 0 12 191 0 Mus musculus XP_006522568.1 1 RefSeq LDGTSGLAEIDSGPVLANPFQPIAAVIIENEKKQEKEKKKQTVEKDSDVGLLEHKVEPEQAAPQSEADCCLQNPDERVVDSYREALSQQLDSEDEQDQRGSESGENSKKKTKKLRMKRSHRVEPVNTDESTPKSPTPPQPPPPVGWGTPKVTRLPKLEPLGETRHNDFYGKPLPPLAVRQRPNGDAQDTIS
XP_006527535.1 1 632 0.490044620253165 PF14545.6:DBB:2:140 phosphoinositide 3-kinase adapter protein 1 isoform X1 632 139 12 632 0 Mus musculus XP_006527535.1 1 RefSeq MVVQPDRIRCGAETTVYIIVRCKLDEKVSTEAEFSPEDSPSIRVEGTLENEYTVSVKAPDLSSGNVSLKVYSGDLVVCETTVSYYTDMEEIGNLLSSAANPVEFMCQAFKIVPYNTETLDKLLTESLKNNIPASGLHLFGINQLEEDDMMTNQRDEELPTLLHFAAKYGLKNLTALLLTCPGALQAYSVANKHGHYPNTIAEKHGFRDLRQFIDEYVETVDMLKTHIKEELMQGEEADDVYESMAHLSTDLLMKCSLNPGCDDELYESMAAFAPAATEDLYVEMLQASAGNPVSGESFSRPTKDSMIRKFLEGNSVKPASWEREQHHPYGEELYHIVDEDETFSVDLANRPPVPVPRPEASAPGPPPPPDNEPYISKVFAEKSQERLGNFYVSSESIRKEPLVRPWRDRPPSSIYDPFAGMKTPGQRQLITLQEQVKLGIVNVDEAVLHFKEWQLNQKKRSESFRFQQENLKRLRESITRRRKEKPKSGKHTDLEITVPIRHSQHLPEKVEFGVYESGPRKSVLPARTELRRGDWKTDSMSSTASSTSNRSSTRSLLSVSSGMEGDNEDNEIPEITRSRGPGPTQVDGAPVVTGTPVGTLERPPRVPPRAASQRPLTRESFHPPPPVPPRGR
XP_006528307.1 1 263 0.114037262357414 PF01553.21:Acyltransferase:41:185 tafazzin isoform X1 263 145 12 242 1 Mus musculus XP_006528307.1 1 RefSeq MPLHVKWPFPAVPRLTWTLASSVVMGLVGTYSCFWTKYMNHLTVHNKEVLYELIENRGPATPLITVSNHQSCMDDPHLWGILKLRHIWNLKLMRWTPAAADICFTKELHSHFFSLGKCVPVCRGDGVYQKGMDFILEKLNHGDWVHIFPEGKVNMSSEFLRFKWVGIGRLIAECHLNPIILPLWHVGMNDVLPNSPPYFPRFGQKITVLIGKPFSTLPVLERLRAENKSAVEMRKALTDFIQEEFQRLKMQAEQLHNHFQPGR
XP_006534936.1 618 3962 0.266124813153961 PF16184.5:Cadherin_3:436:532,PF16184.5:Cadherin_3:542:644,PF16184.5:Cadherin_3:649:775,PF16184.5:Cadherin_3:785:879,PF16184.5:Cadherin_3:915:1028,PF16184.5:Cadherin_3:1035:1148,PF16184.5:Cadherin_3:1155:1275,PF16184.5:Cadherin_3:1279:1396,PF16184.5:Cadherin_3:1403:1516,PF16184.5:Cadherin_3:1524:1630,PF16184.5:Cadherin_3:1633:1743,PF16184.5:Cadherin_3:1761:1874,PF03160.14:Calx-beta:1891:1983,PF03160.14:Calx-beta:1997:2106,PF03160.14:Calx-beta:2125:2226,PF03160.14:Calx-beta:2242:2343,PF03160.14:Calx-beta:2363:2465,PF17963.1:Big_9:1635:1744 extracellular matrix protein FRAS1 isoform X1 3962 1846 12 3322 1 Mus musculus XP_006534936.1 1 RefSeq PSHCTQCKKPEAGLLVEQHSGENVPYGKCVSRCGTHFYLESTGLCEVCHPSCLTCEGKSPHNCTGCESTHALLAGCCVSQCPETHFNLEGTCTECHPSCRQCHGPLESDCVSCHPHLTLTSGHCKTSCKEEQFLNLVGYCADCHPLCQHCVANLQDTGSICLKCQHARHLLLGDHCVPECPPGHYKERGTCKTCHSSCRSCQNGGPFSCSSCDTGLVLTHIGTCSTACFPGHYLDDNQVCQPCNRHCRSCDSQGSCTSCRDPSKVLLFGECQYESCTPQYYLDIATKTCKECDWSCNACTGPLRTDCLQCMDGYVLQDGVCVEQCSPQHYRDSGSCKRCDSHCVECQGPHECTRCEEPFLLFQAQCVQECGKGYFADHAKHRCIACPQGCLRCSHKDRCHLCDHSFFLKSGLCMPTCVPGFSGHSSNENCTDKMYTPSLHVNGSLTLGIGSMKPLDFSLLNIQHQDGRVEDLLFHVVSTPTNGQLLLSRNGKEVQLEKAGHFSWKDVNEKKVRFVHSKEKLRKGYFSLKISDQQFFSEPQLINIQAFSTQAPYVLRNEVLHVSKGERATITTQLLDIRDDDNPQDVVVNVLDPPLHGQLLQMPPAPAASIYQFHLDELSRGLLLYAHDGSDSTSDIIVFQANDGHSFQNILFHVKNIPKNDRALRLVTNSMVWVPEGGMLKITNRILKAQAPGVRADDIIYKITHSRPQFGEVVLLMNLPADSPAGPAEEGHHLPDGRMATPISTFTQQDIDDGVVWYRHLGAPTQSDSFRFQVSSATSAQEHLESHMFNIAILPQAPEAPKLSLGTSLHMTAREDGLSVIQPQSLSFVKAESPSGKIIYNITVPLHPNQGIIEHRDRPHSPIQYFTQEDINQGQIMYRPPVAPPHLQEIMAFSFAGLPESVKFYFTVSDGQHTSPEMALTIHLLHSDLQPPAFQVKAPLLEVSPGGRTSLGLQLLVRDAQVVPEELFFQLQKSPQHGMLVKYTAKSSVTMAAGDTFTYDEVERNVLQYVHDGSSAWEDSLEISVTDGLTVTTSEVKVEVSPSENRGPRLAPGSSLSMTVASQHTAIITRSHLAYVDDSSSDPEIWIRLSSLPLYGVLFRSSGPDMDELSGDSNFTMEDINKKNIRYSAVFETDGHSVTDGFHFSVSDMDGNHVDNQVFTITVTPAENPPHIIAFADLITVDEGGRAPLSLHHFFATEDQDNLQDDAVIKLSALPKYGCIENTGTGDRFGPGANSELEASFPIQDVLENYIYYFQSVHESIEPTHDVFSFYVSDGSGRSEIHSINITIERKNDEPPRMTLRPLGVRLSSGVAISNSSLSLQDLDTPDNELIFVLMKKPDHGHLLRRSTASDPLENGTVLDQGSSFTYQDVLAGLVGYLPGDIYMAVDEFRFSLTDGLHVDTGRMEIYIELPSTNIPHLAINRGLQLSAGSVARITEQHLKATDTDSEAGQVVYIMKEDPGAGRLLMAKADNLEQISVRGPIRSFTQADVSQGQIEYSHGPGEPGGSFAFKFDVVDGEGNKLADQSFSIGVLEDKSPPVVITNRGLVLDENSVEKITTAQLSATDQDSKPTELIYRITTQPQLGHLEHVASPGIQISSFTQADLASRNVQYVRSSGTGKQSDAFSFVLSDGLHEVTQTFPITIHPVDDARPLVQNRGMRVQEGVRKTITEFELKAVDVDTEAESITFTIVQPPRHGTIERTARGQRFHQTSSFTMEDIYQNRVSYSHDGSNSLKDRFTFTVSDGTNPFFIIEEGGEEIMTAAPQQFHVDILPVDDGTPRIVTNLGLQWLEYMDGKATNLITKKELLTVDPDTEDSQLIYEVTTGPMHGYLENKLQPGRAAATFTQEHVNLGLIRYVLYEEKIQKVMDSFQFLVKDSKPNVVSDNVFHIQWSLISFKYTSYNVSEKAGSVSVTVQRTGNLNQYAIVLCRTEQGTASSSSHPGQQDYMEYAGQVQFDEGEGTKSCTVIINDDDVFENIESFTVGLSMPAYALLGEFTQAKVVINDTEDEPTLEFDKKTYRVNESAGFLFAPIKRQGDSSSTVSAVCYTVPKSAMGSSLYALESGSDFKSRGRSAESRVIFGPGVTVSTCDVMVIDDSEYEEEEEFEIALADASNNARIGRQAVAKVLISGPNDASTVSLGNTAFTISEDAGTVKIPVIRHGTDLSTFTSVWCATRPSDPASATPGVDYVPSSRKVEFGPGITEQYCTLTILDDTQYPVIEGLETFVVFLSSAQGAELTKPSQAVIAINDTFQDVPSMQFSKDLLLVKEKEGVLHIPIIRSGDLSYESSVRCYTQGHSAQVMEDFEERRNADSSRITFLKGQKTKNCTVYIHDDSMFEPEEQFRVYLGHPLGNHWSGARIGKNSVATVTISNDEDAPTIEFEEAAYQVREPAGPEAIAVLSIKVIRRGDQNRTSKIRCSTRDGSAQSGVDYYPKSRVLKFSPGVDHIFFKVEILSNEDREWHESFSLVLGPDDLVEAVLGDVTTATVTILDQEAAGSLILPAPPIVVTLADYDHVEELAKEGVKKAPSPGYPLVCVTPCDPRYPRYAVMKERCSEAGINQTSVQFSWEVAAPTDGNGARSPFETITDNTPFTSVNHKVLDSIYFSRRFHVRCVAKAVDKVGHVGTPLRSNVVTIGTDSAICHTPVVAGTARGFQAQSFIATLKYLDVKHKEHPNRIHISVQIPHQDGMLPLISTMPLHNLHFLLSESIYRHQHVCSNLVTAQDLRGLAEAGFLNDAGFHSTALGPGYDRPFQFDSSVREPKTIQLYRHLNLKSCVWTFDAYYDMTELIDVCGGSVTADFQVRDSAQSFLTVHVPLYVSYIYVTAPRGWASLEHHTEMEFSFFYDTVLWRTGIQTDSVLSARLQIIRIYIREDGRLVIEFKTHAKFRGQFVIEHHTLPDVKSFILTPDHLGGIQFDLQLLWSAQTFDSPHQLWRATSSYNRKDYSGEYTIYLIPCTVQPTQPWVDPGEKALACTAHAPERFLIPIAFQQTNRPVPVVYSLNTEFQLCNNEKVFLMDPNTSDMSLAEMDYKGAFSKGQILYGRVLWNPEQNLHSAYKLQLEKVYLCTGKDGYVPFFDPTGTIYNEGPQYGCIQPNKHLKHRFLLLDRSQPEVTDKYFHDVPFEAHFASELPDFQVVSSMPGVDGFTLKVDALYKVEAGHQWYLQVIYIIGPDSTSRPRVQRSLTVSLRRHQRDLVDPSGWLSLDDSLIYDNEGDQVKNGTNMKSLNLEMQEPVIAASLSQTGASIGSALAAIMLLLLLFLVACFVTRKCQKQKKKQPPEDTLEEYPLNTKVDVAKRNADKVEKNANRQYCTVRNVNILSDNEGYYTFKGAKVKKLNLEVRVHNNLQDGTEV
XP_006539137.1 620 2779 0.801450231481485 msx2-interacting protein isoform X4 2975 0 12 2160 0 Mus musculus XP_006539137.1 1 RefSeq AGQMAQSKHEDWSADAQSPHKCREERRGSYEYSQERTYYENVRTPGTYPEDSRRDYPARGREFYSEWETYQGEYYDSRYYDEPREYREYRSDPYEQDIREYSYRQRERERERERFESDRDHERRPIERSQSPVHLRRPQSPGVSPAHSERLPSDSERRLYRRSSERSGSCSSVSPPRYDKLEKARLERYTKNEKADKERTFDPERVERERRIVRKEKGEKDKAERQKRKGKAHSPSSQPSETEQENDREQSPEKPRGSTKLSRDRADKEGPAKNRLELVPCVVLTRVKEKEGKVIEHPPPEKLKARLGRDTTKASALDQKPQAAQGEPAKSDPARGKALREKVLPSHAEVGEKEGRTKLRKHLKAEQTPELSALDLEKLEARKRRFADSGLKIEKQKPEIKKTSPETEDTRILLKKQPDTSRDGVLLREGESERKPVRKEILKRESKKTKLERLNSALSPKDCQDPAAVSAGSGSRPSSDVHAGLGELTHGSVETQETQPKKAIPSKPQPKQLQLLENQGPEKEEVRKNYCRPREEPAEHRAGQEKPHGGNAEEKLGIDIDHTQSYRKQMEQSRRKQRMEMEIAKAEKFGSPKKDVDDYERRSLVHEVGKPPQDVTDDSPPSKKRRTDHVDFDICTKRERNYRSSRQISEDSERTSCSPSVRHGSFHDDDDPRGSPRLVSVKGSPKGDEKGLPYPNAAVRDDPLKCNPYDSGKREQTADTAKIKLSVLNSEGEPSRWDPPMKQDPSRFDVSFPNSVIKRDSLRKRSVRDLEPGEVPSDSDEDAEHRSQSPRASSFYDSPRLSFLLRDRDQKLRERDERLASSLERNKFYSFALDKTITPDTKALLERAKSLSSSREENWSFLDWDSRFANFRNNKDKEKVDSAPRPIPSWYMKKKKIRTDSEGKLADKKDERREEEQERQELFASRFLHSSIFEQDSKRLQHLERKSEEPDLPPGGLYGRQASEGANSTSDSVQEPVVLFHSRFMELTRMQQKEKEKDQKPKEAEKQEEPETHPKTPEPAAETKEPEPKAPVSAGLPAVTVTVVTPEPASSAPEKAEEAAEAPSPAGEKPAEPAPVSEETKLVSEPASVPVEQPRQSDVPPGEDSRDSQDSAALAPSAPQESAATDAVPCVNAEPLTPGTTVSQVESSVDPKPSSPQPLSKLTQRSEEAEEGKVEKPDTTPSTEPDATQNAGVASEAQPPASEDVEANPPVAAKDRKTNKSKRSKTSVQAAAASVVEKPVTRKSERIDREKLKRSSSPRGEAQKLLELKMEAEKITRTASKSSGGDTEHPEPSLPLSRSRRRNVRSVYATMTDHESRSPAKEPVEQPRVTRKRLERELQEAVVPPTTPRRGRPPKTRRRAEEDGEHERKEPAETPRPAEGWRSPRSQKSAAAAGPQGKRGRNEQKVEAAAEAGAQASTREGNPKSRGEREAASEPKRDRRDPSTDKNGPDTFPVEVLERKPPEKTYKSKRGRARSTRSAMDRAAHQRSLEMAARAAGQAADKEAGPAAASPQESESPQKGSGSSPQLANNPADPDREAEEESASASTAPPEGTQLARQIELEQAVQNIAKLPEPSAAAASKGTATATAASEEPAPEHGHKPAHQASETELAAAIGSIISDASGEPENFSAPPSVPPGSQTHPREGMEPGLHEAESGILETGTATESSAPQVSALDPPEGSADTKETRGNSGDSVQEAKGSKVEVTPPRKDKGRQKTTRRRKRNANKKVVAITETRASEAEQTQSESPAAEEATAATPEAPQEEKQSEKPPSPPAECTFDPSKTPPAESLSQENSAAEKTPCKAPVLPALPPLSQPALMDDGPQARFKVHSIIESDPVTPPSDSGIPPPTIPLVTIAKLPPPVIPGGVPHQSPPPKVTEWITRQEEPRAQSTPSPALPPDTKASDMDTSSSTLRKILMDPKYVSATGVTSTSVTSAIAEPVSAPCLQEAPAPPCDPKHPPLEGVSAAAVPNADTQASEVPVAADKEKVAPVIAPKITSVISRMPVSIDLENSQKITLAKPAPQTLTGLVPPEGEPLQSTQSAQPAPSTQATQPIPPAPPCQPSQLSQPAQPPSGKIPQVSQEAKGTQTGGVEQTRLPAIPTNRPSEPHAQLQRAPVETAQPAHPSPVSVSMKPDLPSPLSSQAAPKQPLFVPANSGPSTPP
XP_006712543.1 187 578 0.480057908163266 arf-GAP domain and FG repeat-containing protein 1 isoform X4 578 0 12 392 0 Homo sapiens XP_006712543.1 1 RefSeq SQGQQQEKKQFDLLSDLGSDIFAAPAPQSTATANFANFAHFNSHAAQNSANADFANFDAFGQSSGSSNFGGFPTASHSPFQPQTTGGSAASVNANFAHFDNFPKSSSADFGTFNTSQSHQTASAVSKVSTNKAGLQTADKYAALANLDNIFSAGQGGDQGSGFGTTGKAPVGSVVSVPSQSSASSDKYAALAELDSVFSSAATSSNAYTSTSNASSNVFGTVPVVASAQTQPASSSVPAPFGATPSTNPFVAAAGPSVASSTNPFQTNARGATGLSGAMHSQVFPHAHFAATFGTASMSMPTGFGTPAPYSLPTSFSGSFQQPAFPAQAAFPQQTAFSQQPNGAGFAAFGQTKPVVTPFGQVAAAGVSSNPFMTGAPTGQFPTGSSSTNPFL
XP_006714479.1 1 548 0.0937728102189781 PF12062.8:HSNSD:20:506 Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 3; Glucosaminyl N-deacetylase/N-sulfotransferase 3; NDST-3; hNDST-3; N-heparan sulfate sulfotransferase 3; N-HSST 3; EC 2.8.2.8; EC 3.-.-.-; EC 2.8.2.- 873 487 12 525 1 Homo sapiens (Human) SwissProt::O95803 1 SwissProt MSFIMKLHRHFQRTVILLATFCMVSIIISAYYLYSGYKQENELSETASEVDCGDLQHLPYQLMEVKAMKLFDASRTDPTVLVFVESQYSSLGQDIIMILESSRFQYHIEIAPGKGDLPVLIDKMKGKYILIIYENILKYINMDSWNRSLLDKYCVEYGVGVIGFHKTSEKSVQSFQLKGFPFSIYGNLAVKDCCINPHSPLIRVTKSSKLEKGSLPGTDWTVFQINHSAYQPVIFAKVKTPENLSPSISKGAFYATIIHDLGLHDGIQRVLFGNNLNFWLHKLIFIDAISFLSGKRLTLSLDRYILVDIDDIFVGKEGTRMNTNDVKALLDTQNLLRAQITNFTFNLGFSGKFYHTGTEEEDEGDDCLLGSVDEFWWFPHMWSHMQPHLFHNESSLVEQMILNKKFALEHGIPTDMGYAVAPHHSGVYPVHVQLYEAWKKVWNIKITSTEEYPHLKPARYRRGFIHKNIMVLPRQTCGLFTHTIFYKEYPGGPKELDKSIQGGELFFTVVLNPISIFMTHLSNYGNDRLGLYTFVNLANFVKSWTNLR
XP_006716329.1 1 416 0.703347115384615 PF02208.16:Sorb:159:195 vinexin isoform X1 710 37 12 416 0 Homo sapiens XP_006716329.1 1 RefSeq MARIPGVGRASASPSWEKKEESESDVVLLSPKDPDRGHAEEQLACPESSHLDPSMQGPPRSLRAGLSLDDFIPGHLQSHIGSSSRGTRFHDPAPRTVCNGGYTPRRDASQHPDPAWYQTWPGPGSKPSASTKIPASQHTQNWSATWTKDSKRRDKRWVKYEGIGPVDESGMPIAPRSSVDRPRDWYRRMFQQIHRKMPDLQLDWTFEEPPRDPRHLGAQQRPAHRPGPATSSSGRSWDHSEELPRSTFNYRPGAFSTVLQPSNQVLRRREKVDNVWTEESWNQFLQELETGQRPKKPLVDDPGEKPSQPIEVLLERELAELSAELDKDLRAIETRLPSPKSSPAPRRAPEQRPPAGPASAWSSSYPHAPYLGSARSLSPHKMADGGSPFLGRRDFVYPSSTRDPSASNGGGSPARR
XP_011237324.1 1 438 0.175250684931507 PF00852.19:Glyco_transf_10:265:436,PF17039.5:Glyco_tran_10_N:141:250 alpha-(1,3)-fucosyltransferase 7 isoform X1 438 282 12 415 1 Mus musculus XP_011237324.1 1 RefSeq MGRALLRCQSACTRLCPGHGTAVSFLSLLEGNQTSLDLRLGLAFLFLEWMPTPCPPACLSTPGTHRLLPFPDWKAPSWESRKEATCNSSSPGPWAEPTVQGYHPTRRLRAWGGLAGGATFMVIWFFWLWGSAPGSAPVPQSTLTILIWHWPFTNRPPELPGDTCTRYGMASCRLSANRSLLASADAVVFHHRELQTRQSLLPLDQRPHGQPWVWASMESPSNTHGLHRFRGIFNWVLSYRRDSDIFVPYGRLEPLSGPTSPLPAKSRMAAWVISNFQERQQRAKLYRQLAPHLQVDVFGRASGRPLCANCLLPTLARYRFYLAFENSQHRDYITEKFWRNALAAGAVPVALGPPRATYEAFVPPDAFVHVDDFSSARELAVFLVSMNESRYRGFFAWRDRLRVRLLGDWRERFCTICARYPYLPRSQVYEDLESWFQA
XP_011509576.1 1 1328 0.675878990963856 rho guanine nucleotide exchange factor 4 isoform X2 1870 0 12 1328 0 Homo sapiens XP_011509576.1 1 RefSeq MLSVVHFLRSFFKTPEPGAHLPGEGEIEDNQLPTSPAEQVEQGWNQQTDRDDSETLSQQSESGSDTKTDPFESASDTESLSGYLPRGVFHPLRGTPVDIEAPWEYPDVSATGPPQEQHLTSVPGLHAKEELDLSPSLEDDSCKNGWRAFATVAGEQEAGHLWDCATSLERESLLAGVPRHTGCCLQRATDSSGPEPVQGVAVQDLRGLSSVSLQKSRSESYLGIPVVWPFLLWCCELGRSWPHIHNRARALVLPSRGPLDNTAPLGTRTKKESTLGPAGDTELLWSQPHSDVPCQPPLRTSCLLRTNRHHSAPETTGDKNRASPRLNCGHMRALVRAHSIAGFSPECPEDPVGQNVVKSGTHVKEGAKNERDPRIQNIPSPAPTQLSGPIPAFQSGAPHLQGPCKPGGFRLQRASQDTPSAGLLGENQLRQDSRSCLVASCLTSELVKLSAEEVPEPAECKSEQSPESRTQEPQGTQLAPRAADERETQKHLWGISVQAGNQTSNYTSKYVLSEESKSPTRAKFPRQPSSEGTQVWSGDLMGCLEVSDSSDAPETTQKSSAIDTSKAAEEAMVLDPNYREQALQGLSEFKAATVSHCGPGAEEGEQGPGGAGGRQLEPKAGGEASRGRGALIIVAVEQKGLQASRSNAGPVPETLPRDFPKERPESPLSTGETPCESPTRGKTPAGNECELPAAPIQGAGDGALQRVAQAAELGRVLVPQAASEETPSTEEPPGERLRGESRSSGSGERGPEEAPEGGAAAARGQRPRVPALEPPQPPRGLRKGAQEPGKRPTFSKVTSFRKGRPLATESPGGVPAPTTEGRRWGSSGPEGLPRENPPAAAGRDAPPLHHGDASAWPEFVPQAAGDRTAGPAGAGHTGTSGDLGSRGPSSESCNAKRLKTTEKKLRARLALAHKTFSNFIESIVLEKENTHERSPSSPKGEKEKSRLRQGSWRAFLKSKDAGSPKKPTLVSLPLGPEVLSPAETDSHCEERAEDKEGYVFSDHWAPPLASTPLSSSLVSPEHRRKSEPTIKCTATQEGGRYLPSGIFPEKSWLASPGSPRAQQAGIAHTLPSSSACCLAYENPGTPCRPTSPKPLSPRPSAQRMGLHYPGRGSAISMVSLGSYSYVDSSSGDPERPKIPKGQTSFLLSLQTLNQDEQKEESREGGQGPRGLGTVPWLRDLPGSENHMPWEEPAGEKPSCSHSQKAFHMEPAQKPCFTTDMVTWALLCISAETVRGEAPSQPRGIPHRSPVSVDDLWLEKTQRKKLQKQAHVERRLHIGAVHKDGVKCWRKTIITSPESLNLPRRSHPLSQSAPTGLNHMGWPEHTPGT
XP_011510001.1 1 211 0.222345497630332 PF07448.11:Spp-24:73:136,PF00666.17:Cathelicidins:39:134 Secreted phosphoprotein 24; Spp-24; Secreted phosphoprotein 2 211 98 12 211 0 Homo sapiens (Human) SwissProt::Q13103 1 SwissProt MISRMEKMTMMMKILIMFALGMNYWSCSGFPVYDYDPSSLRDALSASVVKVNSQSLSPYLFRAFRSSLKRVEVLDENNLVMNLEFSIRETTCRKDSGEDPATCAFQRDYYVSTAVCRSTVKVSAQQVQGVHARCSWSSSTSESYSSEEMIFGDMLGSHKWRNNYLFGLISDESISEQFYDRSLGIMRRVLPPGNRRYPNHRHRARINTDFE
XP_011512176.1 1 111 0.805279279279279 coiled-coil and C2 domain-containing protein 2A isoform X4 111 0 12 111 0 Homo sapiens XP_011512176.1 1 RefSeq MNPREEKVKIITEEFIENDEDADMGRQNKNSKVRRQPRKKQPPTAVPKEMVSEKSHLGNPQEPVQEEPKTRLLSMTVRRGPRRELVVKKSLGRPGTVTHVCNPSTLEGRGG
XP_011513779.1 226 1269 0.601952969348659 PF10409.9:PTEN_C2:52:177 tensin-3 isoform X4 1548 126 12 1044 0 Homo sapiens XP_011513779.1 1 RefSeq HFTNVSASADQALDRFAMKKFYDDKVSALMQPSQKRYVQFLSGLLSGSVKMNASPLFLHFVILHGTPNFDTGGVCRPFLKLYQAMQPVYTSGIYNVGPENPSRICIVIEPAQLLKGDVMVKCYHKKYRSATRDVIFRLQFHTGAVQGYGLVFGKEDLDNASKDDRFPDYGKVELVFSATPEKIQGSEHLYNDHGVIVDYNTTDPLIRWDSYENLSADGEVLHTQGPVDGSLYAKVRKKSSSDPGIPGGPQAIPATNSPDHSDHTLSVSSDSGHSTASARTDKTEERLAPGTRRGLSAQEKAELDQLLSGFGLEDPGSSLKEMTDARSKYSGTRHVVPAQVHVNGDAALKDRETDILDDEMPHHDLHSVDSLGTLSSSEGPQSAHLGPFTCHKSSQNSLLSDGFGSNVGEDPQGTLVPDLGLGMDGPYERERTFGSREPKQPQPLLRKPSVSAQMQAYGQSSYSTQTWVRQQQMVVAHQYSFAPDGEARLVSRCPADNPGLVQAQPRVPLTPTRGTSSRVAVQRGVGSGPHPPDTQQPSPSKAFKPRFPGDQVVNGAGPELSTGPSPGSPTLDIDQSIEQLNRLILELDPTFEPIPTHMNALGSQANGSVSPDSVGGGLRASSRLPDTGEGPSRATGRQGSSAEQPLGGRLRKLSLGQYDNDAGGQLPFSKCAWGKAGVDYAPNLPPFPSPADVKETMTPGYPQDLDIIDGRILSSKESMCSTPAFPVSPETPYVKTALRHPPFSPPEPPLSSPASQHKGGREPRSCPETLTHAVGMSESPIGPKSTMLRADASSTPSFQQAFASSCTISSNGPGQRRESSSSAERQWVESSPKPMVSLLGSGRPTGSPLSAEFSGTRKDSPVLSCFPPSELQAPFHSHELSLAEPPDSLAPPSSQAFLGFGTAPVGSGLPPEEDLGALLANSHGASPTPSIPLTATGAADNGFLSHNFLTVAPGHSSHHSPGLQGQGVTLPGQPPLPEKKRASEGDRSLGSVSPSSSGFSSPHSGSTISIPFPNVLPDFSKASEAASPLPDSPGDKLVIVKFVQ
XP_011522253.1 1 448 0.124626339285714 PF06237.12:DUF1011:279:374 Solute carrier family 52, riboflavin transporter, member 1; Porcine endogenous retrovirus A receptor 2; PERV-A receptor 2; huPAR-2; Protein GPR172B; Riboflavin transporter 1; hRFT1 448 96 12 195 11 Homo sapiens (Human) SwissProt::Q9NWF4 1 SwissProt MAAPTLGRLVLTHLLVALFGMGSWAAVNGIWVELPVVVKDLPEGWSLPSYLSVVVALGNLGLLVVTLWRQLAPGKGEQVPIQVVQVLSVVGTALLAPLWHHVAPVAGQLHSVAFLTLALVLAMACCTSNVTFLPFLSHLPPPFLRSFFLGQGLSALLPCVLALVQGVGRLECPPAPTNGTSGPPLDFPERFPASTFFWALTALLVTSAAAFRGLLLLLPSLPSVTTGGSGPELQLGSPGAEEEEKEEEEALPLQEPPSQAAGTIPGPDPEAHQLFSAHGAFLLGLMAFTSAVTNGVLPSVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLVGLSLLGMLFGAYLMALAILSPCPPLVGTTAGVVLVVLSWVLCLCVFSYVKVAASSLLHGGGRPALLAAGVAIQVGSLLGAGAMFPPTSIYHVFQSRKDCVDPCGP
XP_011524101.1 1 598 0.674511705685618 N-acetyltransferase ESCO1 isoform X2 810 0 12 598 0 Homo sapiens XP_011524101.1 1 RefSeq MMSIQEKSKENSSKVTKKSDDKNSETEIQDSQKNLAKKSGPKETIKSQAKSSSESKINQPELETRMSTRSSKAASNDKATKSINKNTVTVRGYSQESTKKKLSQKKLVHENPKANEQLNRRSQRLQQLTEVSRRSLRSREIQGQVQAVKQSLPPTKKEQCSSTQSKSNKTSQKHVKRKVLEVKSDSKEDENLVINEVINSPKGKKRKVEHQTACACSSQCTQGSEKCPQKTTRRDETKPVPVTSEVKRSKMATSVVPKKNEMKKSVHTQVNTNTTLPKSPQPSVPEQSDNELEQAGKSKRGSILQLCEEIAGEIESDNVEVKKESSQMESVKEEKPTEIKLEETSVERQILHQKETNQDVQCNRFFPSRKTKPVKCILNGINSSAKKNSNWTKIKLSKFNSVQHNKLDSQVSPKLGLLRTSFSPPALEMHHPVTQSTFLGTKLHDRNITCQQEKMKEINSEEVKINDITVEINKTTERAPENCHLANEIKPSDPPLDNQMKHSFDSASNKNFSQCLESKLENSPVENVTAASTLLSQAKIDTGENKFPGSAPQQHSILSNQTSKSSDNRETPRNHSLPKCNSHLEITIPKDLKLKEAE
XP_011524193.1 1 970 0.0726046391752578 PF04987.14:PigN:430:884,PF01663.22:Phosphodiest:158:268 GPI ethanolamine phosphate transferase 1 isoform X1 970 566 12 623 16 Homo sapiens XP_011524193.1 1 RefSeq MLLFFTLGLLIHFVFFASIFDIYFTSPLVHGMTPQFTPLPPPARRLVLFVADGLRADALYELDENGNSRAPFIRNIIMHEGSWGISHTRVPTESRPGHVALIAGFYEDVSAVAKGWKENPVEFDSLFNESKYTWSWGSPDILPMFAKGASGDHVYTYSYDAKREDFGAQDATKLDTWVFDNVKDFFHHARNNQSLFSKINEEKIVFFLHLLGIDTNGHAHRPSSRDYKHNIKKVDDGVKEIVSMFNHFYGNDGKTTFIFTSDHGMTDWGSHGAGHPSETLTPLVTWGAGIKYPQRVSAQQFDDAFLKEWRLENWKRLDVNQADIAPLMTSLIGVPFPLNSVGILPVDYLNNTDLFKAESMFTNAVQILEQFKVKMTQKKEVTLPFLFTPFKLLSDSKQFNILRKARSYIKHRKFDEVVSLCKELIHLALKGLSYYHTYDRFFLGVNVVIGFVGWISYASLLIIKSHSNLIKGVSKEVKKPSHLLPCSFVAIGILVAFFLLIQACPWTYYVYGLLPLPIWYAVLREFQVIQDLVVSVLTYPLSHFVGYLLAFTLGIEVLVLSFFYRYMLTAGLTAFAAWPFLTRLWTRAKMTSLSWTFFSLLLAVFPLMPVVGRKPDISLVMGAGLLVLLLSLCVVTSLMKRKDSFIKEELLVHLLQVLSTVLSMYVVYSTQSSLLRKQGLPLMNQIISWATLASSLVVPLLSSPVLFQRLFSILLSLMSTYLLLSTGYEALFPLVLSCLMFVWINIEQETLQQSGVCCKQKLTSIQFSYNTDITQFRQLYLDDIRRAFFLVFFLVTAFFGTGNIASINSFDLASVYCFLTVFSPFMMGALMMWKILIPFVLVMCAFEAVQLTTQLSSKSLFLIVLVISDIMALHFFFLVKDYGSWLDIGTRQLLACTAWLFMYISASPNRLWMLSDPGLDLNLLHAIRACISHYVIVMSMTIFLVFLNGLAQLLTTKKLRLCGKPKSHFM
XP_011525283.1 418 938 0.719959309021113 mitogen-activated protein kinase kinase kinase 10 isoform X1 962 0 12 521 0 Homo sapiens XP_011525283.1 1 RefSeq QEQRFQEEQLRRREQELAEREMDIVERELHLLMCQLSQEKPRVRKRKGNFKRSRLLKLREGGSHISLPSGFEHKITVQASPTLDKRKGSDGASPPASPSIIPRLRAIRLTPVDCGGSSSGSSSGGSGTWSRGGPPKKEELVGGKKKGRTWGPSSTLQKERVGGEERLKGLGEGSKQWSSSAPNLGKSPKHTPIAPGFASLNEMEEFAEAEDGGSSVPPSPYSTPSYLSVPLPAEPSPGARAPWEPTPSAPPARWGHGARRRCDLALLGCATLLGAVGLGADVAEARAADGEEQRRWLDGLFFPRAGRFPRGLSPPARPHGRREDVGPGLGLAPSATLVSLSSVSDCNSTRSLLRSDSDEAAPAAPSPPPSPPAPTPTPSPSTNPLVDLELESFKKDPRQSLTPTHVTAACAVSRGHRRTPSDGALGQRGPPEPAGHGPGPRDLLDFPRLPDPQALFPARRRPPEFPGRPTTLTFAPRPRPAASRPRLDPWKLVSFGRTLTISPPSRPDTPESPGPPSVQPT
XP_011529909.1 1 187 0.37884705882353 Zinc finger protein 42 homolog; Zfp-42; Reduced expression protein 1; REX-1; hREX-1; Zinc finger protein 754 310 0 12 187 0 Homo sapiens (Human) SwissProt::Q96MM3 1 SwissProt MSQQLKKRAKTRHQKGLGGRAPSGAKPRQGKSSQDLQAEIEPVSAVWALCDGYVCYEPGPQALGGDDFSDCYIECVIRGEFSQPILEEDSLFESLEYLKKGSEQQLSQKVFEASSLECSLEYMKKGVKKELPQKIVGENSLEYSEYMTGKKLPPGGIPGIDLSDPKQLAEFARKKPPINKEYDSLSA
XP_011533233.1 611 1771 0.26214134366925 PF08487.10:VIT:60:170,PF13757.6:VIT_2:53:124,PF00092.28:VWA:314:467,PF13768.6:VWA_3:313:461,PF13519.6:VWA_2:314:416 protein mono-ADP-ribosyltransferase PARP4 isoform X1 1771 273 12 1161 0 Homo sapiens XP_011533233.1 1 RefSeq FSMPGDQIKDFHPSDHTELEEYRPEFSNFSKVEDYQLPDAKTSSSTKAGLQDASGNLVPLEDVHIKGRIIDTVAQVIVFQTYTNKSHVPIEAKYIFPLDDKAAVCGFEAFINGKHIVGEIKEKEEAQQEYLEAVTQGHGAYLMSQDAPDVFTVSVGNLPPKAKVLIKITYITELSILGTVGVFFMPATVAPWQQDKALNENLQDTVEKICIKEIGTKQSFSLTMSIEMPYVIEFIFSDTHELKQKRTDCKAVISTMEGSSLDSSGFSLHIGLSAAYLPRMWVEKHPEKESEACMLVFQPDLDVDLPDLASESEVIICLDCSSSMEGVTFLQAKQIALHALSLVGEKQKVNIIQFGTGYKELFSYPKHITSNTMAAEFIMSATPTMGNTDFWKTLRYLSLLYPARGSRNILLVSDGHLQDESLTLQLVKRSRPHTRLFACGIGSTANRHVLRILSQCGAGVFEYFNAKSKHSWRKQIEDQMTRLCSPSCHSVSVKWQQLNPDVPEALQAPAQVPSLFLNDRLLVYGFIPHCTQATLCALIQEKEFRTMVSTTELQKTTGTMIHKLAARALIRDYEDGILHENETSHEMKKQTLKSLIIKLSKENSLITQFTSFVAVEKRDENESPFPDIPKVSELIAKEDVDFLPYMSWQGEPQEAVRNQSLLASSEWPELRLSKRKHRKIPFSKRKMELSQPEVSEDFEEDGLGVLPAFTSNLERGGVEKLLDLSWTESCKPTATEPLFKKVSPWETSTSSFFPILAPAVGSYLPPTARAHSPASLSFASYRQVASFGSAAPPRQFDASQFSQGPVPGTCADWIPQSASCPTGPPQNPPSSPYCGIVFSGSSLSSAQSAPLQHPGGFTTRPSAGTFPELDSPQLHFSLPTDPDPIRGFGSYHPSASSPFHFQPSAASLTANLRLPMASALPEALCSQSRTTPVDLCLLEESVGSLEGSRCPVFAFQSSDTESDELSEVLQDSCFLQIKCDTKDDSILCFLEVKEEDEIVCIQHWQDAVPWTELLSLQTEDGFWKLTPELGLILNLNTNGLHSFLKQKGIQSLGVKGRECLLDLIATMLVLQFIRTRLEKEGIVFKSLMKMDDASISRNIPWAFEAIKQASEWVRRTEGQYPSICPRLELGNDWDSATKQLLGLQPISTVSPLHRVLHYSQG
XP_011534758.1 71 153 0.204661445783133 PF16101.5:PRIMA1:1:83 Proline-rich membrane anchor 1; PRiMA 153 83 12 60 1 Homo sapiens (Human) SwissProt::Q86XR5 0 SwissProt RLLSAPAPNSTSCPTEESWWSGLVIIIAVCCASLVFLTVLVIICYKAIKRKPLRKDENGTSVAEYPMSASQSNKGVDVNNAVV
XP_011955820.2 1 297 0.66580505050505 vascular endothelial growth factor A isoform X1 493 0 12 297 0 Ovis aries XP_011955820.2 1 RefSeq MDQVGQGGSLVTSIPVTHVASCSVELCGEERRGLGQPGCDEAAALGGEWSRRQRRSVGRRIEEADGPAGSPARVTCFLGVTARAQREPSPSGSRVGPAALTDRQTDTAPCPSAHLLPGRRPTVDAAASRGQEPEPAPGGGVEGVGARDVALKLFVQLLGCSRSGGAVVRAGAAEPSGTGRSARSGREEPQSEEGEEEEEKEEEKGPRWRLGSRKPGSWTGEAAVCTDSAPAARAPQALARASAPGGRGARLGAEESGPPRSPSRRGSASRAGPGRASETMNFLLSWVHWSLALLLYL
XP_012053767.1 159 916 0.654536939313984 pH-response transcription factor pacC/RIM101 916 0 12 758 0 Cryptococcus neoformans var. grubii H99 XP_012053767.1 1 RefSeq HHQLHKLSKAPTTTDPEFNSRVSLSSATRTDRPRSPLSISLSPTSTSSHSLHSSSSPFDHLLATGFHTDKSVSPTPSALALLHKKQHEELAAYQQKEMLVLQQLAFNQQQSQAYAARLAAEPFGAGAGAKRGQADAFHELLSDVKKRKVEPIYDQDMINRLNALVPPVLPTNIPALPSLGGYNQYQTFPSFGGYPNLPSLHTSIYPTTAPQAQYPNQGPLPIPEIKSEADLAMFNEFMISLGRDAAVNKAGPHPMRQSASGSGTSNGYSASNSGTPLSETSGGVEDLFNAEELASLGLAGMPGVSIHSGDSHNSNDESTHSLSDASPPAVSFGGLYPSLEAMRNRTNSAPDVSALTGAARRPIAGLPRTSMSTVHNTSANQSTKPNYLSGMYGLNSSQQYDETTHNYLHGLSNEHHNDYSHSASNDATNAYASFDSLARNKQPFPAATLAPKMFHNKVYRDVAPLGTAVSKRAKESAERTNMEDSDPEELYDEHDTNHGYAVSNERAQEERTPKIPVRSLIISTRTLSPSTATGGEDDLKLPAISPSHVEPGTDLPPLYSIQRGGHSSGQYRRASSLSSNSTSTSGSSSFNGSLAPSNAASGTATPRGSTPPRGVPTKRHTEDEIVRGVKRLELGPAEPLRSTTPELPDSATTEQALESRDRKPDISALSSSSPPSSSNPPPSISTTSEEGKGMTIEEMRRRHAALIKSWLVAVNLQWRRKQMEEMQRQQREEMAELEEEGEVMNVDERERERIEVVA
XP_013966931.1 1 108 0.452775 natriuretic peptides B 141 0 12 108 0 Canis lupus familiaris XP_013966931.1 1 RefSeq MEPCAALPRALLLLLFLHLSPLGGRPHPLGGRSPASEASEASEASGLWAMQELLGRLKDAVSELQAEQLALEPLHRSHSPAEAPEAGEERSVGVLAPHDSVLQALRRL
XP_016856052.1 1 579 0.65388981001727 PF09728.9:Taxilin:203:510 alpha-taxilin isoform X1 579 308 12 579 0 Homo sapiens XP_016856052.1 1 RefSeq MKNQDKKNGAAKQSNPKSSPGQPEAGPEGAQERPSQAAPAVEAEGPGSSQAPRKPEGAQARTAQSGALRDVSEELSRQLEDILSTYCVDNNQGGPGEDGAQGEPAEPEDAEKSRTYVARNGEPEPTPVVNGEKEPSKGDPNTEEIRQSDEVGDRDHRRPQEKKKAKGLGEQRAALCEAGEEREFGLDVLWASLFCQDSKENGKEITLLMQTLNTLSTPEEKLAALCKKYAELLEEHRNSQKQMKLLQKKQSQLVQEKDHLRGEHSKAVLARSKLESLCRELQRHNRSLKEEGVQRAREEEEKRKEVTSHFQVTLNDIQLQMEQHNERNSKLRQENMELAERLKKLIEQYELREEHIDKVFKHKDLQQQLVDAKLQQAQEMLKEAEERHQREKDFLLKEAVESQRMCELMKQQETHLKQQLALYTEKFEEFQNTLSKSSEVFTTFKQEMEKMTKKIKKLEKETTMYRSRWESSNKALLEMAEEKTVRDKELEGLQVKIQRLEKLCRALQTERNDLNKRVQDLSAGGQGSLTDSGPERRPEGPGAQAPSSPRVTEAPCYPGAPSTEASGQTGPQEPTSARA
XP_016858091.1 25 378 0.23888418079096 PF13017.6:Maelstrom:50:246 protein maelstrom homolog isoform X3 378 197 12 354 0 Homo sapiens XP_016858091.1 1 RefSeq PLRRPGMLVPKQNVSPPDMSALSLKGDQALLGGIFYFLNIFSHGELPPHCEQRFLPCEIGCVKYSLQEGIMADFHSFINPGEIPRGFRFHCQAASDSSHKIPISNFERGHNQATVLQNLYRFIHPNPGNWPPIYCKSDDRTRVNWCLKHMAKASEIRQDLQLLTVEDLVVGIYQQKFLKEPSKTWIRSLLDVAMWDYSSNTRCKWHEENDILFCALAVCKKIAYCISNSLATLFGIQLTEAHVPLQDYEASNSVTPKMVVLDAGRYQKLRVGSSGFSHFNSSNEEQRSNTPIGDYPSRAKISGQNSSVRGRGITRLLESISNSSSNIHKFSNCDTSLSPYMSQKDGYKSFSSLS
XP_016867858.1 1 91 0.280269230769231 PF12998.7:ING:3:89 inhibitor of growth protein 3 isoform X1 91 87 12 91 0 Homo sapiens XP_016867858.1 1 RefSeq MLYLEDYLEMIEQLPMDLRDRFTEMREMDLQVQNAMDQLEQRVSEFFMNAKKNKPEWREEQMASIKKDYYKALEDADEKVQLANQIYDLHF
XP_016872648.1 1 445 0.0773941573033708 PF07690.16:MFS_1:143:429,PF00083.24:Sugar_tr:134:426 solute carrier family 22 member 9 isoform X1 445 296 12 242 9 Homo sapiens XP_016872648.1 1 RefSeq MAFQDLLGHAGDLWRFQILQTVFLSIFAVATYLHFMLENFTAFIPGHRCWVHILDNDTVSDNDTGALSQDALLRISIPLDSNMRPEKCRRFVHPQWQLLHLNGTFPNTSDADMEPCVDGWVYDRISFSSTIVTEWDLVCDSQSLTSVAKFVFMAGMMVGGILGGHLSDRFGRRFVLRWCYLQVAIVGTCAALAPTFLIYCSLRFLSGIAAMSLITNTIMLIAEWATHRFQAMGITLGMCPSGIAFMTLAGLAFAIRDWHILQLVVSVPYFVIFLTSSWLLESARWLIINNKPEEGLKELRKAAHRSGMKNARDTLTLEILKSTMKKELEAAQKKKPSLCEMLHMPNICKRISLLSFTRFANFMAYFGLNLHVQHLGNNVFLLQTLFGAVILLANCVAPWALKYMNRRASQMLLMFLLAICLLAIIFVPQGEKTPENQSKRIREWK
XP_016875954.1 1851 4356 0.38794744612929 PF08239.11:SH3_3:592:644,PF03256.16:ANAPC10:1847:1945 E3 ubiquitin-protein ligase MYCBP2 isoform X24 4619 152 12 2506 0 Homo sapiens XP_016875954.1 1 RefSeq FDGDLQSQLLSKANEEDKNCSRALSVVSTVVRASKDLLHRALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAILNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSSHYAVIESEHPYKPACVMHYKVTFPECVRWMTIEFDPQCGTAQSEDVLRLLIPVRTVQNSGYGPKLTSVHENLNSWIELKKFSGSSGWPTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELANLGGVCAAALMKKDLALPIGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEALEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGWPTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKMSLQQDQAKKPQRIPGSPAVTAASSNTDMTYGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTLHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKSEPQPNKVRKFVAKDSAGLRIRSHPSLQSEQIGIVKVNGTITFIDEIHNDDGVWLRLNDETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDVTNSEGTWVQLDQNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQALLDQNSQTPPPSPFSVQAFNKGASCSAQGFDYGLGNSKGDQLSAILNSIQSRPNLPAPSIFDQAAKPPSSLVHSPFVFGQPLSFQQPQLQSDRGNISTSSKPASTSGKSELSSKHSRSLKPDGRMSRTTADQKKPRGTESLSASESLILKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRMPSSSRAESPGPGSRLSSPKPKTLPANRSSPSGASSPRSSSPHDKNLPQKSTAPVKTKLDPPRERSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKSVPKDSTDSPGSENRAPSPHVVQENLHSEVVEVCTSSTLKTNSLTDSTCDDSSEFKSVDEGSNKVHFSIGKAPLKDEQEMRASPKISRKCANRHTRPKKEKSSFLFKGDGSKPLEPAKQAMSPSVAECARAVFASFLWHEGIVHDAMACSSFLKFHPELSKEHAPIRSSLNSQQPTEEKETKLKNRHSLEISSALNMFNIAPHGPDISKMGSINKNKVLSMLKEPPLHEKCEDGKTETTFEMSMHNTMKSKSPLPLTLQHLVAFWEDISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKSKKEKKKKEKAEVRPRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGDGGIGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPSVKEGISEDLPVKMPCLYLQTLARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTEVGSSLLRHPSPELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTSLHDILWHFVASLTPAPVEPEEEEDEENKTSKENSEQEKDTRVCEHPLSDIVIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKSDDGDSEESFSISIQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEDLCRIKQVDLDSRHIGWVTSELPGGDNHIIKIELKGPENTLRVRQVKVLGWKDGESTKIAGQISASVAQQRNCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKVYNATSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPNDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPSRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIKLIKDMAAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNVCGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVKNEEHCLPCLHGCDK
XP_016877880.1 1 200 0.526843 PF07962.12:Swi3:2:45 TIMELESS-interacting protein isoform X3 200 44 12 200 0 Homo sapiens XP_016877880.1 1 RefSeq MLIRHMEHWAHRLFPKLQFEDFIDRVEYLGSKKEVQTCLKRIRLDLPILHEDFVSNNDEVAENNEHDVTSTELDPFLTNLSESEMFASELSRSLTEEQQQRIERNKQLALERRQAKLLSNSQTLGNDMLMNTPRAHTVEEVNTDEDQKEESNGLNEDILDNPCNDAIANTLNEEETLLDQSFKNVQQQLDATSRNITEAR
XP_016880141.1 1 156 0.390653205128205 PF03954.14:Lectin_N:33:156 Asialoglycoprotein receptor 2; ASGP-R 2; ASGPR 2; C-type lectin domain family 4 member H2; Hepatic lectin H2; HL-2 311 124 12 133 1 Homo sapiens (Human) SwissProt::P07307 1 SwissProt MAKDFQDIQQLSSEENDHPFHQGEGPGTRRLNPRRGNPFLKGPPPAQPLAQRLCSMVCFSLLALSFNILLLVVICVTGSQSEGHGGAQLQAELRSLKEAFSNFSSSTLTEVQAISTHGGSVGDKITSLGAKLEKQQQDLKADHDALLFHLKHFPVD
XP_016880914.1 304 921 0.568997411003236 serine/threonine-protein kinase ULK2 isoform X1 1057 0 12 618 0 Homo sapiens XP_016880914.1 1 RefSeq PVPMYSGSVSGSSCGSSPSCRFASPPSLPDMQHIQEENLSSPPLGPPNYLQVSKDSASTSSKNSSCDTDDFVLVPHNISSDHSCDMPVGTAGRRASNEFLVCGGQCQPTVSPHSETAPIPVPTQIRNYQRIEQNLTSTASSGTNVHGSPRSAVVRRSNTSPMGFLRPGSCSPVPADTAQTVGRRLSTGSSRPYSPSPLVGTIPEQFSQCCCGHPQGHDSRSRNSSGSPVPQAQSPQSLLSGARLQSAPTLTDIYQNKQKLRKQHSDPVCPSHTGAGYSYSPQPSRPGSLGTSPTKHLGSSPRSSDWFFKTPLPTIIGSPTKTTAPFKIPKTQASSNLLALVTRHGPAEEQSKDGNEPRECAHCLLVQGSERQRAEQQSKAVFGRSVSTGKLSDQQGKTPICRHQGSTDSLNTERPMDIAPAGACGGVLAPPAGTAASSKAVLFTVGSPPHSAAAPTCTHMFLRTRTTSVGPSNSGGSLCAMSGRVCVGSPPGPGFGSSPPGAEAAPSLRYVPYGASPPSLEGLITFEAPELPEETLMEREHTDTLRHLNVMLMFTECVLDLTAMRGGNPELCTSAVSLYQIQESVVVDQISQLSKDWGRVEQLVLYMKAAQLLAASLH
XP_016882419.1 1 1068 0.521472378277153 microtubule-associated protein 1S isoform X1 1068 0 12 1068 0 Homo sapiens XP_016882419.1 1 RefSeq MAAVAGSGAAAAPSSLLLVVGSEFGSPGLLTYVLEELERGIRSWDVDPGVCNLDEQLKVFVSRHSATFSSIVKGQRSLHHRGDNLETLVLLNPSDKSLYDELRNLLLDPASHKLLVLAGPCLEETGELLLQTGGFSPHHFLQVLKDREIRDILATTPPPVQPPILTITCPTFGDWAQLAPAVPGLQGALRLQLRLNPPAQLPNSEGLCEFLEYVAESLEPPSPFELLEPPTSGGFLRLGRPCCYIFPGGLGDAAFFAVNGFTVLVNGGSNPKSSFWKLVRHLDRVDAVLVTHPGADSLPGLNSLLRRKLAERSEVAAGGGSWDDRLRRLISPNLGVVFFNACEAASRLARGEDEAELALSLLAQLGITPLPLSRGPVPAKPTVLFEKMGVGRLDMYVLHPPSAGAERTLASVCALLVWHPAGPGEKVVRVLFPGCTPPACLLDGLVRLQHLRFLREPVVTPQDLEGPGRAESKESVGSRDSSKREGLLATHPRPGQERPGVARKEPARAEAPRKTEKEAKTPRELKKDPKPSVSRTQPREVRRAASSVPNLKKTNAQAAPKPRKAPSTSHSGFPPVANGPRSPPSLRCGEASPPSAACGSPASQLVATPSLELGPIPAGEEKALELPLAASSIPRPRTPSPESHRSPAEGSERLSLSPLRGGEAGPDASPTVTTPTVTTPSLPAEVGSPHSTEVDESLSVSFEQVLPPSAPTSEAGLSLPLRGPRARRSASPHDVDLCLVSPCEFEHRKAVPMAPAPASPGSSNDSSARSQERAGGLGAEETPPTSVSESLPTLSDSDPVPLAPGAADSDEDTEGFGVPRHDPLPDPLKVPPPLPDPSSICMVDPEMLPPKTARQTENVSRTRKPLARPNSRAAAPKATPVAAAKTKGLAGGDRASRPLSARSEPSEKGGRAPLSRKSSTPKTATRGPSGSASSRPGVSATPPKSPVYLDLAYLPSGSSAHLVDEEFFQRVRALCYVISGQDQRKEEGMRAVLDALLASKQHWDRDLQVRVTPGPLVVTLIPTFDSVAMHTWYAETHARHQALGITVLGSNSMVSMQDDAFPACKVEF
XP_016882639.1 261 1374 0.649240843806104 PF00169.29:PH:75:149 pleckstrin homology domain-containing family G member 2 isoform X3 1374 75 12 1114 0 Homo sapiens XP_016882639.1 1 RefSeq TGGREMVEEAIVSMTAVAWYINDMKRKQEHAARLQEVQRRLGGWTGPELSAFGELVLEGAFRGGGGGGPRLRGGERLLFLFSRMLLVAKRRGLEYTYKGHIFCCNLSVSESPRDPLGFKVSDLTIPKHRHLLQAKNQEEKRLWIHCLQRLFFENHPASIPAKAKQVLLENSLHCAPKSKPVLEPLTPPLGSPRPRDARSFTPGRRNTAPSPGPSVIRRGRRQSAKPGFKHAGSEGELYPPESQPPVSGSAPPEDLEDAGPPTLDPSGTSITEEILELLNQRGLRDPGPSTHDIPKFPGDSQVPGDSETLTFQALPSRDSSEEEEEEEEGLEMDERGPSPLHVLEGLESSIAAEMPSIPCLTKIPDVPNLPEIPSRCEIPEGSRLPSLSDISDVFEMPCLPAIPSVPNTPSLSSTPTLSCDSWLQGPLQEPAEAPATRRELFSGSNPGKLGEPPSGGKAGPEEDEEGVSFTDFQPQDVTQHQGFPDELAFRSCSEIRSAWQALEQGQLARPGFPEPLLILEDSDLGGDSGSGKAGAPSSERTASRVRELARLYSERIQQMQRAETRASANAPRRRPRVLAQPQPSPCLPQEQAEPGLLPAFGHVLVCELAFPLTCAQESVPLGPAVWVQAAIPLSKQGGSPDGQGLHVSNLPKQDLPGIHVSAATLLPEQGGSRHVQAPAATPLPKQEGPLHLQVPALTTFSDQGHPEIQVPATTPLPEHRSHMVIPAPSTAFCPEQGHCADIHVPTTPALPKEICSDFTVSVTTPVPKQEGHLDSESPTNIPLTKQGGSRDVQGPDPVCSQPIQPLSWHGSSLDPQGPGDTLPPLPCHLPDLQIPGTSPLPAHGSHLDHRIPANAPLSLSQELPDTQVPATTPLPLPQVLTDIWVQALPTSPKQGSLPDIQGPAAAPPLPEPSLTDTQVQKLTPSLEQKSLIDAHVPAATPLPERGGSLDIQGLSPTPVQTTMVLSKPGGSLASHVARLESSDLTPPHSPPPSSRQLLGPNAAALSRYLAASYISQSLARRQGPGGGAPAASRGSWSSAPTSRASSPPPQPQPPPPPARRLSYATTVNIHVGGGGRLRPAKAQVRLNHPALLASTQESMGLHRAQGAPDAPFHM
XP_017170853.1 271 5572 0.231541324028669 PF03160.14:Calx-beta:11:118,PF03160.14:Calx-beta:173:244,PF03160.14:Calx-beta:328:372,PF03160.14:Calx-beta:459:503,PF03160.14:Calx-beta:544:619,PF03160.14:Calx-beta:696:736,PF03160.14:Calx-beta:754:850,PF03160.14:Calx-beta:866:964,PF03160.14:Calx-beta:1258:1298,PF03160.14:Calx-beta:1320:1418,PF03160.14:Calx-beta:1481:1561,PF03160.14:Calx-beta:1606:1703,PF03160.14:Calx-beta:1719:1831,PF03160.14:Calx-beta:1862:1958,PF03160.14:Calx-beta:1976:2076,PF03160.14:Calx-beta:2246:2295,PF03160.14:Calx-beta:2350:2428,PF03160.14:Calx-beta:2445:2542,PF03160.14:Calx-beta:2578:2678,PF03160.14:Calx-beta:2703:2800,PF03160.14:Calx-beta:2845:2924,PF03160.14:Calx-beta:2962:3000,PF03160.14:Calx-beta:3338:3379,PF03160.14:Calx-beta:3396:3493,PF03160.14:Calx-beta:3547:3628,PF03160.14:Calx-beta:3690:3759,PF03160.14:Calx-beta:3776:3877,PF03160.14:Calx-beta:3954:3992,PF03160.14:Calx-beta:4014:4107,PF03160.14:Calx-beta:4143:4240,PF03160.14:Calx-beta:4273:4364,PF03160.14:Calx-beta:4445:4485,PF03160.14:Calx-beta:4755:4846,PF03160.14:Calx-beta:5038:5082,PF13385.6:Laminin_G_3:1102:1248,PF03736.17:EPTP:3149:3191 adhesion G-protein coupled receptor V1 isoform X2 6325 2843 12 5302 0 Mus musculus XP_017170853.1 1 RefSeq INASRSSVEIIVKKNDSPVNFMQSVYVVPEDDHVLTIPVLRGKDSDGNLIGSDETQVSIRYKVMTWDSTAHAQQNVDFIDLQPDTTLVFPPFVHESHLKFQIIDDLIPEIAESFHIMLLKNTLQGDAVLMGPSTVQVTIKPNDKPYGVLSFNSILFERPVIIDEDTASSSRFEEIAVVRNGGTHGNVSVSWVLTRNSSDPSPVTADITPASGTLQFAQGQMLAPISLVVFDDDLPEEAEAYLLTILPHTIQGGAEVSEPAQLLFYIQDSDNVYGEIAFFPGESQKIESSPSERSLSLSLARRGGSKGDVRVIYSALYIPAGAMDPLRAKDGILNTSRRSSLLFPEQNQQVSIKLPIRNDAFLQNGAHFLVQLEAVVLVNIFPPIPPVSPRFGEIRNISLLVTPAIANGEIGFLSNLPIILHEPKDSSAEVVSIPLHRDGTDGQATVYWSLRPSGFNSKAVTLDDAGPFNGSVVFLSGQNETSINITVKGDDIPELNETVTLSLDRVSVDSDVLKSGYTSRDLIILENDDPGGIFEFSYDSRGPYVIKEGDAVELRITRSRGSLVKQFLRFHVEPRESNEFYGNMGVLEFTPGEREVVITLLTRLDGTPELDEHFWVILSSHGERESKLGCATLVNITILKNDYPHGIIEFVSDGLSASIKESKGEDIYHAVYGVIRTRGNFGAVNVSWMVSPDFTQDVFPVQGTVCFGDQEFFKNITVYSLVDEIPEEMEEFTIILLNATGGAQTGIRTTASLRILRNDDPVYFAEPCVLRVQEGETANFTVLRNGSVDGACTVQYATVDGKASGEEGDFAPVEKGETLVFEVGSREQSISVHVKDDGIPETDEPFYIVLFNSTGDTVVYEYGVATVIIEANDDPNGVFSLEPIDKAVEEGKTNAFWILRHRGHFGNVSVAWQLFQNASLQPGQEFYETSGTVNFTDGEETKPVILRAFPDRIPEFNEFYILRLVNISGPGGQLAETNFQVTVMIPFNDDPFGIFILDPECLEREVAEDVLSEDDMSYITSFTILRQQGVFGDVRVGWEVLSREFTAGLPPMIDFILLGSFPSTVPLQPHMRRHHSGTDVLYFSGLEGAFGTVDPKYQPFRNNTIANFTFSAWVMPNANTNGFLIAKDDSHGSIYYGVKIQTNETHVTLSLHYKTFGSNVTYIAKSTVMKYLEEGVWLHVLIILDDGIIEFYLDGKAMPRGIKSLKGEAITDGPGILRIGAGMDGGARFTGWMQDVRTYERKLTPEEIYELHAVPARTDLHPISGYLEFRQGESNKSFIVAARDDSEEEGEELFLLKLVSVDGGAQISKENTTARLRIQKSDNANGLFGFTGACIPEMTEEGSTVSCVVERTRGALGYVHVFYTISQIESEGINYLVDDFANASGTITFLPWQRSEVLNLYVLDEDMPELNEYFRVTLVSAVPGDGKLGSTPISGASIDPEKETTGITVKASDHPYGLMQFSTGLPPQPEDSMSLPASSVPHITVQEEDGEIRLLVIRAQGLLGRVTVGFRTVSLTAFSPEDYQSTAGTLEFQSGERYKYIFVNITDNSIPELEKSFKVELLNLDGGVSDLFRVDGSGSGEADTDFFLPPVLPHASLGVASQILVTIAASDHAHGVFEFSPESLFVSGTEPEDGYSTVVLNVTRTRGALSAVTLQWKVDSDLDGDLAITSGNITFETGQRIASITVEILSDEEPELDKALTVSILNVSSGSLGVLTNATLTILASDDPYGVFIFPNKTRPLSVEEATQNVTLSIIRLKGLMGEVAVSYATIDDMEKPPYFPPNLARATQGGDYISASGLALFRANQTEATITISILDDAEPERSESVFIELFNSSLVDKVQNRPIPHSPRLGPKVETVAHLVIVANDDAFGTVQLSATSVHVAENHVGPIINVTRTGGTFADVSVKFKAVPITAAAGEDYSIASSDVVLLEGETTKAVPIYIINDIYPELEETFLVQLLNETTGGATLGPLREAVITIEASDDPYGLFGFQNTKFIVEEPEFNSVRVNVPIIRNSGTLGNVTVQWVAIINGQFATGDLRVVSGNVTFAPGETIQTLLLEVLADDVPEIEEVVQVQLAAASGGGTIGLDRVANIVIPANDNPYGSVAFVQSVFRVQEPLERSSYANITVRRSGGHFGRLLLCYGTSDIDVVARAVEEGEDVLSYYESPTQGVPDPLWRTWVNVSAVEETQYTCATLCLKERACSAFSVVSGAEGPRCFWMTSWVSGTVNSSDFQTYKKNMTRVASLFSGQAVAGSDYEPVTRQWAVILEGDEFANLTVSVLPDDAPEMDESFLISLLEVHLMNISDSFKNQPTIGHPNTSAVVIGLNGDAFGVFIIYSVSPNTSEDGLCVEVQEQPQTSVELVIYRTGGSLGQVMVEWRVVGGTATEGLDFMGAGDILTFAEGETKKMAILTILDDSEPEDNESILVRLVATEGGSRILPSSDTVTVNILANDNVAGIVSFQTASRSVIGHEGEMLQFHVVRTPPGRGNVTVNWKVVGQNLEVNFANFTGQLFFSEGTLNKTIFVHLLDDNIPEEKEVYQVVLYDVKTQGVSPAGVALLDAQGYAAVLTVEASDEPHGVLNFALSSRFVVLQEANVTIQLFVNREFGSLGAINVTYATVPGIVSLKNNTEGNLAEPESDFIPVVGSLVLEEGETTAAISITVLEDDIPELKEYFLVNLTHVDLIMAPLTSSPPRLDSEGLTAQIVIDANDGAQGMIEWQRNRFEVNETDGVVTLVAQRSRAALGQVSLFMYAQNLEAQAGLDYMCTPQILHFTDGERFKHVDVMILDDDMPEGDERFQLLLTNPSPGLELGKNTIALITVLANDDGPGVLSFNNSGHIFLREPTSLYVQESVAVLVIVREPAQGLFGTVAVQFVVTEVNSSTESKDLSPSKGFIVLEEGVRSKTLRISAILDTEPEMDEHFVCTLFNPTGGARLGAHVQTLITIFQNQAPLGLFSISAVENSATSIDVEESNRSVYLNVSRTNGLDLTASVQWETVSETAFGMRGMDVVFSIFQSFFDKTALDWCFFTVEGSVYGVMLRKSSLVVYRWQGTFVPVEDLKVESPKTCEAFNIGVSPYLVITHGERSGEKPSINSVYMLTAGFRLVLIQTIIISGSCQVRHFTSDSQDYFIIASRRNDSELTQVFRWNGNNFAWHQTLPVRGVLGMALFSRGGSVFLAISQANIRQTSLLFTWSGTQFINFQELPISGITQVEALSSGDDVYLCFAKNTFLGNQNAIDIFVWEMGHSSLRYFQSLDFAAVKRIRSFTPASGIVHILLTAQDGSALYCWNSELNAFSFVLEAPAAHDAAFVTVKSLNSSKTLIALVGATDSHLYELTYVSSQSDFIPSLGELIFEPGDKEAIIAVNVLDDTVPEKEESFRVQLKSPRGGAEIGINSSVRVTVLANDGAYGVVAFAQNSLHKQLEELERDSLVTLNVERLRGTHGRITVAWEAAGSVSDVFPTSGVISFTEDQAMSMITLTVLADDLPELSEAVVVTLTQIVTEGVEDPLKGATIDQSRSRSVLTILPSDSPYGVVRWHTESLFNRVPEPTENITVVQLHIVRDKGLFGDISIHLIAKPNFLLHINNQATEDEDFVLQDSVIIMKENIKETHAEVAILPDEVPELDEGLIVTIAAVNLVNPNFPAEQPRVQRPRMESAEILIEENDDPRGIFNFHVVRDVGGVIIAHEGPPPLNVLQVPVVRMAGTFETVNVYWKATPDSAGLEDFQPSHGMLQFADGQVIAPILVTIIDDSEFELLETFTISLVSVTGGGRLGDDVSVNVVIAPNDSPFGIFGFEKKTVMVDGPLLSDDPDSYVTLTVVRSPGGKGAVRLHWAIEEKAKDDLSPLNGTLYFDETESQKSVILHTLKDGMVGEDRRFIIELTAADEVEISPVKGSASVIIRGDKSISEVGIASSSRHIIIGEPSATYNGTAIIDLVRGPGVSGEITVNWKILPPSRGEFVETSGQLTMLDGQTAATVVIQALNDDIPEEKCHYEFQLTEISEGRMLHEASVSARITMVASDAPYGRFSFSHEQLHVSEAAQRVNVTVVRSGGSFGRARVLYETGSRTAEAGWDFVPASGELLFEAREKMKSLYIDILDDNLPEGPEEFVLAITRVDLQGRGYDFTIQENGLQIDQPPEIGNISIVRIIIMKNDNAEGIIEFDPKYTDISVEEDAGVITLPVLRLHGTYGHVSADFSSRGFSAVPGGYVLHGSSVTFQHGQNLSFINVSIIDDNGSEFEKQFEILLIGATGGAILGRHLVSKITIAKSDSPFGIIRFLNQSKISLPNPSSTMALHLVLERTGGLLGEIQVSWEVVGPDAEEPLPPHNGDFADPVSGTVSFGDGEGGVRSIILRVCPHEETEAEETFIVQLKPLREAKLDPRAKAVTLTIQKFGDPNGVIHFAPESLSKRRFSEPPPSDGPLLVSFLVTRSKGTSGDIKVHWELSSEFDITRDFLSTRGFFTIADGESDASFDVHLLPDDVPEIEEEYAVQLVSVEGGAELDLGKCTARFSVSANDDPHGVFALYSDRQSVLIGQNLDRSIQINITRLAGAFGAVAVRVQILSDNKEDPVATENEERQLVITDGARYKVGLVPLKNQVFLSLGSNFTLQLVSVRLLSGPFYGIPTILQEAKNAILSVPEEAANSQVGFESAAFQLMDIKAGTSQVMVSRKGTYGRLSVAWTTGYAPGSEIPEPIVIGNMTPTLGSLSFVHGEERKGVLLWTFPSPGRPEAFVLHLSGLRSSAAGGAQLRSGFTTAEIEPMGVFQFSPSSRNITVSEDAQTIRICVQRLFGFHGDLIKVSYETTAGSAKPPEDFEAVQKGEVFFQRFQPEIDFEITIINDQLPEIEETFYINLTSVETRGLGKGGVNWRPRLNPDLSVAVVTIVDNDDLTGVAVSVPVTAGTVAVDSTLLAMETGSTTHPNKSKITTIPYTTEVFAPVTETVTVSAIPEKLATAHSVISVKPDVVPGTVLASVYGTLSIGPPIVYVSEEMKNGTLSTADILIQRMGGFAGNVTITVKTFGGRCAQKEPSVWPFQDVYGVGNLTTWAFEEEDFEEQLLTLTFLYGERERKIAVQILDDDEPEGQEFFYVFLTDPQGGAEIVRGKDSTGFSAFAVIIISGSDLHNGIIGFSEESQRGLELREGADKNSQRLVVTRQPNRAFEEVQIFWRVTLNQTVTILQEKGLNLTDELRFVAGVTTCTGGQTRCFIHLELNPKKVHQVEMPFFVELYDVTAGAAINNSARFAPIKLSKSGAPQSLVSFSVGSRLAVAHKKSTLISLQVARDSGTGIMMSVNFITQELRSAETVGRVLISP
XP_017173549.1 1 190 0.201788947368421 PF04103.15:CD20:55:148 high affinity immunoglobulin epsilon receptor subunit beta isoform X1 190 94 12 144 2 Mus musculus XP_017173549.1 1 RefSeq MDTENRSRADLALPNPQESSSAPDIELLEASPAKAAPPKQTWRTFLKKELEFLGFVLSGFLSIISERKNTLYLVRGSLGANIVSSIAAGTGIAMLILNLTNNFAYMNNCKNVTEDDGCFVASFTTELVLMMLFLTILAFCSAVLFTIYRIGQELESKKVPDDRLYEELNVYSPIYSELEDKGETSSPVDS
XP_017174112.1 1 1024 0.570018847656249 BCL-6 corepressor; BCoR 1759 0 12 1024 0 Mus musculus (Mouse) SwissProt::Q8CGN4 1 SwissProt MLSATPLYGNVHSWMNSERVRMCGTSEDRKIPVNDGDASKARLELREETPLSHSVVDTSGAHRIDGLAALSMDRTGLIREGLRVPGNIVYSGLCGLGSEKGREATPSSLSGLGFSSERNPEMQFKPNTPETVEASAVSGKPPNGFSAIYKTPPGIQKSAVATAESLGLDRPASDKQSPLNINGASYLRLPWVNPYMEGATPAIYPFLDSPNKYSLNMYKALLPQQSYGLAQPLYSPVCTSGERFLYLPPPHYVNPHIPSSLASPMRLSTPSASAAIPPLVHCSDKSLPWKMGVNPGNPVDSHSYPHIQNSKQPRVTSAKAVNSGLPGDTALLLPPSPRPSARVHLPTQPAAETYSEFHKHYPRISTSPSVTLTKPYMTANSEFSTSRLSNGKYPKALDGGDCAQSMPGHTRKTTVQDRKDGGSPPLLEKQTVTKDVTDKPLDLSSKVVDADASKGDHMKKMAPTVLVHSRAASGLVLSGSEIPKETLSPPGNGCSIYRSEIISTAPSSWVVPGPSPNEENNGKSLSLKNKALDWAIPQQRSSSCPRMGGTDAVVTNVSGSVSSSGRPASASPAPNANANADGTKTSRSSVDTTPSVIQHVGQPSSTPAKHGGSTSSKGAKANPEPSFKASENGLPPTSIFLSPNEAFRSPAIPYPRSYLPYAAPEGIALSPLSLHGKGPVYPHPVLLPNGSLFPGHLAPKPGLPYGLHTSRPEFVTYQDALGLGMVHPMLIPHTPIEITKEEKPERRSRSHERARYEDPTLRSRFSEMLEASSTKLHPEVPTDKNLKPNSSWNQGKTGVKSDKLVYVDLLREEADTKTDAGAPKAGLVAENVGQDTEATKPSADPVIQQRREFISLREELGRITDFHESFTFKQASSQPVFSLGKDSGAAGTNKENLGVQVATPFLETALGSEGPAVTFGKTQEDPKPFCVGGAPPNMDVTPAYTKEGTDEAESNDGKVLKPKPSKLAKRIANSAGYVGDRFKCVTTELYADSSQLSREQRALQMEGLQEDSILCLPAAYCERA
XP_017444330.1 268 802 0.518687102803738 Neuronal PAS domain-containing protein 4; Neuronal PAS4; HLH-PAS transcription factor NXF 802 0 12 535 0 Rattus norvegicus (Rat) SwissProt::Q8CJH6 1 SwissProt HYRLLAENGDIQAEMVVRLQAKHGGWTWIYCMLYSDGPEGPITANNYPISDTEAWSLRQQLNSENTQAAYVLGTPAVLPSFSENVFSQEHCSNPLFTPALGTPRSASFPRAPELGVISTSEELAQPSKELDFSYLPFPARPEPSLQADLSKDLVCTPPYTPHQPGGCAFLFSLHEPFQTHLPPPSSSLQEQLTPSTVTFSEQLTPSSATFPDPLTSSLQGQLTESSARSFEEQLTPCTSTFPDQLLPSTATFPEPLGSPTHEQLTPPSTAFQAHLNSPSQTFPEQLSPNPTKTYFAQEGCSFLYEKLPPSPSSPGNGDCTLLALAQLRGPLSVDVPLVPEGLLTPEASPVKQSFFHYTEKEQNEIDRLIQQISQLAQGMDRPFSAEAGTGGLEPLGGLEPLNPNLSLSGAGPPVLSLDLKPWKCQELDFLVDPDNLFLEETPVEDIFMDLSTPDPNGEWGSGDPEAEVPGGTLSPCNNLSPEDHSFLEDLATYETAFETGVSTFPYEGFADELHQLQSQVQDSFHEDGSGGEPTF
XP_017447551.1 1 947 0.399686694825765 PF00609.19:DAGK_acc:465:622,PF00781.24:DAGK_cat:314:428,PF00130.22:C1_1:191:249,PF12796.7:Ank_2:813:907,PF13637.6:Ank_4:843:892,PF13606.6:Ank_3:843:866 diacylglycerol kinase zeta isoform X2 947 427 12 947 0 Rattus norvegicus XP_017447551.1 1 RefSeq MAEGPGGGGQRGDRAASGRAAEEEEVVRRRCRRGEEAEVFQPRPEGPRSVASGPLVEERFSQMHLRKQVSYRKAITKSGLQHLAPPPPTPGAPCGESERQIRSTVDWSESAAYGEHIWFETNVSGDFCYVGEQYCVAKMLPKSAPRRKCAACKIVVHTPCIGQLEKINFRCKPSFRESGSRNVREPTFVRHHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMLQQIEEPCSLGVHAAVVIPPTWILRARRPQNTLKASKKKKRASFKRRSSKKGPEEGRWRPFIIRPTPSPLMKPLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPREALEMYRKVHNLRILACGGDGTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEEGNVVQLDRWDLRAEPNPEAGPEERDDGATDRLPLDVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKPQCIVFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCREVLLTTAKAIPVQVDGEPCKLAASRIRIALRNQATMVQKAKRRSTAPLHSDQQPVPEQLRIQVSRVSMHDYEALHYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQQEPDGAGAKSPMCHPLSSKWCFLDATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPTPTSPLPASPCSPTPGSLQGDAALPQGEELIEAAKRNDFCKLQELHRAGGDLMHRDHQSRTLLHHAVSTGSKEVVRYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLMKTDQQGDTPRQRAEKAQDTELAAYLENRQHYQMIQREDQETAV
XP_017450187.1 190 466 0.699536462093863 Transcription factor SOX-10 466 0 12 277 0 Rattus norvegicus (Rat) SwissProt::O55170 1 SwissProt CPGGETDQGGAAAIQAHYKSAHLDHRHPEEGSPMSDGNPEHPSGQSHGPPTPPTTPKTELQSGKADPKRDGRSLGEGGKPHIDFGNVDIGEISHEVMSNMETFDVTELDQYLPPNGHPGHVGSYSAAGYGLSSALAVASGHSAWISKPPGVALPTVSPPAVDAKAQVKTETTGPQGPPHYTDQPSTSQIAYTSLSLPHYGSAFPSISRPQFDYSDHQPSGPYYGHAGQASGLYSAFSYMGPSQRPLYTAISDPSPSGPQSHSPTHWEQPVYTTLSRP
XP_017450280.1 1 278 0.632378417266187 transcription factor Sp7 isoform X1 428 0 12 278 0 Rattus norvegicus XP_017450280.1 1 RefSeq MASSLLEEEAHYGSSPLAMLTAACSKFGGSSPLRDSTALGKGGTKKPYTDLSAPKTMGDAYPAPFSSTNGLLSPAGSPPAPASGYANDYPPFPHSFPGPTGAQDPGLLVPKGHSSSDCLPSVYTSLDMSHPYGSWYKAGIHAGISPGPGNTPTPWWDMHPGGNWLGGGQGQGDGLQGTLSTGPAQPPLNPQLPTYPSDFAPLNPAPYPAPHLLQPGPQHVLPQDVYKPKAVGNSGQLEGSGAGKPPRGAGTGGSGGYAGSGAGRSTCDCPNCQELERL
XP_018087420.1 1 292 0.325666095890411 PF00688.18:TGFb_propeptide:60:284 bone morphogenetic protein 4 isoform X1 413 225 12 292 0 Xenopus laevis XP_018087420.1 1 RefSeq MDCFSMPCFLDIMIPGNRMLMVILLSQVLLGGTNYASLIPDTGKKKVAADIQGGGRRSPQSNELLRDFEVTLLQMFGLRKRPQPSKDVVVPAYMRDLYRLQSAEEEDELHDISMEYPETPTSRANTVRSFHHEEHLENLPGTEENGNFRFVFNLSSIPENEVISSAELRLYREQIDHGPAWDEGFHRINIYEVMKPITANGHMINRLLDTRVIHHNVTQWESFDVSPAIMRWTLDKQINHGLAIEVIHLNQTKTYQGKHVRISRSLLPQKDADWSQMRPLLITFSHDGRGHA
XP_023431478.1 1 952 0.190505672268908 Bifunctional ent-kaurene synthase; CPS/KS; Ent-copalyl diphosphate synthase; Ent-kaur-16-ene synthase; Gibberellin cluster-kaurene synthase; EC 4.2.3.19; EC 5.5.1.13 952 0 12 952 0 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0EA85 1 SwissProt MPGKIENGTPKDLKTGNDFVSAAKSLLDRAFKSHHSYYGLCSTSCQVYDTAWVAMIPKTRDNVKQWLFPECFHYLLKTQAADGSWGSLPTTQTAGILDTASAVLALLCHAQEPLQILDVSPDEMGLRIEHGVTSLKRQLAVWNDVEDTNHIGVEFIIPALLSMLEKELDVPSFEFPCRSILERMHGEKLGHFDLEQVYGKPSSLLHSLEAFLGKLDFDRLSHHLYHGSMMASPSSTAAYLIGATKWDDEAEDYLRHVMRNGAGHGNGGISGTFPTTHFECSWIIATLLKGGFTLKQIDGDGLRGLSTILLEALRDENGVIGFAPRTADVDDTAKALLALSLVNQPVSPDIMIKVFEGKDHFTTFGSERDPSLTSNLHVLLSLLKQSNLSQYHPQILKTTLFTCRWWWGSDHCVKDKWNLSHLYPTMLLVEAFTEVLHLIDGGELSSLFDESFKCKIGLSIFQAVLRIILTQDNDGSWRGYREQTCYAILALVQARHVCFFTHMVDRLQSCVDRGFSWLKSCSFHSQDLTWTSKTAYEVGFVAEAYKLAALQSASLEVPAATIGHSVTSAVPSSDLEKYMRLVRKTALFSPLDEWGLMASIIESSFFVPLLQAQRVEIYPRDNIKVDEDKYLSIIPFTWVGCNNRSRTFASNRWLYDMMYLSLLGYQTDEYMEAVAGPVFGDVSLLHQTIDKVIDNTMGNLARANGTVHSGNGHQHESPNIGQVEDTLTRFTNSVLNHKDVLNSSSSDQDTLRREFRTFMHAHITQIEDNSRFSKQASSDAFSSPEQSYFQWVNSTGGSHVACAYSFAFSNCLMSANLLQGKDAFPSGTQKYLISSVMRHATNMCRMYNDFGSIARDNAERNVNSIHFPEFTLCNGTSQNLDERKERLLKIATYEQGYLDRALEALERQSRDDAGDRAGSKDMRKLKIVKLFCDVTDLYDQLYVIKDLSSSMK
XP_710725.2 1 281 0.692783629893238 Biofilm regulator 1 422 0 12 281 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59LY1 1 SwissProt MSSSSSLSSSTTTATTTSARIRLPSISELTSRSTISGGSNNGNGSALKSQISPRLSDTSRILPSILKNTSGSSTPTSSSTPFKCPPIKSTVGGTLSSGNTQSNYVLGNTKINSLPRLSSPTLPVKVQPQQQPQLPPASSLSPVTRVINTPPQQPQSVSASTSPNTQYQYYQYQQQSSPIQQQQQQQQATPAATPTVMQMAQNQPSHPAPLQYATQQYYPQPVYYQSPAGVPPPPPSVTHQGHIIAVHQHPGHLPQVGVNGMPPNVGYTIVQPEIVNKSTNR
XP_713870.1 1 323 0.228739009287926 PF01975.17:SurE:21:243 putative inducible acid phosphatase 323 223 12 323 0 Candida albicans CharProtDB::CH_122797 1 CharProtDB MQFLTSALVLLLSVGALAKNILLTNDDGWQATNIRATYYKLKEAGHNVFLVAPVSQRSGFSGKFDIPTSPTLQTNGEFNYPPAGAPSWGHEVDDNHIWYFNGTPASSAVFGINYVIPKYGDNVTIDLVVSGPNEGTNMSPGLFTISGTVGATYTSIYRGIPGVAFSGSNSNNSFFKDSLDLKDNKEPSTIYANKVVEFVNQIFKAQGNNPRALGLGVGLNVNFPKVGYENETCTNPKWVFTRLTGQYAAGANLVYNETSNSFTWGQKSWDGLTVCNNGDCSLPSENFIVEHTNCQSSVSVFSVDYDANLGLTSQVKQILNPLF 1
XP_716600.1 218 599 0.729901832460733 Transcriptional regulator CRZ1; Calcineurin-responsive zinc finger protein 1 731 0 12 382 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5A4H5 1 SwissProt QPASPFLDAASHVSNNSFIPPVIPTALSDVGSQNLDPSHNLGLSANQHFDSVNEFLSTGEIQLGQSVSSTNLPSMEEDSIKWGGGNGQEAYTSLAMMEQRASADNSGMRLATHQFSETQIKQEDQQTNMNHQYTFSNPQMNFDFDITVTPPPQQLEVKPFGNDKDMNNSSGTTNNNNNNSQFDIVSTAATNNSNQLLTENNLSNYNQLQRTEQGNDNDSLQIHRDATGIIISINQAPEEIAAKTPSLFSNSSANSSIHNSPRSDIDNKSGQYYNNGGDGNSLVPNSQLLPSSPNSNNDNYGGGGSSNDENNLLNPEEFQSVKRGRRKSHASRTSTNPNSLSPRSRSRSRSSAKSSNDAVISDNDESDDVLQSREKMLELALP
XP_722233.1 1 496 0.668908669354838 Oxidative stress response two-component system protein SSK1 674 0 12 496 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AKU6 1 SwissProt MNFLYNNSDYSSTSHTMKSPSAYNQFPKLQASNSTAGNNNTATTATAAAAAASASASASVTPQLISPTTLTTPQNKYKRGGLDNTLPKIETTRKNRPDDGNSITPSNSINSGTTKLTLPPRRVWVKKPQTNNPTTVLCYVNDIIDDLKVAVVNKYPNTIGRYEDAADLLVKIDLNNIRVPVSPSVNRVSQRTPFDNCIILEPDQNVWQILDNYFPNGMAMHDALIIETPTFKPDHQMLTPITANMNNNSNTFIPFQERQSSIGNNNNNNSNVNNNNKAQAVKHPQPMQPNNTRVGLHKSYAMNRSSFSTNNNPVPSIIKDRSVSPSNLGVSRNSPVSHKRSYSNPVSSPNSVATQANNPSAVLLLPRNFSLANNNSNQASQSSGGTPAKKVLSEDGSKSVNDKTEEVVSSKLKPNDNNKSYQAKQQEQQTAEQSENGFSETSASPEAVHNSKAAPLPLTKSSTTATTTSSNSISNNNNTSSKGKPSQSKLKAANDP
XP_723567.2 273 785 0.688882261208577 White-opaque regulator 1 785 0 12 513 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AP80 1 SwissProt GKAPIDDEECFIVDGHNQYTNVSYIQQQQQQHQLQHQPLLHHSSSVAGSTTSIVNNSLSISNGGYGNNYSKNLSRSYNKYSNSQVSQLSYMLPPQTESSSTATIASGASVSVKREDDTNNGSNAPTGNENQYVNAINHSHTSSYGGQGYATDATGIATPAYNSYSQANTSINTSSQQQQQLQQGQYGQYVQYGVAPSTISGATSTNNNSGNAPNIPQDVYYSHYTGFVQPHYPQYHIATGNASDQYNTNAANHQYHSNNTTSSANNNSSSRTTGVGSKRKPSIVSNSTSGSVSGGNGNGNNYGYNSNSSTSTNRPPAVSTNTTSTTSGGSSFSGPSSNITTNSMSNNPWFNSSTNMAVNSSYITSSGGGNSHGGIGNNEYEPMPMTNNSASIPAYYQQHVPSHVGSAQQHQSQQQVAGVGAPHIIHNHPYLHPTYGQGSNSASTGDNSTPGGSSGSGSGGSGNNGAGGSSSVAATSGVTSSNTSGNIVTNGTLVAAGTDDAVGNSSGSYYTGT 1
XP_747150.1 1 271 0.386464206642066 PF13489.6:Methyltransf_23:43:196 Methyltransferase psoC; Pseurotin biosynthesis protein C; EC 2.1.1.- 271 154 12 271 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WB00 1 SwissProt MDNFMEMTKKLGHQPELLRLRALDATYDDALEGKLVVAPLDMSEPGKKILDSGTADGTWLRNVRSKQSVPHDYYGSDVEGELFPKDPDGITYFAHSFKDPWPQQYLGFFDLVHIRGSLAGSAPEGPAPVIQNLTTLLKPGGWVQLMEMNAFSPPPNGPAMTDFAKMASEMFTGIGVGDFANNNKSMLEDAGLKNVQEKRVIVNLGKKAKPELHDQSIHGVTGPIVPLTSVARTVKSSFTGEQLDALPARVKEELETEGGQVEMIIAFGQKA
XP_747152.1 1 445 0.18305393258427 PF12697.7:Abhydrolase_6:175:324,PF06500.11:DUF1100:116:267 Alpha/beta hydrolase psoB; Pseurotin biosynthesis protein B; EC 3.7.1.- 445 209 12 445 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WAZ8 1 SwissProt MATIHKLFKSPFFDFEFLRLLAMAPYEGAEIGEVLEAAAKIKDQDPESWYSTLLETGGKAEAIAKQAEASGDRVGARRAYLRSSNYLRAAQFMLNEGPIGHDERVLPTLERAIANFRKGVQYRDGKTIFLEIPYEGGKTLPGYLYLPPAARRIPGRKIPILLNSGGGDSTQEEIYFVNPAYGPDLGYAVLTFEGPGQGIVLRRDKLPMRPDWESVTGPVLDHLFDLATRHPELELDLDHIAVTGASMGGYFALRAAADPRIKACVSVDGFYSLSSFVGGRMPGPLFNGFMSGWLSDWMFNGILGVLKKLAFQARWEFNHLRWATGSTTDADVMRSFGAYTLQKADGTEYLADVKCPTLVTGAGASFYFDPATTTDKIYDCLTSLQDGVDKEKWIATDVAYGGLQAKIGAFGYSAQKTFEWLDQRFGIQREPLAASSRLEDLVSRL
XP_748904.1 1 577 0.0689818024263431 PF07690.16:MFS_1:56:478 Siderophore iron transporter 1 577 423 12 303 13 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WGS5 1 SwissProt MAMHDPHEKNGPDAVESRVQTDFPVERFGGNGSPGVRRIEVISSQFNLVHRIIFFFGVFLIAYVYGLDGTIRYTYQPLATAEYQSHSLLATVNVLRSVIAAAAQPTAAKIADVFGRVELILLSIVFYTVGTIVEASANHLYEFCAGAVLYQIGYTSVILLVEVLIADVTSTRSRLLFSYIPALPFLINTWISGNVTSAVLKVTSWQWGIGMFAIIYPVCTIPLLAVLFVGHLKARRANPQAYKFSLLDQGVGQFFIDLFWYLDVVGILLLIAILALILVPFTIAGGAVTQWKTAKVIAPLVIGVLCVPAFIVWERWCPHPMVPFKLLKDRAIWGALGIAVMLNTAWTLQGDYLYTVLIVSFDESVMSATRITSLYSFVSVITGTILGAIVIYVRRLKPFIVAGTLVFMAAFGILIRFRGGADGTNHAGIIGGQILLGFAGGLFPYPAQASVQVASKHKYLAVITGIYLATYNVGSALGNTISGAIWTQVLPGELENRLGNATLAAEVYANPFAFTQVNPVGTPDRDAVILAYKHAQRLLCITGICLTVPLIAFSLCIRNPRLTKEQTLKEAEEIDDK
XP_753093.1 1 96 0.123286458333333 conidial hydrophobin RodB 140 0 12 96 0 Aspergillus fumigatus Af293 XP_753093.1 1 RefSeq MKFLAVVSLLAATALALPNAGVVHPTFASADKYTLQQAQNKCGEHTTLSCCNHVSKVGDTTAFNYGLLNGLLGNAISGPEGVGILSGCQKISVTAL
XP_756143.1 1 339 0.133438348082596 PF10017.9:Methyltransf_33:22:335 4-dimethylallyltryptophan N-methyltransferase easF; 4-dimethylallyltryptophan methyltransferase; Ergot alkaloid synthesis protein F; EC 2.1.1.261 339 314 12 339 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WZ60 1 SwissProt MTISAPPIIDIRQAGLESSIPDQVVEGLTKEVKTLPALLFYSTKGIQHWNRHSHAADFYPRHEELCILKAEASKMAASIAQDSLVIDMGSASMDKVILLLEALEEQKKSITYYALDLSYSELASNFQAIPVDRFHYVRFAALHGTFDDGLHWLQNAPDIRNRPRCILLFGLTIGNFSRDNAASFLRNIAQSALSTSPTQSSIIVSLDSCKLPTKILRAYTADGVVPFALASLSYANSLFHPKGDRKIFNEEDWYFHSEWNHALGRHEASLITQSKDIQLGAPLETVIVRRDEKIRFGCSYKYDKAERDQLFHSAGLEDAAVWTAPDCDVAFYQLRLRLN
XP_956635.1 1 691 0.184146309696093 non-anchored cell wall protein 1 691 0 12 691 0 Neurospora crassa OR74A XP_956635.1 1 RefSeq MFSFSRSAAWSCLLVALHFTLALAAKSLTNTILILARDTYSGTSAAEGLQGYGIPYQIVLVPQAGITLPALATGTDQGNYGGIIVVSELSYQYSDGWRSAITAAQWETIYTYQTNFGVRLVRLDVFPSTDLGVDLAVAGAGCCNTGVEQLISFSDVSDFPTANVKTGAGVSTQGLWHYPAKIVNSTIAKPIATFAPSSDGTFSTTSVAAIINTFGTRQQMVWFTSWATDWALASNYLQHGFIHWMTRGLFVGKRKIHLSTQVDDMGLETEIYHPAGKNFRIRISDLETHATWQSNINTRLPAGSHYFVEMGHNGNGDFIEGLPKATSENMCNPSEAVDYESPPDTPLDFKKPLGTGLTLWKSTFVNYTWSLQCALLDDITTWFFNHINTFASVSHTFTHEELNNATYSDANKEIYFNQAWLNQIGFGASSMFSPLGLIPPAITGLLNGDAIKAFLDNGIRYVVGDNTRPILRNPENSFWPAITNVATNGYDGLVIIPRWATTIYYNCDTQECTLQEWIDTSAGAAPFSNLLDDARRVNVRYLLGLHPDPYMFHQANLRSGDVDQITVGSVSGKLSLLQIWVETITQEMVRLTNWPITSLKHDDIGRLFVDRMTLDKCNPNMQYNYSADGTKIVSVTVTATNNQCSVPIPVTVPASASGSATVDALGNEPKIYWTTLSGSPVTLTLSSQISI 1
XP_965486.1 1 145 0.673229655172414 conidial separation-1 287 0 12 145 0 Neurospora crassa OR74A XP_965486.1 1 RefSeq MFARDANGHTVQLLNDDEPQKAPQVQYQPMPYRAPSSFGQPYHPALTRSISSSPNTPELLRSDSYDSQMSNEPISPMTPIYDYSRGYPIDTRPYEDYSDAHSYIGAKRPRTMSDSRSMSYEDDAASPAATERAGKRYPCRYRDSH
YP_001129403.1 1 286 0.570766083916084 PF07188.11:KSHV_K8:1:238 E3 SUMO-protein ligase K-bZIP; E3 SUMO-protein transferase K-bZIP; EC 2.3.2.- 286 238 12 286 0 Human herpesvirus 8 type P (isolate GK18) (HHV-8) (Kaposi's sarcoma-associated herpesvirus) SwissProt::Q2HR82 1 SwissProt MPRMKDIPTKSSPGTDNSEKDEAVIEEDLSLNGQPFFTDNTDGGENEVSWTSSLLSTYVGCQPPAIPVCETVIDLTAPSQSGAPGDEHLPCSLNAETKFHIPDPSWTLSHTPPRGPHISQQLPTRRSKRRLHRKFEEERLCTKAKQGAGRPVPASVVKVGNITPHYGEELTRGDAVPAAPITPPYPRVQRPAQPTHVLFSPVFVSLKAEVCDQSHSPTRKQGRYGRVSSKAYTRQLQQALEEKDAQLCFLAARLEAHKEQIIFLRDMLMRMCQQPASPTDAPLPPC
YP_095170.1 1 322 0.195503105590062 PF18405.1:Serine_protease:26:304 hypothetical protein 322 279 12 322 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 YP_095170.1 1 RefSeq MIQRGFTMQERREKQGNNSPYLLTPYEMANLVFKTGAISFTVSAGTQPFQYLLNKLQFSQSGTPSGLSGGLFRGMYRGFLPYAIAGQKRGAVAVTHKQTNKVTEEEEFEAPFRQRWWGTIFFSQADLLVSNGLSGKARLQNVGVINAENFKWSLSNFWKLTSVNWGSRSFAGGVNFALIGFAGDYVSSFYKFDKDLYNKILGGATSGVIATLFTTAPNAYADSKLLQTKVAENNRLITVSPYTMFGQMKSHVKAVGLKEAFMTFFKVSYLQQVAVRAPQAAITFALIFGMDEYMGPQPLKKVWPGRVEELESENPSPSPTKK
YP_096511.1 1 942 0.305531634819533 hypothetical protein 942 0 12 942 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 YP_096511.1 1 RefSeq MTKIYLLTAPFDEEAKTGDGQYAASLELGFAENYEHIPCKWLKKDKGDYSIPFPTGTTSIPEETIPSAIVLQPVANENTVISGYKLKDTVSSPEKAQEVNNKTVSPRTPKIIVKHDNSLQSLTIMDIYSQKPIQFDESKVDEIIHSLETKKVNLEKAIEDNNAELSKIKKQKSKLAYLTRLYKENKENIQDYCTLNEYIEAHLFNPKFLSRHEKALNNFKALKSQFTGPVNLKELEKLTDKLTGIKEYSYDFHSNSLPYDLEHDKSFRNFYDFDGLKESIESIIKELEVLNSIRQAVSDKYPNSFKALNETEEHDDKLKFINIIFNDGFSTTYDQQTFIKALSALDIEKAIDAYTNVKNKLENTQDIIANKEGCRNKLISELQTLIANKQEPYLSANEKLGGFYSKRKLSASEGFHLAYQANRRDPIKPEVIENIITKMKPIDEDTHLDIHIRPPDCGVFITPEDIKKFQEAGIKVNITIHEYKQNYTRRYLQQYTHDLMRQANSVQFFNAEDRENAIIAATYGDCDKRNTTEPTGVAKKIREVGEDFDLDKYPVQKYDLKGKSGLTVASQKLSTEPDHPLDVVAKAPNILSFGTIRPGKGFEEALKLAQLIKDNSLSIHEKIKRVPIVKLAGDPQDKALMKQIVVERFGKTAVKTYQKTHPYDNRFNNSQRRDYWKNLVRELNAKVKEEVAVLNNPYIEIYPWCEPHELLDLKQNCKYVCRMDDMGMRNNGSAIISVLDVGVVYTKFGSVTDDIFIKGGKYGNAVDIGEYRYGKYSLLKKEKEFKEQHEEEPLPKWLIKNPDSAYKRQSESRDPKDILDSIVAREENQLICDNIEDSDNYRTVVEAQKLLKERFTLKNAVDHLLENIGLGHLIAQEEVDELFETVDPVQAQIDNLDILSDIKTPRLCLSRSCPELGFFGSRRGDLEAKQENNKLKESILVF
YP_177844.1 195 409 0.163875348837209 PF12484.8:PE_PPE_C:118:188 PPE family protein PPE32 409 71 12 215 0 Mycobacterium tuberculosis H37Rv YP_177844.1 1 RefSeq SSDITAQLSQLISLLPSTLQSLATTATATSASAGWDTVLQSITTILANLTGPYSIIGLGAIPGGWWLTFGQILGLAQNAPGVAALLGPKAAAGALSPLAPLRGGYIGDITPLGGGATGGIARAIYVGSLSVPQGWAEAAPVMRAVASVLPGTGAAPALAAEAPGALFGEMALSSLAGRALAGTAVRSGAGAARVAGGSVTEDVASTTTIIVIPAD
YP_401652.1 563 3149 0.469955701584848 Large tegument protein deneddylase; EC 3.4.19.12; EC 3.4.22.- 3149 0 12 2587 0 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03186 1 SwissProt TPSAAPAPSPLLPQQQPPPSAARAPSPLPPQQQPLPSATPAPPPAQQLPPSATTLEPEKNHPPAADRAGTEISPSPPFGQQPSFGDDASGGSGLVRYLSDLEEPFLSMSDSEEAESDLASDIPTTEDEDMFEDEVFSNSLESGSSAPTSPITLDTARSQYYQTTFDIETPEMDFVPLESNIARIAGHTYQEQAIVYDPASNREVPEADALSMIDYLLVTVVLEQGLIRSRDRSSVLNLLEFLKDWSGHLQVPTLDLEQLLTSELNIQNLANMLSENKGRAGEFHKHLAAKLEACLPSLATKDAVRVDAGAKMLAEIPQLAESDDGKFDLEAARRRLTDLLSGGDQEAGEGGGEPEDNSIYRGPHVDVPLVLDDESWKRLLSLAEAARTAVARQQAGVDEEDVRFLALLTAIEYGAPPAASVPPFVHNVAVRSKNAALHVRRCTADIRDKVASAASDYLSYLEDPSLPTVMDFDDLLTHLRHTCQIIASLPLLNIRYTSIEWDYRELLYLGTALSDMSGIPWPLERVEEDDPSIAPLPEFETVAKKQKELETTRENEKRLRTILDDIEAMLGLAGVASAPGAPISPASPSATPANHDNPEATPPLADTAALTIPVIEKYIANAGSIVGAAKNPTYIRLRDTIQQIVRSKKYLMNILKSITFYTIDNYIASFEESIDHLYRDLPVLDPEVQDGIDRILDPMVSEALHTFEMGNRLTLEPARLVALQNFATHSTLKETAAAVNLLPGLLAVYDATITGQAPEDALRLLSGLQNQLSQTLIPGKLKKRFLSYLQKLKNNNNDQLRQKEVQAWRLEAEGFKPATEEQLEAFLDTAPNKELKRQYEKKLRQLMETGRKEKEKLREQEDKERQERRAREANEAWARIRKALGARPEPAPTSPDDWNTLLASLLPDNTDSAAAAAAAVARNTDILDSLTQILAAMLLGITRVRRERLRSLLVDDGGAAERMEAAEPGWFTDIETGPLARLDAWPATPAATAKEGGGGRGAEEAAGALFRARTAADAIRSALAQTRQALQSPDMKSAVVNTDLEAPYAEYERGLAGLLEKRRAAEAALTAIVSEYVDRTLPEATNDPGQANLPPPPTIPQATAPPRLASDSALWPKKPQLLTRRERDDLLQATGDFFSELLTEAEAAEVRALEEQVRESQTLMAKAHEMAASTRRGFHTALEAVLSRSRDEAPDDELRSLLPSPPKAPVQAPLEAALARAAAGNGSWPYRKSLAAAKWIRGICEAVRGLSEGALALAGGAGAWLNLAAAADGEIHELTRLLEVEGMAQNSMDGMEELRLALATLDPKRVAGGKETVADWKRRLSRLEAIIQEAQEESQLQGTLQDLVTQARGHTDPRQLKIVVEAARGLALGASAGSQYALLKDKLLRYASAKQSFLAFYETAQPTVFVKHPLTNNLPLLITISAPPTGWGNGAPTRRAQFLAAAGPAKYAGTLWLETESPCDPLNPAYVSADTQEPLNYIPVYHNFLEYVMPTVLENPEAFSLTPAGRPQAIGPPQDDQERRRRTLASVASARLSAAAADSYWDTWPDVESNAGELLREYVSAPKALMEDLADNPIVAMTLLAHASLIASRNHPPYPAPATDREVILLEQREMMALLVGTHPAYAAAFLGAPSFYAGLGLVSALARDGGLGDLLSDSVLTYRLVRSPASGRGGMPSTTRGSNDGEDARRLTRHRIAGPPTGFIFFQDAWEEMDTRAALWPHPEFLGLVHNQSTARARACMLLLARRCFAPEALQQLWHSLRPLEGPVAFQDYLRDFVKQAYTRGEELPRAEGLEVPRETPSSYGTVTGRALRNLMPYGTPITGPKRGSGDTIPVSVFEAAVAAAFLGRPLTLFVSSQYLFNLKTLGQVRVVAPLLYCDGHSEPFRSLVETISLNFLQDLDGYSESFEPEMSIFARQAVWLRELLTEARAAKPKEARPPTVAILANRKNIIWKCFTYRHNLPDVQFYFNAAGASRWPTDVLNPSFYEHEDPPLPVGYQLPPNPRNVQELFSGFPPRVGHGLVSGDGFQSADNTPASSDRLQQLGGGETDQGEKGSTTAESEASGPPSPQSPLLEKVAPGRPRDWLSPTSSPRDVTVTPGLAAPITLPGPRLMARPYFGAETRASESPDRSPGSSPRPWPKDSLELLPQPAPQQPPSSPWASEQGPIVYTLSPHSTPSTASGSQKKHTIQIPGLVPSQKPSYPPSAPYKPGQSTGGIAPTPSAASLTTFGLQPQDTQASSQDPPYGHSIMQREKKQQGGREEAAEIRPSATRLPTAVGLRPRAPVVAAGAAASATPAFDPGEAPSGFPIPQAPALGSGLAAPAHTPVGALAPRPQKTQAQRPQDAAALPTPTIKAVGARPVPKATGALAAGARPRGQPTAAPPSAASPPRVSLPVRSRQQQSPAIPLPPMHSGSEPGARPEVRLSQYRHAGPQTYTVRKEAPPSAASQLPKMPKCKDSMYYPPSGSARYPAPFQALSFSQSVASPAPSSDQTTLLWNTPSVVTQFLSIEDIIREVVTGGSTSGDLVVPSGSPSSLSTAAPEQDLRYSLTLSQASRVLSRFVSQLRRKLERSTHRLIADLERLKFLYL
NP_000751.1 338 836 0.33471623246493 PF00041.21:fn3:195:270 Granulocyte colony-stimulating factor receptor; G-CSF receptor; G-CSF-R; CD114 antigen 836 76 11 476 1 Homo sapiens (Human) SwissProt::Q99062 1 SwissProt LDTWWRQRQLDPRTVQLFWKPVPLEEDSGRIQGYVVSWRPSGQAGAILPLCNTTELSCTFHLPSEAQEVALVAYNSAGTSRPTPVVFSESRGPALTRLHAMARDPHSLWVGWEPPNPWPQGYVIEWGLGPPSASNSNKTWRMEQNGRATGFLLKENIRPFQLYEIIVTPLYQDTMGPSQHVYAYSQEMAPSHAPELHLKHIGKTWAQLEWVPEPPELGKSPLTHYTIFWTNAQNQSFSAILNASSRGFVLHGLEPASLYHIHLMAASQAGATNSTVLTLMTLTPEGSELHIILGLFGLLLLLTCLCGTAWLCCSPNRKNPLWPSVPDPAHSSLGSWVPTIMEEDAFQLPGLGTPPITKLTVLEEDEKKPVPWESHNSSETCGLPTLVQTYVLQGDPRAVSTQPQSQSGTSDQVLYGQLLGSPTSPGPGHYLRCDSTQPLLAGLTPSPKSYENLWFQASPLGTLVTPAPSQEDDCVFGPLLNFPLLQGIRVHGMEALGSF
NP_001004049.1 1 373 0.136557908847185 PF01073.19:3Beta_HSD:7:287,PF01370.21:Epimerase:7:242,PF07993.12:NAD_binding_4:8:194,PF16363.5:GDP_Man_Dehyd:7:166,PF02719.15:Polysacc_synt_2:7:128,PF13460.6:NAD_binding_10:10:138,PF04321.17:RmlD_sub_bind:6:210,PF08659.10:KR:6:127 3beta-hydroxy-DELTA5-steroid dehydrogenase (EC 1.1.1.145) 373 282 11 373 0 Sus scrofa BRENDA::Q9N119 1 BRENDA MAGWSCLVTGGGGFLGQRIVHLLLEEKDLQEIRVLDKVFKPEVREEFSKLQSKIKLTMLEGDILDEQCLKGACQGASVVIHTASIIDVVNAVGRETVMKVNVKGTQLLLEACVQASVPVFIHTSSIEVAGPNSYREVIQNACEEDRLETAWSAPYPLSKKLAEKAVLEANGWALQNGGTLHTCALRPMYIYGEGSPFIFAHMNKALENNGVLTHNSKFSRVNPVYVGNVAWAHILALRALRDPRKALSVQGQFYYVADDTPPQSYDDLNYTLGKEWGFCLDSRRSLPPSLRYWLAFLLEIVSFLLSPIYNYQPPFNRHFVTLCNSVFTVSYKKAQRDLGYEPLFTWEEAKQKTKAWVGSLVKQHKEALKTKTH
NP_001006641.1 1 159 0.760289308176101 PF04538.12:BEX:1:159 transcription elongation factor A protein-like 1 159 159 11 159 0 Homo sapiens NP_001006641.1 1 RefSeq MDKPRKENEEEPQSAPKTDEERPPVEHSPEKQSPEEQSSEEQSSEEEFFPEELLPELLPEMLLSEERPPQEGLSRKDLFEGRPPMEQPPCGVGKHKLEEGSFKERLARSRPQFRGDIHGRNLSNEEMIQAADELEEMKRVRNKLMIMHWKAKRSRPYPI
NP_001020466.1 1 132 0.164557575757576 PF04505.12:CD225:32:98 Interferon-induced transmembrane protein 5; Bone-restricted interferon-induced transmembrane protein-like protein; BRIL; Dispanin subfamily A member 1; DSPA1 132 67 11 86 2 Homo sapiens (Human) SwissProt::A6NNB3 0 SwissProt MDTAYPREDTRAPTPSKAGAHTALTLGAPHPPPRDHLIWSVFSTLYLNLCCLGFLALAYSIKARDQKVVGDLEAARRFGSKAKCYNILAAMWTLVPPLLLLGLVVTGALHLARLAKDSAAFFSTKFDDADYD
NP_001021444.1 403 921 0.624060693641617 Mitogen-activated protein kinase kinase kinase dlk-1 921 0 11 519 0 Caenorhabditis elegans NP_001021444.1 1 RefSeq CIQYPSTVTRDHGGPKSAFAMEEEIQRKRHEQLNHIKDIRNMYEMKLKRTNKMYDKLQGCFTELKLKESELAEWEKDLTEREQWHNQNSPKAVAAPRAQLRGYPNEGYDDMSSDEDVQPCRGSPYRCSNTSSSSGVQSSPFSRQSSSRSSAGQQTRRSEGANPPKILRNDAIRHSGSYWETLGGARGSPARDSGFSQDSGMWSAGAGSCTAINGGGQQVCYSQTLYRNGDGRWSDGRIASRRRVSTSVNKSTAVPGQPVFFTRDSPSRVPHARSSSKLNRSSYPSRNAPHQLEDGCCCAHARAPRAKSIAVPMTSSSRARSPTPYDNDFENAESFVDPESPKNLKNLEKIVNLPESTSYDEALCNSDVTMNPIYTSPITTYSNPCHVELVDEENANDVDLTSSMDSRRSRSDDADVESSEEDEGNGNNILNTSMESEDLRYRIDTSQSTMMSSLERSLEIGATRSDGLSDNEMRVQAVKMSIKTHRRTGSNPQALIHQCIDEYTTSATDDSDDAGAVRI
NP_001021547.1 269 1188 0.632223260869565 Adenomatous polyposis coli protein-related protein 1; APC-related protein 1 1188 0 11 920 0 Caenorhabditis elegans SwissProt::Q21227 1 SwissProt PTVHALSIAAVHAHTHRFDVTATLSALWNLAGHSVENKRTICDTPNCLKVLASLLSPDARFTSLVDSATGILKYVSQYLANTSTHLELRSLLITRMLTLLKSASFTCVTNTLGAIANLIVKDPHMQQMIRQDMAAVQQLNVLRNSNRDDIRTAVKSVLNTLNQPCSHRYGDMSHSVGGGATGMQMLSEPQLQMQTSHHAYHGTASPRLLSLRATRASPGKYIQPQAQQQLIQTPQVDQRSSSLPRHFAVQRNGFVMAQSYNQQMDQHQQQQMIYQLQQQQQIMFQTEDQAQMEHHQQIMYLQQQQQQFHQIQQQQQMQKAQEADPVPPTDDDLDIPTSTVMGTRSNSERSLGSMNPGSVMTNWNSSLDTAANSSRALSPVSYNDIPASPTMCAQVFNLPKSTESEHHQLTSQQQNTTHYSSGSANTMTRSDGATTVPMDNIITPTYAILNPILVHEQTPNGTVPRKTSEELDSPDDVLPGPSLEEEEGDYAIIGGAAQKTDDELLTRSIQSEMPTSSSTPKMKVSPRLNGFFSPTQKTTSSPAWSHPDTSPIPKSSSHRTQPNRRQDASDADRLLMESIMSEMPKSRIISPRLAGTQQYLEPEPERRSHSKNEEADRRDAFTASHEPSDHNGIDVARGSDWSPQQQLHRMESLESQASSEDSFGLTAEEPNSSTSGAAANTMRFDDEIDASLPMDCVDDDDYDYTYDHFEDYEDEEDPDATQFDDGVDAQLTIDCSMISSGSGSSQRNETTTTSRDSKALATSTPKGSASSLPGVRQATRVSTNGKSRLPVPKTNGSLVDKNPKPIIASRRPRLPPKPTLLKDKHYPEEDSIENQTRDDTIYVNAPVVEAEQERIYMNALKQQKNIEQSPSIGNGSPIAKSAIVTPYNYQKPPFTGRNNGEMSNEKSVTPNPKQMLVTIV
NP_001024550.1 118 572 0.280152747252747 PF00104.30:Hormone_recep:236:345 Nuclear hormone receptor family member nhr-25 572 110 11 455 0 Caenorhabditis elegans SwissProt::Q19345 1 SwissProt RVSTVQVPAVLGAQSQAQTFYQPPEHQVSSSTTDQNNQINYFDQTKIKTEYIKTEYDAHLQSPTLSSSTNQQLSVSDFIMRPGYLVDPQDSLAVLLGSTIDDPLLRHTFPAAYQLNEVKQEPFDYSEQFIHHSLHDYPTYTSNTTNYATMMPMTTVSSTQSLVTSTSSTTTGRMTEASSTSPILPLCPAPTEKTVDHFYNSSIAEMCKTLPDDAQIARIFTSVKGTSKPEKHAFSIQVAEENLKDIVIWAKNDQLFSKLSLDDQMILLQTSWTTVHIVDITNAMVHGNLLSQYKMSNGDEVPVGLVALLGNQTFVSSWNDVVIRLRNMGFTNFDYCAFRFLALFDQSMDSFPAVSTARSRVLQSWREVRCTTAFLEIFEQIRRLAYDSLRYLWNLHSNCPTNWEQFFPEASLVLEMIRTTVNRSASSSVTAITQVPAIQLPTPQATYTAVPYMAS
NP_001026808.1 1 330 0.443147272727273 PF01299.17:Lamp:131:278 macrosialin precursor 330 148 11 307 1 Rattus norvegicus NP_001026808.1 1 RefSeq MRFPVCLTLLVLLVAQGTGKDCPHKKAATLLPSFTETPTTTGSTASPTTTHRPTTTSHRPTTTSHRPTTTSHRPTTTSHRPTTTSHRPTTTSHGNATVSPTTNSPGFSTVGPHPGPPPPSPSPSPSSTGALGNYTWTNGSQPCVQLQAQIQIRILYLTQGGKKAWGLSVLNPNKTKVQGGCDSAHPHLALSFPYGQLTFGFKQDRHQSHSTVYLNYMAVEYNVSFPQAAQWTFSAQNSSLQELQAPLGQSFCCGNTSIVLSPAIHLDLLSLRLQAAQLPDKGHFGPCFSCASDQSLLLPLIIGLVLLGLLTLVLIAFCVTRRRQSTYQPL
NP_001028848.1 1 189 0.866288888888889 calcium-binding protein 1 isoform 3 350 0 11 189 0 Rattus norvegicus NP_001028848.1 1 RefSeq MGGGDGAAFKRPGDGARLQRVLGLGSRRAPRSLPSGGPAPPPPGHASAGPAAMSSHIAKSESKTSLLKAAAASGGSRAPRHSSARDPGLRGRRLPGPCPDSPATCGDPSSRRPLCRPVPRDEGARGSRRGLPQAHCRPRETLPPARGRDGEERGLAPALSLRGSLRSRGRGDPAPAGTPEADPFLHQLR
NP_001031871.1 1 825 0.265848 PF07393.11:Sec10:140:476,PF07393.11:Sec10:488:816,PF08318.12:COG4:253:374 Exocyst complex component SEC10a; AtSec10a; Exocyst complex component 5 825 666 11 825 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::X5JA13 1 SwissProt MTEGIRARGPRSSSVNSVPLILDIEDFKGDFSFDALFGNLVNDLLPSFLDEEADSGDGHGNIAGVDGLTNGHLRGQSAPLSSAPFFPEVDGLLSLFKDACKELVDLRKQVDGRLNTLKKEVSTQDSKHRKTLTEIEKGVDGLFESFARLDGRISSVGQTAAKIGDHLQSADAQRETASQTIDLIKYLMEFNGSPGDLMELSALFSDDSRVAEAASIAQKLRSFAEEDIGRQGASAAAGNATPGRGLEVAVANLQDYCNELENRLLSRFDAASQRRDLSTMSECAKILSQFNRGTSAMQHYVATRPMFIDVEVMNSDIRLVLGDHGSQPSPSNVARGLSALFKEITDTVRKEAATITAVFPTPNEVMAILVQRVLEQRVTGILDKILAKPSLMSPPPVQEGGLLLYLRMLAVAYERTQELAKDLRAVGCGDLDVEDLTESLFSSHKDEYPEHERASLKQLYQAKMEELRAESQQVSESSGTIGRSKGASISSSLQQISVTVVTDFVRWNEEAITRCTLFSSQPATLAANVKAIFTCLLDQVSVYITEGLERARDSLSEAAALRERFVLGRRVAAAAASAAEAAAAAGESSFKSFMVAVQRCGSSVAIVQQYFANSISRLLLPVDGAHAASCEEMSTALSKAEAAAYKGLQQCIETVMAEVDRLLSSEQKSTDYRSTDDGIASDHRPTNACIRVVAYLSRVLESAFTALEGLNKQAFLTELGNRLEKLLLTHWQKFTFNPSGGLRLKRDLNEYVGFVKSFGAPSVDEKFELLGIIANVFIVAPDSLPTLFEGSPSIRKDAQRFIQLREDYKSAKLATKLSSLWPSLS
NP_001033747.1 1 305 0.149610819672131 PF01027.20:Bax1-I:90:300 protein lifeguard 2 isoform 2 305 211 11 147 7 Mus musculus NP_001033747.1 1 RefSeq MTQGKLSVANKAPGTEGQQHQANGEKKDAPAVPSAPPSYEEATSGEGLKAGTFPQGPTAVPLHPSWAYVDPRHHEHFTTFSWDDQKVRRLFIRKVYTILLVQLLVTLAVVALFTFCDVVKDYVQANPGWYWASYAVFFATYLTLACCSGPRRHFPWNLILLTIFTLSMAYLTGMLSSYYNTTSVLLCLVITALVCLSVTIFSFQTKFDFTSCQGVLFVLLMTLFFSGLLLAVLLPFQYVPWLHAVYAVLGAGVFTLFLAFDTQLLMGNRRHSLSPEEYIFGALNIYLDIIYIFTFFLQLFGTNRE
NP_001033898.1 1 340 0.218523235294118 PF01459.22:Porin_3:61:333 uncharacterized protein 340 273 11 340 0 Drosophila melanogaster NP_001033898.1 1 RefSeq MPMRERIRNLFRRNKRKRAASDYLEPHDEGGGNVQDNRSAEEDKEENKVEMLPPPPMEGEMPSYFHVGLLAKMCLIHGYTIGRWKLQCTSKTEKDFYLSSFGEGYPTWNTVYGGLEAYKESGNFHASLAWLSDGDLLSDLGVHGDGLGGTWSTVLKSMVSYPEGRKFQCKLKCGFDRNPGKVEMYIPIYKEPLLMGYIMMQPVKNYLLGYRTVFNVEDRDFNMHAFCGGYSNDVTEVGLKFENFKALRGSIFQRIGEKWAVALKANLYGNVSAKSVSIGGQYEWEPGSMLKAKVRGDSRIGLIFQKKLREDIEVLFHVGFEGSDPINGKHKFGSSWYFNM
NP_001034176.1 1 802 0.585656857855362 rap guanine nucleotide exchange factor 1 isoform 1 1224 0 11 802 0 Mus musculus NP_001034176.1 1 RefSeq MSSGLGLRRSPEMSGKIEKADSQRSHLSSFTMKLMDKFHSPKIKRTPSKKGKPAEVSKIPEKPVSKEARDRFLPEGYPIPLDLEQQAVEFMSTSAVASRSQRQKNLCWLEEKEKEVVSALRYFKTIVDKMAIDKKVLEMLPGSASKVLEAILPLVQTDPRIQHSSALSSCYSRVYQSLANLIRWSDQVMLEGVNSEDKEMVTTVKGVIKAVLDGVKELVRLTIEKQGRPSPTSPVKPSSPASKPDGQPELPLTDREMEILNKTTSVSPSAELLPDSTSEEVAPPKPPLPGIRVVDNSPPALPPKKRQSAPSPTRVAVVAPMSRATSGSSLPVGINRQDFDVECYTQRRLSGGSRSCGGESPRLSPCSSTGKLSRSDEQLSSLDRDSGQCSRNTSCETLDHYDPDYEFLQQDLSNADQIPPQAACNLSPLPESLGESGPPFLGHPFQLPLGSCLQQEGQQTDTPPALPEKKRRSAVSQTTDSSGCRVSYERHPSQYDNISEGDLQNPVPVQPVPYPPFAAVLPFQQGASSASAEFVGDFSVPELAGDTEKPPPLPEKKNKHMLAYMQLLEDYSEPQPSMFYQTPQSEHIYQQKNKMLMEVYGFSESFCGSDSTQELAPPPALPPKQRQLQASYAASSFSVSYCVQQTKVAFTPEDGSAAQGLSVSVSNSFLNRHGSLPVPSYKSVFRSYSQDFMPHHQASVQPFLPPTSSSSPHFPPVHTSQSSDLAVPTVSSPPPSTVDGPLSSSQDSSFHGNPVRLPSETSFTDSEPPSGKDGHPRDPSVSSASGKDSRENGERSPKSLDG
NP_001035545.1 1 832 0.0968419471153849 PF13965.6:SID-1_RNA_chan:170:832 SID1 transmembrane family member 2 832 663 11 631 9 Homo sapiens (Human) SwissProt::Q8NBJ9 1 SwissProt MFALGLPFLVLLVASVESHLGVLGPKNVSQKDAEFERTYVDEVNSELVNIYTFNHTVTRNRTEGVRVSVNVLNKQKGAPLLFVVRQKEAVVSFQVPLILRGMFQRKYLYQKVERTLCQPPTKNESEIQFFYVDVSTLSPVNTTYQLRVSRMDDFVLRTGEQFSFNTTAAQPQYFKYEFPEGVDSVIVKVTSNKAFPCSVISIQDVLCPVYDLDNNVAFIGMYQTMTKKAAITVQRKDFPSNSFYVVVVVKTEDQACGGSLPFYPFAEDEPVDQGHRQKTLSVLVSQAVTSEAYVSGMLFCLGIFLSFYLLTVLLACWENWRQKKKTLLVAIDRACPESGHPRVLADSFPGSSPYEGYNYGSFENVSGSTDGLVDSAGTGDLSYGYQGRSFEPVGTRPRVDSMSSVEEDDYDTLTDIDSDKNVIRTKQYLYVADLARKDKRVLRKKYQIYFWNIATIAVFYALPVVQLVITYQTVVNVTGNQDICYYNFLCAHPLGNLSAFNNILSNLGYILLGLLFLLIILQREINHNRALLRNDLCALECGIPKHFGLFYAMGTALMMEGLLSACYHVCPNYTNFQFDTSFMYMIAGLCMLKLYQKRHPDINASAYSAYACLAIVIFFSVLGVVFGKGNTAFWIVFSIIHIIATLLLSTQLYYMGRWKLDSGIFRRILHVLYTDCIRQCSGPLYVDRMVLLVMGNVINWSLAAYGLIMRPNDFASYLLAIGICNLLLYFAFYIIMKLRSGERIKLIPLLCIVCTSVVWGFALFFFFQGLSTWQKTPAESREHNRDCILLDFFDDHDIWHFLSSIAMFGSFLVLLTLDDDLDTVQRDKIYVF
NP_001035843.1 1 475 0.420282315789474 PF17045.5:CEP63:18:280 centrosomal protein of 63 kDa isoform d 475 263 11 475 0 Homo sapiens NP_001035843.1 1 RefSeq MEALLEGIQNRGHGGGFLTSCEAELQELMKQIDIMVAHKKSEWEGRTHALETCLKIREQELKSLRSQLDVTHKEVGMLHQQVEEHEKIKQEMTMEYKQELKKLHEELCILKRSYEKLQKKQMREFRGNTKNHREDRSEIERLTAKIEEFRQKSLDWEKQRLIYQQQVSSLEAQRKALAEQSEIIQAQLVNRKQKLESVELSSQSEIQHLSSKLERANDTICANELEIERLTMRVNDLVGTSMTVLQEQQQKEEKLRESEKLLEALQEEKRELKAALQSQENLIHEARIQKEKLQEKVKATNTQHAVEAISLESVSATCKQLSQELMEKYEELKRMEAHNNEYKAEIKKLKEQILQGEQSYSSALEGMKMEISHLTQELHQRDITIASTKGSSSDMEKRLRAEMQKAEDKAVEHKEILDQLESLKLENRHLSEMVMKLELGLHERWGFTMLSSLVLNFGIQAIRQPQRPKVLELQV
NP_001036576.1 1 2045 0.590708117359412 PF10541.9:KASH:1992:2045 klarsicht, isoform B 2045 54 11 2045 0 Drosophila melanogaster NP_001036576.1 1 RefSeq MEMQQENETGREGVIPNSEKEVALISNQETEKAAMISGGKEGSVRDLESPNETATTQKIEHTTKPLKLDGIFAMPATPPNRAGLTTKSASSSRSSSLKKQRRGSRGSANLNVAGTGDGAPKRSAPGGGQLNYGTNSVGNDLMKQSQSMTSLKASNEEQQESRDVIQAKLHLERPYNSLKKNSHSNKMHRYSWGSGNSQCSSTSLHSSATLGLGSSGKDDLWAAIQTNYNYIMDTNLLDTCKEARCEIEGAATVLEKSSECSFKMLDETQRPEGLCEDPKELRRWLREMENKLESSPTITELTLFGNAELQRHLAVHSVLYHEIASHARVVSSCIRAAEKEQQLQQQQQLSSQQPASLTSNCSSESTSESATKSSSLSSGFASDPVTTPIGTAAAAPPSSSTHPSKKGEGNLERLRDRYHLLYLKAFELQLCLDNLLRKRSSAANGLDDDEDEEEDTEDDSFGYEGEATEDDLNEVNSDLDLENSESKSATPAELILQRCQIAATQIVCGLDETQSQSRSQQVPSQAKSPSADQPRDCLAPQKILKSADASTDDDDEGWLYTATAAATLEVATAATAAPTAAAATSSVDGLQSTAVSSTTATGGPLPPSDDSDKENKVALVSATTITAAATATATSIATLHSSNYDSSSACSSSNSNSNSNSNSNGRLTETSATSRVTQLQMQIHSQSQSQSQMELQINGNAIDGRHIISNNNCYSSMQHQPQNNNEGEAAEDLAKIKMGDDEAAADMANGNATKSQQMSNGVYSRADSCNFTVWAAETVASCHLPPRSPAKSAKSSKSQASNATVSGSTLVSPVKGKVSHDSIKQLVLEAEHLVRDAQETALKTPTKQKHSIIKISSTVKKREVTMPHPIKQRVEEWLEHQPSTPQLLTRSHTHELLPSCKPDDCEASGEASETDSVPQAGAGVNGGAPNGAGSDTSEGFTDSIATCMQTSTNSYGNSTERIGGSAEPIGQPVTPLGFGSSNQSLNVKIVKRSQTRRKSERPWSVSCLSQLTTDAAQLTTARIVENSPSGLASHSISESALDSLSPGPRPRAASSSGTGSNAAKKADSKGSLRRRKARKKRISAASAGRKSDSGSELGGDLTQTLMKSCESMSSQQLQEFTNALLSIQKGAVVAPLSPKGDVSGVPSLADGEGGETQLMLPKFRVGSFTTAGLLATDTRLGALAALSNYMNEDEQQAELSTEDHHSSISETAWDNYQEKYNSENYSEGFDSDAARRLLEFGDDYRNFIDSQSDCCSSLSAANNLDSFSPPRMDSLQKHELKTLHINQDTITSTVDHARRQRALELQYERRRKTLEVRRKSCQDMDESLMASPQSDQQQQQLQVTPSLSASATALMTTPKIQSTSHQISHRAESVGRKLDFGGMSHSAQSLLRRTSESDTSTRRRRTVTADERRRSSRNLEKCIKLIPATTSSSSGSDSEDGEQEMRSLLQQSRDRLDDTRALKIRCHLLRPEDYNEIINTCRDNIRCLEAVLRGPPGTVLSNHCAGQTKDLLGAWEDLLSWSENASAARKLQQEMSVLKSSLQRLGDKPTPELLDTEPAIQIAVEALKLEQTQLTSYRTNMLRLNASVHSWLTKQERRLQSALEEQEQQQESEQLKQQKLVEEEKGADVQKELASTGAVAITVTDSNGNQVEALATGEASTSTPAWDVHTLMSSEQEFHKHLKNEVSDMYSSWDEADARINTQLEMLTNSLIAWRQLESGLSEFQLALGQDRGTLKGLEGALDKGQATPVELAQNVKLVAKLLSEKVHVSQEQLLAVQQHLDPNHIYHITKFTASNGSLSDSGISDGGATSDGGLSERERRLGVLRRLAKQLELALAPGSEAMRSIAARMESAEADLKHLQNTCRDLIVRTAASHQQKQQIQQNQTQQVSPKANGHIKKQAAKGKAEPQSPGRRGKGARKARQAKKAGEDQQVEEPSLSPEQQKMVLKQLKTLTSGDGGDDPSDDPSLLFNLESSEEDGEGADPAQTSKRGWAWRIARAAVPMQVALFTIFCAACLMQPNCCDNLNNLSMSFTPQLRYIRGPPPI
NP_001041677.1 1 247 0.576555870445344 Cementoblastoma-derived protein 1; Cementum protein 1; Cementum protein 23; CP-23 247 0 11 247 0 Homo sapiens (Human) SwissProt::Q6PRD7 1 SwissProt MGTSSTDSQQAGHRRCSTSNTSAENLTCLSLPGSPGKTAPLPGPAQAGAGQPLPKGCAAVKAEVGIPAPHTSQEVRIHIRRLLSWAAPGACGLRSTPCALPQALPQARPCPGRWFFPGCSLPTGGAQTILSLWTWRHFLNWALQQREENSGRARRVPPVPRTAPVSKGEGSHPPQNSNGEKVKTITPDVGLHQSLTSDPTVAVLRAKRAPEAHPPRSCSGSLTARVCHMGVCQGQGDTEDGRMTLMG
NP_001070973.1 1 76 0.422776315789474 tachykinin-4 isoform delta precursor 76 0 11 76 0 Homo sapiens NP_001070973.1 1 RefSeq MLPCLALLLLMELSVCTVAGDGGEEQTLSTEAETWEGAGPSIQLQLQEVKTGKASQFFGLMGKRVGGREDEAQGSE
NP_001071118.1 74 167 0.656373404255319 endothelin-3 precursor 167 0 11 94 0 Rattus norvegicus NP_001071118.1 1 RefSeq INTPEQTVPYGLSNHRGSLRGKRSSGPVPESSQSSPQTRLRCACSGVDDKACAYFCAHVTSYSRRAEKAAAEEKQETGGPRQRLKSRTDKVHQP
NP_001074472.1 1 224 0.234919196428572 PF07258.14:COMM_domain:149:215 COMM domain-containing protein 5; Hypertension-related calcium-regulated gene protein; HCaRG 224 67 11 224 0 Homo sapiens (Human) SwissProt::Q9GZQ3 1 SwissProt MSAVGAATPYLHHPGDSHSGRVSFLGAQLPPEVAAMARLLGDLDRSTFRKLLKFVVSSLQGEDCREAVQRLGVSANLPEEQLGALLAGMHTLLQQALRLPPTSLKPDTFRDQLQELCIPQDLVGDLASVVFGSQRPLLDSVAQQQGAWLPHVADFRWRVDVAISTSALARSLQPSVLMQLKLSDGSAYRFEVPTAKFQELRYSVALVLKEMADLEKRCERRLQD
NP_001095166.1 76 202 0.469882677165355 endothelin-1 precursor 202 0 11 127 0 Oryctolagus cuniculus NP_001095166.1 1 RefSeq TPGHIVPYGLGSPSRSKRSLKDLFPTRAAYHKNRCQCTSPHDKKCWNFCQAGTELRAQETMEKGRNNLKKGKDCSKLGKKCILQKLMQGRKIRRLEAISNSIKTSFHAAQLRAQLHREQKVTHNRTH
NP_001099432.3 107 948 0.885773990498814 PF00045.19:Hemopexin:787:829 proteoglycan 4 precursor 1147 43 11 842 0 Rattus norvegicus NP_001099432.3 1 RefSeq VHNPTSPPPKTAPPPPGASRTIKSTTKRSPKSPKKKTKKVVESEEITEEHSVSENQESSSSSSSSSSTIRKIKSSKNSANRELQKNPKVKDNKKNTPKKKPNPEPPVVDEAGSGLDNGEPKLTPPPDPPTPHNKVTASPKTTAAKPVTPKPSLAPNSETSKEASSTSNKETTVETKETTETNKQSSASKKEKTTSAKETRSAENTSAKDVEPTSTTPKNSAPTTTKKPVTTTKEPVPTTTKGPEPTSKEPAPTTPKEPELTIPKEPAPTTKKPAPTTPKEPAPTTPKEPAPTTPKEPAPTTKKPEPTTPKEPAPTTPKEPAPTTPKEPAPNTPKEPAPTTPKEPAPTTPKEPESTTPKEPAPTTPKEPAPTTPKEPAPTTPKEPAPTTPKEPAPTTPKEPALTTPKEPAPTPKEPEPTTPKEPAPTTPKEPAPTTKKPELTIPKEPVPTTPKEPAPTTPKEPAPTTTKKPELSTPKEPTSTNPKEPEPTLPKEPELTLPEEPEPSNPETSDELIAELAEAPTPKALENNPKEPTVPTETPEVSKPEMTTAKEKTTEKDTTQTTTTVTPKTTTETTIIPEETTEPRASTTTRITSTAQDTTSPQMTTLKATTLAAKVTAPTEEIQSKPEETTLTTPALEGSDASKTTLKPQKPTKAPKKPASTKKPTKAPKKPTSTKKPKTPKGRKPKTTPSPLKTSTMSEQNTTPLEVMLPTTTVPKQTPNSETAEVNPAHEDADGGEGEKPLIPRPPVLSPTAVPGTDLLVERLNPGINIHPMFSDETNICNGKPVDGLTTLRNGTLVAFRGHYFWMLNPFRPPSPPRRITEVWGIPSPIDTVFTRCNCEG
NP_001116863.3 1 549 0.412373770491803 PF00566.18:RabGAP-TBC:105:312 TBC1 domain family member 3C 549 208 11 549 0 Homo sapiens (Human) SwissProt::Q6IPX1 1 SwissProt MDVVEVAGSWWAQEREDIIMKYEKGHRAGLPEDKGPKPFRSYNNNVDHLGIVHETELPPLTAREAKQIRREISRKSKWVDMLGDWEKYKSSRKLIDRAYKGMPMNIRGPMWSVLLNTEEMKLKNPGRYQIMKEKGKRSSEHIQRIDRDVSGTLRKHIFFRDRYGTKQRELLHILLAYEEYNPEVGYCRDLSHIAALFLLYLPEEDAFWALVQLLASERHSLQGFHSPNGGTVQGLQDQQEHVVATSQPKTMGHQDKKDLCGQCSPLGCLIRILIDGISLGLTLRLWDVYLVEGEQALMPITRIAFKVQQKRLTKTSRCGPWARFCNRFVDTWARDEDTVLKHLRASMKKLTRKKGDLPPPAKPEQGSSASRPVPASRGGKTLCKGDRQAPPGPPARFPRPIWSASPPRAPRSSTPCPGGAVREDTYPVGTQGVPSPALAQGGPQGSWRFLQWNSMPRLPTDLDVEGPWFRHYDFRQSCWVRAISQEDQLAPCWQAEHPAERVRSAFAAPSTDSDQGTPFRARDEQQCAPTSGPCLCGLHLESSQFPPGF
NP_001118230.1 1 549 0.147113114754098 PF07690.16:MFS_1:109:470,PF00083.24:Sugar_tr:107:286,PF03137.20:OATP:191:260 Protein spinster homolog 2 549 364 11 305 11 Homo sapiens (Human) SwissProt::Q8IVW8 1 SwissProt MMCLECASAAAGGAEEEEADAERRRRRRGAQRGAGGSGCCGARGAGGAGVSAAGDEVQTLSGSVRRAPTGPPGTPGTPGCAATAKGPGAQQPKPASLGRGRGAAAAILSLGNVLNYLDRYTVAGVLLDIQQHFGVKDRGAGLLQSVFICSFMVAAPIFGYLGDRFNRKVILSCGIFFWSAVTFSSSFIPQQYFWLLVLSRGLVGIGEASYSTIAPTIIGDLFTKNTRTLMLSVFYFAIPLGSGLGYITGSSVKQAAGDWHWALRVSPVLGMITGTLILILVPATKRGHADQLGDQLKARTSWLRDMKALIRNRSYVFSSLATSAVSFATGALGMWIPLYLHRAQVVQKTAETCNSPPCGAKDSLIFGAITCFTGFLGVVTGAGATRWCRLKTQRADPLVCAVGMLGSAIFICLIFVAAKSSIVGAYICIFVGETLLFSNWAITADILMYVVIPTRRATAVALQSFTSHLLGDAGSPYLIGFISDLIRQSTKDSPLWEFLSLGYALMLCPFVVVLGGMFFLATALFFVSDRARAEQQVNQLAMPPASVKV
NP_001120792.1 112 704 0.72778971332209 PF12478.8:DUF3697:385:415 ubiquitin-associated protein 2-like isoform b 983 31 11 593 0 Homo sapiens NP_001120792.1 1 RefSeq GQTESNEEGKENRDRDRDYSRRRGGPPRRGRGASRGREFRGQENGLDGTKSGGPSGRGTERGRRGRGRGRGGSGRRGGRFSAQGMGTFNPADYAEPANTDDNYGNSSGNTWNNTGHFEPDDGTSAWRTATEEWGTEDWNEDLSETKIFTASNVSSVPLPAENVTITAGQRIDLAVLLGKTPSTMENDSSNLDPSQAPSLAQPLVFSNSKQTAISQPASGNTFSHHSMVSMLGKGFGDVGEAKGGSTTGSQFLEQFKTAQALAQLAAQHSQSGSTTTSSWDMGSTTQSPSLVQYDLKNPSDSAVHSPFTKRQAFTPSSTMMEVFLQEKSPAVATSTAAPPPPSSPLPSKSTSAPQMSPGSSDNQSSSPQPAQQKLKQQKKKASLTSKIPALAVEMPGSADISGLNLQFGALQFGSEPVLSDYESTPTTSASSSQAPSSLYTSTASESSSTISSNQSQESGYQSGPIQSTTYTSQNNAQGPLYEQRSTQTRRYPSSISSSPQKDLTQAKNGFSSVQATQLQTTQSVEGATGSAVKSDSPSTSSIPPLNETVSAASLLTTTNQHSSSLGGLSHSEEIPNTTTTQHSSTLSTQQNTL
NP_001123661.1 1 451 0.268851441241685 PF05510.13:Sarcoglycan_2:33:410 epsilon-sarcoglycan isoform 2 451 378 11 428 1 Mus musculus NP_001123661.1 1 RefSeq MLLFWWWELGDPCAWTGKGRGTLKMSPATTGTFLLTVYTLFSKVHSDRNVYPSAGVLFVHVLEREYFKGEFPPYPKPGEVSNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTAENVGKPTIIEITAYNRRTFETARHNLIINIMSAEEFPLPYQAEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDMKEGVYVMVGADVAFSSCLREVENPQNQLRCSQEMEPVITCDKKFRTHFHIDWCKISLVDKTKQVSTYQEVVRGEGILPDGGEYKPPSDSLKSRDYYTDFLVTLAVPSAVALVLFLILAYIMCCRREGVIQLVHHSSIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEVIPPTHTDNYDSTNMPLMQAQQNLPHQTQIPQPQTTGDFRLTTFQRFEVNGIPEERKLTEAMSL
NP_001137455.2 1 378 0.231323015873016 PF00093.18:VWC:37:99,PF00093.18:VWC:181:243 chordin-like protein 1 isoform 4 precursor 378 126 11 378 0 Homo sapiens NP_001137455.2 1 RefSeq MRKKWKMGGMKYIFSLLFFLLLEGGKTEQVKHSETYCMFQDKKYRVGERWHPYLEPYGLVYCVNCICSENGNVLCSRVRCPNVHCLSPVHIPHLCCPRCPGDGELSWEHSDGDIFRQPANREARHSYHRSHYDPPPSRQAGGLSRFPGARSHRGALMDSQQASGTIVQIVINNKHKHGQVCVSNGKTYSHGESWHPNLRAFGIVECVLCTCNVTKQECKKIHCPNRYPCKYPQKIDGKCCKVCPGKKAKEELPGQSFDNKGYFCGEETMPVYESVFMEDGETTRKIALETERPPQVEVHVWTIRKGILQHFHIEKISKRMFEELPHFKLVTRTTLSQWKIFTEGEAQISQMCSSRVCRTELEDLVKVLYLERSEKGHC
NP_001138349.1 1 606 0.218329042904291 PF12070.8:SCAI:65:557 Protein SCAI; Suppressor of cancer cell invasion protein 606 493 11 606 0 Homo sapiens (Human) SwissProt::Q8N9R8 1 SwissProt MVRGARQPQQPRSRLAPRLTGTVEKPPRKRRSRTEFALKEIMSSGGAEDDIPQGERKTVTDFCYLLDKSKQLFNGLRDLPQYGQKQWQSYFGRTFDVYTKLWKFQQQHRQVLDNRYGLKRWQIGEIASKIGQLYYHYYLRTSETSYLNEAFSFYSAIRQRSYYSQVNKEDRPELVVKKLRYYARFIVVCLLLNKMDVVKDLVKELSDEIEDYTHRFNTEDQVEWNLVLQEVAAFIEADPVMVLNDDNTIVITSNRLAETGAPLLEQGMIVGQLSLADALIIGNCNNQVKFSELTVDMFRMLQALEREPMNLASQMNKPGMQESADKPTRRENPHKYLLYKPTFSQLYTFLAASFKELPANSVLLIYLSATGVFPTGRSDSEGPYDFGGVLTNSNRDIINGDAIHKRNQSHKEMHCLHPGDLYPFTRKPLFIIVDSSNSVAYKNFTNLFGQPLVCLLSPTAYPKALQDQSQRGSLFTLFLNNPLMAFLFVSGLSSMRRGLWEKCQEYLRKINRDIAQLLTHSRSIDQAFLQFFGDEFLRLLLTRFIFCSATMRMHKIFRETRNYPESYPQLPRDETVENPHLQKHILELASILDVRNVFFENTIDDY
NP_001138516.1 1 692 0.117152456647399 PF03137.20:OATP:41:619,PF07690.16:MFS_1:51:443,PF07648.15:Kazal_2:472:507 solute carrier organic anion transporter family member 3A1 isoform 2 692 579 11 416 12 Homo sapiens NP_001138516.1 1 RefSeq MQGKKPGGSSGGGRSGELQGDEAQRNKKKKKKVSCFSNIKIFLVSECALMLAQGTVGAYLVSVLTTLERRFNLQSADVGVIASSFEIGNLALILFVSYFGARGHRPRLIGCGGIVMALGALLSALPEFLTHQYKYEAGEIRWGAEGRDVCAANGSGGDEGPDPDLICRNRTATNMMYLLLIGAQVLLGIGATPVQPLGVSYIDDHVRRKDSSLYIGILFTMLVFGPACGFILGSFCTKIYVDAVFIDTSNLDITPDDPRWIGAWWGGFLLCGALLFFSSLLMFGFPQSLPPHSEPAMESEQAMLSEREYERPKPSNGVLRHPLEPDSSASCFQQLRVIPKVTKHLLSNPVFTCIILAACMEIAVVAGFAAFLGKYLEQQFNLTTSSANQLLGMTAIPCACLGIFLGGLLVKKLSLSALGAIRMAMLVNLVSTACYVSFLFLGCDTGPVAGVTVPYGNSTAPGSALDPYSPCNNNCECQTDSFTPVCGADGITYLSACFAGCNSTNLTGCACLTTVPAENATVVPGKCPSPGCQEAFLTFLCVMCICSLIGAMAQTPSVIILIRTVSPELKSYALGVLFLLLRLLGFIPPPLIFGAGIDSTCLFWSTFCGEQGACVLYDNVVYRYLYVSIAIALKSFAFILYTTTWQCLRKNYKRYIKNHEGGLSTSTEYQDIETEKTCPESHSPSEDSFVRS
NP_001138551.1 1 615 0.258642926829268 PF06419.11:COG6:56:609 conserved oligomeric Golgi complex subunit 6 isoform 2 615 554 11 615 0 Homo sapiens NP_001138551.1 1 RefSeq MAEGSGEVVAVSATGAANGLNNGAGGTSATTCNPLSRKLHKILETRLDNDKEMLEALKALSTFFVENSLRTRRNLRGDIERKSLAINEEFVSIFKEVKEELESISEDVQAMSNCCQDMTSRLQAAKEQTQDLIVKTTKLQSESQKLEIRAQVADAFLSKFQLTSDEMSLLRGTREGPITEDFFKALGRVKQIHNDVKVLLRTNQQTAGLEIMEQMALLQETAYERLYRWAQSECRTLTQESCDVSPVLTQAMEALQDRPVLYKYTLDEFGTARRSTVVRGFIDALTRGGPGGTPRPIEMHSHDPLRYVGDMLAWLHQATASEKEHLEALLKHVTTQGVEENIQEVVGHITEGVCRPLKVRIEQVIVAEPGAVLLYKISNLLKFYHHTISGIVGNSATALLTTIEEMHLLSKKIFFNSLSLHASKLMDKVELPPPDLGPSSALNQTLMLLREVLASHDSSVVPLDARQADFVQVLSCVLDPLLQMCTVSASNLGTADMATFMVNSLYMMKTTLALFEFTDRRLEMLQFQIEAHLDTLINEQASYVLTRVGLSYIYNTVQQHKPEQGSLANMPNLDSVTLKAAMVQFDRYLSAPDNLLIPQLNFLLSATVKRPPNGP
NP_001139138.1 98 1192 0.176923926940639 PF00839.17:Cys_rich_FGFR:49:102,PF00839.17:Cys_rich_FGFR:107:166,PF00839.17:Cys_rich_FGFR:183:237,PF00839.17:Cys_rich_FGFR:241:303,PF00839.17:Cys_rich_FGFR:310:362,PF00839.17:Cys_rich_FGFR:371:428,PF00839.17:Cys_rich_FGFR:432:494,PF00839.17:Cys_rich_FGFR:505:559,PF00839.17:Cys_rich_FGFR:562:620,PF00839.17:Cys_rich_FGFR:624:678,PF00839.17:Cys_rich_FGFR:692:747,PF00839.17:Cys_rich_FGFR:750:802,PF00839.17:Cys_rich_FGFR:807:866,PF00839.17:Cys_rich_FGFR:875:930,PF00839.17:Cys_rich_FGFR:938:992 Golgi apparatus protein 1 isoform 2 precursor 1192 855 11 1072 1 Homo sapiens NP_001139138.1 1 RefSeq PARRGGAGAGGGWKLAEEESCREDVTRVCPKHTWSNNLAVLECLQDVRELLWNYKLNLTTDPKFESVAREVCKSTITEIKECADEPVGKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKNDINILKCGSIRLGEKDAHSQGEVVSCLEKGLVKEAEEREPKIQVSELCKKAILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREALTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGNLGMNCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEHRLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSEFMPQGAVFSCLYRHAYRTEEQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDLVVECRDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQNKHQKDMNEKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQEAKEHRVSLKCRRQLRVEELEMTEDIRLEPDLYEACKSDIKNFCSAVQYGNAQIIECLKENKKQLSTRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNSELMDPKCKQMITKRQITQNTDYRLNPMLRKACKADIPKFCHGILTKAKDDSELEGQVISCLKLRYADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEISSLCAEEAAAQEQTGQVEECLKVNLLKIKTELCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDLAMQVMTSPSKNYILSVISGSICILFLIGLMCGRITKRVTRELKDRLQYRSETMAYKGLVWSQDVTGSPA
NP_001156042.1 1 107 0.329943925233645 Homeobox expressed in ES cells 1-B; Homeobox protein ANF-1; XANF-1; Xanf1 187 0 11 107 0 Xenopus laevis (African clawed frog) SwissProt::Q91898 1 SwissProt MSPALQKGSSLMENRSPPSSFSIEHILGLDKKTDVASSPIIKHHRPWIECSSKGVVNGTCWQIPVIACDLPIQVHAVHRSEEEETKIRLEKCFGDEDRLTYKRELSW
NP_001157974.1 1 779 0.605030038510912 PF10477.9:EIF4E-T:30:99,PF10477.9:EIF4E-T:101:334,PF10477.9:EIF4E-T:349:537 eukaryotic translation initiation factor 4E transporter isoform b 811 493 11 779 0 Homo sapiens NP_001157974.1 1 RefSeq MDRRSMGETESGDAFLDLKKPPASKCPHRYTKEELLDIKELPHSKQRPSCLSEKYDSDGVWDPEKWHASLYPASGRSSPVESLKKELDTDRPSLVRRIVGIVECNGGVAEEDEVEVILAQEPAADQEVPRDAVLPEQSPGDFDFNEFFNLDKVPCLASMIEDVLGEGSVSASRFSRWFSNPSRSGSRSSSLGSTPHEELERLAGLEQAILSPGQNSGNYFAPIPLEDHAENKVDILEMLQKAKVDLKPLLSSLSANKEKLKESSHSGVVLSVEEVEAGLKGLKVDQQVKNSTPFMAEHLEETLSAVTNNRQLKKDGDMTAFNKLVSTMKRNLESHLMSPAEIPGQPVPKNILQELLGQPVQRPASSNLLSGLMGSLEPTTSLLGQRAPSPPLSQVFQTRAASADYLRPRIPSPIGFTPGPQQLLGDPFQGMRKPMSPITAQQMSQLELQQAALEGLALPHDLAVQAANFYQPGFGKPQVDRTRDGFRNRQQRVTKSPAPVHRGNSSSPAPAASITSMLSPSFTPTSVIRKMYESKEKSKEEPASGKAALGDSKEDTQKASEENLLSSSSVPSADRDSSPTTNSKLSALQRSSCSTPLSQANRYTKEQDYRPKATGRKTPTLASPVPTTPFLRPVHQVPLVPHVPMVRPAHQLHPGLVQRMLAQGVHPQHLPSLLQTGVLPPGMDLSHLQGISGPILGQPFYPLPAASHPLLNPRPGTPLHLAMVQQQLQRSVLHPPGSGSHAAAVSVQTTPQNVPSRSGLPHMHSQLEHRPSQRSSSPV
NP_001159489.1 102 388 0.477743554006969 spindle and kinetochore-associated protein 3 isoform 2 388 0 11 287 0 Homo sapiens NP_001159489.1 1 RefSeq YSPRVKKNSVHEQEAINSDPELSNCENFQKTDVKDDLSDPPVASSCISEKSPRSPQLSDFGLERYIVSQVLPNPPQAVNNYKEEPVIVTPPTKQSLVKVLKTPKCALKMDDFECVTPKLEHFGISEYTMCLNEDYTMGLKNARNNKSEEAIDTESRLNDNVFATPSPIIQQLEKSDAEYTNSPLVPTFCTPGLKIPSTKNSIALVSTNYPLSKTNSSSNDLEVEDRTSLVLNSDTCFENLTDPSSPTISSYENLLRTPTPPEVTKIPEDILQKFQWIYPTQKLNKMR
NP_001167542.1 1 420 0.0479283333333333 PF03348.15:Serinc:12:414 serine incorporator 5 isoform 3 420 403 11 225 9 Homo sapiens NP_001167542.1 1 RefSeq MSAQCCAGQLACCCGSAGCSLCCDCCPRIRQSLSTRFMYALYFILVVVLCCIMMSTTVAHKMKEHIPFFEDMCKGIKAGDTCEKLVGYSAVYRVCFGMACFFFIFCLLTLKINNSKSCRAHIHNGFWFFKLLLLGAMCSGAFFIPDQDTFLNAWRYVGAVGGFLFIGIQLLLLVEFAHKWNKNWTAGTASNKLWYASLALVTLIMYSIATGGLVLMAVFYTQKDSCMENKILLGVNGGLCLLISLVAISPWVQNRQPHSGLLQSGVISCYVTYLTFSALSSKPAEVVLDEHGKNVTICVPDFGQDLYRDENLVTILGTSLLIGCILYSCLTSTTRSSSDALQGRYAAPELEIARCCFCFSPGGEDTEEQQPGKEGPRVIYDEKKGTVYIYSYFHFVFFLASLYVMMTVTNWFKSAFHLLP
NP_001171627.1 48 108 0.590852459016393 PF04643.12:Motilin_assoc:15:58 promotilin isoform 3 preproprotein 108 44 11 61 0 Homo sapiens NP_001171627.1 1 RefSeq KKSLSVWQRSGEEGPVDPAEPIREEENEMIKLTAPLEIGMRMNSRQLEKYPATLEGLLTAK
NP_001182237.1 1 167 0.439683233532934 CGG triplet repeat-binding protein 1; CGG-binding protein 1; 20 kDa CGG-binding protein; p20-CGGBP DNA-binding protein 167 0 11 167 0 Homo sapiens (Human) SwissProt::Q9UFW8 1 SwissProt MERFVVTAPPARNRSKTALYVTPLDRVTEFGGELHEDGGKLFCTSCNVVLNHVRKSAISDHLKSKTHTKRKAEFEEQNVRKKQRPLTASLQCNSTAQTEKVSVIQDFVKMCLEANIPLEKADHPAVRAFLSRHVKNGGSIPKSDQLRRAYLPDGYENENQLLNSQDC
NP_001186423.2 1 340 0.0749014705882354 PF07264.11:EI24:63:254 Etoposide-induced protein 2.4; p53-induced gene 8 protein 340 192 11 216 6 Mus musculus (Mouse) SwissProt::Q61070 1 SwissProt MADSVKTFLQDLGRGIKDSIWGICTISKLDARIQQKREEQRRRRASSLLAQRRPQSVERKQESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTARIIGDPSLHGDVWSWLEFFLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPHPFPSVSKIIADMLFNLLLQALFLIQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWFNKGIEMHQRLSNIERNWPYYFGFGLPLAFLTAMQSSYIISGCLFSILFPLFIISANEAKTPGKAYLFQLRLFSLVVFLSNRLFHKTVYLQSALSSSSSAEKFPSPHPSPAKLKAAAGH
NP_001188357.1 148 384 0.721433333333334 Golgi reassembly-stacking protein 2 isoform 2 384 0 11 237 0 Homo sapiens NP_001188357.1 1 RefSeq PGQMAGTPITPLKDGFTEVQLSSVNPPSLSPPGTTGIEQSLTGLSISSTPPAVSSVLSTGVPTVPLLPPQVNQSLTSVPPMNPATTLPGLMPLPAGLPNLPNLNLNLPAPHIMPGVGLPELVNPGLPPLPSMPPRNLPGIAPLPLPSEFLPSFPLVPESSSAASSGELLSSLPPTSNAPSDPATTTAKADAASSLTVDVTPPTAKAPTTVEDRVGDSTPVSEKPVSAAVDANASESP
NP_001193879.1 1 465 0.0883518279569892 PF07690.16:MFS_1:28:371 Monocarboxylate transporter 4 465 344 11 208 12 Homo sapiens O15427 1 SwissProt/TReMBL MGGAVVDEGPTGVKAPDGGWGWAVLFGCFVITGFSYAFPKAVSVFFKELIQEFGIGYSDTAWISSILLAMLYGTGPLCSVCVNRFGCRPVMLVGGLFASLGMVAASFCRSIIQVYLTTGVITGLGLALNFQPSLIMLNRYFSKRRPMANGLAAAGSPVFLCALSPLGQLLQDRYGWRGGFLILGGLLLNCCVCAALMRPLVVTAQPGSGPPRPSRRLLDLSVFRDRGFVLYAVAASVMVLGLFVPPVFVVSYAKDLGVPDTKAAFLLTILGFIDIFARPAAGFVAGLGKVRPYSVYLFSFSMFFNGLADLAGSTAGDYGGLVVFCIFFGISYGMVGALQFEVLMAIVGTHKFSSAIGLVLLMEAVAVLVGPPSGGKLLDATHVYMYVFILAGAEVLTSSLILLLGNFFCIRKKPKEPQPEVAAAEEEKLHKPPADSGVDLREVEHFLKAEPEKNGEVVHTPETSV
NP_001229723.1 276 600 0.701976923076923 clathrin coat assembly protein AP180 isoform c 600 0 11 325 0 Homo sapiens NP_001229723.1 1 RefSeq PGNKSGAPSPLSKSSPATTVTSPNSTPAKTIDTSPPVDLFATASAAVPVSTSKPSSDLLDLQPDFSSGGAAAAAAPAPPPPAGGATAWGGFGGSFMAPSPSPVTPAQNNLLQPNFEAAFGTTPSTSSSSSFDPSVFDGLGDLLMPTMAPAGQPAPVSMVPPSPAMAASKALGSDLDSSLASLVGNLGISGTTTKKGDLQWNAGEKKLTGGANWQPKVAPATWSAGVPPSAPLQGAVPPTSSVPPVAGAPSVGQPGAGFGMPPAGTGMPMMPQQPVMFAQPMMRPPFGAAAVPGTQLSPSPTPASQSPKKPPAKDPLADLNIKDFL
NP_001243093.1 1 205 0.288078536585366 PF01956.16:EMC3_TMCO1:45:182 calcium load-activated calcium channel isoform b 205 138 11 185 1 Homo sapiens NP_001243093.1 1 RefSeq MPRKRKCDLRAVRVGLLLGGGGVYGSRFRFTFPGCRALSPWRVRVQRRRTDKYKRLKAEVEKQSKKLEKKKETITESAGRQQKKKIERQEEKLKNNNRDLSMVRMKSMFAIGFCFTALMGMFNSIFDGRVVAKLPFTPLSYIQGLSHRNLLGDDTTDCSFIFLYILCTMSIRQNIQKILGLAPSRAATKQAGGFLGPPPPSGKFS
NP_001245507.1 292 956 0.383055187969925 PF13927.6:Ig_3:91:155,PF13895.6:Ig_2:16:87,PF07679.16:I-set:91:153 kin of irre, isoform E 956 137 11 642 1 Drosophila melanogaster NP_001245507.1 1 RefSeq KVIVSVVGGALAGGKIPEGAEVILSCQADANPHELSYRWFINDELMTGDFTTKMIIHNVSRQYHDAIVKCEVVNAVGKSEQSKKLDISFGPVFRQRPVSVEADLGATVSMRCDVAGNPEPEIEWISENSDQVVGVAAELKLKVSSETAGRYFCKAVVNGFPEIGAEATLYVKRAPIITSHKVQFGGVGGRVKIDCLAFSIPKAEHILWSFEGKIINMSSADPDIYIFEEHHLPEGVRAALIIRDSKATHFGKYNCTVMNSYGGDSLVITLLREPGNIPVLLVVMGSMFCVAIILMIVMIIIVYRKRRSRKKPMPADVIPEASRGGDKLNELKSELRSKAYDVEYSEAGGDGLAINLTQSPMPDVQMKGATLGVPLAGPVKFDERFSGDFGGDRYNRQCHIKNLKNQQETAYKGSPQANGYAHYFEYALDYSPPGGEGAAVVVGSGGVGKLKNGGMNSATLPHSAAATVNGGGAGNGGGASLPRNQRHEIQQSQQSNGFLGQPLLQNGIDSRFSAIYGNPYLRTNSSLLPPLPPPSTANPAATPAPPPYHAARHGHAHHANGGLKHFVGGAVITTSPVGNVNINGGGGGGSTPSGGGGVGVGVAAGGSVSGSSSNLTASSNTLAATPLAGGGVGNSGQCAQSPSGQFILSNNGKGHTQKGPLATHV
NP_001248376.1 1 243 0.250525102880659 PF01275.19:Myelin_PLP:92:212 neuronal membrane glycoprotein M6-a isoform 2 243 121 11 197 2 Homo sapiens NP_001248376.1 1 RefSeq MKVKGGRVGASGEETHSEGEENGLLAEEAGGKREGSVRPQSRQAPCELSPLGGARLSLARARGASARLGELGPAQRLVTRSPLGTAEKQDLGPWFIMLTYLFMLAWLGVTAFTSLPVYMYFNLWTICRNTTLVEGANLCLDLRQFGIVTIGEEKKICTVSENFLRMCESTELNMTFHLFIVALAGAGAAVIAMVHYLMVLSANWAYVKDACRMQKYEDIKSKEEQELHDIHSTRSKERLNAYT
NP_001257350.1 1 148 0.469720945945946 cryptic protein isoform 3 precursor 148 0 11 148 0 Homo sapiens NP_001257350.1 1 RefSeq MTWRHHVRLLFTVSLALQIINLGNSYQREKHNGGREEVTKVATQKHRQSPLNWTSSHFGEVTGSAEGWGPEEPLPYSRAFGEDPKDFLASHAHGPSAGGAPSLLLLLPCALLHRLLRPDAPAHPRSLVPSVLQRERRPCGRPGLGHRL
NP_001257894.1 1 558 0.777974372759857 PH and SEC7 domain-containing protein 1; Exchange factor for ADP-ribosylation factor guanine nucleotide factor 6; Exchange factor for ARF6; Exchange factor for ARF6 A; Pleckstrin homology and SEC7 domain-containing protein 1 1024 0 11 558 0 Homo sapiens (Human) SwissProt::A5PKW4 1 SwissProt MAQGAMRFCSEGDCAISPPRCPRRWLPEGPVPQSPPASMYGSTGSLLRRVAGPGPRGRELGRVTAPCTPLRGPPSPRVAPSPWAPSSPTGQPPPGAQSSVVIFRFVEKASVRPLNGLPAPGGLSRSWDLGGVSPPRPTPALGPGSNRKLRLEASTSDPLPARGGSALPGSRNLVHGPPAPPQVGADGLYSSLPNGLGGPPERLATLFGGPADTGFLNQGDTWSSPREVSSHAQRIARAKWEFFYGSLDPPSSGAKPPEQAPPSPPGVGSRQGSGVAVGRAAKYSETDLDTVPLRCYRETDIDEVLAEREEADSAIESQPSSEGPPGTAYPPAPRPGPLPGPHPSLGSGNEDEDDDEAGGEEDVDDEVFEASEGARPGSRMPLKSPVPFLPGTSPSADGPDSFSCVFEAILESHRAKGTSYTSLASLEALASPGPTQSPFFTFELPPQPPAPRPDPPAPAPLAPLEPDSGTSSAADGPWTQRGEEEEAEARAKLAPGREPPSPCHSEDSLGLGAAPLGSEPPLSQLVSDSDSELDSTERLALGSTDTLSNGQKADLEAA
NP_001264193.1 1 367 0.474950953678475 PF01442.18:Apolipoprotein:53:256 Apolipoprotein A-V; Apo-AV; ApoA-V; Apolipoprotein A5; Regeneration-associated protein 3 367 204 11 367 0 Rattus norvegicus (Rat) SwissProt::Q9QUH3 1 SwissProt MAAVITWALALLSVFATVQARKSFWEYFGQNSQGKGMMGQQQKLAQESLKGSLEQDLYNMNNFLEKLGPLREPGKEPPRLAQDPEGIRKQLQQELEEVSTRLEPYMAAKHQQVGWNLEGLRQQLKPYTVELMEQVGLSVQDLQEQLRMVGKGTKAQLLGGVDEAMSLLQDMQSRVLHHTDRVKELFHPYAERLVTGIGHHVQELHRSVAPHAVASPARLSRCVQTLSHKLTRKAKDLHTSIQRNLDQLRDELSTFIRVSTDGADNRDSLDPQALSDEVRQRLQAFRHDTYLQIAAFTQAIDQETEEIQHQLAPPPPSHSAFAPELGHSDSNKALSRLQSRLDDLWEDIAYGLHDQGHSQNNPEGHSG
NP_001265125.1 114 410 0.595722895622896 Zinc finger and BTB domain-containing protein 18; 58 kDa repressor protein; Transcriptional repressor RP58; Translin-associated zinc finger protein 1; TAZ-1; Zinc finger protein 238; Zinc finger protein C2H2-171 522 0 11 297 0 Homo sapiens (Human) SwissProt::Q99592 1 SwissProt KKKLKEKATTEADSTKKEEDASSCSDKVESLSDGSSHIAGDLPSDEDEGEDEKLNILPSKRDLAAEPGNMWMRLPSDSAGIPQAGGEAEPHATAAGKTVASPCSSTESLSQRSVTSVRDSADVDCVLDLSVKSSLSGVENLNSSYFSSQDVLRSNLVQVKVEKEASCDESDVGTNDYDMEHSTVKESVSTNNRVQYEPAHLAPLREDSVLRELDREDKASDDEMMTPESERVQVEGGMESSLLPYVSNILSPAGQIFMCPLCNKVFPSPHILQIHLSTHFREQDGIRSKPAADVNVP
NP_001265228.1 140 310 0.332950877192982 WD repeat domain phosphoinositide-interacting protein 2 isoform f 310 0 11 171 0 Homo sapiens NP_001265228.1 1 RefSeq PPEEPTTWTGYFGKVLMASTSYLPSQVTEMFNQGRAFATVRLPFCGHKNICSLATIQKIPRLLVGAADGYLYMYNLDPQEGGECALMKQHRLDGSLETTNEILDSASHDCPLVTQTYGAAAGKGTYVPSSPTRLAYTDDLGAVGGACLEDEASALRLDEDSEHPPMILRTD
NP_001265389.1 269 1174 0.720412803532008 cordon-bleu protein-like 1 isoform 3 1174 0 11 906 0 Homo sapiens NP_001265389.1 1 RefSeq CQISQNLDIMKEKENKGFFSFFQRSKKKRDQTASAPATPLVNKHRPTFTRSNTISKPYISNTLPSDAPKKRRAPLPPMPASQSVPQDLAHIQERPASCIVKSMSVDETDKSPCEAGRVRAGSLQLSSMSAGNSSLRRTKRKAPSPPSKIPPHQSDENSRVTALQPVDGVPPDSASEANSPEELSSPAGISSDYSLEEIDEKEELSEVPKVEAENISPKSQDIPFVSTDIINTLKNDPDSALGNGSGEFSQNSMEEKQETKSTDGQEPHSVVYDTSNGKKVVDSIRNLKSLGPNQENVVQNEIIVYPENTEDNMKNGVKKTEINVEGVAKNNNIDMEVERPSNSEAHETDTAISYKENHLAASSVPDQKLNQPSAEKTKDAAIQTTPSCNSFDGKHQDHNLSDSKVEECVQTSNNNISTQHSCLSSQDSVNTSREFRSQGTLIIHSEDPLTVKDPICAHGNDDLLPPVDRIDKNSTASYLKNYPLYRQDYNPKPKPSNEITREYIPKIGMTTYKIVPPKSLEISKDWQSETIEYKDDQDMHALGKKHTHENVKETAIQTEDSAISESPEEPLPNLKPKPNLRTEHQVPSSVSSPDDAMVSPLKPAPKMTRDTGTAPFAPNLEEINNILESKFKSRASNAQAKPSSFFLQMQKRVSGHYVTSAAAKSVHAAPNPAPKELTNKEAERDMLPSPEQTLSPLSKMPHSVPQPLVEKTDDDVIGQAPAEASPPPIAPKPVTIPASQVSTQNLKTLKTFGAPRPYSSSGPSPFALAVVKRSQSFSKERTESPSASALVQPPANTEEGKTHSVNKFVDIPQLGVSDKENNSAHNEQNSQIPTPTDGPSFTVMRQSSLTFQSSDPEQMRQSLLTAIRSGEAAAKLKRVTIPSNTISVNGRSRLSHSMSPDAQDGH
NP_001269383.1 76 299 0.155255357142857 PF09325.10:Vps5:3:211 sorting nexin-5 isoform b 299 209 11 224 0 Homo sapiens NP_001269383.1 1 RefSeq EMFGGFFKSVVKSADEVLFTGVKEVDDFFEQEKNFLINYYNRIKDSCVKADKMTRSHKNVADDYIHTAACLHSLALEEPTVIKKYLLKVAELFEKLRKVEGRVSSDEDLKLTELLRYYMLNIEAAKDLLYRRTKALIDYENSNKALDKARLKSKDVKLAEAHQQECCQKFEQLSESAKEELINFKRKRVAAFRKNLIEMSELEIKHARNNVSLLQSCIDLFKNN
NP_001271168.1 1 167 0.360969461077844 PF06682.12:SARAF:2:167 store-operated calcium entry-associated regulatory factor isoform 2 167 166 11 148 1 Homo sapiens NP_001271168.1 1 RefSeq MSGLITIVVLLGIAFVVYKLFLSDGQYSPPPYSEYPPFSHRYQRFTNSAGPPPPGFKSEFTGPQNTGHGATSGFGSAFTGQQGYENSGPGFWTGLGTGGILGYLFGSNRAATPFSDSWYYPSYPPSYPGTWNRAYSPLHGGSGSYSVCSNSDTKTRTASGYGGTRRR 1
NP_001272366.1 160 329 0.24405705882353 PF12414.8:Fox-1_C:18:155 RNA binding protein fox-1 homolog 3 isoform 5 329 138 11 147 1 Mus musculus NP_001272366.1 1 RefSeq WKLNPVVGTVYGPEFYAVTSFPYPTTGTAVAYRGAHLRGRGRAVYNTFRAAPPPPPIPTYGAALEQTLVKMPVPWAGLAPCPLPPQQTPEPAYPTSPAFPPLSCPFASRVVYQDGFYGAEIYGGYAAYRYAQPAAATAAAYSDSYGRVYAAADPYHHTIGPTATYSIGTM 1
NP_001277052.1 158 361 0.336162254901961 PF00098.23:zf-CCHC:4:19 RNA-binding protein 4; Lark homolog; mLark; RNA-binding motif protein 4; RNA-binding motif protein 4a 361 16 11 204 0 Mus musculus (Mouse) SwissProt::Q8C7Q4 1 SwissProt DQSGCYRCGKEGHWSKECPIDRSGRVADLTEQYNEQYGAVRTPYTMSYGDSLYYNNTYGALDAYYKRCRAARSYEAVAAAAASAYSNYAEQTLSQLPQVQNTAMASHLTSTSLDPYNRHLLPPSGAAAAAAAAAACTAASTSYYGRDRSPLRRATGPVLTVGEGYGYGHDSELSQASAAARNSLYDMARYEREQYADRARYSAF 1
NP_001278210.2 201 559 0.563585515320334 PF16676.5:FOXO-TAD:298:337,PF16675.5:FOXO_KIX_bdg:156:197 forkhead box protein O6 559 82 11 359 0 Homo sapiens NP_001278210.2 1 RefSeq KKQLQAPERSPDDSSPSAPAPGPVPAAAKWAASPASHASDDYEAWADFRGGGRPLLGEAAELEDDEALEALAPSSPLMYPSPASALSPALGSRCPGELPRLAELGGPLGLHGGGGAGLPEGLLDGAQDAYGPRARAGTPAYFGGCKGGAYGGGGGFGPPAMGALRRLPMQTIQENKQASFVPAAAPFRPGALPALLPPPPPAPRPGPVLGAPGELALAGAAAAYPGKGAAPYAPPAPSRSALAHPISLMTLPGEAGAAGLAPSGHAAAFGGPPGGLLLDALPGPYAAAAAGPLGAAPDRFPADLDLDMFSGSLECDVESIILNDFMDSDEMDFNFDSALPPPPPGLAGAPPPNQSWVPG
NP_001278655.1 1 269 0.032764312267658 PF04080.13:Per1:54:93,PF04080.13:Per1:94:254 post-GPI attachment to proteins factor 3 isoform 2 precursor 269 201 11 182 4 Homo sapiens NP_001278655.1 1 RefSeq MAGLAARLVLLAGAAALASGSQGDREPVYRDCVLQCEEQNCSGGALNHFRSRQPIYMSLAGWTCRDDCKYECMWVTVGLYLQEGHKVPQFHGKVSLNAWFWSTVFHTRDTDLTEKMDYFCASTVILHSIYLCCVRTVGLQHPAVVSAFRALLLLMLTVHVSYLSLIRFDYGYNLVANVAIGLVNVVWWLAWCLWNQRRLPHVRKCVVVVLLLQGLSLLELLDFPPLFWVLDAHAIWHISTIPVHVLFFSFLEDDSLYLLKESEDKFKLD
NP_001284479.1 1 54 0.111922222222222 Apelin receptor early endogenous ligand; Protein Elabela; ELA; Protein Toddler 54 0 11 31 1 Homo sapiens (Human) SwissProt::P0DMC3 0 SwissProt MRFQQFLFAFFIFIMSLLLISGQRPVNLTMRRKLRKHNCLQRRCMPLHSRVPFP
NP_001289605.1 1 141 0.283230496453901 leukocyte-associated immunoglobulin-like receptor 1 isoform c 141 0 11 118 1 Mus musculus NP_001289605.1 1 RefSeq MSLHPVILLVLDTSWLKTYSIYIFTVVSVIFLLCLSALLFCFLRHRQKKQGLPNNKRQQQRPEERLNLATNGLEMTPDIVADDRLPEDRWTETWTPVAGDLQEVTYIQLDHHSLTQRAVGAVTSQSTDMAESSTYAAIIRH
NP_001291402.1 1 585 0.303983076923077 PF07452.12:CHRD:37:149,PF07452.12:CHRD:165:275,PF00093.18:VWC:335:392,PF00093.18:VWC:422:480 chordin isoform 3 585 341 11 585 0 Homo sapiens NP_001291402.1 1 RefSeq MDWLVLGELQMALEWAGRPGLRISGHIAARKSCDVLQSVLCGADALIPVQTGAAGSASLTLLGNGSLIYQVQVVGTSSEVVAMTLETKPQRRDQRTVLCHMAGLQPGGHTAVGICPGLGARGAHMLLQNELFLNVGTKDFPDGELRGHVAALPYCGHSARHDTLPVPLAGALVLPPVKSQAAGHAWLSLDTHCHLHYEVLLAGLGGSEQGTVTAHLLGPPGTPGPRRLLKGFYGSEAQGVVKDLEPELLRHLAKGMASLMITTKGSPRGELRGQVHIANQCEVGGLRLEAAGAEGVRALGAPDTASAAPPVVPGLPALAPAKPGGPGRPRDPNTCFFEGQQRPHGARWAPNYDPLCSLCTCQRRTVICDPVVCPPPSCPHPVQAPDQCCPVCPEKQDVRDLPGLPRSRDPGEGCYFDGDRSWRAAGTRWHPVVPPFGLIKCAVCTCKGGTGEVHCEKVQCPRLACAQPVRVNPTDCCKQCPVGSGAHPQLGDPMQADGPRGCRFAGQWFPESQSWHPSVPPFGEMSCITCRCGAGVPHCERDDCSLPLSCGSGKESRCCSRCTAHRRPAPETRTDPELEKEAEGS
NP_001295577.1 1 159 0.401053459119497 brain-derived neurotrophic factor isoform 1 precursor 278 0 11 159 0 Danio rerio NP_001295577.1 1 RefSeq MFQQVRRVMTILFVTMVISYFSCMRAAPMREIPGVQGGHRAEGYLGAAAAAAAAVTSGSRGHGTPQSGGGLPSLTDTFEQVIEELLEVEGEATQQLGPGADQGQGGGGPIDAADSKDVDLYASRVMISNQVPLEPPLLFLLEEYKNYLDAANMSMRVRR
NP_001304328.1 136 290 0.284430322580645 BTB/POZ domain-containing adapter for CUL3-mediated RhoA degradation protein 3 isoform 3 290 0 11 155 0 Homo sapiens NP_001304328.1 1 RefSeq PFCKVPVITSSKEEQKLIATSNKPAVKLLYNRSNNKYSYTRVLFIKDVIGDEICCWSFYGQGRKIAEVCCTSIVYATEKKQTKVEFPEARIYEETLNILLYEAQDGRGPDNALLEATGGAAGRSHHLDEDEERERIERVRRIHIKRPDDRAHLHQ
NP_001304650.1 1 495 0.231111515151516 leucine-rich repeat and WD repeat-containing protein 1 isoform 2 495 0 11 495 0 Homo sapiens NP_001304650.1 1 RefSeq MATLGPEEEAEKAQADFVKSAVRDVRYGPESLSEFTQWRVRMISEELVAASRTQVQKANSPEKPPEAGAAHKPRARLAALKRPDDVPLSLSPSKRACASPSAQVEGSPVAGSDGSQPAVKLEPLHFLQCHSKNNSPQDLETQLWACAFEPAWEEGATSQTVATCGGEAVCVIDCQTGIVLHKYKAPGEEFFSVAWTALMVVTQAGHKKRWSVLAAAGLRGLVRLLHVRAGFCCGVIRAHKKAIATLCFSPAHETHLFTASYDKRIILWDIGVPNQDYEFQASQLLTLDTTSIPLRLCPVASCPDARLLAGCEGGCCCWDVRLDQPQKRRVCEVEFVFSEGSEASGRRVDGLAFVNEDIVASKGSGLGTICLWSWRQTWGGRGSQSTVAVVVLARLQWSSTELAYFSLSACPDKGIVLCGDEEGNVWLYDVSNILKQPPLLPAALQAPTQILKWPQPWALGQVVTKTMVNTVVANASFTYLTALTDSNIVAIWGRM
NP_001305806.1 1 102 0.943889215686274 PF05831.11:GAGE:1:98 P antigen family member 4; PAGE-4; G antigen family C member 1; PAGE-1 102 98 11 102 0 Homo sapiens (Human) SwissProt::O60829 1 SwissProt MSARVRSRSRGRGDGQEAPDVVAFVAPGESQQEEPPTDNQDIEPGQEREGTPPIEERKVEGDCQEMDLEKTRSERGDGSDVKEKTPPNPKHAKTKEAGDGQP
NP_001308470.1 1 288 0.0337048611111111 PF00487.24:FA_desaturase:68:274,PF08557.10:Lipid_DES:6:42 sphingolipid delta(4)-desaturase DES1 isoform 2 288 244 11 162 6 Homo sapiens NP_001308470.1 1 RefSeq MGSRVSREDFEWVYTDQPHADRRREILAKYPEIKSLMKPDPNLIWIIIMMVLTQLGAFYIVKDLDWKWVIFGAYAFGSCINHSMTLAIHEIAHNAAFGNCKAMWNRWFGMFANLPIGIPYSISFKRYHMDHHRYLGADGVDVDIPTDFEGWFFCTAFRKFIWVILQPLFYAFRPLFINPKPITYLEVINTVAQVTFDILIYYFLGIKSLVYMLAASLLGLGLHPISGHFIAEHYMFLKGHETYSYYGPLNLLTFNVGYHNEHHDFPNIPGKSLPLSWSFLDGCSGSHL
NP_001315298.1 1 161 0.577042857142857 transcription factor PU.1 isoform 3 265 0 11 161 0 Danio rerio NP_001315298.1 1 RefSeq MLHPYRMEGYIIPPQTEEMFETEIYRPPMEYQYIIDDSQNDHSWDYNTHHIHPVDFENLPESHFTELQSVQSLHAASVHRFPDVESSHFMDPGLGSHHIPLTNPQMTYLPRTSVCYPHNVQPSPLQRSSDEEDPSSRSPPLEVSDEECMRDHISSTTGGEH
NP_001328264.1 1 129 0.0756015503875969 PF06943.12:zf-LSD1:7:31,PF06943.12:zf-LSD1:48:71,PF06943.12:zf-LSD1:95:119 LSD1 zinc finger family protein 129 74 11 129 0 Arabidopsis thaliana NP_001328264.1 1 RefSeq MQDQLVCHGCRNLLMYPRGASNVRCALCNTINMVPPPPPPHDMAHIICGGCRTMLMYTRGASSVRCSCCQTTNLVPAHSNQVAHAPSSQVAQINCGHCRTTLMYPYGASSVKCAVCQFVTNVNVIIPIY
NP_001330182.1 1 831 0.459358844765343 PF03126.18:Plus-3:702:800 zinc knuckle (CCHC-type) family protein 831 99 11 831 0 Arabidopsis thaliana NP_001330182.1 1 RefSeq MGDGDEQSKELGGVSSSSRRCSSGTAGAANAEARMKFAAVDAITELVWSPSNGLSLRCADISFTGKAKLLSPNFFDIGLTNMAIHSNSTSIEDQEDHVDVELRNRDQVNQAMIGGSVEDMKPEMVEDKVETNDDIKNEEAGCSKRSSDSPKAMEGETRDLLVNEQLRMESAGSQEEGDKAHNRVDRLESMDENNLATLAVVACEGKGDYLPEGEAGPSGSYRRREKAKGKEKALSDENFGGDGEDEDEESFGSVESCNSAGLLSRGKKRPGFEEQLIFGSKRLKTLNQECLGSTSKLKQDSSFMNWISNMTKGIWKGNEEDNSPFVALTTTSNANGHGQVNAIVDQQQLSPCCVKENSGCRNTGFQSFFQSIYCPKKQSQDVVDMDFPNDVNAAPLQELPWIPEHCDISKGDDLSSSGNEIGPVAEPNISSGKVVFNQTSKTQSSENKREDKEPNISLMSLSKSKPNEEPKTCGEADGKVSPCLTNRNSGLKSLWISRFSSKGSFPQKKASETAKEANASASDAAKTRDSRKMLADKNVIRPSISSVDGPDKPDTVLPIVSSMRIESSEAMASLFARRLEAMKSIMPSGSLAENAEEEQRDLICFYCGKKGHCLRDCLEVTDTELRDLVQNISVRNGREEASSLCIRCFQLSHWAATCPNAPLYGSGAEGRAMKNALASTSGMKLPISGFTDVPRAVFDAVQVLRLSRTDVLKWINTKKSVSGLEGFFLRLRLGKWEEGLGGTGYYVARIDGDTEGQSSRRHSEKSLISVKVKGVTCLVESQFISNQDFLEEELKAWWQSAGKSARTSGYDGIPSAEELSRKIQQRKMLGF
NP_002513.2 1 296 0.440961824324325 Neuronal pentraxin-1 432 0 11 296 0 Homo sapiens Q15818 1 SwissProt/TReMBL MPAGRAARTCALLALCLLGAGAQDFGPTRFICTSVPVDADMCAASVAAGGAEELRSSVLQLRETVLQQKETILSQKETIRELTAKLGRCESQSTLDPGAGEARAGGGRKQPGSGKNTMGDLSRTPAAETLSQLGQTLQSLKTRLENLEQYSRLNSSSQTNSLKDLLQSKIDELERQVLSRVNTLEEGKGGPRNDTEERVKIETALTSLHQRISELEKGQKDNRPGDKFQLTFPLRTNYMYAKVKKSLPEMYAFTVCMWLKSSATPGVGTPFSYAVPGQANELVLIEWGNNPMEILI
NP_002935.2 313 1908 0.137615977443609 PF00041.21:fn3:1356:1426 Proto-oncogene tyrosine-protein kinase ROS; Proto-oncogene c-Ros; Proto-oncogene c-Ros-1; Receptor tyrosine kinase c-ros oncogene 1; c-Ros receptor tyrosine kinase; EC 2.7.10.1 2347 71 11 1573 1 Homo sapiens (Human) SwissProt::P08922 1 SwissProt AHCLRLDAIYHNITGISVDVHQQIVYFSEGTLIWAKKAANMSDVSDLRIFYRGSGLISSISIDWLYQRMYFIMDELVCVCDLENCSNIEEITPPSISAPQKIVADSYNGYVFYLLRDGIYRADLPVPSGRCAEAVRIVESCTLKDFAIKPQAKRIIYFNDTAQVFMSTFLDGSASHLILPRIPFADVKSFACENNDFLVTDGKVIFQQDALSFNEFIVGCDLSHIEEFGFGNLVIFGSSSQLHPLPGRPQELSVLFGSHQALVQWKPPALAIGANVILISDIIELFELGPSAWQNWTYEVKVSTQDPPEVTHIFLNISGTMLNVPELQSAMKYKVSVRASSPKRPGPWSEPSVGTTLVPASEPPFIMAVKEDGLWSKPLNSFGPGEFLSSDIGNVSDMDWYNNSLYYSDTKGDVFVWLLNGTDISENYHLPSIAGAGALAFEWLGHFLYWAGKTYVIQRQSVLTGHTDIVTHVKLLVNDMVVDSVGGYLYWTTLYSVESTRLNGESSLVLQTQPWFSGKKVIALTLDLSDGLLYWLVQDSQCIHLYTAVLRGQSTGDTTITEFAAWSTSEISQNALMYYSGRLFWINGFRIITTQEIGQKTSVSVLEPARFNQFTIIQTSLKPLPGNFSFTPKVIPDSVQESSFRIEGNASSFQILWNGPPAVDWGVVFYSVEFSAHSKFLASEQHSLPVFTVEGLEPYALFNLSVTPYTYWGKGPKTSLSLRAPETVPSAPENPRIFILPSGKCCNKNEVVVEFRWNKPKHENGVLTKFEIFYNISNQSITNKTCEDWIAVNVTPSVMSFQLEGMSPRCFIAFQVRAFTSKGPGPYADVVKSTTSEINPFPHLITLLGNKIVFLDMDQNQVVWTFSAERVISAVCYTADNEMGYYAEGDSLFLLHLHNRSSSELFQDSLVFDITVITIDWISRHLYFALKESQNGMQVFDVDLEHKVKYPREVKIHNRNSTIISFSVYPLLSRLYWTEVSNFGYQMFYYSIISHTLHRILQPTATNQQNKRNQCSCNVTEFELSGAMAIDTSNLEKPLIYFAKAQEIWAMDLEGCQCWRVITVPAMLAGKTLVSLTVDGDLIYWIITAKDSTQIYQAKKGNGAIVSQVKALRSRHILAYSSVMQPFPDKAFLSLASDTVEPTILNATNTSLTIRLPLAKTNLTWYGITSPTPTYLVYYAEVNDRKNSSDLKYRILEFQDSIALIEDLQPFSTYMIQIAVKNYYSDPLEHLPPGKEIWGKTKNGVPEAVQLINTTVRSDTSLIISWRESHKPNGPKESVRYQLAISHLALIPETPLRQSEFPNGRLTLLVTRLSGGNIYVLKVLACHSEEMWCTESHPVTVEMFNTPEKPYSLVPENTSLQFNWKAPLNVNLIRFWVELQKWKYNEFYHVKTSCSQGPAYVCNITNLQPYTSYNVRVVVVYKTGENSTSLPESFKTKAGVPNKPGIPKLLEGSKNSIQWEKAEDNGCRITYYILEIRKSTSNNLQNQNLRWKMTFNGSCSSVCTWKSKNLKGIFQFRVVAANNLGFGEYSGISENIILVGDDFWIPETSFILTIIVGIFLVVTIPLTFVWHRRLKNQKSAKEGVTVLINEDKELAE
NP_003568.2 1 341 0.758178005865103 PF13837.6:Myb_DNA-bind_4:61:130 Undifferentiated embryonic cell transcription factor 1 341 70 11 341 0 Homo sapiens (Human) SwissProt::Q5T230 1 SwissProt MLLRPRRPPPLAPPAPPSPASPDPEPRTPGDAPGTPPRRPASPSALGELGLPVSPGSAQRTPWSARETELLLGTLLQPAVWRALLLDRRQALPTYRRVSAALAQQQVRRTPAQCRRRYKFLKDKFREAHGQPPGPFDEQIRKLMGLLGDNGRKRPRRRSPGSGRPQRARRPVPNAHAPAPSEPDATPLPTARDRDADPTWTLRFSPSPPKSADASPAPGSPPAPAPTALATCIPEDRAPVRGPGSPPPPPAREDPDSPPGRPEDCAPPPAAPPSLNTALLQTLGHLGDIANILGPLRDQLLTLNQHVEQLRGAFDQTVSLAVGFILGSAAAERGVLRDPCQ
NP_003951.3 1 138 0.0925971014492754 N-acetyltransferase 8; Acetyltransferase 2; ATase2; Camello-like protein 1; Cysteinyl-conjugate N-acetyltransferase; CCNAT; EC 2.3.1.-; EC 2.3.1.80 227 0 11 115 1 Homo sapiens (Human) SwissProt::Q9UHE5 1 SwissProt MAPCHIRKYQESDRQWVVGLLSRGMAEHAPATFRQLLKLPRTLILLLGGPLALLLVSGSWLLALVFSISLFPALWFLAKKPWTEYVDMTLCTDMSDITKSYLSERGSCFWVAESEEKVVGMVGALPVDDPTLREKRLQ
NP_004255.2 1 144 0.365640277777778 PF11221.8:Med21:1:126 Mediator of RNA polymerase II transcription subunit 21; Mediator complex subunit 21; RNA polymerase II holoenzyme component SRB7; RNAPII complex component SRB7; hSrb7 144 126 11 144 0 Homo sapiens (Human) SwissProt::Q13503 1 SwissProt MADRLTQLQDAVNSLADQFCNAIGVLQQCGPPASFNNIQTAINKDQPANPTEEYAQLFAALIARTAKDIDVLIDSLPSEESTAALQAASLYKLEEENHEAATCLEDVVYRGDMLLEKIQSALADIAQSQLKTRSGTHSQSLPDS
NP_004256.1 74 444 0.0545646900269542 PF00487.24:FA_desaturase:84:344 Acyl-CoA 6-desaturase; Delta(6) fatty acid desaturase; D6D; Delta(6) desaturase; Delta-6 desaturase; Fatty acid desaturase 2; EC 1.14.19.3 444 261 11 302 3 Homo sapiens (Human) SwissProt::O95864 1 SwissProt AFHPDLEFVGKFLKPLLIGELAPEEPSQDHGKNSKITEDFRALRKTAEDMNLFKTNHVFFLLLLAHIIALESIAWFTVFYFGNGWIPTLITAFVLATSQAQAGWLQHDYGHLSVYRKPKWNHLVHKFVIGHLKGASANWWNHRHFQHHAKPNIFHKDPDVNMLHVFVLGEWQPIEYGKKKLKYLPYNHQHEYFFLIGPPLLIPMYFQYQIIMTMIVHKNWVDLAWAVSYYIRFFITYIPFYGILGALLFLNFIRFLESHWFVWVTQMNHIVMEIDQEAYRDWFSSQLTATCNVEQSFFNDWFSGHLNFQIEHHLFPTMPRHNLHKIAPLVKSLCAKHGIEYQEKPLLRALLDIIRSLKKSGKLWLDAYLHK
NP_004265.3 1 2319 0.486395213454076 A-kinase anchor protein 6; AKAP-6; A-kinase anchor protein 100 kDa; AKAP 100; Protein kinase A-anchoring protein 6; PRKA6; mAKAP 2319 0 11 2319 0 Homo sapiens (Human) SwissProt::Q13023 1 SwissProt MLTMSVTLSPLRSQDLDPMATDASPMAINMTPTVEQGEGEEAMKDMDSDQQYEKPPPLHTGADWKIVLHLPEIETWLRMTSERVRDLTYSVQQDSDSKHVDVHLVQLKDICEDISDHVEQIHALLETEFSLKLLSYSVNVIVDIHAVQLLWHQLRVSVLVLRERILQGLQDANGNYTRQTDILQAFSEETKEGRLDSLTEVDDSGQLTIKCSQNYLSLDCGITAFELSDYSPSEDLLSGLGDMTSSQVKTKPFDSWSYSEMEKEFPELIRSVGLLTVAADSISTNGSEAVTEEVSQVSLSVDDKGGCEEDNASAVEEQPGLTLGVSSSSGEALTNAAQPSSETVQQESSSSSHHDAKNQQPVPCENATPKRTIRDCFNYNEDSPTQPTLPKRGLFLKEETFKNDLKGNGGKRQMVDLKPEMSRSTPSLVDPPDRSKLCLVLQSSYPNSPSAASQSYECLHKVGNGNLENTVKFHIKEISSSLGRLNDCYKEKSRLKKPHKTSEEVPPCRTPKRGTGSGKQAKNTKSSAVPNGELSYTSKAIEGPQTNSASTSSLEPCNQRSWNAKLQLQSETSSSPAFTQSSESSVGSDNIMSPVPLLSKHKSKKGQASSPSHVTRNGEVVEAWYGSDEYLALPSHLKQTEVLALKLENLTKLLPQKPRGETIQNIDDWELSEMNSDSEIYPTYHVKKKHTRLGRVSPSSSSDIASSLGESIESGPLSDILSDEESSMPLAGMKKYADEKSERASSSEKNESHSATKSALIQKLMQDIQHQDNYEAIWEKIEGFVNKLDEFIQWLNEAMETTENWTPPKAEMDDLKLYLETHLSFKLNVDSHCALKEAVEEEGHQLLELIASHKAGLKDMLRMIASQWKELQRQIKRQHSWILRALDTIKAEILATDVSVEDEEGTGSPKAEVQLCYLEAQRDAVEQMSLKLYSEQYTSSSKRKEEFADMSKVHSVGSNGLLDFDSEYQELWDWLIDMESLVMDSHDLMMSEEQQQHLYKRYSVEMSIRHLKKTELLSKVEALKKGGVLLPNDLLEKVDSINEKWELLGKTLGEKIQDTMAGHSGSSPRDLLSPESGSLVRQLEVRIKELKGWLRDTELFIFNSCLRQEKEGTMNTEKQLQYFKSLCREIKQRRRGVASILRLCQHLLDDRETCNLNADHQPMQLIIVNLERRWEAIVMQAVQWQTRLQKKMGKESETLNVIDPGLMDLNGMSEDALEWDEMDISNKLISLNEESNDLDQELQPVIPSLKLGETSNEDPGYDEEADNHGGSQYASNITAPSSPHIYQVYSLHNVELYEDNHMPFLKNNPKVTGMTQPNVLTKSLSKDSSFSSTKSLPDLLGGSNLVKPCACHGGDMSQNSGSESGIVSEGDTETTTNSEMCLLNAVDGSPSNLETEHLDPQMGDAVNVLKQKFTDEGESIKLPNSSQSSISPVGCVNGKVGDLNSITKHTPDCLGEELQGKHDVFTFYDYSYLQGSKLKLPMIMKQSQSEKAHVEDPLLRGFYFDKKSCKSKHQTTELQPDVPPHERILASASHEMDRISYKSGNIEKTFTGMQNAKQLSLLSHSSSIESLSPGGDLFGLGIFKNGSDSLQRSTSLESWLTSYKSNEDLFSCHSSGDISVSSGSVGELSKRTLDLLNRLENIQSPSEQKIKRSVSDITLQSSSQKMSFTGQMSLDIASSINEDSAASLTELSSSDELSLCSEDIVLHKNKIPESNASFRKRLTRSVADESDVNVSMIVNVSCTSACTDDEDDSDLLSSSTLTLTEEELCIKDEDDDSSIATDDEIYEDCTLMSGLDYIKNELQTWIRPKLSLTRDKKRCNVSDEMKGSKDISSSEMTNPSDTLNIETLLNGSVKRVSENNGNGKNSSHTHELGTKRENKKTIFKVNKDPYVADMENGNIEGIPERQKGKPNVTSKVSENLGSHGKEISESEHCKCKALMDSLDDSNTAGKEFVSQDVRHLPKKCPNHHHFENQSTASTPTEKSFSELALETRFNNRQDSDALKSSDDAPSMAGKSAGCCLALEQNGTEENASISNISCCNCEPDVFHQKDAEDCSVHNFVKEIIDMASTALKSKSQPENEVAAPTSLTQIKEKVLEHSHRPIQLRKGDFYSYLSLSSHDSDCGEVTNYIEEKSSTPLPLDTTDSGLDDKEDIECFFEACVEGDSDGEEPCFSSAPPNESAVPSEAAMPLQATACSSEFSDSSLSADDADTVALSSPSSQERAEVGKEVNGLPQTSSGCAENLEFTPSKLDSEKESSGKPGESGMPEEHNAASAKSKVQDLSLKANQPTDKAALHPSPKTLTCEENLLNLHEKRHRNMHR
NP_004761.2 439 911 0.696392389006342 PF03521.14:Kv2channel:33:321 Potassium voltage-gated channel subfamily B member 2 911 289 11 473 0 Homo sapiens Q92953 1 SwissProt/TReMBL ALERAKRNGSIVSMNLKDAFARSMELIDVAVEKAGESANTKDSADDNHLSPSRWKWARKALSETSSNKSFENKYQEVSQKDSHEQLNNTSSSSPQHLSAQKLEMLYNEITKTQPHSHPNPDCQEKPERPSAYEEEIEMEEVVCPQEQLAVAQTEVIVDMKSTSSIDSFTSCATDFTETERSPLPPPSASHLQMKFPTDLPGTEEHQRARGPPFLTLSREKGPAARDGTLEYAPVDITVNLDASGSQCGLHSPLQSDNATDSPKSSLKGSNPLKSRSLKVNFKENRGSAPQTPPSTARPLPVTTADFSLTTPQHISTILLEETPSQGDRPLLGTEVSAPCQGPSKGLSPRFPKQKLFPFSSRERRSFTEIDTGDDEDFLELPGAREEKQVDSSPNCFADKPSDGRDPLREEGSVGSSSPQDTGHNCRQDIYHAVSEVKKDSSQEGCKMENHLFAPEIHSNPGDTGYCPTRETSM
NP_005124.1 1 329 0.0353568389057751 PF02517.16:CPBP:164:267 CAAX prenyl protease 2; Farnesylated proteins-converting enzyme 2; FACE-2; Prenyl protein-specific endoprotease 2; RCE1 homolog; hRCE1; EC 3.4.22.- 329 104 11 194 6 Homo sapiens (Human) SwissProt::Q9Y256 1 SwissProt MAALGGDGLRLLSVSRPERPPESAALGGLGPGLCCWVSVFSCLSLACSYVGSLYVWKSELPRDHPAVIKRRFTSVLVVSSLSPLCVLLWRELTGIQPGTSLLTLMGFRLEGIFPAALLPLLLTMILFLGPLMQLSMDCPCDLADGLKVVLAPRSWARCLTDMRWLRNQVIAPLTEELVFRACMLPMLAPCMGLGPAVFTCPLFFGVAHFHHIIEQLRFRQSSVGNIFLSAAFQFSYTAVFGAYTAFLFIRTGHLIGPVLCHSFCNYMGFPAVCAALEHPQRRPLLAGYALGVGLFLLLLQPLTDPKLYGSLPLCVLLERAGDSEAPLCS
NP_005416.1 1 138 0.852900724637681 PF01254.18:TP2:1:138 Nuclear transition protein 2; TP-2; TP2 138 138 11 138 0 Homo sapiens (Human) SwissProt::Q05952 1 SwissProt MDTQTHSLPITHTQLHSNSQPQSRTCTRHCQTFSQSCRQSHRGSRSQSSSQSPASHRNPTGAHSSSGHQSQSPNTSPPPKRHKKTMNSHHSPMRPTILHCRCPKNRKNLEGKLKKKKMAKRIQQVYKTKTRSSGWKSN
NP_006101.1 1 255 0.648089019607843 CD2 antigen cytoplasmic tail-binding protein 2; CD2 cytoplasmic domain-binding protein 2; CD2 tail-binding protein 2; U5 snRNP 52K protein; U5-52K 341 0 11 255 0 Homo sapiens (Human) SwissProt::O95400 1 SwissProt MPKRKVTFQGVGDEEDEDEIIVPKKKLVDPVAGSGGPGSRFKGKHSLDSDEEEDDDDGGSSKYDILASEDVEGQEAATLPSEGGVRITPFNLQEEMEEGHFDADGNYFLNRDAQIRDSWLDNIDWVKIRERPPGQRQASDSEEEDSLGQTSMSAQALLEGLLELLLPRETVAGALRRLGARGGGKGRKGPGQPSSPQRLDRLSGLADQMVARGNLGVYQETRERLAMRLKGLGCQTLGPHNPTPPPSLDMFAEEL
NP_006414.2 1 185 0.0973648648648648 PF03208.19:PRA1:39:171 Prenylated Rab acceptor protein 1; PRA1 family protein 1 185 133 11 127 2 Homo sapiens (Human) SwissProt::Q9UI14 1 SwissProt MAAQKDQQKDAEAEGLSGTTLLPKLIPSGAGREWLERRRATIRPWSTFVDQQRFSRPRNLGELCQRLVRNVEYYQSNYVFVFLGLILYCVVTSPMLLVALAVFFGACYILYLRTLESKLVLFGREVSPAHQYALAGGISFPFFWLAGAGSAVFWVLGATLVVIGSHAAFHQIEAVDGEELQMEPV
NP_006418.2 1 175 0.187028571428571 PF05458.12:Siva:1:175 Apoptosis regulatory protein Siva; CD27-binding protein; CD27BP 175 175 11 175 0 Homo sapiens (Human) SwissProt::O15304 1 SwissProt MPKRSCPFADVAPLQLKVRVSQRELSRGVCAERYSQEVFEKTKRLLFLGAQAYLDHVWDEGCAVVHLPESPKPGPTGAPRAARGQMLIGPDGRLIRSLGQASEADPSGVASIACSSCVRAVDGKAVCGQCERALCGQCVRTCWGCGSVACTLCGLVDCSDMYEKVLCTSCAMFET
NP_006433.2 88 205 0.97534406779661 Dr1-associated corepressor; Dr1-associated protein 1; Negative cofactor 2-alpha; NC2-alpha 205 0 11 118 0 Homo sapiens (Human) SwissProt::Q14919 1 SwissProt ASVPDMQGDGEDNHMDGDKGARRGRKPGSGGRKNGGMGTKSKDKKLSGTDSEQEDESEDTDTDGEEETSQPPPQASHPSAHFQSPPTPFLPFASTLPLPPAPPGPSAPDEEDEEDYDS
NP_008874.2 128 315 0.820502127659575 Transcription factor SOX-12; Protein SOX-22 315 0 11 188 0 Homo sapiens (Human) SwissProt::O15370 1 SwissProt GGSGGGSRLKPGPQLPGRGGRRAAGGPLGGGAAAPEDDDEDDDEELLEVRLVETPGRELWRMVPAGRAARGQAERAQGPSGEGAAAAAAASPTPSEDEEPEEEEEEAAAAEEGEEETVASGEESLGFLSRLPPGPAGLDCSALDRDPDLQPPSGTSHFEFPDYCTPEVTEMIAGDWRPSSIADLVFTY
NP_008952.1 1 212 0.734519811320754 PF15343.6:DEPP:25:212 Protein DEPP1; Decidual protein induced by progesterone; Fasting-induced gene protein; FIG 212 188 11 212 0 Homo sapiens (Human) SwissProt::Q9NTK1 1 SwissProt MRSRLLLSVAHLPTIRETTEEMLLGGPGQEPPPSPSLDDYVRSISRLAQPTSVLDKATAQGQPRPPHRPAQACRKGRPAVSLRDITARFSGQQPTLPMADTVDPLDWLFGESQEKQPSQRDLPRRTGPSAGLWGPHRQMDSSKPMGAPRGRLCEARMPGHSLARPPQDGQQSSDLRSWTFGQSAQAMASRHRPRPSSVLRTLYSHLPVIHEL
NP_009134.1 1 1103 0.673115684496827 PF03285.15:Paralemmin:67:133,PF15304.6:AKAP2_C:938:1095 PALM2-AKAP2 protein isoform 3 (PALM2-AKAP2) 1103 225 11 1103 0 Homo sapiens NP_009134.1 1 RefSeq MAEAELHKERLQAIAEKRKRQTEIEGKRQQLDEQILLLQHSKSKVLREKWLLQGIPAGTAEEEEARRRQSEEDEFRVKQLEDNIQRLEQEIQTLESEESQISAKEQIILEKLKETEKSFKDFQKGFSSTDGDAVNYISSQLPDLPILCSRTAEPSPGQDGTSRAAGVGWENVLLKEGESASNATETSGPDMTIKKPPQLSEDDIWLKSEGDNYSATLLEPAASSLSPDHKNMEIEVSVAECKSVPGITSTPHPMDHPSAFYSPPHNGLLTDHHESLDNDVAREIRYLDEVLEANCCDSAVDGTYNGTSSPEPGAVVLVGGLSPPVHEATQPEPTERTASRQAPPHIELSNSSPDPMAEAERTNGHSPSQPRDALGDSLQVPVSPSSTTSSRCSSRDGEFTLTTLKKEAKFELRAFHEDKKPSKLFEDDEHEKEQYCIRKVRPSEEMLELEKERRELIRSQAVKKNPGIAAKWWNPPQEKTIEEQLDEEHLESHKKYKERKERRAQQEQLLLQKQLQQQQQQPPSQLCTAPASSHERASMIDKAKEDIVTEQIDFSAARKQFQLMENSRQAVAKGQSTPRLFSIKPFYRPLGSVNSDKPLTNPRPPSVGGPPEDSGASAAKGQKSPGALETPSAAGSQGNTASQGKEGPYSEPSKRGPLSKLWAEDGEFTSARAVLTVVKDDDHGILDQFSRSVNVSLTQEELDSGLDELSVRSQDTTVLETLSNDFSMDNISDSGASNETTNALQENSLADFSLPQTPQTDNPSEGRGEGVSKSFSDHGFYSPSSTLGDSPLVDDPLEYQAGLLVQNAIQQAIAEQVDKAVSKTSRDGAEQQGPEATVEEAEAAAFGSEKPQSMFEPPQVSSPVQEKRDVLPKILPAEDRALRERGPPQPLPAVQPSGPINMEETRPEGSYFSKYSEAAELRSTASLLATQESDVMVGPFKLRSRKQRTLSMIEEEIRAAQEREEELKRQRQVLQSTQSPRTKNAPSLPSRTCYKTAPGKIEKVKPPPSPTTEGPSLQPDLAPEEAAGTQRPKNLMQTLMEDYETHKSKRRERMDDSSYTSKLLSCKVTSEVLEATRVNRRKSALALRWEAGIYANQEEEDNE
NP_009142.2 84 392 0.411516181229774 ras association domain-containing protein 8 isoform b 392 0 11 309 0 Homo sapiens NP_009142.2 1 RefSeq LSERPTSDSVARIPERTLYRQSLPPLAKLRPQIDKSIKRREPKRKSLTFTGGAKGLMDIFGKGKETEFKQKVLNNCKTTADELKKLIRLQTEKLQSIEKQLESNEIEIRFWEQKYNSNLEEEIVRLEQKIKRNDVEIEEEEFWENELQIEQENEKQLKDQLQEIRQKITECENKLKDYLAQIRTMESGLEAEKLQREVQEAQVNEEEVKGKIGKVKGEIDIQGQQSLRLENGIKAVERSLGQATKRLQDKEQELEQLTKELRQVNLQQFIQQTGTKVTVLPAEPIEIEASHADIERGIIILSDKQECKD
NP_009492.1 1 696 0.447396551724137 PF08238.12:Sel1:271:306,PF08238.12:Sel1:308:342,PF08238.12:Sel1:343:380,PF08238.12:Sel1:386:423,PF08238.12:Sel1:426:460,PF08238.12:Sel1:462:497,PF08238.12:Sel1:499:530 Protein SKT5 696 250 11 696 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34226 1 SwissProt MASSPQVHPYKKHLMQSQHINFDNRGLQFQNSSLKVGQDFSDNKENRENRDNEDFSTADLPKRSANQPLINEHLRAASVPLLSNDIGNSQEEDFVPVPPPQLHLNNSNNTSLSSLGSTPTNSPSPGALRQTNSSTSLTKEQIKKRTRSVDLSHMYLLNGSSDTQLTATNESVADLSHQMISRYLGGKNNTSLVPRLKTIEMYRQNVKKSKDPEVLFQYAQYMLQTALTIESSNALVQDSDKEGNVSQSDLKLQFLKEAQSYLKKLSIKGYSDAQYLLADGYSSGAFGKIENKEAFVLFQAAAKHGHIESAYRASHCLEEGLGTTRDSRKSVNFLKFAASRNHPSAMYKLGLYSFYGRMGLPTDVNTKLNGVKWLSRAAARANELTAAAPYELAKIYHEGFLDVVIPDEKYAMELYIQAASLGHVPSATLLAQIYETGNDTVGQDTSLSVHYYTQAALKGDSVAMLGLCAWYLLGAEPAFEKDENEAFQWALRAANAGLPKAQFTLGYFYEHGKGCDRNMEYAWKWYEKAAGNEDKRAINKLRSRDGGLASIGKKQHKKNKSISTLNLFSTVDSQTSNVGSNSRVSSKSETFFTGNPKRDREPQGLQINMNSNTNRNGIKTGSDTSIRKSSSSAKGMSREVAEQSMAAKQEVSLSNMGSSNMIRKDFPAVKTESKKPTSLKNKKDKQGKKKKDCVIM
NP_010161.1 284 809 0.44877680608365 PF00443.29:UCH:122:452 Ubiquitin carboxyl-terminal hydrolase 1; Deubiquitinating enzyme 1; Ubiquitin thioesterase 1; Ubiquitin-specific-processing protease 1; EC 3.4.19.12 809 331 11 526 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25037 1 SwissProt ALVGQLNLGEVGTVYIPTEQIDPNSILHDKSIQNFTPFKLMTPLDGITAERIGCLQCGENGGIRYSVFSGLSLNLPNENIGSTLKLSQLLSDWSKPEIIEGVECNRCALTAAHSHLFGQLKEFEKKPEGSIPEKLINAVKDRVHQIEEVLAKPVIDDEDYKKLHTANMVRKCSKSKQILISRPPPLLSIHINRSVFDPRTYMIRKNNSKVLFKSRLNLAPWCCDINEINLDARLPMSKKEKAAQQDSSEDENIGGEYYTKLHERFEQEFEDSEEEKEYDDAEGNYASHYNHTKDISNYDPLNGEVDGVTSDDEDEYIEETDALGNTIKKRIIEHSDVENENVKDNEELQEIDNVSLDEPKINVEDQLETSSDEEDVIPAPPINYARSFSTVPATPLTYSLRSVIVHYGTHNYGHYIAFRKYRGCWWRISDETVYVVDEAEVLSTPGVFMLFYEYDFDEETGKMKDDLEAIQSNNEEDDEKEQEQKGVQEPKESQEQGEGEEQEEGQEQMKFERTEDHRDISGKDVN
NP_010385.3 1 143 0.0310748251748252 PF08507.10:COPI_assoc:7:134 Golgi apparatus membrane protein TVP15; TLG2 compartment vesicle protein 15; TLG2-vesicle protein of 15 kDa 143 128 11 74 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03860 0 SwissProt MSVIPPKFFKIANISIGCIDIIAALSQLTYIFTNLNVFLLAVYGLALSVPIVYLEFKVPSNLYRYASFYFSFLGRGLSYILLSLIISFGGIYNILAGMFTFILGVAFIVFHFSQFVEEPANFRAPGSSLSIGDDDIDDDDDMI
NP_010413.3 1 1148 0.270131881533101 PF17120.5:Zn_ribbon_17:1094:1146 Maintenance of telomere capping protein 5; SEH-associated protein 3 1148 53 11 1148 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03897 1 SwissProt MCSSINEGPYNSPTFGKSLSLKVDGGFNAVSINPSGRDIVLASRQGLYIIDLDDPFTPPRWLHHITPWQVADVQWSPHPAKPYWIVSTSNQKAIIWNLAKSSSNAIEFVLHGHSRAITDINFNPQHPDVLATCSVDTYVHAWDMRSPHRPFYSTSSWRSAASQVKWNYKDPNVLASSHGNDIFVWDLRKGSTPLCSLKGHVSSVNSIDFNRFKYSEIMSSSNDGTVKFWDYSKSTTESKRTVTTNFPIWRGRYLPFGEGYCIMPMVGGNNAVYLINLCDDDDSEQNKKTKLQPIYAFKGHSDRVIDFLWRSRHTCDGDYDDREFQLVTWSKDCDLKLWPISDSIYGKVNFDRGKRLEEKLPDYDYCSYNKEPENRENVQKNEFRRLRENFVTTSGLKKNKTNHITWLSGIRMNSATSQEDLFNETKIQNLGEEVSAIGHKFPKVVFEKISVSTRELCLTLNGPWSEENPDDYIFLRISINFPLNYPNKGDPPKFTIEENSNLTMSKRQEILSNLATIGQKYTDSNLYCLEPCIRFVLGEKVSLEDIEEGQEPLLNFDIADHIDFEELSSLDSSYSDSQNPENLSSQSDIESYKEALVFPDTSNQGLDFGRNLALDTTPVPNGCGSCWTATGELFCFFANEKKPEKKQNAIIKLSQKEAGVEKHPFKIEPQVLYDKEVDSSVITAADELKARPKRYVDTLGLGGGTNGDSRTYFDDETSSDDSFDSVADDWDDILRNDIIVRTKIPILRGNFKAFSSVHSESGKTVESTKKNKNLVISKNFSSLLSDRKELALEYLFMDATPEGFARNNALVAEKFDLDEISHCWQILSDMLIDQSDYDPYTTIWNNHPMGIKWFIKEAIVYFERQQNLQMLAMLCCVILSARRKKIPARYYGQELENMEGTIVFNDNESQNTSFWKGSDAFSTRSRSSTVTPNFYGNHLRGKNIHGGDNSSIRSDDHHARLRTHNTLNGSSKFTEPAQKQGSRAISSSPFHSRMPDIKVELLHDDIIEAYEQEDLLHLEVSDIPKFQTYIYQYSKLLFRWGLPLERVKILKVSTDFRSSYSSQGIPPNNNKKSPYNGVLTHWIENNEFGEEKFLARNCNYCDLRVTRSSFICGNCQHVLHSSCARIWWEIGDECPSGCGCNCPEMFDA
NP_010425.1 1 1698 0.101254475853946 PF04118.14:Dopey_N:18:355 Protein dopey 1698 338 11 1698 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03921 1 SwissProt MSLPLKPLTIDSNNKQLDSKQKKFRANVERALERFDSVTEWADYIASLGTLLKALQSWSPKFQNVRYYVPSPYQVSRRLTSSLSPALPAGVHQKTLEVYTYIFEHIGLETLATECNIWIPGILPLMTYASMSVRSHLIELYDNYILLLPQTTLRLLIRPLISSLLPGIDDESNDFLPLTLKLIETLQENLDDDSLFWQTLFLVMTANKGRRLGGLTWLTRKFPSLNAVPHLVNKIKMEAEENPSETETNDSHLDRKKRKEEAFKVLLPAAKDLVTPEPGLLIRCLVGCLEDENDILIKRSVLDLLLQRLRLDSPVLNVLITSEDKKLLIMSCCRTTLSKDMSLNRRIWNWLLGPTAGGMLNNNGGNSMEYTTSVKSANEESNVYFTKYGLSALLEGLSDLLSEEESVLTAFRISMAVMDRWEIGSLVIPELFIPLLYSSEKFKQNEQIMKTARTFFDNTETNIIWGKLFQELEDIKNLKILDFVLTNFNIGNDEEIIVRHLPLILLTLLALPSNDKDFDNIYKLQKFSLYNKLLNYIPERALLPLSHSKLKHDDEVSCEELLAKIRGFYTNVSNPSSILEKENIAERLPPFTTEDLTFLIADLIQKKLLSSLWDLENINESSKLFIAIFEKIPESEELKGRSHISWSDKKITQSIFEAIPRLCESNNDAKSEEIVGIVEIFGNYLYSRMEFIESMKLLKVVMMAVWKSLKDPRHQILGVKNLKTLNRFIPSKFIESALVYTFVEEEDISERLSVLDLLWTQLDSDSNLIRRPLELILGELFDDQNPFYLTVSKWILSILNSGSASRLFYILTDNILKVNRLEKERLDERDDLDMLTYEFQMLAYVLKTNNGRTRKVFSTELTSIKSSTIWKNEDVSTYKSLLLVTLMRFLNIKSNTHAKSIRSALILLDILLDGTEQNFKDIVIFLLQMSSKYIAEEGIEPELIAVSLLDIVSKVLRLSHDNGIKLDIFDDNAAHLKYIDFLVTSVSNMKSPLIVTAYVKLLSESIVYFENSIFRMILPLSASLVQCVQRLFLLEKREGGYYQPIALLLGGLEELLEISHGYLVTEEREGYFSGSNLKGDFIQSVVSNVFSSDSSNEESKIQGERDVILQSFRQVISCCLDIWYWAHNISCKSNDDSSLDATNHNSYKFKFRSKKLLETLFLLEPLELLENLISIRSDNTTVTLVHVLDGNKPAITIPHLLYGVIIRYNRTASVKFSNRDGSRSSTTKLTKGEPSMLKRLSGESIIAFLFNYVDSVENSAMEEFYGDFLLFFREVATNYNLYSDVSLSILKLVALISGKVSKTQFGEQKRVRREISDVFFKYLPNAFINFTNLYRGHPDSFKDLEFVVWRVQYIVNDQIGGDKFNTTLATIVNQCLTPYIKPKSEKTIPGYVLELAAVVSHLGSKVKSWRLLIAELFQNDKKLSVIGSDQTWEKIIYEWSIYPENKSKILNDLLLEIGSKRSSVTPTLITFNLGSDSEVEYKCQNLLKISYLLMVSPNDAYLLHFSSLISCIFHYLVSKDIKLKGSCWILLRVLLLRFSESHFNDYWSMISYCLQTNLQEFYESLQIQSEVDPQTILQVCKTLDLLLLLNMEGFTSTNEWIFVIDTINCVYKTNSFVALVDEIAEFKDYEITKTDDLELPTTLKDGLPLLRGIHKIERHTQLRSFFQNLSYLHYEKVYGLGSVDLYGCGEDLKKDILS
NP_010505.3 1 465 0.415952043010753 PF00646.33:F-box:16:53 Mitochondrial F-box protein MFB1 465 38 11 465 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04922 1 SwissProt MTLFSCSVQMPLEERSLTNLPLNLLFRILSHLDMNDLQNIGKTCTLLRMLANENIVYRNAVIGSNGNMWWTKNVLVDVFDVLNFNRKAMKTLNSHNISLVASLRNVQRKYKLGVIDPARKTISYRTNEVESKEKGSVKDLNMDLNEPTEITREQIAHTAILQGMNQFIELNDKAFRTHSADSDDTYIEENNGEIHSLHGLEKNTTFEEDLVKKPPFIPSPTFSNYSRSSTNSVFSSSSPKLLDDDWNNITMDFTKSRDPDYKEMTPTSTESSDSITRLRKSNKVKDKAELFEKLIFRDSRPLKTKKKDNPRLKLSSSLSANDEDFRKIISPPSDILPKVGRRSVSRGYLEEIERHYPDFNGETTNPLAIKRVNSTKIANYEQLIIKENSSNCKGITEKNDENKFQRSHTSPVIELSKPHQRSKLKAVVTDGNKICYRKIELDNPSGSNTNDHVIKRLDANTDFNI
NP_010734.1 1 302 0.516946026490066 PF15463.6:ECM11:180:299 Protein ECM11; Extracellular mutant protein 11 302 120 11 302 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04110 1 SwissProt MTVIKTEPTTEVTLYSPPSKESLSKDDAHRKKQNNKPPSSINSRSGPNKHKLAAKAPEKKINNTDKQDLSAFLLNPSLIVKPSESKKKENIVAYNDTPGIKTEHTAFQPLTPISKKRALKEKAASEKCDSFDLSRDEKPYIQKKSKTLSSVTEINSSEYKLSLNGENTSSPAKEKSQEPIENPGSYQKTRNYLFEKPDPLDTCLQDYSSMLPSNVAEEDQEYFISVADSTLEEWTNKGQEIIDQQFQLYQEIIKKRIELSYKFKGIISVINDRADALEEQGQQLEGKIKKVKTLANEILNII
NP_010746.1 138 663 0.355252091254752 PF09402.10:MSC:192:524 Inner nuclear membrane protein HEH2; Helix-extension-helix domain-containing protein 2 663 333 11 503 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03281 1 SwissProt PPESPPQSKSDGKATSADLTSELETVEELHKKDSSDDKPRVKELPKPELPNLKVSNEFLAQLNKELASAATENYDHSIKSTDLSSIRIETEEPVGPSTGAETRNESEVMENINLEVQPEVKEAKEELTKISETFDNQDEEDTSRLSSKKNIRSPKGRTRHFIANKTKRGIDIMKPFIAHLFIWLWNGAIFLSIICPILFGLWYREQRIQVGYCGHEKPLKSLAISAFPQTERVDSVLQAYRPNCLECPEHGICSSFMNVECEPGYEPKSSILETYGIIPFPKYCAKDESKEKEVDELVWKVNEYLKKKNAQHECGEGENLFESGETETKLYDIFSHSRPSWESQREFNDHWKNVLEILKKKDDIIWLPLDFETNGKREKSKSNNTNYIYRSTSKKWVTLQCHLEGDIQEYITKYGGSLFITLGVLFLIKKIQSTLDNYVQGEQIIEKLVKEAIDKLKDVKKNKGEEPFLTTVQLRATLLSDIPNIKEQNNLWAQTKEKIMKEQSENIELYLLEENGEIMTCWEWKE
NP_010959.2 303 759 0.378709409190372 Holliday junction resolvase YEN1; EC 3.1.-.- 759 0 11 457 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40028 1 SwissProt QLAQCEDPNFSMEFYDIFKDFNLEDLTSESLRKSRYRLFQKRLYLYCKDHSVELFGRNYPVLLNQGSFEGWPSTVAIMHYFHPIVQPYFDEEVLSDKYINMAGNGHYRNLNFNELKYFLQSLNLPQISSFDKWFHDSMHEMFLLREFLSIDESDNIGKGNMRITEEKIMNIDGGKFQIPCFKIRYTTFLPNIPISSQSPLKRSNSPSRSKSPTRRQMDIMEHPNSLWLPKYLIPQSHPLVIQYYETQQLIQKEKEKKGKKSNKSRLPQKNNLDEFLRKHTSPIKSIGKVGESRKEILEPVRKRLFVDTDEDTSLEEIPAPTRLTTVDEHSDNDDDSLIFVDEITNSQSVLDSSPGKRIRDLTQDEQVDVWKDVIEISPIKKSRTTNAEKNPPESGLKSRSSITINARLQGTKMLPPNLTAPRLEREHSSVLDQLVTDAQDTVDRFVACDSDSSSTIE
NP_011391.2 1 644 0.208223291925466 PF03164.14:Mon1:181:643 Vacuolar fusion protein MON1; Autophagy-related protein 12; Monensin sensitivity protein 1 644 463 11 644 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53129 1 SwissProt MNLNESYLDAEIPKGQLKHSKSGNFEGIPIVATTSEPTTSVNLDETFFKKAPIAMPICDDHSVSKSTSVNSLNTTSLASRRSPLQTKKLQAKNNLLSADLAKSNDDTTRALNSPKKDFGPYLDSENDIRSRLAESIYSMETSIRGSELQRRPYVSNEIPNVFKFSKFNSNCKLNESQTLCDKNFFIFTSAGKPIYCMHGKDEQIMSYTGLVNTVISYFQVNGPSELKTISTLTSGKRLTFLDKSPILLMAQSERGESSNELLNQLDFLYSYILSSLSERQLLRLFSKRENFDLRNYLESTDFENLDEICSLICNRMFPDLLLNSLQCLPFNHSSRLKLQNVVLQQLEKRQDIPRGTLLYGLIIAPQNKLCCVLRPRGHTLHTTDLHLLFCLISHQFQNLDETQELWVPICFPKFNSSGFLYCYIKFLPNDTHSNEKSALVLISAQKDAFFSLKSFSDELIIKLEEEKLLKKINTSKGFKLSDIPAPMVHHFIYKSKQNVQYVMPHFEVNSNIALDSSQGLEYELKLKTYYQQLHGTVVRDNGNLLSRSMLNFVRWSSKDNEDLAMDETQMDFSELDEYIIGNSSFKQESVNMVGMAWVTPTFELYLIGNNGIVDKRVLFKSARKVANWCQKHESRLFISDGAVF 2
NP_011455.1 1 641 0.162690951638066 PF08568.10:Kinetochor_Ybp2:1:632 YAP1-binding protein 2; YBP1 homolog protein 1 641 632 11 641 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53169 1 SwissProt MYNEQVNSGKSIKEKERYLDALLKILKDNPVTLKEIGWDLPKGLLQFFSRKNINVNIHLVFSPLVSSVMECFNELAINGNPKECLLTACELVSTLHIVLTETGDSDEENEDLNDSNRNDASNITDELSVITPEIGHYMAKNTVEFIPNLKIYVLFEFMSLLLKRVDTLYPSKFLAMVTSAIIKYVTTNVQAMDDPHFILRIVYNFCTNYSPAQPSASLTDGISTNDLEKIHDDESALQKKLLANLSVFVISNCLKNHPGNIDKIYFKTLMHKKTDENEIDASVLQICHQYYEYVTSLDVHMKELLEKCLVESRSIYNSLLMNPAASTPEFKEEINQLVYEVSYAYQIKKLADEKNLELDQYGVVILSAIHYSKNGTHLLPQIDIQSAIYLYLRCTTASLFSEIYENKFLESSVRYWLWVSTTETSTEKIKCALQELPGHITTAFLQMLLMKTCNESNNDTKLTEITLLRRLLYLMPESTSFTFIFETLLHCPYITAKIAVLDILRDMMIRSPEAANRDETVGLIEQQNPGNTANSVPIMPTLPPRPYITINEDRMASIHSIALICFSAAKQKKRTQGDLLLVLTYMKFFVSLRNKWDLGLLTLINKEISESFQGEGEPELAFINISNNTLGEYIEEMNIRS
NP_011652.1 120 241 0.647786885245902 LAS seventeen-binding protein 1; LAS17-binding protein 1 241 0 11 122 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53281 1 SwissProt AEAASSSTVSRPSVPPPSYEPAASQYPSQQVSAPYAPPAGYMQAPPPQQQQAPLPYPPPFTNYYQQPQQQYAPPSQQAPVEAQPQQSSGASSAFKSFGSKLGNAAIFGAGSAIGSDIVNSIF
NP_011878.1 1 291 0.529283505154639 Meiosis-specific protein SPO13; Sporulation-specific protein 13 291 0 11 291 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P23624 1 SwissProt MAPRKRFRLLELGSPTHSKRKVQKPLQEKTPNLRVSPLAFKIGKEIKNKEIRKTKKTESENIFNSKHVDLRLESPHPGLNFVSDAQQYSKAGDVRYLKNKSSNTLKNERQTIERPSFDNSLRFEDIEQPPKSTSTPVLSQSSQINVEREAPMFPVPYYIAPSPMYNFSPYQNFVGNPTFLTPSHNPNLNYAIPIQRPELLYPNVNVYDSPLFKKTRLPHQTKSLDKEKNYQYLPIYPVSISNNGDFVGQETPRAAPKLSKKRLSNTLDVNCSDYESSGQNATYNDSESSLN
NP_011903.1 1 230 0.331147826086957 PF01765.19:RRF:67:228 Ribosome-recycling factor, mitochondrial; RRF; Ribosome-releasing factor, mitochondrial 230 162 11 230 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38771 1 SwissProt MILTTARLNCRPVTVPRLFNRSFSQSFIILKKKSSTPTEKVEEDEIDVNELLKKAETQFKKTLEIQKQKMNEIKQGNFNPKVFNSLVFKNNRKFTDIATTSLKGKNALLITVFDPKDVKTVISGVLAANLNLTPERVPNNDLQLKVSLPPPTTESRLKVAKDLKRVFEEYKQSSLKDSLGTIRGSILKEFKSFKKDDAVRKAERDLEKLHKDYVNKLHDQFQKVEKSIVK
NP_012030.1 1 283 0.435387985865725 Peroxisomal membrane protein PEX18; Peroxin-18 283 0 11 283 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38855 1 SwissProt MNSNRCQTNEVNKFISSTEKGPFTGRDNTLSFNKIGSRLNSPPILKDKIELKFLQHSEDLNQSRSYVNIRPRTLEDQSYKFEAPNLNDNETSWAKDFRYNFPKNVEPPIENQIANLNINNGLRTSQTDFPLGFYSQKNFNIASFPVVDHQIFKTTGLEHPINSHIDSLINAEFSELEASSLEEDVHTEEENSGTSLEDEETAMKGLASDIIEFCDNNSANKDVKERLNSSKFMGLMGSISDGSIVLKKDNGTERNLQKHVGFCFQNSGNWAGLEFHDVEDRIA
NP_012067.4 1 763 0.193761336828309 PF08167.12:RIX1:9:200 Pre-rRNA-processing protein RIX1; Involved in processing IST2 protein 2; Ribosomal export protein 1 763 192 11 763 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38883 1 SwissProt MSEEFIAVSTLARNLEIAKGNEFHTILATLRSPVYINEQLLKSELSFLVTKILKLIRSGNDFDLWKGCHTSVVTCAYNPLVLSTHGGQLLAAIYSRLEQKTGFYSSVISSSHGKQLFNTLISSVAIIIDLMKNKPTLSREALVPKLKAIIPTLITLSQYEPELVLPVLQRILKRNTTTFKPFTNKFRTVLINLIISDYASLGTKTQRLVCENFAYLHLLKIQVSDTSDDETQAHHKIYADSNWRTGLMSILSQFKPIIQLCGEILDFEQDNELYKLIKSLPVIDESNNKEEFLPSLKLDFNAPLTLWEIPQRLSLLADMLVAFISLPTPFPIRVPLGGINSLCEVLLGVSNKYLPLKKELRHDNELNGVINTILPQIQFQGIRLWEIMVSKYGKCGLSFFEGILSSIELFIPLKKKSNNEIDFNVVGSLKFEFATVFRLVNMILSHLGHQLNIISVISQLIEVALFLSHDKTLIDSLFKNRKSIMKQQTKTKQSKRSKSAEGAFSDIYTHPELFVCKNSMNWFNEINDFFITALNNWILPSTPHIQILKYSITQSLRLKERFGYIPESFVNLLRCEVLHPGSERVSILPIAISLLKNINDDMFELLCHPKVPVGMVYQLHKPLDLGEDGEVRDDINKKEVETNESSSNANTGLETLKALENLENVTIPEPKHEVPKVVDDTAIFKKRSVEEVIERESTSSHKKVKFVEETTVDNGEELIVKKAVSQTKEEEKPMEDSEDEEQEEFEIPAIELSDDEEEEEEEE
NP_012337.1 1 881 0.134481725312145 PF03105.19:SPX:1:174,PF03105.19:SPX:211:288,PF03600.16:CitMHS:450:750,PF00939.19:Na_sulph_symp:421:868 Low-affinity phosphate transporter PHO90 881 700 11 607 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39535 1 SwissProt MRFSHFLKYNAVPEWQNHYMDYSELKNLIYTLQTDELQVGDNEEGFGAGKSSNITDRFKNKFSFKNAKEDTSSGMNKDAGIVEETIELRELPTAQTVAAKPSPFRRMKEKIFYKRRSSSASSVSSTANENLQLDTYDTFVGDLTAEKQKVDDFYKRTEAKFYDKFDALVKDLKKIGVIEYDIDDDTLFNEPIASTNDEVPPLDLDDDEDDDEFYDDQSNIEDNTALLHHSQYNIKSQKKSLLKKSIVNLYIDLCQLKSFIELNRIGFAKITKKSDKVLHLNTRTELIESEQFFKDTYAFQAETIELLNSKISQLVTFYARITDRPHNISHSKQELKSYLHDHIVWERSNTWKDMLGLLSQADELTPKETEYNANKLVGKLDLEYYRWPLPRPINLKFTSINNVALPKLFFTKKAYKIYFIILVTGLLLGIKTFNDAAQHRCMALVECVAFLWASEAIPLHITAFLVPLLVVLFKVLKTSDGAIMSAASASSEILAAMWSSTIMILLAGFTLGEVLAQYNIAKVLASWLLAFAGCKPRNVLLMAMCVVFFLSMWISNVAAPVLTYSLLSPLLDAMDADSPFAQALVLGVALAANIGGMSSPISSPQNIISMSYLKPYGIGWGQFFAVALPSGILAMLLVWILLFTTFKMNKTKLEKFKPIKTKFTVKQYYIITVTVATILLWCVESQIEGAFGSSGQIAIIPIVLFFGTGLLSTQDLNAFPWSIVILAMGGIALGKAVSSSGLLSTIAKALQKKIENDGVFAILCIFGILMLVVGTFVSHTVSAIIIIPLVQEVGDKLGNPKAAPILVFGCALLSSCGMGLASSGFPNVTAISKVDRKGDRYLSVMTFLTRGVPASILAFLCVITLGYGIMASVVKGNATSA
NP_012404.1 1 356 0.380054213483146 PF14877.6:mIF3:87:261 Altered inheritance of mitochondria protein 23, mitochondrial 356 175 11 356 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47015 1 SwissProt MLKVPLSDVLSQKMLFLKSFRYFHCTKYFSRDNASSTTDIFRNAMKRKRELANLKEQSHGNVARNAAFPKEYIKRPKQVPRNATNRKKILITWSTGTDRAKEAANSVVSEIFKKNHKGNIKVVDPTTHRIEASNIRYFAKGIDLDKVGLSIVNVEQIDNENQIPLVKIVESRVALKKYSDFLAKKKEKELMELGVLNKSYKNLVTDKKEDNLKHIKISWQIESDDLKRQKAHEIVSLLKKGNKVTLYLDDKNNINSNNWLENFEELDRSQKGEPPRLPESVFQKRAAVLETLKEIVSEYANDPVLLGNMNSKMIMKLIPKDVKPQNNDKRALKELRKKERQEKLQKRIQRKKMNEM
NP_012588.1 1 497 0.266418913480885 PF16944.5:KCH:14:265 Uncharacterized vacuolar membrane protein YJR054W 497 252 11 431 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47114 1 SwissProt MFNHDWKYSINSKTFADLNIELFRNHKFKTVLNYIIGVVGWNGLKLALFVSDIYTCIKLLAFNSWSNNIIKPYLPFKISKWLFSGCILASIVLLIWEAIAGMRIYKTGNISLTYVNNFSRNLNSVLNYSKFCVYNMIERKGFRQKMTFFTFFQLKDCIRLIFTDTPRQVINGLTLWSVLVTVNKNEDLGDLESFTGLINKIKNIGQTNHEEAVILSLMLFSFIIWALFVFKFLLAVICSIFVYYKIINDQEYSGLREYICVTVSENVDELVERQRKKENDDTIYKTGLLESQTFDDFKEVENKIETSFNDTSYASNNDSMIELIERRPEYKSQDVCGPIPTMKKTETMESFVDNGNPQYTTRFSAILDSPYINSYESNDIKKAKIQSRSVNTPKYEDLSSSDIFNKIHSAGQLKSTTSMEFHGPLDSMPNTTNNIRNFNSNSSRPRPPPLQTKSSINSKADSNDNGRIYTPMKAYFREPDLPRKGLLEDEDRTYNYT
NP_012918.1 1 147 0.218709523809524 PF01267.17:F-actin_cap_A:10:143 F-actin-capping protein subunit alpha 268 134 11 147 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P28495 1 SwissProt MSSSKFEEVINKIINDSPPGELREVYDDLIKITSENSKNTILDAIENYNVQNCIPIEVNGNSVIISKYNKEGAKFFDPVNSVIFSVNHLERKGLDIEPYEFTHAKIEKGQLKELHDKLHEYLLQSFPGDVSFAVYPVPEEISKISII
NP_013158.1 283 738 0.320884649122807 PF01532.20:Glyco_hydro_47:19:353 Putative endoplasmic reticulum mannosidase MNL2; Mannosidase-like protein 2; EC 3.2.1.- 849 335 11 456 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12205 1 SwissProt ILKYFWKSDLRNRFPDRTVPSGQLTTMALAFIRLSQLTRLNKYFDAVERVFTTIRQSYNEFDMEFMLPDVVDASGCQLLTQEEIENGAHLKGSSIMKSINENFKFVHCQQLGKFLNPPIDDNSLQEQSQYQAYRINEKTVPILENLFKINDLFQSSYDILDGSSKNANAATMDPSIGSEVEAVDEIIEKRNFKDGTKKDSTKNTVGDKSLIDSQTFLTNSISNIFKFMTFRPMLPKQTENKKFNFLNSILTKSQFMPTTNELDVTIRKSYDVSLYSCRLGGILGLSSRVPHRGGVNTKYILPSSLLEMSEIITESCFMLMEEFDGLLPQKFELDPCTDETNGNCEFNGETKSRMIANGEYETFENDLDVGIKVSNYGKGGNDQKAKRNVLSKDGITETQNIKGDTVGSSKSIAEIDGDEVTQIRRVFTLGKDIKPHITTDDTMGSQWKNHPDWPFW
NP_013344.1 113 272 0.206578125 PF03029.17:ATP_bind_1:1:143 GPN-loop GTPase 3; EC 3.6.5.- 272 143 11 160 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06543 1 SwissProt THIPVLPNIVRHLTQQLNFNLCATYLLEAPFVIDSSKFFSGALSAMSAMILLELPHINVLSKLDLIKGDINKKKLKRFLNPDAMLLMETEGMNQASNPKFLRLNQCIANLVDDFGMVQFLPLESNNPDSIETILSYVDDITQWAEGQEQKEPNDQIDVEE
NP_013619.1 1 1202 0.164554076539101 PF08579.11:RPM2:164:280 Ribonuclease P protein component, mitochondrial; RNase P; EC 3.1.26.5 1202 117 11 1202 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02773 1 SwissProt MAFKSFIYSKGYHRSAAQKKTATSFFDSSYQYLRQNQGLVNSDPVLHASHLHPHPVVVANVNYNNVDDILHPHDLDSSINNTNNPLTHEELLYNQNVSLRSLKQQQSTNYVNNNNNNQHRYYSTGPTLPTNQYDPLNFSNRNFQDLSLKTSQPSVQQPQNEYSLLKDENAPVWKEDTEPCLNKSTYLQTHIDEINRCYEQKNYNKINSLYQSLKRNDIVPPLEIFTKVLDSLCKRPLDNNDLDNKMYELLTCYQDMINNRLKPPDEIYNIVLLSLFKGSILAYQFENPNGSDFYKIAIELFNTTTNDPKQKSVVKFRNFSKDVLDYNLLAMNIYPGHITLSKAQQVIKSSPAFIKDSFYFIACFSYAKLTNDKFAIKELYEDFRLSLSSGSPDQGLFDDQFEIYSVILSSFIETGEVELATNLLDDLVSKIQSSNGLASNISLLLSSFLISMSKVDPSKAYEIWFKFHNLNWIPEFSYEFYLVFMANSFQDWNLTKKIYDYIFPMERNLSPLKKQKLSDYLLHPIGVDSITTSLLDYSLQLKDNEVIMKILEESIVKNFSFDIGIYPFVFNYLREIQCGEDYLMRFIESHAEFIKKSNSINKFQFLNMIVDNFQSQSLLNKISHAKFFKNFVEDFNLENCELVSYNGLISCINNFIKIPKTIKDFPYILEIHAILVTKLFDFDTYPILQNGNNEVLLKFRDQIEHQFKMLAQNFCRLNLDPNLLAGVVSQAMKMVNLDDTANGQDLLNFFNHPGDWDKSYPLSLGSFIRNSPRGGIREFTKLSKEGYCFDYDTYKELIIKRAINKQIIDKCLEVCPDSIELKNIVNLMISKIPGRNLTQLIINNPKFSKVFVPNLRNDSMLKLIENCESLSNFIRICDFPEKFKSIAIQAENKNAIELIYERLFDGGKYADILRYNNIVPVLNLELLLKSCIRSGEFKKYESLSKKFNDKISESSKIDIQLEYLINKNDLKGAFTLFEKTPRELRTPHKTMDLYTFALFLDSFNRNITYYESPENTLQFANILSSQTSFINLLSTYNLIAHSDHLMNFNVGGMAAKVKKEILNQMLNNLYDSIRLLSPSIENDKSMKEKLREKVKNYCRFKAYLKSPELDMDELKTLVSVESFLNPFTPSMLFNNLIETIYINEHASSLVLQNGLIYSLQQKGLNKILSYLEESFITSGNDANIEKVREFRSLLRKSKPLQA
NP_013726.1 1 519 0.0237633911368016 dolichol kinase (EC 2.7.1.108) 519 0 11 353 8 Saccharomyces cerevisiae BRENDA::P20048 1 BRENDA MVAIIPHASFTTIKLTQKTEGSQMPTEEICKINMRTRKFDVGGNSRDFECFYSNFVQTVILLGTFFYCVERLQPWSIVTADISYKQIFVNVFVVCLIMVGLIFTKYWQHGYKSLPKFDTIYSLYLPFMVSLLFDTSSTVINTILILSVLNSYRWRTQLVVIILQLCLIFFNFEAGDRLKNIISIVINSLLSLILKYIGQLKSLDNIDSNLFSILLTNILYVSEAGTVHFRILKGIILALTTIISINYVLKKVMHFKPFMLSISFAIGLPLFANTFIHLEDGENPLLWLVKYILESTIRQKILFAWSSILILSIPSILIEKDSLSLNTSRKLWHFIIFLLIIPSFQMDSNFVKIALSGTIPVFLSIEYIRFQNLPPLGSAIELQLRRFADDRDHSGPLIISYLYLLFGISTPLLMNNSPMGLIGLGIGDSLASIIGKRYGRIRWKGTQKTLEGTLAFIVTSFIVCLVLLRFDKAAIFNHLTTLQLLTLCTLSGVLEGNSVLNDNILIPAFMMICEKLITL
NP_013738.1 1 295 0.16510406779661 Cop9 signalosome-interactor 1 295 0 11 295 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04368 1 SwissProt MDLLKFSSLAISEINFLHESSFDSIDHSWFLLIGCKLDQDDEIYIPINGNEAESQWYIEKVIRIPMQENDKINQERLERRINLTKVTQKDICILGILDLCQLEEDENITNKVTEKVLTQLTALALKYLIKYNVFRQHTSFQEAVNSLKGYKIENSVQIGAEIILDFLQDKVQIKDVNDRYQIPTPNNTVDPGFDEFQLIDMKDKEINIQKYNNNTIRKLLEKINRMIIFLKNYDATDKPFSSTQDVILRKISMLVTQLQRGGTSDMNYLLDNKINEIKLLEISCKQWEISNMLKK
NP_013818.1 1 620 0.266473548387097 PF01753.18:zf-MYND:516:555 MYND-type zinc finger protein MUB1; Multi-budding protein 620 40 11 620 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03162 1 SwissProt MRDSNHRSLTSNKPIVTITSTVYDRRALDINSSIPLINSLNYLTYLTSNSSKVRETVANDGALERLVSILRSCHLSLFELLDLDLENFNEHENIKDLWKEKRLALCAWKWTLTFQCLVLTGTRGTEQIRKKVVMSGVLSVLVTVLDNYLLYHKNYDFIKDQTMTFDFKGITTETMYKFMRKDENETYQQYIEFITGQDKLKLSTDKNFLNERLVAPSMTIPTDFSDIWGRFADLASNFEPDQERHDDDIDIDSEVESENFDAHKNFFSQPDINRPTISTPREFFLGRIVPKQDDVIWSLQLLAFVSKYTYMKSTLQNVELVESLSFRSMAYKIKQRISEENDLEEQERDVTVKLSSLYPYLSKNPENNSKVKALDTSKMDPFFKELEELSNRCQQEEQNEICNNHCPVLNLFERYRVPKPSDDNAYGKDKERINLRKKISDNFERRWSYDKMKKELTNIVYKNKVLTNVVNIFPLVEKYTVSAENTHDVIYWSSVIMRNSCRKNEILGVRQCANFSCGKWEDFPRQFAKCRRCKRTKYCSRKCQLKAWGYHRYWCHEVGSSHMRSTNTTTGVNTPNEPSSLNATATTAADVSNSTSTFTPNISTTVPDEISNRDENSIPE
NP_013837.1 1 624 0.121447275641026 PF13920.6:zf-C3HC4_3:566:612 Protein ASI1; Amino acid sensor-independent protein 1 624 47 11 519 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P54074 1 SwissProt MNSSTSSENVFINSFSYLNQTSQAVISGNSTFANVINFPYRLGLSFIGAVNLQYEQTVKSEEIPPTLRSVFDTIGFFFSPYAIFCFVIAIVLNRFVVFYAVLNNGSRRTLPLWLSNVFHVSAVVVLAMVSLGPLTLGKDFKILGDPAFAQEKFLLNIFYAFAYSYCVETIFTIMRNSSPLEGTDYSLFELSIQFYTMTNNNTKFLDSPDYIIDCSMAILSRILIHLVEIFRLRNYRLLFSTIMNLCHICYLGIRVKQGGWKSLPFSVKFRHFPKLFSVSIICLSLLIFKLSCLIRWDPFGKSRNSCELLQFYPLSRNWKKYLNYTGEEDFSAMATKFALLLCSGTELMEKGIRREFPAINIPDNVNEKFFISGYLNELSKPYKENTSISFPKKNSSILKQRFFLMFPKSIIWIMKKLVGQVFFGFRDNKDEDIPDNDPSKMLKITKTNSLNNSAGHKEDIELELLNTSDDEYSEDYEPSEVESLGDSDEENLEEDSLIFNETRDALLDLFSSEDNEVHTDYNWIMSTSRILQQKLLSDKTLTRASILDTKLSEVDETFGTESDFDLSCAVCKVNERNTVLWPCRCFAICEDCRISLGLRGFSTCVCCRSKVHGYCKVHPVSDSK
NP_013850.1 1 511 0.47946086105675 PF12265.8:CAF1C_H4-bd:108:175,PF00400.32:WD40:321:350,PF00400.32:WD40:408:446 ribosome biosynthesis protein RRB1 511 137 11 511 0 Saccharomyces cerevisiae S288C NP_013850.1 1 RefSeq MSKRSIEVNEEQDRVVSAKTESHSVPAIPASEEQDAPKNDLEEQLSDEFDSDGEIIEIDGDDEINDEDDLRKKQEEAETLVQKDQSEGNKEKIQELYLPHMSRPLGPDEVLEADPTVYEMLHNVNMPWPCLTLDVIPDTLGSERRNYPQSILLTTATQSSRKKENELMVLALSNLAKTLLKDDNEGEDDEEDDEDDVDPVIENENIPLRDTTNRLKVSPFAISNQEVLTATMSENGDVYIYNLAPQSKAFSTPGYQIPKSAKRPIHTVKNHGNVEGYGLDWSPLIKTGALLSGDCSGQIYFTQRHTSRWVTDKQPFTVSNNKSIEDIQWSRTESTVFATAGCDGYIRIWDTRSKKHKPAISVKASNTDVNVISWSDKIGYLLASGDDNGTWGVWDLRQFTPSNADAVQPVAQYDFHKGAITSIAFNPLDESIVAVGSEDNTVTLWDLSVEADDEEIKQQAAETKELQEIPPQLLFVHWQKEVKDVKWHKQIPGCLVSTGTDGLNVWKTISV
NP_014270.1 1 240 0.147332083333333 PF00485.18:PRK:9:162 Nicotinamide riboside kinase; NRK; NmR-K; Nicotinic acid riboside kinase; Ribosylnicotinamide kinase; RNK; Ribosylnicotinic acid kinase; EC 2.7.1.22; EC 2.7.1.173 240 154 11 240 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53915 1 SwissProt MTSKKVILVALSGCSSSGKTTIAKLTASLFTKATLIHEDDFYKHDNEVPVDAKYNIQNWDSPEALDFKLFGKELDVIKQTGKIATKLIHNNNVDDPFTKFHIDRQVWDELKAKYDSINDDKYEVVIVDGFMIFNNTGISKKFDLKILVRAPYEVLKKRRASRKGYQTLDSFWVDPPYYFDEFVYESYRANHAQLFVNGDVEGLLDPRKSKNIKEFINDDDTPIAKPLSWVCQEILKLCKD
NP_014594.2 1 342 0.0708970760233918 PF07264.11:EI24:93:318 Outer spore wall protein RRT8; Regulator of rDNA transcription protein 8 342 226 11 232 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08219 1 SwissProt MKAGIELISHSQASHATYANSMTLAEKGPQRLKRQFKEHSSSKESNVSRWLKIFIRQFDIWFPETIPTMKVRYELLRKNFIKEIFNSRAFIYPFLGFYEVLTNPVYWKHILLFAVCYALIFVTIAGLFYVTLVPLLVTWAILLLGPLGVILVHIQWILQTNVLTAFVCRTLVLTHITNQIFDISLVLQDQDEFLNEVKVLPKPQKPHRKIDEPDAVRNFNTIKGSRIFKIPRLLFRMFFKVSNFTSLTLLSLIPIVGPILANQLMAPKRTFTYLQRYFLLKGFSKKQAKDFQYEHYASFICFGMSAGLLELIPFFTIVTISSNTVGAAKWCTSLLKGERKKE
NP_014614.1 1 245 0.721557959183673 PF10297.9:Hap4_Hap_bind:50:66,PF00170.21:bZIP_1:129:184 AP-1-like transcription factor YAP7 245 73 11 245 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08182 1 SwissProt MRQRRSVVAVSVKPKGFKLGHKQGSMSTTSPPPSSPDGNVSTSGPSAIKLSKNWELPQRLKPGRKPKSKRGDASANNDGSSKIKKVQTSNQKDQMTTKDHENEGAKGHEGKSDDEGNGSGDENGVDSVEKRRRQNRDAQRAYRERRTTRIQVLEEKVEMLHNLVDDWQRKYKLLESEFSDTKENLQKSIALNNELQKALPLIVNTPFQQQPENPPDNPISILEMVENFKPIGAVSLKKGKLKAHC
NP_014665.1 1 715 0.330912027972028 PF02862.17:DDHD:519:699 Probable phospholipase YOR022C, mitochondrial; EC 3.1.1.- 715 181 11 715 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12204 1 SwissProt MLRFTHRGLPSSTRFRNIFVRLNHIYVPWFYAIDVPNSKPYLPTYQTLHSPKKFKPFSVDDSNRLEKASKRQERRPVLVNEDYLFKVDLSHMELSPTYWEGPTYQVRRGVWFDSSNQPLSSDLTSEIEGLYKQLKFDDSNDDPTTTPPAESQDIFRLKGKYPVDKENEGEQKNGSSNKDENESTFKFILFANKQTAFLLSDLDGGKLQLAFLRSNLAQSLPINATMITRSYKYSSSATTKQTSTSFKAAKTPQTEVADGSNSSKSRSIETKLEKKVSNLFNLSDFLQLFNGNASKDQDDAQSLEKQMETDYNNADNSQGANASSKIEDGKNSGASDRQIRSNRRDVDNLILCVHGIGQTLGKKYEYVNFAHTVNLLRSNMKKIYNNSEKLQSLNTAPDYKSNCNVQVLPITWRHSISFQTDAKEENIENPDLPTLSQVTVNGVLPLRKLLADGLLDILLYVEPYYQDMILQQVTSQLNKTYRIFKEFNPEFDGKVHLVGHSLGSMILFDILSKQKKYELEFQVDNLFFIGSPIGLLKLIQRTKIGDRPEFPNDLERKLTVQRPQCKDIYNVYHVCDPISYRMEPLVSKEMAHYEQTYLPHCSEAYGLTSKVLEFGENIWKDLPGTDENNLQSKKTSPEKKEVKLSENLTRMLTGLNYTGRLDYAMSPSLLEVDFISAIKSHVSYFEEPDIAAFILKEILSKHENASEIYVKRKTG
NP_014700.1 151 395 0.480071836734694 PF05002.15:SGS:172:245,PF04969.16:CS:36:117 Protein SGT1; Suppressor of G2 allele of SKP1 395 156 11 245 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08446 1 SwissProt IKPVESIENRGDNNSSHSPISPLKIETAPQESPKFKIDWYQSSTSVTISLFTVNLPESKEQVNIYISPNDRRTLSISYQVPKSGSEFQYNAKLSHEVDPKAVSLKIFPKKLEITLSKIDSTQWKKLEEDILTESSRLSDEGKNSDSATRLLSAETASKERLSYPSSSKKKIDWSKLDIDEEADEEAGSADSFFQKLYAGADPDTKRAMMKSFIESNGTALSTDWEDVSKGTVKTSPPEGMEPKHW
NP_014822.1 1 188 0.28518670212766 PF11718.8:CPSF73-100_C:23:186 Protein SYC1 188 164 11 188 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08553 1 SwissProt MDLPKDKSDRTHQRINLNNSGTDRTNDLYLHIVQTFGCIETTATENATKLLMLGDVEVEISASSVSIEWTQKSMISQTIADSIVIMIIGLCASDKNVLSESELKERNHNVWKIQELQNLFREQFGDSFSIDEGIGKKENVKNGSVTIGKSKATIDFSTMKLIDCNSNPLKGRVESILSIGQKLTTPLC
NP_014950.1 1 242 0.169330578512397 PF10356.9:DUF2034:29:219 Required for respiratory growth protein 7, mitochondrial 242 191 11 242 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08774 1 SwissProt MIKNYLGRRWLNNPAIQAYVKQNAAVAHSTVFQGNLYEYTVMRELSEKLRMTKLRKTGGAHDGGVDIKGSWPVDDIYWKISSLMPNLEMASNIKRTNSQNGFVLKPLKYRIIDHTFEPLKVLVQCKAFTKSKLSPREFRELVGTFTSLVSHSQRNKTVCIMCSPHMLTKDTLNLINNITLPLIYLRVEMLKEKTDGHFDLINSGKLINYYENSYASTLMQDCKISEWLKLKLYKNSDFNSEK
NP_015145.1 1 799 0.64802953692115 PF10452.9:TCO89:30:731 Target of rapamycin complex 1 subunit TCO89; TORC1 subunit TCO89; 89 kDa TOR complex 1 protein 799 702 11 799 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08921 1 SwissProt MVHRGRTLKSDTDVTSLNASTVSHQSKPFRQFSTRSRAKSNASFKGLRRVLTHDGTLDNDYFNKHNVSQKCKSSDALFRKRTISGLNMTALTRVKSNQGKRSASFHSPVHNTLLSPKNSSHSNTGTAGFGLKPRRSKSTQSVLSLRDAQESKKSESTTDEEVECFSEDNIEDGKVNNDKVIAEHVMPEEKKNVQQLNQNELQSPDSIDEQEEDKSGTDGKENHRAVSLPLPHLSSNNYFGESSHSIEHQKDGETSPSSIETKLNATSVINEEGQSKVTKEADIDDLSSHSQNLRASLVKAGDNISEAPYDKEKKILDVGNTLAAHKSNQKPSHSDEQFDQEDHIDAPRSNSSRKSDSSFMSLRRQSSKQHKLLNEEEDLIKPDDISSAGTKDIEGHSLLENYAPNMILSQSTGVERRFENSSSIQNSLGNEIHDSGEHMASGDTFNELDDGKLRKSKKNGGRSQLGQNIPNSQSTFPTIANIGSKDNNVPQHNFSTSISSLTNNLRRAAPESFHGSRMNNIFHKKGNQNLLLRSNDLNKNSAAPASPLSNEHITSSTNSGSDANRQSNSGAKFNSFAQFLKSDGIDAESRTQRKLWLQRENSIMDLSSQNDGSDSIFMAGNIDAKREFERISHEYSNVKRFYNPLDEALLRVQPIITGNANNIRKKSHNDAQSIAHSSSDTDHKDEDDLLFTNYDKKFDDLYPHLASAKIQAVLSGIWKSESYLFNKDVNPINKNRTTSTNHSVGHTASQNARNLLRGPMGSSTTLHHQRVINSLQPTTRAVNRRMENVGYMHTQPQQR
NP_015201.1 1 253 0.591749407114625 PF17082.5:Spc29:1:250 Spindle pole component 29 253 250 11 253 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33419 1 SwissProt MDYSNFGNSASKKFQDDTLNRVRKEHEEALKKLREENFSSNTSELGNKKHYRAQERMSSPLHRLSPTGKSDDRKVKSPLDDKLRRQLREGNTRLPPPPFSSYGMPPTNRSNLDRIRRRTSSPVRTDKFASQNVIDDQRLEIKYLERIVYDQGTVIDNLTSRITRLESFILNSISDRGDKNFASLEHSRSFSGFPTNKTYGLQMGGLYENDMPYRRSSDNINKEGAREDRSSQIHIENESTEDILKILSSSFHN
NP_015313.1 1 1228 0.222413843648209 PF08161.12:NUC173:413:615 Ribosomal RNA-processing protein 12 1228 203 11 1228 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12754 1 SwissProt MDQDKVAFLLELEDKLAKIRSQVNSKLENQKHIAIILTAVEENIAGQATNDVSKNIVNYIISFMSLLDQAVDPSTHEIKDIQLASSSTYLLDLIFHYSPKVLLRSKFSEILTKIAPCITAEKANAPLIRAAIGCLESLLIAQDAQAWNNTYDLNVTPKRGLQGILELSLDVRPKVRKRALDAVHAVLLNPPVAPTAEHVAAVFVADFCDKQLAGILNDLSNLSNKQLKAQKTKEDINASVMRSLRLITSVVSTGQWPSSQIEPLCDVLLGVTKSSEQYLVSASFECFESMFKTMAETTISSGLAENKYLRVLDTIFALKPSNVDTLLTKSWIAVVIKGMSTYATHQPLKALRKIPGVFHIMCTYLASETPEVYQAASQCLISILSESVKDDLLLYTPSVDEKVFKNVDEIISQIAKTFIDFLSIRYSHCSREILKILVAAFNKFRYRSNPHFLKSLKIVDTWRVNEEQFMDLRNEIELVIGASISAMGPEMILAEAPLNLDNPSSERPGRAWLLPLIRDYTKNANLATFQNELAPYIKSFQSKFDKVPEESIQLRVFQTIVDQIWSTLPRFCELPMDLRESFTDEFASELSSLLYSEVELRTTICHALKVLAESNVSYAEESSSHNVLLLQRFPISEAQKNIEYLSTKSTNLLAVLFNVYTQTTPNARSYILETIDQYLKITSKEDLEKTFNNVCGLLKNSMNEESSGNVNKEKKKPQLTATLLDLIICMITYLPVSSYSALFSMFSLTVNSADALIQKRAYRIITKLSELKSGSTAVAQFISDIENVMVDSASSVQTSAKAARLTAIKTIVELLPLDHLDFIVRTVAEVILSTKDVNEKSRETAFDTLICMGRKMNEPNGIIKLFQIPGYDPTTPDQSSSISEFFKIISAGLIGESQHMVSSSITGYACLVFEFKNELDSGILMDIYDTIELYLTSNSREIVKSAIGFTKVCVLGLPEELMRPKVPELLLKLLRWSHEHTGHFKAKVKHIIERLIRRFGYDYIEANFPEEDRRLLTNIRKMRNRNKRKDEEVTTGVSDVAATKGSRFMSAFDEAVYGSDEENDNGSDQEENVAGGKMKNGAKQFIVESGDNPLDLLDSQTLAHISSTRPKKFNKNQNRARFNDDAFNFDSEGKLVVKGQPKPSTNVDDPLSAVTSGINAYLEAVKSGPVRGQRNKLKFRKNGKDSDEFGDDDDGEKDSRLMRGRVNQGNKIGKHNKKGPKFKSRKKL
NP_015416.1 1 770 0.371926623376624 PF00169.29:PH:136:265,PF10296.9:MMM1:278:431 Uncharacterized PH domain-containing protein YPR091C 770 284 11 747 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06833 1 SwissProt MASLKVFLAVYLLGGITFLPLVLFTLYKIHLLYSNLKSASKKELDHDTADEIDEKTRLLARDIDPEFKARKLEEQLGVKVFNKGWITVTKQYYYHSSEVAVILKNSNNNKDSDTALQEQILQRTDLKKKQRFFAVLRHGNLFLYKDDSQNANLVHAISLQNRFITIWPRFDELGKEELPDASLFTKRTCIAIFKNDLVSIDSKNHNVILPHFDPLTSAESNNGDISTNDTTHEYQSQFHSSNQFFLYFDNNMDKEDWYYQLINASKNSNSLSTGLLDPNVSANAAHLKTKDMLQLIQDINSTENQLTTKWLNALLGRLFLSLQQTDTLNKFIHEKICKKLNKIKTPGFLDDLVVEKVDVGDSAPLFTSPELLELSPEGSTKIAIDVQYRGNLTIIIATKASINLGSRFKQREVSLQLSIKIKEFSGPLLFLIKPPPSNRIWYAFRTEPIMDFEIEPIVSSSKLSYNVVTNAIKSKFAEAVKESLVVPFMDDIVFYPTPNEVYRGGIWEEQDPEAAARARTAAAASDMNNTSAKEHLEALQEGGMKTQSRIKKALRPERKKENLKDLVDASGVATKTTTQTTVTTATNDDVSSSENSTKSRKYFKNSIKKIGRWYKDNVGNSSDTEDMDEIDVQDKKNDDSADERESDNPILTSNPKMISNRRPVPRRPSQPLNTLSPKLEGRKEKDTENFPVPPSASNMNASKMFANKENRKFSVSSNDSQNSLKNGDPHVKASKLESSQAFVKKTSQNRFNDGFFKQDLEFEEQREPKL
NP_015439.1 1 315 0.0571092063492063 PF03798.16:TRAM_LAG1_CLN8:101:294 Uncharacterized TLC domain-containing protein YPR114W 315 194 11 206 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06107 1 SwissProt MDVLLSLPQPELFKTTVIPFLANRNIIKSEAILSNLHSIFYVAIFYHIWFLFGKWILFPHLVKWKLDYDQKHNVKKDEKTTSERQAQHYKKKYTSLINQSSVHLISLLQSIVVLYYSLKFLLDPKASAEPYQTSHSRVFTENRDTQVICIFAIGYFVWDIYISTMYSTFPFVVHGIISTVVFCIGLKPYIQYYAPVFLMFELSNPSLNFRWFGIKFLPQKSKFCSLLLLLNNLTLMVVFFAARIAWGWFQIGKLCYDFYQVRNEPGFLVFDTIVILAGNFVLDILNVIWFSTMVSVAAKVLKKGESVDKVTKNEQ
NP_031571.1 1 64 0.480715625 PF15196.6:Harakiri:1:64 activator of apoptosis harakiri 92 64 11 64 0 Mus musculus NP_031571.1 1 RefSeq MCPCPRHRGRGPPAVCGCGDARPGLRWAAAQVTALRLQALGDELHRRAMRRRARPRDPLPALLP
NP_031879.1 1 323 0.0606247678018576 PF00487.24:FA_desaturase:67:290,PF08557.10:Lipid_DES:6:41 Sphingolipid delta(4)-desaturase DES1; Degenerative spermatocyte homolog 1; EC 1.14.19.17 323 260 11 197 6 Mus musculus (Mouse) SwissProt::O09005 1 SwissProt MGSRVSREEFEWVYTDQPHAARRKEILAKYPEIKSLMKPDHNLIWIVAMMLLVQLASFYLVKDLDWKWVIFWSYVFGSCLNHSMTLAIHEISHNFPFGHHKALWNRWFGMFANLSLGVPYSISFKRYHMDHHRYLGADKIDVDIPTDFEGWFFCTTFRKFVWVILQPLFYAFRPLFINPKPITYLEIINTVIQITFDIIIYYVFGVKSLVYMLAATLLGLGLHPISGHFIAEHYMFLKGHETYSYYGPLNLLTFNVGYHNEHHDFPNVPGKNLPMVRKIASEYYDDLPHYNSWIKVLYDFVTDDTISPYSRMKRPPKGNEILE
NP_032050.2 149 336 0.587125531914894 Forkhead box protein L1; Forkhead-related protein FKHL11; Transcription factor FKH-6 336 0 11 188 0 Mus musculus (Mouse) SwissProt::Q64731 1 SwissProt AGSPEAKRTRVEPPESEVGCDVGSPDLATALPTRAPDRSQSPAVGTARPALLPWPGPEPRDPDADLTVQGAGAVASGQLQRPAHHLGSPLCPAPSGSPKGSKSKSFSIDSILAVRPTPASGAEAPGIPKPVPGALGSSLLAASSGLAPPFNASLVFDAHVQGGFSQLGIPFLSYFPLQVPEATVLRFH
NP_033418.1 1 142 0.627147183098592 T-cell leukemia homeobox protein 2; Enteric neuron homeobox protein; Homeobox TLX-2; Homeobox protein Hox-11L1; Hox11L.1; PMUR10F 284 0 11 142 0 Mus musculus (Mouse) SwissProt::Q61663 1 SwissProt MEPAVLAAHHLPHHEPISFGIDQILSGPEPPGGGLGPGQSGQSHGESAAFSSGFHGASGYAPAGSLASLPRGSGVGPGGVIRVPAHRPLPVPPPSGAAPAVPGPSGLGGAGGLAGLTFPWMDSGRRFAKDRLTAALSPFSGT
NP_033487.2 169 1757 0.165390748898678 PF02617.17:ClpS:54:132 E3 ubiquitin-protein ligase UBR1; N-recognin-1; RING-type E3 ubiquitin transferase UBR1; Ubiquitin-protein ligase E3-alpha-1; Ubiquitin-protein ligase E3-alpha-I; EC 2.3.2.27 1757 79 11 1589 0 Mus musculus (Mouse) SwissProt::O70481 1 SwissProt GRAGTTKESLHCPLNEEVIAQARRIFPSVIKYIVEMTIWEEEKELPPELQIREKNERYYCVLFNDEHHSYDHVIYSLQRALDCELAEAQLHTTAIDKEGRRAVKAGVYATCQEAKEDIKSHSENVSQHPLHVEVLHSVVMAHQKFALRLGSWMNKIMSYSSDFRQIFCQACLVEEPGSENPCLISRLMLWDAKLYKGARKILHELIFSSFFMEMEYKKLFAMEFVKYYKQLQKEYISDDHERSISITALSVQMLTVPTLARHLIEEQNVISVITETLLEVLPEYLDRNNKFNFQGYSQDKLGRVYAVICDLKYILISKPVIWTERLRAQFLEGFRSFLKILTCMQGMEEIRRQVGQHIEVDPDWEAAIAIQMQLKNILLMFQEWCACDEDLLLVAYKECHKAVMRCSTNFMSSTKTVVQLCGHSLETKSYKVSEDLVSIHLPLSRTLAGLHVRLSRLGAISRLHEFVPFDGFQVEVLVEYPLRCLVLVAQVVAEMWRRNGLSLISQVFYYQDVKCREEMYDKDIIMLQIGASIMDPNKFLLLVLQRYELTDAFNKTISTKDQDLIKQYNTLIEEMLQVLIYIVGERYVPGVGNVTREEVIMREITHLLCIEPMPHSAIARNLPENENNETGLENVINKVATFKKPGVSGHGVYELKDESLKDFNMYFYHYSKTQHSKAEHMQKKRRKQENKDEALPPPPPPEFCPAFSKVVNLLSCDVMMYILRTIFERAVDMESNLWTEGMLQMAFHILALGLLEEKQQLQKAPEEEVAFDFYHKASRLGSSAMNAQNIQMLLEKLKGIPQLESQKDMITWILQMFDTVKRLREKSCLVVATTSGLECVKSEEITHDKEKAERKRKAEAARLHRQKIMAQMSALQKNFIETHKLMYDNTSEVTGKEDSIMEEESTSAVSEASRIALGPKRGPAVTEKEVLTCILCQEEQEVKLENNAMVLSACVQKSTALTQHRGKPVDHLGETLDPLFMDPDLAHGTYTGSCGHVMHAVCWQKYFEAVQLSSQQRIHVDLFDLESGEYLCPLCKSLCNTVIPIIPLQPQKINSENAEALAQLLTLARWIQTVLARISGYNIKHAKGEAPAVPVLFNQGMGDSTFEFHSILSFGVQSSVKYSNSIKEMVILFATTIYRIGLKVPPDELDPRVPMMTWSTCAFTIQAIENLLGDEGKPLFGALQNRQHNGLKALMQFAVAQRTTCPQVLIHKHLARLLSVILPNLQSENTPGLLSVDLFHVLVGAVLAFPSLYWDDTVDLQPSPLSSSYNHLYLFHLITMAHMLQILLTTDTDLSSGPPLAEGEEDSEEARCASAFFVEVSQHTDGLAGCGAPGWYLWLSLRNGITPYLRCAALLFHYLLGVAPPEELFANSAEGEFSALCSYLSLPTNLFLLFQEYWDTIRPLLQRWCGDPALLKSLKQKSAVVRYPRKRNSLIELPEDYSCLLNQASHFRCPRSADDERKHPVLCLFCGAILCSQNICCQEIVNGEEVGACVFHALHCGAGVCIFLKIRECRVVLVEGKARGCAYPAPYLDEYGETDPGLKRGNPLHLSRERYRKLHLVWQQHCIIEEIARSQETNQMLFGFNWQLL
NP_034374.2 1 459 0.298048583877996 Friend virus susceptibility protein 1 459 0 11 459 0 Mus musculus (Mouse) SwissProt::P70213 1 SwissProt MNFPRALAGFSSWLFKPELAEDSPDNDSPDNDTVNPWRELLQKINVADLPDSSFSSGKELNDSVYHTFEHFCKIRDYDAVGELLLAFLDKVTKERDQFRDEISQLRMHINDLKASKCVLGETLLSYRHRIEVGEKQTEALIVRLADVQSQVMCQPARKVSADKVRALIGKEWDPVTWDGDVWEDIDSEGSEEAELPTVLASPSLSEESGYALSKERTQQDKADAPQIQSSTSLVTSEPVTRPKSLSDLTSQKHRHTNHELNSLAHSNRQKAKEHARKWILRVWDNGGRLTILDQIEFLSLGPLSLDSEFNVIARTVEDNGVKSLFDWLAEAWVQRWPTTRELQSPDTLEWYSIEDGIERLRELGMIEWLCVKATCPQWRGPEDVPITRAMRITFVRETRETWKSFVFSLLCIKDITVGSVAAQLHDLIELSLKPTAAGLTSVGSVGVLSLSPWKHQSNS
NP_035621.1 1 296 0.350341216216216 PF03298.13:Stanniocalcin:14:213 stanniocalcin-2 precursor 296 200 11 296 0 Mus musculus NP_035621.1 1 RefSeq MCAERLGQFVTLALVFATLDPAQGTDSTNPPEGPQDRSSQQKGRLSLQNTAEIQHCLVNAGDVGCGVFECFENNSCEIQGLHGICMTFLHNAGKFDAQGKSFIKDALRCKAHALRHKFGCISRKCPAIREMVFQLQRECYLKHDLCSAAQENVGVIVEMIHFKDLLLHEPYVDLVNLLLTCGEDVKEAVTRSVQAQCEQSWGGLCSILSFCTSNIQRPPTAAPEHQPLADRAQLSRPHHRDTDHHLTANRGAKGERGSKSHPNAHARGRTGGQSAQGPSGSSEWEDEQSEYSDIRR
NP_035769.1 1 282 0.820283687943262 Thyroid receptor-interacting protein 6; TR-interacting protein 6; TRIP-6; Zyxin-related protein 1; ZRP-1 480 0 11 282 0 Mus musculus (Mouse) SwissProt::Q9Z1Y4 1 SwissProt MSGPTWLPPKQPEPSRLPQGRSLPRGALGPPTAHGATLQPHPRVNFCPLPPEHCYQPPGVPEDRGPTWVGSHGTPQRLQGLPPDRGIIRPGSLDAEIDSLTSMLADLDGGRSHAPRRPDRQAFEAPPPHAYRGGSLKPSGGAVPTPMLPASHYGGPTPASYATASTPAGPAFPVQVKVAQPVRGCGLPRRGASQASGPLPGPHFPLTGRGEVWGAGYRSHREPGPGVPEGPSGVHIPAGGGRGGGHEPQGPLGQPPEEELERLTKKLVHDMSHPPSGEYFGR
NP_036722.1 1 258 0.353089147286822 Inhibin alpha chain 366 0 11 258 0 Rattus norvegicus (Rat) SwissProt::P17490 1 SwissProt MVIQPSLLLLLLLTLQDVDSCQGPELVRELVLAKVKALFLDALGPPAMDGEGGGPGIRRLPRRHALGGFMHRTSEPEEEDVSQAILFPATGATCEDQAAAGGLAQEPEEGLFTYVFRPSQHIRSHQVTSAQLWFHTGLDRKSTAASNSSRPLLDLLVLSSGGPMAVPVSLGQSPPRWAVLHLAASAFPLLTHPILVLLLRCPLCSCSGRPETTPFLVAHTRARAPSAGERARRSAPSMPWPWSPAALRLLQRPPEEPS
NP_038199.1 1 186 0.296631720430108 PF00049.18:Insulin:33:186 prorelaxin 1 precursor 186 154 11 186 0 Rattus norvegicus NP_038199.1 1 RefSeq MSSRLLLQLLGFWLFLSQPCRARVSEEWMDQVIQVCGRGYARAWIEVCGASVGRLALSQEEPAPLARQATAEVVPSFINKDAEPFDMTLKCLPNLSEERKAALSEGRAPFPELQQHAPALSDSVVSLEGFKKTFHNQLGEAEDGGPPELKYLGSDAQSRKKRQSGALLSEQCCHIGCTRRSIAKLC
NP_038825.1 1 670 0.0775516417910448 PF03137.20:OATP:21:597,PF07690.16:MFS_1:23:394,PF07648.15:Kazal_2:440:486 solute carrier organic anion transporter family member 1A1 670 577 11 421 11 Mus musculus NP_038825.1 1 RefSeq MEETEKKVATQEGRFFSKMKVFLMSLTCAYLAKSLSGVYMNSMLTQIERQFGIPTSVVGFITGSFEIGNLLLIVFVSYFGRKLHRPIIIGVGCVVMGLGCFLMASPHFLMGRYKYETTISPTSNLSSNSFLCIENRTQTLKPTQDPTECVKEIKSLMWIYVLIGNTMRGIGETPIMPLGISYIEDFAKSENSPLYIGILEMGKIVGPIIGLLLGSFFARVYVDIGSVNTDDLTITPTDTRWVGAWWIGFLVCAGVNILTSIPFFFFPKTLPKKELQDNVDVTKYEKVEKHRERAKKENLGITKDFLPFMKSLCCNPIYMLFSLTSVLQINGFASTFTFLPKYLEQQYGKSTSEAVFLIGVYSLPPVCLGYLISGFIMKKFKITVKKAAYIAFGLSLSEYFIFLCNYLLTCDNFPVAGLTTSYKGVQHPLYGEKNVLADCNTRCSCLTDTWDPVCGDNGLAYMSACLAGCEKSVGTGTNMVFQNCSCIGSSGNSSAVLGLCKKGPECDNKLQYFLIKSVFSSFIFSLAAIPGYMVLLRCVKSEEKSIGVGLHAFFIRLLAGIPAPVYFGALIDRTCLHWGTLKCGQPGACRMYDINRFRHIYLGLPAAVRGSSFLPAVFILILMRKFHFPGDIHSPDTELAEMKLTEKESECTDVCRSPKVENDGELKTKL
NP_040183.1 75 467 0.598192366412214 E3 ubiquitin-protein ligase IE61; Immediate-early protein 61; IE61; RING-type E3 ubiquitin transferase IE61; EC 2.3.2.27 467 0 11 393 0 Varicella-zoster virus (strain Dumas) (HHV-3) (Human herpesvirus 3) SwissProt::P09309 1 SwissProt KEYEVHPSDDDGFSEPSFEDSIDILPGDVIDLLPPSPGPSRESIQQPTSRSSREPIQSPNPGPLQSSAREPTAESPSDSQQDSIQPPTRDSSPGVTKTCSTASFLRKVFFKDQPAVRSATPVVYGSIESAQQPRTGGQDYRDRPVSVGINQDPRTMDRLPFRATDRGTEGNARFPCYMQPLLGWLDDQLAELYQPEIVEPTKMLILNYIGIYGRDEAGLKTSLRCLLHDSTGPFVTNMLFLLDRCTDPTRLTMQTWTWKDTAIQLITGPIVRPETTSTGETSRGDERDTRLVNTPQKVRLFSVLPGIKPGSARGAKRRLFHTGRDVKRCLTIDLTSESDSACKGSKTRKVASPQGESNTPSTSGSTSGSLKHLTKKSSAGKAGKGIPNKMKKS
NP_044887.3 1 489 0.461617791411043 PF03326.13:Herpes_TAF50:1:226 transcriptional activator 489 226 11 489 0 Murid gammaherpesvirus 4 NP_044887.3 1 RefSeq MFRNQKVRFNAKVHNRHPCGYISHHLIKYAIERVVYTTDRLFLTAPCSGVQLPQPLACSLFEILKDVRGKCTTAWRRLGAGRRHLMTFGRNVLDEFNSEKKSPGGISREVEAFIKICFPQMDLNKILIPIYQHAINIPPDCVPSCTIGDGNRKRAPHGSLYSKDISSQKFCIPDPLFASPTEPGLGELHRGNMAHLLQNPEEIINLDPLHNTTEPCLYQMFSEAVTNPSKKRWLSSFNMVFSGLSPRARPETTYEPLGPFSPISPGPSSATEEFQFEFSPSPQTSPETSEQSYIPTPNSAMGGSFEYTGAVQPQLIPDNHTCSAKRLRESDEEVNYSSDGSPNKKPTARTSGHEQAYGFLAELLSSHRDTPVQHIVQSGSTPAPPIVEPQGQDFVGKQDETCSNVFPEQITQEACPGSSEDAFIDDAIKEIFASLDSMANQDTADSDTCSILDPQSPTPPPSVPPITTLSLYDIYASILSPLDPNSLES
NP_054097.1 1 385 0.23067974025974 PF05847.11:Baculo_LEF-3:22:385 Late expression factor 3; LEF-3; Single-stranded DNA-binding protein; SSB 385 364 11 385 0 Autographa californica nuclear polyhedrosis virus (AcMNPV) SwissProt::P41453 1 SwissProt MATKRSLSGESSGEPLIKRMAMASSPKKIRENYKRISGKLMSKMTLSIDNEYHYTFRIMSDNKIQEYYGDSQSFKDMEEGKCYDISLNYVKTKFSQMIQINEYKECEMEIETATPMSDYLTNKHFENEDGVNIIVKYKFIYKKINSGLYKVVFEVVYKNLNDDPDVVQVECSVNAKTLINLFKNNIKGSDDINEVFKYLKDNENQIFTIYSIKCQQIFNGSNVYMNWNVVNSTRIELCEAKESEAYSNLQNCTNAKINISRSNKHVASYNVNVLKSELEENDMGDNKFIVQFKSDELNIADSDDCSTSSDLGKWNKSVFYVNTNKKTEADSLQKLCADFNQISMLLEDNLIKVTIYVTVENGENHNMNVLGLLKYDEDENEYKFL
NP_055070.1 1 182 0.260181868131868 PF11938.8:DUF3456:27:171 Protein canopy homolog 2; MIR-interacting saposin-like protein; Putative secreted protein Zsig9; Transmembrane protein 4 182 145 11 182 0 Homo sapiens (Human) SwissProt::Q9Y2B0 1 SwissProt MKGWGWLALLLGALLGTAWARRSQDLHCGACRALVDELEWEIAQVDPKKTIQMGSFRINPDGSQSVVEVPYARSEAHLTELLEEICDRMKEYGEQIDPSTHRKNYVRVVGRNGESSELDLQGIRIDSDISGTLKFACESIVEEYEDELIEFFSREADNVKDKLCSKRTDLCDHALHISHDEL
NP_055156.1 1 372 0.245154301075269 PF00153.27:Mito_carr:204:265 mitochondrial carrier homolog 1 isoform PSAP-LS 372 62 11 326 2 Homo sapiens NP_055156.1 1 RefSeq MGASDPEVAPWARGGAAGMAGAGAGAGARGGAAAGVEARARDPPPAHRAHPRHPRPAAQPSARRMDGGSGGLGSGDNAPTTEALFVALGAGVTALSHPLLYVKLLIQVGHEPMPPTLGTNVLGRKVLYLPSFFTYAKYIVQVDGKIGLFRGLSPRLMSNALSTVTRGSMKKVFPPDEIEQVSNKDDMKTSLKKVVKETSYEMMMQCVSRMLAHPLHVISMRCMVQFVGREAKYSGVLSSIGKIFKEEGLLGFFVGLIPHLLGDVVFLWGCNLLAHFINAYLVDDSFSQALAIRSYTKFVMGIAVSMLTYPFLLVGDLMAVNNCGLQAGLPPYSPVFKSWIHCWKYLSVQGQLFRGSSLLFRRVSSGSCFALE
NP_055402.2 191 446 0.704163281249999 transcription factor SOX-8 446 0 11 256 0 Homo sapiens NP_055402.2 1 RefSeq ELGPHPGGGAVYKAEAGLGDGHHHGDHTGQTHGPPTPPTTPKTELQQAGAKPELKLEGRRPVDSGRQNIDFSNVDISELSSEVMGTMDAFDVHEFDQYLPLGGPAPPEPGQAYGGAYFHAGASPVWAHKSAPSASASPTETGPPRPHIKTEQPSPGHYGDQPRGSPDYGSCSGQSSATPAAPAGPFAGSQGDYGDLQASSYYGAYPGYAPGLYQYPCFHSPRRPYASPLLNGLALPPAHSPTSHWDQPVYTTLTRP
NP_055733.2 1 802 0.241786284289277 PF05679.16:CHGN:238:777,PF02434.16:Fringe:87:275,PF02709.14:Glyco_transf_7C:686:738 Chondroitin sulfate synthase 1; Chondroitin glucuronyltransferase 1; Chondroitin synthase 1; ChSy-1; Glucuronosyl-N-acetylgalactosaminyl-proteoglycan 4-beta-N-acetylgalactosaminyltransferase 1; N-acetylgalactosaminyl-proteoglycan 3-beta-glucuronosyltransferase 1; N-acetylgalactosaminyltransferase 1; EC 2.4.1.175; EC 2.4.1.226 802 691 11 779 1 Homo sapiens (Human) SwissProt::Q86X52 1 SwissProt MAARGRRAWLSVLLGLVLGFVLASRLVLPRASELKRAGPRRRASPEGCRSGQAAASQAGGARGDARGAQLWPPGSDPDGGPRDRNFLFVGVMTAQKYLQTRAVAAYRTWSKTIPGKVQFFSSEGSDTSVPIPVVPLRGVDDSYPPQKKSFMMLKYMHDHYLDKYEWFMRADDDVYIKGDRLENFLRSLNSSEPLFLGQTGLGTTEEMGKLALEPGENFCMGGPGVIMSREVLRRMVPHIGKCLREMYTTHEDVEVGRCVRRFAGVQCVWSYEMQQLFYENYEQNKKGYIRDLHNSKIHQAITLHPNKNPPYQYRLHSYMLSRKISELRHRTIQLHREIVLMSKYSNTEIHKEDLQLGIPPSFMRFQPRQREEILEWEFLTGKYLYSAVDGQPPRRGMDSAQREALDDIVMQVMEMINANAKTRGRIIDFKEIQYGYRRVNPMYGAEYILDLLLLYKKHKGKKMTVPVRRHAYLQQTFSKIQFVEHEELDAQELAKRINQESGSLSFLSNSLKKLVPFQLPGSKSEHKEPKDKKINILIPLSGRFDMFVRFMGNFEKTCLIPNQNVKLVVLLFNSDSNPDKAKQVELMRDYRIKYPKADMQILPVSGEFSRALALEVGSSQFNNESLLFFCDVDLVFTTEFLQRCRANTVLGQQIYFPIIFSQYDPKIVYSGKVPSDNHFAFTQKTGFWRNYGFGITCIYKGDLVRVGGFDVSIQGWGLEDVDLFNKVVQAGLKTFRSQEVGVVHVHHPVFCDPNLDPKQYKMCLGSKASTYGSTQQLAEMWLEKNDPSYSKSSNNNGSVRTA
NP_055806.2 1 2687 0.211293375511723 PF14844.6:PH_BEACH:2601:2654 WD repeat and FYVE domain-containing protein 3; Autophagy-linked FYVE protein; Alfy 3526 54 11 2687 0 Homo sapiens (Human) SwissProt::Q8IZQ1 1 SwissProt MNMVKRIMGRPRQEECSPQDNALGLMHLRRLFTELCHPPRHMTQKEQEEKLYMMLPVFNRVFGNAPPNTMTEKFSDLLQFTTQVSRLMVTEIRRRASNKSTEAASRAIVQFLEINQSEEASRGWMLLTTINLLASSGQKTVDCMTTMSVPSTLVKCLYLFFDLPHVPEAVGGAQNELPLAERRGLLQKVFVQILVKLCSFVSPAEELAQKDDLQLLFSAITSWCPPYNLPWRKSAGEVLMTISRHGLSVNVVKYIHEKECLSTCVQNMQQSDDLSPLEIVEMFAGLSCFLKDSSDVSQTLLDDFRIWQGYNFLCDLLLRLEQAKEAESKDALKDLVNLITSLTTYGVSELKPAGITTGAPFLLPGFAVPQPAGKGHSVRNVQAFAVLQNAFLKAKTSFLAQIILDAITNIYMADNANYFILESQHTLSQFAEKISKLPEVQNKYFEMLEFVVFSLNYIPCKELISVSILLKSSSSYHCSIIAMKTLLKFTRHDYIFKDVFREVGLLEVMVNLLHKYAALLKDPTQALNEQGDSRNNSSVEDQKHLALLVMETLTVLLQGSNTNAGIFREFGGARCAHNIVKYPQCRQHALMTIQQLVLSPNGDDDMGTLLGLMHSAPPTELQLKTDILRALLSVLRESHRSRTVFRKVGGFVYITSLLVAMERSLSCPPKNGWEKVNQNQVFELLHTVFCTLTAAMRYEPANSHFFKTEIQYEKLADAVRFLGCFSDLRKISAMNVFPSNTQPFQRLLEEDVISIESVSPTLRHCSKLFIYLYKVATDSFDSRAEQIPPCLTSESSLPSPWGTPALSRKRHAYHSVSTPPVYPPKNVADLKLHVTTSSLQSSDAVIIHPGAMLAMLDLLASVGSVTQPEHALDLQLAVANILQSLVHTERNQQVMCEAGLHARLLQRCSAALADEDHSLHPPLQRMFERLASQALEPMVLREFLRLASPLNCGAWDKKLLKQYRVHKPSSLSYEPEMRSSMITSLEGLGTDNVFSLHEDNHYRISKSLVKSAEGSTVPLTRVKCLVSMTTPHDIRLHGSSVTPAFVEFDTSLEGFGCLFLPSLAPHNAPTNNTVTTGLIDGAVVSGIGSGERFFPPPSGLSYSSWFCIEHFSSPPNNHPVRLLTVVRRANSSEQHYVCLAIVLSAKDRSLIVSTKEELLQNYVDDFSEESSFYEILPCCARFRCGELIIEGQWHHLVLVMSKGMLKNSTAALYIDGQLVNTVKLHYVHSTPGGSGSANPPVVSTVYAYIGTPPAQRQIASLVWRLGPTHFLEEVLPSSNVTTIYELGPNYVGSFQAVCMPCKDAKSEGVVPSPVSLVPEEKVSFGLYALSVSSLTVARIRKVYNKLDSKAIAKQLGISSHENATPVKLIHNSAGHLNGSARTIGAALIGYLGVRTFVPKPVATTLQYVGGAAAILGLVAMASDVEGLYAAVKALVCVVKSNPLASKEMERIKGYQLLAMLLKKKRSLLNSHILHLTFSLVGTVDSGHETSIIPNSTAFQDLLCDFEVWLHAPYELHLSLFEHFIELLTESSEASKNAKLMREFQLIPKLLLTLRDMSLSQPTIAAISNVLSFLLQGFPSSNDLLRFGQFISSTLPTFAVCEKFVVMEINNEEKLDTGTEEEFGGLVSANLILLRNRLLDILLKLIYTSKEKTSINLQACEELVKTLGFDWIMMFMEEHLHSTTVTAAMRILVVLLSNQSILIKFKEGLSGGGWLEQTDSVLTNKIGTVLGFNVGRSAGGRSTVREINRDACHFPGFPVLQSFLPKHTNVPALYFLLMALFLQQPVSELPENLQVSVPVISCRSKQGCQFDLDSIWTFIFGVPASSGTVVSSIHNVCTEAVFLLLGMLRSMLTSPWQSEEEGSWLREYPVTLMQFFRYLYHNVPDLASMWMSPDFLCALAATVFPFNIRPYSEMVTDLDDEVGSPAEEFKAFAADTGMNRSQSEYCNVGTKTYLTNHPAKKFVFDFMRVLIIDNLCLTPASKQTPLIDLLLEASPERSTRTQQKEFQTYILDSVMDHLLAADVLLGEDASLPITSGGSYQVLVNNVFYFTQRVVDKLWQGMFNKESKLLIDFIIQLIAQSKRRSQGLSLDAVYHCLNRTILYQFSRAHKTVPQQVALLDSLRVLTVNRNLILGPGNHDQEFISCLAHCLINLHVGSNVDGFGLEAEARMTTWHIMIPSDIEPDGSYSQDISEGRQLLIKAVNRVWTELIHSKKQVLEELFKVTLPVNERGHVDIATARPLIEEAALKCWQNHLAHEKKCISRGEALAPTTQSKLSRVSSGFGLSKLTGSRRNRKESGLNKHSLSTQEISQWMFTHIAVVRDLVDTQYKEYQERQQNALKYVTEEWCQIECELLRERGLWGPPIGSHLDKWMLEMTEGPCRMRKKMVRNDMFYNHYPYVPETEQETNVASEIPSKQPETPDDIPQKKPARYRRAVSYDSKEYYMRLASGNPAIVQDAIVESSEGEAAQQEPEHGEDTIAKVKGLVKPPLKRSRSAPDGGDEENQEQLQDQIAEGSSIEEEEKTDNATLLRLLEEGEKIQHMYRCARVQGLDTSEGLLLFGKEHFYVIDGFTMTATREIRDIETLPPNMHEPIIPRGARQGPSQLKRTCSIFAYEDIKEVHKRRYLLQPIAVEVFSGDGRNYLLAFQKGIRNKVYQRFLAVVPSLTDSSESVSGQRPNTSVEQGSGLLST
NP_055839.3 1 1087 0.128657497700092 PF03810.19:IBN_N:30:95 Exportin-7; Exp7; Ran-binding protein 16 1087 66 11 1087 0 Homo sapiens (Human) SwissProt::Q9UIA9 1 SwissProt MADHVQSLAQLENLCKQLYETTDTTTRLQAEKALVEFTNSPDCLSKCQLLLERGSSSYSQLLAATCLTKLVSRTNNPLPLEQRIDIRNYVLNYLATRPKLATFVTQALIQLYARITKLGWFDCQKDDYVFRNAITDVTRFLQDSVEYCIIGVTILSQLTNEINQADTTHPLTKHRKIASSFRDSSLFDIFTLSCNLLKQASGKNLNLNDESQHGLLMQLLKLTHNCLNFDFIGTSTDESSDDLCTVQIPTSWRSAFLDSSTLQLFFDLYHSIPPSFSPLVLSCLVQIASVRRSLFNNAERAKFLSHLVDGVKRILENPQSLSDPNNYHEFCRLLARLKSNYQLGELVKVENYPEVIRLIANFTVTSLQHWEFAPNSVHYLLSLWQRLAASVPYVKATEPHMLETYTPEVTKAYITSRLESVHIILRDGLEDPLEDTGLVQQQLDQLSTIGRCEYEKTCALLVQLFDQSAQSYQELLQSASASPMDIAVQEGRLTWLVYIIGAVIGGRVSFASTDEQDAMDGELVCRVLQLMNLTDSRLAQAGNEKLELAMLSFFEQFRKIYIGDQVQKSSKLYRRLSEVLGLNDETMVLSVFIGKIITNLKYWGRCEPITSKTLQLLNDLSIGYSSVRKLVKLSAVQFMLNNHTSEHFSFLGINNQSNLTDMRCRTTFYTALGRLLMVDLGEDEDQYEQFMLPLTAAFEAVAQMFSTNSFNEQEAKRTLVGLVRDLRGIAFAFNAKTSFMMLFEWIYPSYMPILQRAIELWYHDPACTTPVLKLMAELVHNRSQRLQFDVSSPNGILLFRETSKMITMYGNRILTLGEVPKDQVYALKLKGISICFSMLKAALSGSYVNFGVFRLYGDDALDNALQTFIKLLLSIPHSDLLDYPKLSQSYYSLLEVLTQDHMNFIASLEPHVIMYILSSISEGLTALDTMVCTGCCSCLDHIVTYLFKQLSRSTKKRTTPLNQESDRFLHIMQQHPEMIQQMLSTVLNIIIFEDCRNQWSMSRPLLGLILLNEKYFSDLRNSIVNSQPPEKQQAMHLCFENLMEGIERNLLTKNRDRFTQNLSAFRREVNDSMKNSTYGVNSNDMMS
NP_056415.1 1 404 0.16327202970297 PF12146.8:Hydrolase_4:170:286,PF00561.20:Abhydrolase_1:169:259,PF12697.7:Abhydrolase_6:171:337 lysophosphatidylserine lipase ABHD12 isoform b 404 169 11 381 1 Homo sapiens NP_056415.1 1 RefSeq MRKRTEPVALEHERCAAAGSSSSGSAAAALDADCRLKQNLRLTGPAAAEPRCAADAGMKRALGRRKGVWLRLRKILFCVLGLYIAIPFLIKLCPGIQAKLIFLNFVRVPYFIDLKKPQDQGLNHTCNYYLQPEEDVTIGVWHTVPAVWWKNAQGKDQMWYEDALASSHPIILYLHGNAGTRGGDHRVELYKVLSSLGYHVVTFDYRGWGDSVGTPSERGMTYDALHVFDWIKARSGDNPVYIWGHSLGTGVATNLVRRLCERETPPDALILESPFTNIREEAKSHPFSVIYRYFPGFDWFFLDPITSSGIKFANDENVKHISCPLLILHAEDDPVVPFQLGRKLYSIAAPARSFRDFKVQFVPFHSDLGYRHKYIYKSPELPRILRPQQGPGSSPDPSMWSELV
NP_057097.2 1 327 0.252402140672783 PF08547.12:CIA30:125:298 Complex I intermediate-associated protein 30, mitochondrial; NADH dehydrogenase [ubiquinone] 1 alpha subcomplex assembly factor 1 327 174 11 327 0 Homo sapiens (Human) SwissProt::Q9Y375 1 SwissProt MALVHKLLRGTYFLRKFSKPTSALYPFLGIRFAEYSSSLQKPVASPGKASSQRKTEGDLQGDHQKEVALDITSSEEKPDVSFDKAIRDEAIYHFRLLKDEIVDHWRGPEGHPLHEVLLEQAKVVWQFRGKEDLDKWTVTSDKTIGGRSEVFLKMGKNNQSALLYGTLSSEAPQDGESTRSGYCAMISRIPRGAFERKMSYDWSQFNTLYLRVRGDGRPWMVNIKEDTDFFQRTNQMYSYFMFTRGGPYWQEVKIPFSKFFFSNRGRIRDVQHELPLDKISSIGFTLADKVDGPFFLEIDFIGVFTDPAHTEEFAYENSPELNPRLFK
NP_057355.2 65 245 0.303838674033149 PF05605.12:zf-Di19:92:151,PF18574.1:zf_C2HC_14:16:48 E3 ubiquitin-protein ligase RNF138; Nemo-like kinase-associated RING finger protein; NLK-associated RING finger protein; hNARF; RING finger protein 138; RING-type E3 ubiquitin transferase RNF138; EC 2.3.2.27 245 93 11 181 0 Homo sapiens (Human) SwissProt::Q8WVD3 1 SwissProt ERACPERALDLENIMRKFSGSCRCCAKQIKFYRMRHHYKSCKKYQDEYGVSSIIPNFQISQDSVGNSNRSETSTSDNTETYQENTSSSGHPTFKCPLCQESNFTRQRLLDHCNSNHLFQIVPVTCPICVSLPWGDPSQITRNFVSHLNQRHQFDYGEFVNLQLDEETQYQTAVEESFQVNI
NP_057683.1 1 264 0.549330681818182 PF05556.11:Calsarcin:1:264 Myozenin-2; Calsarcin-1; FATZ-related protein 2 264 264 11 264 0 Homo sapiens (Human) SwissProt::Q9NPC6 1 SwissProt MLSHNTMMKQRKQQATAIMKEVHGNDVDGMDLGKKVSIPRDIMLEELSHLSNRGARLFKMRQRRSDKYTFENFQYQSRAQINHSIAMQNGKVDGSNLEGGSQQAPLTPPNTPDPRSPPNPDNIAPGYSGPLKEIPPEKFNTTAVPKYYQSPWEQAISNDPELLEALYPKLFKPEGKAELPDYRSFNRVATPFGGFEKASRMVKFKVPDFELLLLTDPRFMSFVNPLSGRRSFNRTPKGWISENIPIVITTEPTDDTTVPESEDL
NP_057882.2 1 200 0.1461135 ciliary neurotrophic factor receptor subunit alpha preproprotein 372 0 11 200 0 Mus musculus NP_057882.2 1 RefSeq MAASVPWACCAVLAAAAAAVYTQKHSPQEAPHVQYERLGADVTLPCGTASWDAAVTWRVNGTDLAPDLLNGSQLILRSLELGHSGLYACFHRDSWHLRHQVLLHVGLPPREPVLSCRSNTYPKGFYCSWHLPTPTYIPNTFNVTVLHGSKIMVCEKDPALKNRCHIRYMHLFSTIKYKVSISVSNALGHNTTAITFDEFT
NP_058785.2 171 345 0.481078857142857 ephrin-B1 precursor 345 0 11 152 1 Rattus norvegicus NP_058785.2 1 RefSeq VTPEQLTTSRPSKESDNTVKTATQAPGRGSQGDSDGKHETVNQQEKSGPGAGGSGSGDTDSFFNSKVALFAAVGAGCVIFLLIIIFLTVLLLKLRKRHRKHTQQRAAALSLSTLASPKGGSGTAGTEPSDIIIPLRTTENNYCPHYEKVSGDYGHPVYIVQEMPPQSPANIYYKV
NP_059496.1 1 1274 0.704539246467819 PF15362.6:Enamelin:216:423,PF15362.6:Enamelin:533:1249 Enamelin 1274 925 11 1274 0 Mus musculus (Mouse) SwissProt::O55196 1 SwissProt MLLLQCRNPTSPPKPCGLVPNVKMSLLVFLGLLGVSAAMPFQMPMPRMPGFSSKSEEMMRYNQFNFMNAPPMMPMGPYGNGMPMPPHMPPQYPPYQMPMWPPPVPNGWQQPPMPNFPSKTDQTQETAKPNQTNPQEPQPQKQPLKEPPNEAARAKDDAQPPQPFPPFGNGLYPYPQPPWPIPQRGPPTAFGRPKFSNEEGNPYYAFFGYHGFGGRPYYSEEMFEDYEKPKEKDPPKPEDPPPDDPPPEASTNSTVPDANATQSIPEGGNDTSPIGNTGPGPNAGNNPTVQNGVFPPPKVNVSGQGVPKSQIPWRPSQPNIYENYPYPNYPSERQWQTTGTQGPRQNGPGYRNPQVERGPQWNSFAWEGKQATRPGNPTYGKPPSPTSGVNYAGNPVHFGRNLPGPNKPFVGANPASNKPFVGANPASNKPFVGANPASNKPFVGANPASNKPFVGANPASNKPYVGANPASNKPFIGANPAANKPSIGTNPAANKPSIGTNPAANKPFVRNNVGANKPFVGTNPSSNQPFLRSNQASNKPFMRSNQASNKPFVGTNVASVGPKQVTVSHNMKTQNPKEKSLGQKERTVTPTKDASNPWRSAKQYGINNPNYNLPRSEGSMVGPNFNSFDQQENSYFSKGASKRVPSPNIQIQSQNLPKGIALEPRRTPFQSETKKPELKHGTHQPAYPKKIPSPTRKHFPAERNTWNRQKILPPLKEDYGRQDENLRHPSYGSRGNIFYHEYTNPYHNEKSQYIKSNPWDKSSPSTMMRPENPQYTMTSLDQKETEQYNEEDPIDPNEDESFPGQSRWGDEEMNFKGNPTVRQYEGEHYASTLAKEYLPYSLSNPPKPSEDFPYSEFYPWNPQETFPIYNPGPTIAPPVDPRSYYVNNAIGQEESTLFPSWTSWDHRNQAERQKESEPYFNRNVWDQSINLHKSNIPNHPYSTTSPARFPKDPTWFEGENLNYDLQITSLSPPEREQLAFPDFLPQSYPTGQNEAHLFHQSQRGSCCIGGSTGHKDNVLALQDYTSSYGLPPRKNQETSPVHTESSYIKYARPNVSPASILPSQRNISENKLTAESPNPSPFGDGVPTVRKNTPYSGKNQLETGIVAFSEASSSQPKNTPCLKSDLGGDRRDVLKQFFEGSQLSERTAGLTPEQLVIGIPDKGSGPDSIQSEVQGKEGEMQQQRPPTIMKLPCFGSNSKFHSSTTGPPINNRRPTLLNGALSTPTESPNTLVGLATREQLKSINVDKLNADEHTTLESFQGTSPQDQGCLLLQA
NP_060052.3 1 242 0.585969834710744 Ubiquitin-conjugating enzyme E2 Q1; E2 ubiquitin-conjugating enzyme Q1; Protein NICE-5; Ubiquitin carrier protein Q1; Ubiquitin-protein ligase Q1; EC 2.3.2.23 422 0 11 242 0 Homo sapiens (Human) SwissProt::Q7Z7E8 1 SwissProt MQQPQPQGQQQPGPGQQLGGQGAAPGAGGGPGGGPGPGPCLRRELKLLESIFHRGHERFRIASACLDELSCEFLLAGAGGAGAGAAPGPHLPPRGSVPGDPVRIHCNITESYPAVPPIWSVESDDPNLAAVLERLVDIKKGNTLLLQHLKRIISDLCKLYNLPQHPDVEMLDQPLPAEQCTQEDVSSEDEDEEMPEDTEDLDHYEMKEEEPAEGKKSEDDGIGKENLAILEKIKKNQRQDYL
NP_060103.2 51 442 0.119486734693878 PF07984.12:NTP_transf_7:16:334 Terminal nucleotidyltransferase 5A; HBV X-transactivated gene 11 protein; HBV XAg-transactivated protein 11; EC 2.7.7.- 442 319 11 392 0 Homo sapiens (Human) SwissProt::Q96IP4 1 SwissProt HCLDYCESPTAHCNVLNWEQVQRLDGILSETIPIHGRGNFPTLELQPSLIVKVVRRRLAEKRIGVRDVRLNGSAASHVLHQDSGLGYKDLDLIFCADLRGEGEFQTVKDVVLDCLLDFLPEGVNKEKITPLTLKEAYVQKMVKVCNDSDRWSLISLSNNSGKNVELKFVDSLRRQFEFSVDSFQIKLDSLLLFYECSENPMTETFHPTIIGESVYGDFQEAFDHLCNKIIATRNPEEIRGGGLLKYCNLLVRGFRPASDEIKTLQRYMCSRFFIDFSDIGEQQRKLESYLQNHFVGLEDRKYEYLMTLHGVVNESTVCLMGHERRQTLNLITMLAIRVLADQNVIPNVANVTCYYQPAPYVADANFSNYYIAQVQPVFTCQQQTYSTWLPCN
NP_061129.1 1 136 0.138894852941176 PF15153.6:CYTL1:10:132 Cytokine-like protein 1; Protein C17 136 123 11 136 0 Homo sapiens (Human) SwissProt::Q9NRR1 1 SwissProt MRTPGPLPVLLLLLAGAPAARPTPPTCYSRMRALSQEITRDFNLLQVSEPSEPCVRYLPRLYLDIHNYCVLDKLRDFVASPPCWKVAQVDSLKDKARKLYTIMNSFCRRDLVFLLDDCNALEYPIPVTTVLPDRQR
NP_061160.3 1 1097 0.811883318140383 Apolipoprotein B receptor; Apolipoprotein B-100 receptor; Apolipoprotein B-48 receptor; Apolipoprotein B48 receptor; apoB-48R 1097 0 11 1097 0 Homo sapiens (Human) SwissProt::Q0VD83 1 SwissProt MDFLRLYLPGLHQALRGALDSLGTFVSYLLGDAVPTVEREAQAAEELGVVAVGKTGKIVEEEAQEDLEGLRGSQNEGAGRLRGPGDDRRHEVGSSAVEQTWGWGDGSSHGSQAERQDSGAGETAKAARCQEPSAHLEARKKSKAGSGACQDRSGQAQERQESHEQEVNREERLRSWEQEEEEEEVRAREPGMARGAESEWTWHGETEGKAGAVGPKAAGDNREMEQGVREADAGETEEPGAEGAGKGEEVVVVEKACESTRAWGTWGPGAEPEDWGILGREEARTTPGREEARAILDGEEARTISGGEEAETASGGEEAETASGGEEAGTASGGEEAGIASGGEAGTASGGEEAGTASGGEEAGTASGGDEAWTTSGKEEADLLGVRQTEYGAVPGERLLEATGKVWVLEEEGDEEREAEVSPFPKQPQVLGTERTEEAAESQTAGREAVGGQEAGESFEGQVDLRGKEAEMRQDLGIRADRARMEELVQAEEAQEERGSSRDPVAELPSDGEAEGTADLEATPEARPEEELTGEESEAAQTSCGLLGVEWGGLTHSVTKGQGPELMGGAQTPTKQPEEREAGEVELMGVLALSKEEQERSLEAGPRHAGSVKPEASEAFPGAWENRTRKDMERGNTQEDAADGEQREEEETAGGQTLAAEAEGDRESELSEVPEAGGEGLTTQDAGCGTEEGEASVSENQELDGSTGADAGPCPSLGEAYARETEDEEAEADRTSRRGWRLQAVAVGLPDREDAQTGSVAAGIMGGDVVPHISAAGAGEALEGVLGQGWDSKEKEEAAAGEHAGGQEFGLEGSAEEEVTGRGSQVEAFESREGGPWGGRVEAEESAGAEDSCGLDPAGSQTARAEGMGAMVEAGGLLEKWTLLEEEAVGWQEREQREDSEGRCGDYHPEGEAPRLLDAEGLMVTGGRRAEAKETEPESLEHVRGQEEQPTHQAPAEAAPESVGEAETAEAMGSARGGAANSWSEAPLPGSLLDVSVPRSRVHLSRSSSQRRSRPSFRRTPAWEQQEEPPAPNPPEEELSAPEQRPLQLEEPLEPSPLRHDGTPVPARRRPLGHGFGLAHPGMMQELQARLGRPKPQ
NP_061982.3 1 464 0.111911206896552 PF00534.20:Glycos_transf_1:273:436,PF13692.6:Glyco_trans_1_4:299:426 Chitobiosyldiphosphodolichol beta-mannosyltransferase; Asparagine-linked glycosylation protein 1 homolog; Beta-1,4-mannosyltransferase; GDP-Man:GlcNAc2-PP-dolichol mannosyltransferase; GDP-mannose-dolichol diphosphochitobiose mannosyltransferase; Mannosyltransferase-1; MT-1; hMat-1; EC 2.4.1.142 464 164 11 445 1 Homo sapiens (Human) SwissProt::Q9BT22 1 SwissProt MAASCLVLLALCLLLPLLLLGGWKRWRRGRAARHVVAVVLGDVGRSPRMQYHALSLAMHGFSVTLLGFCNSKPHDELLQNNRIQIVGLTELQSLAVGPRVFQYGVKVVLQAMYLLWKLMWREPGAYIFLQNPPGLPSIAVCWFVGCLCGSKLVIDWHNYGYSIMGLVHGPNHPLVLLAKWYEKFFGRLSHLNLCVTNAMREDLADNWHIRAVTVYDKPASFFKETPLDLQHRLFMKLGSMHSPFRARSEPEDPVTERSAFTERDAGSGLVTRLRERPALLVSSTSWTEDEDFSILLAALEKFEQLTLDGHNLPSLVCVITGKGPLREYYSRLIHQKHFQHIQVCTPWLEAEDYPLLLGSADLGVCLHTSSSGLDLPMKVVDMFGCCLPVCAVNFKCLHELVKHEENGLVFEDSEELAAQLQMLFSNFPDPAGKLNQFRKNLRESQQLRWDESWVQTVLPLVMDT
NP_062089.1 1 195 0.42396 Growth/differentiation factor 15; GDF-15 303 0 11 172 1 Rattus norvegicus (Rat) SwissProt::Q9Z0J6 1 SwissProt MALRALHAQPTGGPQLRFLLFLLLLLLLLSWPSQGDALALPEQRRSLSESQLNPDELRGRFQDLLSRLHANQSREDSNSEPTPDPAVRILSPEVRLGSHGRLLLRVNRASLTQGLPEAYRVHRALLLLTPSSRPWDITRPLQRAISLQGPHARALRLRLAPPPDLAVLPSGGARLELHLRSAAGRGRRSAHLHPR
NP_062733.1 1 201 0.0931169154228855 PF14704.6:DERM:43:191 Dermatopontin; Early quiescence protein 1; EQ-1; Tyrosine-rich acidic matrix protein; TRAMP 201 149 11 201 0 Mus musculus (Mouse) SwissProt::Q9QZZ6 1 SwissProt MDLTLLWVLLPLVTTAWGQYGGYGYPYQQYQDYGDDGWVNLNRQGFSYQCPHGQVVVAVRSIFSKKEGSDRQWNYACMPTPQSLGEPTECWWEEINRAGMEWYQKCSNNGLVAGFQSRYFESVLDREWQFYCCRYSKRCPYSCWMTTEYPSHYGEDMDMISYDYDFYMRGATTTFSAVERDRQWKFIMCRMTDYDCEFENV 1
NP_062898.1 1 296 0.147921959459459 PF08500.10:Tombus_P33:142:289 p33 protein 296 148 11 253 2 Tomato bushy stunt virus NP_062898.1 1 RefSeq METIKRMIWPKKEIFVGDFATGVNRTVPVNIFQLVCRVVLRYMRTGKIECDSDSMTKFIVELLKTDCAAKWEWFMKRRQRGDYIVPLSIASIPIIPLLSYATRVRAVSVKAFGNELSFNVRVPRPSVPKKGLLLRLAAGLALAPICALAVYATLPREKLSVFKLRTEARAHMEDEREATDCLVVEPARELKGKDGEDLLTGSRLTKVIASTGRPRRRPYAAKIAQVARAKVGYLKNSPENRLIYQRVMIEIMDKDCVRYVDRDVILPLAIGCCFVYPDGVEESAALWGSQESLGVK
NP_064628.1 1 142 0.440246478873239 PF04281.13:Tom22:26:117 Mitochondrial import receptor subunit TOM22 homolog; hTom22; 1C9-2; Translocase of outer membrane 22 kDa subunit homolog 142 92 11 124 1 Homo sapiens (Human) SwissProt::Q9NS69 1 SwissProt MAAAVAAAGAGEPQSPDELLPKGDAEKPEEELEEDDDEELDETLSERLWGLTEMFPERVRSAAGATFDLSLFVAQKMYRFSRAALWIGTTSFMILVLPVVFETEKLQMEQQQQLQQRQILLGPNTGLSGGMPGALPSLPGKI
NP_065969.3 1 828 0.165657367149759 PF01553.21:Acyltransferase:216:355 Glycerol-3-phosphate acyltransferase 1, mitochondrial; GPAT-1; EC 2.3.1.15 828 140 11 828 0 Homo sapiens (Human) SwissProt::Q9HCL2 1 SwissProt MDESALTLGTIDVSYLPHSSEYSVGRCKHTSEEWGECGFRPTIFRSATLKWKESLMSRKRPFVGRCCYSCTPQSWDKFFNPSIPSLGLRNVIYINETHTRHRGWLARRLSYVLFIQERDVHKGMFATNVTENVLNSSRVQEAIAEVAAELNPDGSAQQQSKAVNKVKKKAKRILQEMVATVSPAMIRLTGWVLLKLFNSFFWNIQIHKGQLEMVKAATETNLPLLFLPVHRSHIDYLLLTFILFCHNIKAPYIASGNNLNIPIFSTLIHKLGGFFIRRRLDETPDGRKDVLYRALLHGHIVELLRQQQFLEIFLEGTRSRSGKTSCARAGLLSVVVDTLSTNVIPDILIIPVGISYDRIIEGHYNGEQLGKPKKNESLWSVARGVIRMLRKNYGCVRVDFAQPFSLKEYLESQSQKPVSALLSLEQALLPAILPSRPSDAADEGRDTSINESRNATDESLRRRLIANLAEHILFTASKSCAIMSTHIVACLLLYRHRQGIDLSTLVEDFFVMKEEVLARDFDLGFSGNSEDVVMHAIQLLGNCVTITHTSRNDEFFITPSTTVPSVFELNFYSNGVLHVFIMEAIIACSLYAVLNKRGLGGPTSTPPNLISQEQLVRKAASLCYLLSNEGTISLPCQTFYQVCHETVGKFIQYGILTVAEHDDQEDISPSLAEQQWDKKLPEPLSWRSDEEDEDSDFGEEQRDCYLKVSQSKEHQQFITFLQRLLGPLLEAYSSAAIFVHNFSGPVPEPEYLQKLHKYLITRTERNVAVYAESATYCLVKNAVKMFKDIGVFKETKQKRVSVLELSSTFLPQCNRQKLLEYILSFVVL
NP_067009.1 1 289 0.192763667820069 PF00100.23:Zona_pellucida:189:288,PF00088.18:Trefoil:143:182 zona pellucida sperm-binding protein 4 preproprotein 540 140 11 289 0 Homo sapiens NP_067009.1 1 RefSeq MWLLRCVLLCVSLSLAVSGQHKPEAPDYSSVLHCGPWSFQFAVNLNQEATSPPVLIAWDNQGLLHELQNDSDCGTWIRKGPGSSVVLEATYSSCYVTEWDSHYIMPVGVEGAGAAEHKVVTERKLLKCPMDLLARDAPDTDWCDSIPARDRLPCAPSPISRGDCEGLGCCYSSEEVNSCYYGNTVTLHCTREGHFSIAVSRNVTSPPLLLDSVRLALRNDSACNPVMATQAFVLFQFPFTSCGTTRQITGDRAVYENELVATRDVKNGSRGSVTRDSIFRLHVSCSYSV
NP_073595.2 1 232 0.427438793103448 PF14555.6:UBA_4:26:65,PF16158.5:N_BRCA1_IG:82:169 protein ILRUN isoform b 232 128 11 232 0 Homo sapiens NP_073595.2 1 RefSeq MEGMDVDLDPELMQKFSCLGTTDKDVLISEFQRLLGFQLNPAGCAFFLDMTNWNLQAAIGAYYDFESPNISVPSMSFVEDVTIGEGESIPPDTQFVKTWRIQNSDVIWVILSVEVGGLLGVTQQLSSFETEFNTQPHRKVEGNFNPFASPQKNRQSDENNLKDPGGSEFDSISKNTWAPAPDTWAPAPDQTEQDQNRLSQNSVNLSPSSHANNLSVVTYSKGLHGPYPFGQS
NP_075538.1 1 915 0.303242732240437 PF00028.17:Cadherin:169:257,PF13385.6:Laminin_G_3:366:487 Calsyntenin-1; Alcadein-alpha; Alc-alpha 979 211 11 892 1 Mus musculus (Mouse) SwissProt::Q9EPL2 1 SwissProt MLRRPAPALAPAVRLLLAGLLCGGGVWAARVNKHKPWLEPTYHGIVTENDNTVLLDPPLIALDKDSPLRFAESFEVTVTKEGEICGFKIHGQNVPFDAVVVDKSTGEGIIRSKEKLDCELQKDYTFTIQAYDCGKGPDGTGVKKSHKATVHIQVNDVNEYAPVFKEKSYKAAVVEGKQHSSILRVEAVDADCSPQFSQICSYEILTPDVPFTVDKDGYIKNTEKLNYGKEHQYKLTVTAYDCGKKRATEDVLVKISVKPTCSPGWQGWSSRIEYEPGTGALAVFPSIHLETCDEPVASVQATVELETSHIGKGCDRDTYSEKSLHRLCGAAAGTSELLPSPSSSFNWTVGLPTDNGHDSDQVFEFNGTQAVRIPDGVVTLDPKEPFTISVWMRHGPFGRKKETILCSSDKTDMNRHHYSLYVHGCRLVFLLRQDPSEEKKYRPAEFHWKLNQVCDEDWHHFVLNVEVPSVTLYVDGIPHEPFSVTEDYPLHPTKIETQLVVGACWQEYSGVESGNETEPATMASAGGDLHMTQFFRGNLAGLTVRSGKLADKKVIDCLYTCKEGLDLQVPEDANRGVQIQASSSQAVLTLEGDNVGELDKAMQHISYLNSRQFPTPGIRRLKITSTVKCFNEAACIEVPPVEGYVMVLQPEEPKISLSGVHHFARAASEFESAEGISLFPELRIISTITREVEPEADGSEDPTVQESLVSEEIVHDLDTCEVTVEGDELNAEQESLEVDVTRLQQKGIEASHSDLGVVFTGVETMASYEEVLHLLRYRNWHTRSLLDRKFKLICSELNGRYLSNEFKVEVNVIHTANPVEHANHMAAQPQFVHPEHRSFVDLSGHNLANPHPFAVVPSTATVVIVVCVSFLVFMIILGVFRIRAAHQRTMRDQDTGKENEMDWDDSALTITVNPM
NP_076894.3 1 85 0.219235294117647 Cytochrome c oxidase assembly factor 3, mitochondrial; Cytochrome c oxidase protein 25; Required for respiratory growth protein 10 85 0 11 62 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E7B2 0 SwissProt MVLNPSKYQDTRTWKMTPAMIRARKPFFKGNMLGLTLLLGVTGSVYYYTYHFLHKDNDFADVPIPPIDPQELEALKKEYEAKKKA
NP_078809.2 1 411 0.160512165450122 PF00685.27:Sulfotransfer_1:64:378,PF13469.6:Sulfotransfer_3:65:318 Carbohydrate sulfotransferase 5; Galactose/N-acetylglucosamine/N-acetylglucosamine 6-O-sulfotransferase 4-alpha; GST4-alpha; Intestinal N-acetylglucosamine-6-O-sulfotransferase; I-GlcNAc6ST; Intestinal GlcNAc-6-sulfotransferase; hIGn6ST; N-acetylglucosamine 6-O-sulfotransferase 3; GlcNAc6ST-3; Gn6st-3; EC 2.8.2.- 411 315 11 411 0 Homo sapiens (Human) SwissProt::Q9GZS9 1 SwissProt MGMRARVPKVAHSTRRPPAARMWLPRFSSKTVTVLLLAQTTCLLLFIISRPGPSSPAGGEDRVHVLVLSSWRSGSSFLGQLFSQHPDVFYLMEPAWHVWTTLSQGSAATLHMAVRDLMRSIFLCDMDVFDAYMPQSRNLSAFFNWATSRALCSPPACSAFPRGTISKQDVCKTLCTRQPFSLAREACRSYSHVVLKEVRFFNLQVLYPLLSDPALNLRIVHLVRDPRAVLRSREAAGPILARDNGIVLGTNGKWVEADPHLRLIREVCRSHVRIAEAATLKPPPFLRGRYRLVRFEDLAREPLAEIRALYAFTGLTLTPQLEAWIHNITHGSGIGKPIEAFHTSSRNARNVSQAWRHALPFTKILRVQEVCAGALQLLGYRPVYSADQQRDLTLDLVLPRGPDHFSWASPD
NP_078867.2 49 201 0.420252287581699 PF03357.21:Snf7:1:129 Charged multivesicular body protein 6; Chromatin-modifying protein 6; Vacuolar protein sorting-associated protein 20; Vps20; hVps20 201 129 11 153 0 Homo sapiens (Human) SwissProt::Q96FZ7 1 SwissProt RQLLRDGRKERAKLLLKKKRYQEQLLDRTENQISSLEAMVQSIEFTQIEMKVMEGLQFGNECLNKMHQVMSIEEVERILDETQEAVEYQRQIDELLAGSFTQEDEDAILEELSAITQEQIELPEVPSEPLPEKIPENVPVKARPRQAELVAAS
NP_078978.2 1 723 0.63282531120332 PF15269.6:zf-C2H2_7:5:58 Zinc finger protein 750 723 54 11 723 0 Homo sapiens (Human) SwissProt::Q32MQ0 1 SwissProt MSLLKERKPKKPHYIPRPPGKPFKYKCFQCPFTCNEKSHLFNHMKYGLCKNSITLVSEQDRVPKCPKSNSLDPKQTNQPDATAKPASSKSVANGLSAFDSKLQHSSAREDIKENLELQARGTHRCLGQKPALHRASPCKSPAPEAALGAQPALEGAARPSAFVPVGEHRLKGPDNAEAPETLALHNPTAKAVSFHTKSAFHTPGYPWKAGSPFLPPEFPHKISSTKGLGAISPYMHPTIPEYPPHFYTEHGLATIYSPYLLAGSSPECDAPLLSVYGTQDPRHFLPHPGPIPKHLAPSPATYDHYRFFQQYPSNLPIPYGFYRPESAFSSYGLRLPPVTGLTRDQSSHLLEEATLVYPASSPSRLNPSDPNRKHVEFESPIPEAKDSSKAGQRDTEGSKMSPRAGSAATGSPGRPSPTDFMQTSQTCEGLYDLSNKAASSALGRLYPPEQSLTAFRPVKKSTECLPAQAAETTAESPVSLNVVNGDPPAPTGSASLVSEAAPSSPDDSSGMGPLNLSKKSEINLAATHEPTYQGSPQAETASFSELQDLPLNLSVKDPCNTQAPRPAFPGRPRAAEPAAAVPQKTGTEGSEDGPSHPETKPGSLDGDGAPPTGPGEEAPDACAVDSSEEQKQTAAVALCQLAAYSPRNIRVGDGDAAAPEPACRQDTPTLSSMESQEAQCDLRPKGQKRTSLRDAGKSQQGAKKAKLQDTARVFTLRRRARVS
NP_079045.1 1 373 0.410963538873995 PF07686.17:V-set:21:124,PF13927.6:Ig_3:139:212,PF00047.25:ig:27:117,PF00047.25:ig:142:217,PF07679.16:I-set:43:128,PF07679.16:I-set:142:225,PF13895.6:Ig_2:138:225,PF08204.11:V-set_CD47:26:128 CXADR-like membrane protein; Adipocyte adhesion molecule; Coxsackie- and adenovirus receptor-like membrane protein; CAR-like membrane protein 373 196 11 350 1 Homo sapiens (Human) SwissProt::Q9H6B4 1 SwissProt MSLLLLLLLVSYYVGTLGTHTEIKRVAEEKVTLPCHHQLGLPEKDTLDIEWLLTDNEGNQKVVITYSSRHVYNNLTEEQKGRVAFASNFLAGDASLQIEPLKPSDEGRYTCKVKNSGRYVWSHVILKVLVRPSKPKCELEGELTEGSDLTLQCESSSGTEPIVYYWQRIREKEGEDERLPPKSRIDYNHPGRVLLQNLTMSYSGLYQCTAGNEAGKESCVVRVTVQYVQSIGMVAGAVTGIVAGALLIFLLVWLLIRRKDKERYEEEERPNEIREDAEAPKARLVKPSSSSSGSRSSRSGSSSTRSTANSASRSQRTLSTDAAPQPGLATQAYSLVGPEVRGSEPKKVHHANLTKAETTPSMIPSQSRAFQTV
NP_079199.2 1 1887 0.21377270800212 PF02368.18:Big_2:1078:1151 Nuclear pore membrane glycoprotein 210; Nuclear pore protein gp210; Nuclear envelope pore membrane protein POM 210; POM210; Nucleoporin Nup210; Pore membrane protein of 210 kDa 1887 74 11 1844 2 Homo sapiens (Human) SwissProt::Q8TEM1 1 SwissProt MAARGRGLLLLTLSVLLAAGPSAAAAKLNIPKVLLPFTRATRVNFTLEASEGCYRWLSTRPEVASIEPLGLDEQQCSQKAVVQARLTQPARLTSIIFAEDITTGQVLRCDAIVDLIHDIQIVSTTRELYLEDSPLELKIQALDSEGNTFSTLAGLVFEWTIVKDSEADRFSDSHNALRILTFLESTYIPPSYISEMEKAAKQGDTILVSGMKTGSSKLKARIQEAVYKNVRPAEVRLLILENILLNPAYDVYLMVGTSIHYKVQKIRQGKITELSMPSDQYELQLQNSIPGPEGDPARPVAVLAQDTSMVTALQLGQSSLVLGHRSIRMQGASRLPNSTIYVVEPGYLGFTVHPGDRWVLETGRLYEITIEVFDKFSNKVYVSDNIRIETVLPAEFFEVLSSSQNGSYHRIRALKRGQTAIDAALTSVVDQDGGVHILQVPVWNQQEVEIHIPITLYPSILTFPWQPKTGAYQYTIRAHGGSGNFSWSSSSHLVATVTVKGVMTTGSDIGFSVIQAHDVQNPLHFGEMKVYVIEPHSMEFAPCQVEARVGQALELPLRISGLMPGGASEVVTLSDCSHFDLAVEVENQGVFQPLPGRLPPGSEHCSGIRVKAEAQGSTTLLVSYRHGHVHLSAKITIAAYLPLKAVDPSSVALVTLGSSKEMLFEGGPRPWILEPSKFFQNVTAEDTDSIGLALFAPHSSRNYQQHWILVTCQALGEQVIALSVGNKPSLTNPFPAVEPAVVKFVCAPPSRLTLAPVYTSPQLDMSCPLLQQNKQVVPVSSHRNPRLDLAAYDQEGRRFDNFSSLSIQWESTRPVLASIEPELPMQLVSQDDESGQKKLHGLQAILVHEASGTTAITATATGYQESHLSSARTKQPHDPLVPLSASIELILVEDVRVSPEEVTIYNHPGIQAELRIREGSGYFFLNTSTADVVKVAYQEARGVAMVHPLLPGSSTIMIHDLCLVFPAPAKAVVYVSDIQELYIRVVDKVEIGKTVKAYVRVLDLHKKPFLAKYFPFMDLKLRAASPIITLVALDEALDNYTITFLIRGVAIGQTSLTASVTNKAGQRINSAPQQIEVFPPFRLMPRKVTLLIGATMQVTSEGGPQPQSNILFSISNESVALVSAAGLVQGLAIGNGTVSGLVQAVDAETGKVVIISQDLVQVEVLLLRAVRIRAPIMRMRTGTQMPIYVTGITNHQNPFSFGNAVPGLTFHWSVTKRDVLDLRGRHHEASIRLPSQYNFAMNVLGRVKGRTGLRVVVKAVDPTSGQLYGLARELSDEIQVQVFEKLQLLNPEIEAEQILMSPNSYIKLQTNRDGAASLSYRVLDGPEKVPVVHVDEKGFLASGSMIGTSTIEVIAQEPFGANQTIIVAVKVSPVSYLRVSMSPVLHTQNKEALVAVPLGMTVTFTVHFHDNSGDVFHAHSSVLNFATNRDDFVQIGKGPTNNTCVVRTVSVGLTLLRVWDAEHPGLSDFMPLPVLQAISPELSGAMVVGDVLCLATVLTSLEGLSGTWSSSANSILHIDPKTGVAVARAVGSVTVYYEVAGHLRTYKEVVVSVPQRIMARHLHPIQTSFQEATASKVIVAVGDRSSNLRGECTPTQREVIQALHPETLISCQSQFKPAVFDFPSQDVFTVEPQFDTALGQYFCSITMHRLTDKQRKHLSMKKTALVVSASLSSSHFSTEQVGAEVPFSPGLFADQAEILLSNHYTSSEIRVFGAPEVLENLEVKSGSPAVLAFAKEKSFGWPSFITYTVGVLDPAAGSQGPLSTTLTFSSPVTNQAIAIPVTVAFVVDRRGPGPYGASLFQHFLDSYQVMFFTLFALLAGTAVMIIAYHTVCTPRDLAVPAALTPRASPGHSPHYFAASSPTSPNALPPARKASPPSGLWSPAYASH
NP_080574.5 1 1749 0.200431389365352 PF00400.32:WD40:15:44 Intraflagellar transport protein 172 homolog; Protein wimple 1749 30 11 1749 0 Mus musculus (Mouse) SwissProt::Q6VH22 1 SwissProt MQLKHLRTLLSPQDGAAKVTCMAWSQNNAKFAVCTVDRVVLLYDEHGERRDKFSTKPADMKYGRKSYMVKGMAFSPDSTKIAIGQTDNIIYVYKIGEDWGDKKVICNKFIQTSAVTCLQWPAEYVIVFGLAEGKVRLANTKTNKSSTIYGTESYVVALTTNCSGKGILSGHADGTIVRYFFDDEGSGESQGKLVNHPCPPYALAWATNSIVAAGCDRRIVAYGKEGHVLQTFDYSRDPQEREFTTAAASPGGQSVVLGSYDRLRVFNWSPRRSIWEEAKPKEIANLYTVTALAWKRDGSRLCAGTLCGGVEQFDCCLRRSIYKNKFELTYVGPSQVIVKNLSSGTRVVLKSHYGYEVEEVKILGKERYLVAHTSDTLLLGDLNTNRLSEIAWQGSGGNEKYFFENENVCMIFNAGELTLVEYGSNDSLGSVRTEFMNPHLISVRINERCQRGMEDNKKLAYLVDIKTIAIVDLIGGYNIGTISHESRVDWLELNETGHKLLFRDRKLRLHLYDIESCSKTMILNFCSYVQWVPGSDVLVAQNRNSLCVWYNIEAPERVTMSSIRGDVVGLERGGGKTEVMVTEGVTTVAYTLDEGLIEFGTAIDDGNYTRATAFLETLEMTPETEAMWKTLSKLALEARQLHTAERCFSALGHVAKARFLHETNEIADQVSREYGGEGTDFYQVRARLAMLEKNYKLAEMIFLEQNAVEEAMDMYQELHRWEECIAVAEAKGHPALEKLRRDYYQWLMDTQQEERAGELQESQGDGLAAISLYLKAGLPAKAARLVLTREELLANTELVEHITTALIKGELYERAGDLFEKIRNPQRALECYCKGNAFMKAVELARLAFPVEVVRLEEAWGDHLVQQKQLDAAINHYIEARCSIKAIEAALGARQWKKAIYILDLQDRNTASKYYPRVAQHYASLQEYEIAEELYTKGDRTKDAIDMYTQAGRWEQAHKLAMKCMRPEDVSVLYITQAQEMEKQGKYREAERLYVTVEEPDLAITMFKKHKLYDDMIRLVGKHHPDLLSDTHLHLGKELEAEGRLQEAEYHYLEAQEWKATVNMYRSSGLWEEAYRVAKAHGGANAHKHVAYLWAKSLGGEAAVRLLNKLGLLEATIDHAADNCSFEFAFELSRLAFKHKAPEIHLKYAMYLEDEGKFEEAEAEFIRAGKPKEAVLMFVHNQDWEAAQRVAEAHDPDSVAEVLVGQARGALEEKDFQKAEGLLLRAQRPGLALNYYKEAGLWSDALRICKDYVPGQLEALQEEYEREATKKGGRGVEGLVEQARQWEQAGEYSRAVDCYLKVRDSGSSGLMEKCWMKAAELSIKFLPPQRSLEVVRVVGPQLIGIGKHSAAAELYLNLDLVKEAIDAFIEGEEWNKAKRVAKELDPRYEDYVDQHYKEFLKNQGKVDSLVGVDVVAALDLYVEQGQWDKCIETATKQNYKILHKYVALYATHLIREGGYAQALALYVQHGAPANPQNFNIYKRIFTDMVSSPGTNNAEAYHSWADLRDVLFNLCENLVKSSEANSAAHEEFEMMLLISHYYATRSAAQSIKQLETVAARLSVSLLRHTQLLPADKAFYEAGTAAKEVGWENMAFIFLNRFLDLTDAIEEGTLDALDHSDFQDTDIPFEVPLPAKQHVPEAQREEVRDWVLTVSMDQRLEQVLPRDERGVYEASLVAASTGVRALPCLITGYPILRNKIEFKRPGKAANKDNWNKFLMAIKTSHSPVCQDVLKFISQWCGGLPSTSFSFQ
NP_080781.1 1 260 0.191397307692308 PF06211.12:BAMBI:4:111 BMP and activin membrane-bound inhibitor homolog; Putative transmembrane protein NMA 260 108 11 237 1 Mus musculus (Mouse) SwissProt::Q9D0L6 1 SwissProt MDRHSSYFFIWLQLELCAMAVLLTKGEIRCYCDAAHCVATGYMCKSELSACFSRLLDPQNTNSPLTHGCLDSLASTADICRAKQAQNHSGPAMPTLECCHEDMCNYRGLHDVLSPSKSEASGQGNRYQHDSSRNLITKMQELTSSKELWFRAAVIAVPIAGGLILVLLIMLALRMLRSENKRLQDERQQMLSRLHYSFHGHHSKKGQVAKLDLECMVPVSGQENCCLTCDKMRQAELSNEKILSLVHWGMYSGHGKLEFI
NP_081096.3 1 106 0.118333018867924 PF04505.12:CD225:33:99 Interferon-induced transmembrane protein 1; Dispanin subfamily A member 2a; DSPA2a; Fragilis protein 2; Mouse ifitm-like protein 2; Mil-2 106 67 11 60 2 Mus musculus (Mouse) SwissProt::Q9D103 0 SwissProt MPKEQQEVVVLGSPHISTSATATTINMPEISTPDHVVWSLFNTLFMNFCCLGFVAYAYSVKSRDRKMVGDTTGAQAFASTAKCLNISSLFFTILTAIVVIVVCAIR
NP_110426.4 1 257 0.177898443579767 PF04893.17:Yip1:97:229 Protein YIPF5; Five-pass transmembrane protein localizing in the Golgi apparatus and the endoplasmic reticulum 5; Smooth muscle cell-associated protein 5; SMAP-5; YIP1 family member 5; YPT-interacting protein 1 A 257 133 11 169 4 Homo sapiens (Human) SwissProt::Q969M3 1 SwissProt MSGFENLNTDFYQTSYSIDDQSQQSYDYGGSGGPYSKQYAGYDYSQQGRFVPPDMMQPQQPYTGQIYQPTQAYTPASPQPFYGNNFEDEPPLLEELGINFDHIWQKTLTVLHPLKVADGSIMNETDLAGPMVFCLAFGATLLLAGKIQFGYVYGISAIGCLGMFCLLNLMSMTGVSFGCVASVLGYCLLPMILLSSFAVIFSLQGMVGIILTAGIIGWCSFSASKIFISALAMEGQQLLVAYPCALLYGVFALISVF
NP_112193.1 1 111 0.205914414414414 PF13841.6:Defensin_beta_2:26:59 Beta-defensin 126; Beta-defensin 26; DEFB-26; Defensin, beta 126; Epididymal secretory protein 13.2; ESP13.2; HBD26 111 34 11 91 1 Homo sapiens (Human) SwissProt::Q9BYW3 1 SwissProt MKSLLFTLAVFMLLAQLVSGNWYVKKCLNDVGICKKKCKPEEMHVKNGWAMCGKQRDCCVPADRRANYPVFCVQTKTTRISTVTATTATTTLMMTTASMSSMAPTPVSPTG
NP_112332.2 1 415 0.111138795180723 PF00093.18:VWC:277:333,PF02210.24:Laminin_G_2:103:213 protein kinase C-binding protein NELL2 precursor 819 168 11 415 0 Rattus norvegicus NP_112332.2 1 RefSeq MHAMESRVLLRTFCVILGLEAVWGLGVDPSLQIDVLSELELGESTAGVRQVPGLHNGTKAFLFQDSPRSIKAPIATAERFFQKLRNKHEFTILVTLKQIHLNSGVILSIHHLDHRYLELESSGHRNEIRLHYRSGTHRPHTEVFPYILADAKWHKLSLAFSASHLILHIDCNKIYERVVEMPSTDLPLGTTFWLGQRNNAHGYFKGIMQDVQLLVMPQGFIAQCPDLNRTCPTCNDFHGLVQKIMELQDILSKTSAKLSRAEQRMNRLDQCYCERTCTMKGTTYREFESWTDGCKNCTCLNGTIQCETLVCPAPDCPAKSAPAYVDGKCCKECKSTCQFQGRSYFEGERSTVFSASGMCVLYECKDQTMKLVENAGCPALDCPESHQIALSHSCCKVCKGYDFCSEKHTCMENSV
NP_112541.1 1 367 0.0470610354223434 PF04193.14:PQ-loop:126:183,PF04193.14:PQ-loop:267:324 Cystinosin 367 116 11 215 7 Mus musculus (Mouse) SwissProt::P57757 1 SwissProt MRRNWLLILTLFLLMFIEKYESTVSLTAPPTVKLENGSSTNVDITLGHPLNSTLVITFEVTFRSKNLTIVELPDEVIVPRGEKNASFQVTSQNIGQVTVFLHGNHSNQTCPRIRFLVIHSRIVSIINQVIGWIYFMAWSVSFYPQVIQNWRRKSVIGLSFDFLALNLTGFVAYSVFNIGLLWVPYIQEEFLLKYPNGVNPVDSNDAFFSLHAVALTLIVILQCCLYERGNQRVSWPSIGFLVLAWLFVLVTMIVAAVGITTWLQFLFCFSYIKLIITLIKYFPQAYMNFYYKSTKGWSIGGVLLDFTGGSFSLLQMFLQSYNNDQWTLIFGDPTKFGLGVFTIFFDVVFFIQHFYLYRKKPGYDQLN
NP_112607.1 163 345 0.123184153005464 PF00341.17:PDGF:88:175 Platelet-derived growth factor C; PDGF-C; Fallotein; Spinal cord-derived growth factor; rScdfg; VEGF-E 345 88 11 183 0 Rattus norvegicus (Rat) SwissProt::Q9EQX6 1 SwissProt IMPQVTETTSPSVLPPSALSLDLLNNAVTAFSTVEELIRFLEPDRWQIDLDSLYKPTWPLLGKAFLYGKKSKAVNLNLLKEEVKLYSCTPRNFSVSIREELKRTDTIFWPGCLLVKRCGGNCACCLHNCNECQCVPRKVTKKYHEVLQLRPKIGVKGLHKSLTDVALEHHEECDCVCRGNTEG
NP_112650.1 1 422 0.140255924170616 PF03372.23:Exo_endo_phos:26:272 sphingomyelin phosphodiesterase (EC 3.1.4.12) 422 247 11 376 2 Rattus norvegicus BRENDA::Q9ET64 1 BRENDA MKHNFSLRLRVFNLNCWDIPYLSKHRADRMKRLGDFLNLESFDLALLEEVWSEQDFQYLKQKLSLTYPDAHYFRSGIIGSGLCVFSRHPIQEIVQHVYTLNGYPYKFYHGDWFCGKAVGLLVLHLSGLVLNAYVTHLHAEYSRQKDIYFAHRVAQAWELAQFIHHTSKKANVVLLCGDLNMHPKDLGCCLLKEWTGLRDAFVETEDFKGSEDGCTMVPKNCYVSQQDLGPFPFGVRIDYVLYKAVSGFHICCKTLKTTTGCDPHNGTPFSDHEALMATLCVKHSPPQEDPCSAHGSAERSALISALREARTELGRGIAQARWWAALFGYVMILGLSLLVLLCVLAAGEEAREVAIMLWTPSVGLVLGAGAVYLFHKQEAKSLCRAQAEIQHVLTRTTETQDLGSEPHPTHCRQQEADRAEEK
NP_114437.2 1 807 0.473971375464683 PF07546.13:EMI:45:115 EMILIN-2 precursor 1053 71 11 807 0 Homo sapiens NP_114437.2 1 RefSeq MWQPRRPWPRVPWRWALALLALVGAGLCHAGPQPGYPARPSARNKNWCAYIVNKNVSCSVLEGSESFIQAQYNCAWNQMPCPSALVYRVNFRPRYVTRYKTVTQLEWRCCPGFRGGDCQEGPKDPVKTLRPTPARPRNSLKKATDNEPSQFSEPRKTLSPTGTAQPSWGVDPKEGPQELQEKKIQVLEEKVLRLTRTVLDLQSSLAGVSENLKHATQDDASRTRAPGLSSQHPKPDTTVSGDTETGQSPGVFNTKESGMKDIKSELAEVKDTLKNKSDKLEELDGKVKGYEGQLRQLQEAAQGPTVTMTTNELYQAYVDSKIDALREELMEGMDRKLADLKNSCEYKLTGLQQQCDDYGSSYLGVIELIGEKETSLRKEINNLRARLQEPSAQANCCDSEKNGDIGQQIKTLDQKIERVAEATRMLNGRLDNEFDRLIVPEPDVDFDAKWNELDARINVTEKNAEEHCFYIEETLRGAINGEVGDLKQLVDQKIQSLEDRLGSVLLQMTNNTGAELSPPGAAALPGVSGSGDERVMMELNHLKDKVQVVEDICLLNIQGKPHGMEGALPNREDRAVRDSLHLLKSLNDTMHRKFQETEQTIQKLQQDFSFLYSQLNHTENDVTHLQKEMSNCRAGENAGMGRFTKVGEQERTVDTLPSPQHPVAHCCSQLEERWQRLQSQVISELDACKECTQGVQREVSMVEGRVSHMEKTCSKLDSISGNLQRIKEGLNKHVSSLWNCVRQMNGTLRSHSRDISGLKNSVQQFYSHVFQISTDLQDLVKFQPSAKAPSPPPPAEAPKEPLQPEPA
NP_115759.2 1 1004 0.134951792828686 PF14762.6:HPS3_Mid:256:641,PF14763.6:HPS3_C:651:1001,PF14761.6:HPS3_N:3:211 Hermansky-Pudlak syndrome 3 protein 1004 946 11 1004 0 Homo sapiens (Human) SwissProt::Q969F9 1 SwissProt MVQLYNLHPFGSQQVVPCKLEPDRFCGGGRDALFVAAGCKVEAFAVAGQELCQPRCAFSTLGRVLRLAYSEAGDYLVAIEEKNKATFLRAYVNWRNKRTENSRVCIRMIGHNVEGPFSKAFRDQMYIIEMPLSEAPLCISCCPVKGDLLVGCTNKLVLFSLKYQIINEEFSLLDFERSLIIHIDNITPVEVSFCVGYVAVMSDLEVLIVKLESGPKNGERVHHHPHKTNNRIRRTEEGISNEISQLESDDFVICQKPLELLGEKSEQSGLSVTLESTGLADEKRKYSHFQHLLYRRFAPDISSYVLSDDIKLHSLQLLPIYQTGSLTSDGKNLSQEKELLSLFCFFSLPHVGYLYMVVKSVELMSVYQYPEKSQQAVLTPQFLHVITSNNLQCFTVRCSAAAAREEDPYMDTTLKACPPVSMDVCALRIQLFIGLKAICHFKNHIILLTKAEPEAIPERRQSPKRLLSRKDTSVKIKIPPVAEAGWNLYIVNTISPVQLYKEMVDYSNTYKTVKTQSCIHLLSEAHLLVRAALMDASQLEPGEKAELLEAFKESCGHLGDCYSRLDSQHSHLTLPYYKMSGLSMAEVLARTDWTVEDGLQKYERGLIFYINHSLYENLDEELNEELAAKVVQMFYVAEPKQVPHILCSPSMKNINPLTAMSYLRKLDTSGFSSILVTLTKAAVALKMGDLDMHRNEMKSHSEMKLVCGFILEPRLLIQQRKGQIVPTELALHLKETQPGLLVASVLGLQKNNKIGIEEADSFFKVLCAKDEDTIPQLLVDFWEAQLVACLPDVVLQELFFKLTSQYIWRLSKRQPPDTTPLRTSEDLINACSHYGLIYPWVHVVISSDSLADKNYTEDLSKLQSLICGPSFDIASIIPFLEPLSEDTIAGLSVHVLCRTRLKEYEQCIDILLERCPEAVIPYANHELKEENRTLWWKKLLPELCQRIKCGGEKYQLYLSSLKETLSIVAVELELKDFMNVLPEDGTATFFLPYLLYCSRKKPLT
NP_116294.1 1 300 0.693220666666667 PF01585.23:G-patch:237:276 Splicing factor 45; 45 kDa-splicing factor; RNA-binding motif protein 17 401 40 11 300 0 Homo sapiens (Human) SwissProt::Q96I25 1 SwissProt MSLYDDLGVETSDSKTEGWSKNFKLLQSQLQVKKAALTQAKSQRTKQSTVLAPVIDLKRGGSSDDRQIVDTPPHVAAGLKDPVPSGFSAGEVLIPLADEYDPMFPNDYEKVVKRQREERQRQRELERQKEIEEREKRRKDRHEASGFARRPDPDSDEDEDYERERRKRSMGGAAIAPPTSLVEKDKELPRDFPYEEDSRPRSQSSKAAIPPPVYEEQDRPRSPTGPSNSFLANMGGTVAHKIMQKYGFREGQGLGKHEQGLSTALSVEKTSKRGGKIIVGDATEKDASKKSDSNPLTEIL
NP_116321.2 1 574 0.503077526132405 Rab11 family-interacting protein 4; FIP4-Rab11; Rab11-FIP4; Arfophilin-2 637 0 11 574 0 Homo sapiens (Human) SwissProt::Q86YS3 1 SwissProt MAGGAGWSGAPAALLRSVRRLREVFEVCGRDPDGFLRVERVAALGLRFGQGEEVEKLVKYLDPNDLGRINFKDFCRGVFAMKGCEELLKDVLSVESAGTLPCAPEIPDCVEQGSEVTGPTFADGELIPREPGFFPEDEEEAMTLAPPEGPQELYTDSPMESTQSLEGSVGSPAEKDGGLGGLFLPEDKSLVHTPSMTTSDLSTHSTTSLISNEEQFEDYGEGDDVDCAPSSPCPDDETRTNVYSDLGSSVSSSAGQTPRKMRHVYNSELLDVYCSQCCKKINLLNDLEARLKNLKANSPNRKISSTAFGRQLMHSSNFSSSNGSTEDLFRDSIDSCDNDITEKVSFLEKKVTELENDSLTNGDLKSKLKQENTQLVHRVHELEEMVKDQETTAEQALEEEARRHREAYGKLEREKATEVELLNARVQQLEEENTELRTTVTRLKSQTEKLDEERQRMSDRLEDTSLRLKDEMDLYKRMMDKLRQNRLEFQKEREATQELIEDLRKELEHLQMYKLDCERPGRGRSASSGLGEFNARAREVELEHEVKRLKQENYKLRDQNDDLNGQILSLSLYE
NP_149073.1 48 210 0.637972392638037 SAP domain-containing ribonucleoprotein; Cytokine-induced protein of 29 kDa; Nuclear protein Hcc-1; Proliferation-associated cytokine-inducible protein CIP29 210 0 11 163 0 Homo sapiens (Human) SwissProt::P82979 1 SwissProt EEANEEDVLGDETEEEETKPIELPVKEEEPPEKTVDVAAEKKVVKITSEIPQTERMQKRAERFNVPVSLESKKAARAARFGISSVPTKGLSSDNKPMVNLDKLKERAQRFGLNVSSISRKSEDDEKLKKRKERFGIVTSSAGTGTTEDTEAKKRKRAERFGIA
NP_172961.1 1 290 0.0564110344827586 PF01569.21:PAP2:100:245 Lipid phosphate phosphatase 2; AtLPP2; Phosphatidic acid phosphatase 2; AtPAP2; Prenyl diphosphate phosphatase; EC 3.1.3.- 290 146 11 166 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9XI60 0 SwissProt MPEIHLGAHTIRSHGVTVARFHMHDWLILLLLIVIEIVLNVIEPFHRFVGEDMLTDLRYPLQDNTIPFWAVPLIAVVLPFAVICVYYFIRNDVYDLHHAILGLLFSVLITGVITDAIKDAVGRPRPDFFWRCFPDGIGIFHNVTKNVLCTGAKDVVKEGHKSFPSGHTSWSFAGLGFLSLYLSGKIRVFDQRGHVAKLCIVILPLLVAALVGVSRVDDYWHHWQDVFGGAIIGLTVATFCYLQFFPPPYDPDGWGPHAYFQMLADSRNDVQDSAGMNHLSVRQTELESVR
NP_172962.1 1 85 0.124764705882353 E3 ubiquitin-protein ligase RHA2A; RING-H2 finger A2a; RING-H2 zinc finger protein RHA2a; RING-type E3 ubiquitin transferase RHA2A; EC 2.3.2.27 155 0 11 65 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZT50 1 SwissProt MGLQGQLSDVSSDSIPLMLLSLLAVFINHLRSFLLRLTSKSNPNLPVDDVSIASGLANIIVLADQLSLNRLFSYRCGDGGGGGSD
NP_176841.1 1 358 0.396133798882681 PF13847.6:Methyltransf_31:171:292,PF13649.6:Methyltransf_25:173:273,PF08242.12:Methyltransf_12:174:275,PF08241.12:Methyltransf_11:174:277,PF13489.6:Methyltransf_23:166:281,PF05175.14:MTS:170:241 S-adenosyl-L-methionine-dependent methyltransferases superfamily protein 358 127 11 358 0 Arabidopsis thaliana NP_176841.1 1 RefSeq MAGIRLLPEEPETTPQQQARAAAAVTTTTTDSLASDDDRSIAADSWSIKSEYGSTLDDDQRHADAAEALSSANFRVSSDYSSDKEEPDADGGGQSMLGLQSYWDAAYSDELTNFREHGHAGEVWFGDDVMEIVTSWTKDLCVEISQRNMSVSENDVTTEVNDQADKYLSSWNVLDLGTGNGLLLHQLAKEGFSDLTGTDYSDGAVELAQHLSQRDGFPNIRFMVDDILDTKLEQQFKLVMDKGTLDAIGLHPDGPVKRVMYWDSVSKLVAPGGILVITSCNHTKDELVEEVENFNIRKSNLCRGDGNDANNVLSSGSEAASRIDQPPFEYLSHVRTYPTFMFSGSVGSRVATVAFLRK
NP_176949.1 1 372 0.147186290322581 PF00657.22:Lipase_GDSL:31:361 Alpha-L-fucosidase 3; Alpha-1,2-fucosidase; AtFXG1; Alpha-L-fucoside fucohydrolase 3; EC 3.2.1.51 372 331 11 372 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FXE5 1 SwissProt MNPILSSLFALSLLSSLSPSTHAHQCHFPAIFNFGDSNSDTGGLSAAFGQAGPPHGSSFFGSPAGRYCDGRLVIDFIAESLGLPYLSAFLDSVGSNFSHGANFATAGSPIRALNSTLRQSGFSPFSLDVQFVQFYNFHNRSQTVRSRGGVYKTMLPESDSFSKALYTFDIGQNDLTAGYFANKTVEQVETEVPEIISQFMNAIKNIYGQGGRYFWIHNTGPIGCLAYVIERFPNKASDFDSHGCVSPLNHLAQQFNHALKQAVIELRSSLSEAAITYVDVYSLKHELFVHAQGHGFKGSLVSCCGHGGKYNYNKGIGCGMKKIVKGKEVYIGKPCDEPDKAVVWDGVHFTQAANKFIFDKIAPGLSKACKRQ
NP_177054.1 1 138 0.49253115942029 PF00170.21:bZIP_1:46:100,PF07716.15:bZIP_2:44:94 Basic leucine zipper 8; AtbZIP8; bZIP protein 8 138 57 11 138 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CA46 1 SwissProt MAGSVYNLPSQNPNPQSLFQIFVDRVPLSNLPATSDDSSRTAEDNERKRRRKVSNRESARRSRMRKQRHMEELWSMLVQLINKNKSLVDELSQARECYEKVIEENMKLREENSKSRKMIGEIGLNRFLSVEADQIWTF
NP_177383.5 1 1154 0.571557712305026 Protein PHYTOCHROME-DEPENDENT LATE-FLOWERING 1325 0 11 1154 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IDB2 1 SwissProt MGVSFKISKVGRKFRPKISTELATPDSPKAIVLSGKPKATDDSNIGDVSGFSKPSLPDISPDHEVSFILSLYPNGYSIGKTSEAMQQISFRDVPKVLHPYDRAAEGLLSAIEAGRLPGDILEDIPCKFVDGVVICEVHDYRKHTSSQVSPVINKLRLKMSLENVVKDIPSMSDNSWTYGDLMEVESRILKALQPELCLDPLPRLDRLSKNPLTAKLDLSLSTLRRKRLRQMAEVTVMSQNKIQGKKVCIDRLPESSERGNLPGHLIMQQTNNNQAIQNLGTNMLAGLRSQPLQDAPNSSLALVPPQQQRYMGIGSTRNTQDQGSNSVSVSGASPGGLDAMLPYGSDSMNPGTSFHRKRESQEGQMSSMPGLNKRTRVSHMGPDGVPQQQLGQRMDGLHGSDTNWKNTLLQHQDMLGRSIQYPNTSIQRFSPHQMEGVMNQEGGPMQFPASQQGGMKYTSKEEPFETGKIDGGTRNNIPGVGSDANDLDPRIQSRMPHNAFIRSNFPQTSWNVNPGQQIEKEPKKEEQFSRRISAQSPRLSAGGPPQSPLSSKSGEFSGGSMGTHYGAVAAAQKDKAVTSIPAIGATQSVGSSANEAMQQRQHQAQMAAKRRTNSLPKTQVISTVGSPVSVNTISVPVNARSPSVGPQTLGDHAILDRFSKIERVAARYQLNCKKHKVDEYSRRPRVYAKQPLTVCLSNLSNEEVFKDEDEALSKSIFGGSMNTYKTRVIHFGQMERVMQGSVPSFIPRNRTRLVMSEKAVDGTVAWYQGDVDEGDVFQAEDFLLALPNTHIADLLATQFKSLMAREGYMIEEHIMAKPNRGDTGPISSHPNSAGGYPRGYSANDMQQYGDAVAGQASGEASKHGNTGNTPNNSTQNILANARMVPPTNSQALQMSQGLLSGVSMPMQPQQLDPQQSALLSSHSQQKNQQSMFTQQQHPQMQRPSMILPTNPLSAINSMSQSSGMQPGGQMANKYSPLQLQMLQQQQQAAVQKKIMMGLGSGVGMGMGMGMGMGMGSMGNSIAGLGALGNQLNMAGRGMGGTGISSSMSVPGIGNMGQNPMNLNPASNLNAISQQLRSGALTPQQNALFTQIRMGMANRGGVMGAPQTGISGVSGTRQMHPSSAGLSMLDQNRANLQRAAAMGNMGPPKLMPGMM
NP_177811.2 1 1090 0.349992660550458 PF15469.6:Sec5:267:443 Exocyst complex component SEC5A; AtSec5a; Exocyst complex component 2 1090 177 11 1090 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S3U9 1 SwissProt MSSDSNDLDEDELLQMALKEQAKRDLTYQKPPSSSARKPVANLVQQPRQQKPVAAAAAPPKKSAAAVRKPSMDEDEESEVELLSISSGDDDLEREREIGGSSGGAGRGRGSDVREKGRARKEDDGAWDGGEPDCWKRVNEAELARRVRDMRESRTAPVVQKVEGKAPAPGKKVALTSLQSLPRGMECIDPLKLGIIDNKTLRLITESSGSPSKAEKVDNTLREKLVYFSDHFDPKLFLSRIHQDTTAADLEAGALGLKSDLKGRNLQRKQLVKDNFDCFVSCKTTIDDIESKLKRIEEDPEGSGTTHLFNCMKSVTSRANLAFEPLFERQAQAEKIRSVQGMLQRFRTLFNLPSIIRSSISKGEYDLAVREYKKAKSIALPSHVNILKRVLEEVEKVMLEFKGTLYKSMEDPKIDFTSLENTVRLLLELEPESDPVWHYLNVQNHRIHGLLEKCTYDHEARVEILRNDTHEKAISDAKWQQIQQNGVSYSDTASSNENNAVQVDLQSVEFPSEEIDILKGRYIKRLTAVLVHHIPVFWKTAISIFSGKFAKSSQVTDTSANKAEEKVTEARYSTHSLEEVAGMIRKTISVYEAKVNSTFCDFDESCILRPFMSDAINEVSKACQAFEAKESTPHSAVVALRKIQAEITKIYIQRLCSWMRASTEGISKEETWIPVSILERNRSPYAISYLPLAFRSVIVSGMEQVNLMILSVKSEAAKSEDMFAQIEEIIISVRLAFLNCFLDFAAHLEQIGADLSQSTSRQDNWKNGYSDEHQEEPSANTYGSVIDPHRRLLMVLSNIGYCKDELASELYNKFKYTWLQSRDKNEDSSDLQDLIMSFSGLGEKVLEHYTFAKANLIRTAATNYLLDSGIQWGSAPQVKGIRDAAVELLHTLVAVHAEVFAGAKPLLDKILGVLIEGLIDTFLSVVEENRSSDLRSIDANGFCQLMFELEYFETVLYSYFTSAATESLKSLQGTVLEIAIESISEAVETPGHNRRPTRGSEDTVSDDKQSVSADDLLALTKQCSNELLQQELERTRVNTACFAESAPLESTPPLPKATYSSFRGSMDSPSRNYRGSQSSGSPINARPRRR
NP_180796.2 134 725 0.317197128378378 PF01852.19:START:120:339 Homeobox-leucine zipper protein HDG3; HD-ZIP protein HDG3; Homeodomain GLABRA 2-like protein 3; Homeodomain transcription factor HDG3; Protein HOMEODOMAIN GLABROUS 3; Protein UPCURVED LEAF 1 725 220 11 592 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZV65 1 SwissProt ELRNLNNHLRSENQRLREAIHQALCPKCGGQTAIGEMTFEEHHLRILNARLTEEIKQLSVTAEKISRLTGIPVRSHPRVSPPNPPPNFEFGMGSKGNVGNHSRETTGPADANTKPIIMELAFGAMEELLVMAQVAEPLWMGGFNGTSLALNLDEYEKTFRTGLGPRLGGFRTEASRETALVAMCPTGIVEMLMQENLWSTMFAGIVGRARTHEQIMADAAGNFNGNLQIMSAEYQVLSPLVTTRESYFVRYCKQQGEGLWAVVDISIDHLLPNINLKCRRRPSGCLIQEMHSGYSKVTWVEHVEVDDAGSYSIFEKLICTGQAFAANRWVGTLVRQCERISSILSTDFQSVDSGDHITLTNHGKMSMLKIAERIARTFFAGMTNATGSTIFSGVEGEDIRVMTMKSVNDPGKPPGVIICAATSFWLPAPPNTVFDFLREATHRHNWDVLCNGEMMHKIAEITNGIDKRNCASLLRHGHTSKSKMMIVQETSTDPTASFVLYAPVDMTSMDITLHGGGDPDFVVILPSGFAIFPDGTGKPGGKEGGSLLTISFQMLVESGPEARLSVSSVATTENLIRTTVRRIKDLFPCQTA
NP_181518.1 1 442 0.033747963800905 PF01490.18:Aa_trans:34:426 Proline transporter 1; AtPROT1 442 393 11 192 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92961 0 SwissProt MTATEAKNRKINVGDGDDVVDIEIPDTAHQISSDSWFQVAFVLTTGINSAYVLGYSGTIMVPLGWIGGVVGLLIATAISLYANTLIAKLHEFGGRRHIRYRDLAGFIYGRKAYHLTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDHTMKLPHFIAIAGLICAIFAIGIPHLSALGVWLGVSTFLSLIYIVVAIVLSVRDGVKTPSRDYEIQGSSLSKLFTITGAAANLVFAFNTGMLPEIQATVRQPVVKNMMKALYFQFTAGVLPMYAVTFIGYWAYGSSTSTYLLNSVNGPLWVKALANVSAILQSVISLHIFASPTYEYMDTKYGIKGNPFAIKNLLFRIMARGGYIAVSTLISALLPFLGDFMSLTGAVSTFPLTFILANHMYYKAKNNKLNAMQKLWHWLNVVFFSLMSVAAAIAAVRLIAVDSKNFHVFADL
NP_181755.1 1 259 0.582987258687259 Protein POLYCHOME; Protein UV-B-INSENSITIVE 4 259 0 11 259 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O48533 1 SwissProt MPEARDRIERQVDYPAAFLNRRSHGILLDEPATQHNLFGSPVQRVPSEATGGLGSIGQGSMTGRGGLVRGNFGIRRTGGGRRGQIQFRSPQGRENMSLGVTRRGRARASNSVLPSWYPRTPLRDISAVVRAIERRRARMGEGVGRDIETPTPQQLGVLDSLVPLSGAHLEHDYSMVTPGPSIGFKRPWPPSTAKVHQILLDITRENTGEEDALTPEKKLLNSIDKVEKVVMEEIQKMKSTPSAKRAEREKRVRTLMSMR
NP_187059.1 1 240 0.0432716666666667 PF00230.20:MIP:5:223 Aquaporin SIP1-1; Small basic intrinsic protein 1-1; AtSIP1;1 240 219 11 102 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M8W5 0 SwissProt MMGVLKSAIGDMLMTFSWVVLSATFGIQTAAIISAGDFQAITWAPLVILTSLIFVYVSIFTVIFGSASFNPTGSAAFYVAGVPGDTLFSLAIRLPAQAIGAAGGALAIMEFIPEKYKHMIGGPSLQVDVHTGAIAETILSFGITFAVLLIILRGPRRLLAKTFLLALATISFVVAGSKYTGPAMNPAIAFGWAYMYSSHNTWDHIYVYWISSFVGALSAALLFRSIFPPPRPQKKKQKKA
NP_187155.2 1 1202 0.161477787021631 PF08389.12:Xpo1:107:266 Protein HASTY 1; Protein HASTY 1202 160 11 1202 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WP44 1 SwissProt MEDSNSTASNVARAILAVVDFSSTSDTRKSAVQFLDSVKSGDVRVLAKTSFHLVKKEWSSEIRLHAFKMLQHLVRLRWDELSPPECRGLVNLSIELMSEVANASENWPLKSQSAALVAEIVRREGPDRWQEIFTLLTSLSAQGPLQAELVLMTLRWLPEDITIYNDDLEGDRRRLLLRGLTQSLPEILPLLYNLLERHFGAAMSEAGMQHFDLAKQHADVVIACLNAIVAYTEWAPVPDLARYGILSGCSFLLSSSDFRLHACEVFKLVCSRKRPSDASTAEFDSAISNLFQILTNASREFLCRSSSSSSVIDDNDYDFAVCMCESMASLGSTNLQSISSDGGVMAVYLQQMLGFFQHFKLGLHFEALLFWLSLMRDLLPKPKAATYPSGGGSSTGGDDSSSQVDSEKKKTLSLINDDISSAILDVSFQRMLKKEKVPTGIALSLGPLELWSDEFEGKGDFGPYRSKLLELIKLTASHKPLISSTKISERVITLIKHLLASPAPLQHVAVMDSQQLALDCIVATLFDGSNEFAGGSSEVHYALRGIFEGLLQQLLSLKWNEPELMKVHVHYLDAMGPFLKYFPDAVGSLINKLFELLTSLPHVVKDPATSTSRAARLQICTSFIRIAKAAEKSVLPHMKGIADTMGYLAKEGTLLRGEHNILGEAFLVMASSAGAQQQQEVLAWLLEPLSQQWIQPEWQNNYLSDPMGLVRLCSNTSFMWSIYHTVTFFEKALKRSGYRKSNLNTTSATTPASHPMAHHLSWMLPPLLKLLRVLHSLWSPSVFQTLPPEMRAAMTMTDAERYSLLGEANPKLSKGVSVYADGSFEGTKEGQAEASESDIRNWLKGIRDCGYNVLGLSTTIGETFFKCLDANYVAMALMENLQSMEFRHIRLFIHTFITYIVKSCPADMWESWLGVLLHPLFIHCQQALSSAWPGLLQEGRAKVPDLFGIQSGSDMKLEVMEEKLLRDLTREIATLFSTMASPGLNTGVPVLEHSGHVGRVDMSTLTDLHAFRSNSMVGFLLNHKSVALPALQICLETFTWTDGEATTKVCYFCGVVVLLAKLTNNVELREFVSKDMFSAVIRGLGMESNAINSPDLVNICREIFIYLSDRDPAPRQVLLSLPCLTPNDLHAFEEATAKTSSPKEQKQLMRSLLLLGTGNNLKALAAQKSQNVITNVTARTRLPASAPETIGAGVLWDEEFVQ
NP_187240.1 1 54 0.000746296296296297 PF01679.17:Pmp3:6:52 Low temperature and salt responsive protein family 54 47 11 8 2 Arabidopsis thaliana NP_187240.1 0 RefSeq MSTATFVEIILAIILPPLGVFLKFGCKVEFWICLILTLFGYLPGILYALYIITK
NP_187412.1 1 304 0.498000657894737 Peroxisomal membrane protein 13; ABSTINENCE BY MUTUAL CONSENT; Peroxin-13; AtPEX13; Peroxisome biogenesis protein 13; Pex13p; Protein ABERRANT PEROXISOME MORPHOLOGY 2; Protein AMC 304 0 11 304 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRR0 1 SwissProt MASQPAGGSPPKPWEKEGNTSGPNPFRPPSNTSTAGSVEASGTANPGEVVPPPVNRPNTAANMNSLSRPVPARPWEQQNYGSTMGGGYGSNLGMTSGYGSGTYGSALGGYGSSYGGGMYGGSSMYRGGYGGGGLYGSSGMYGGGAMGGYGGTMGGYGMGMGTGMGMGMGMGMGGPYGSQDPNDPFNQPPSPPGFWISFLRVMQGAVNFFGRVAMLIDQNTQAFHMFMSALLQLFDRGGMLYGELARFVLRMLGVRTRPRKMQQPPQGPNGLPLPHQPHGNQNYLEGPKTAAPGGGGGWDNVWGN 1
NP_188116.1 1 717 0.359986471408647 PF16135.5:Jas:618:688 Increased DNA methylation 1; Histone H3 acetyltransferase IDM1; Protein ROS4; Repressor of silencing 4; EC 2.3.1.- 1189 71 11 717 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4IXE7 1 SwissProt MLPGAEIEMLGGDCFEGSYEDHQIFREVFFGSDPGNTTKRCLVTGAINFECDSSKNVNSSLSSNSVVTSGYACPQGFEASASRDGSDFNTKAKRVKLSGNKHLDARDEKGSALHGFPTSDIARETIPLHLVESSNKGVSTSSYLLKHSIVKGREVYLGGIVSGKCKSLNLDKCDGKEFKAIASPVSQESFATRMISVGASTPHSEKACFPLQLNNGSKVSPNELIMSKTCLKIDPKEDPRPLLYKYVCKVLTAARWKIEKRERSAGRKHVDTFYISPEGRKFREFGSAWKALGGILLADRKLMDTGTKKWTGINDFWSDLSLTLLDIEENMKNLNLANTRALWWSALEPFVVVVFISKQVGSLRKGNKVEVARNSNPDKLKKEDTICLNLISGCPESVLTVSEGSHLVHDVDANQEIHSDLEVQTKISSQKVSSRLERQSIIGKEISGTHEQEASKGIVASKLIAEDMHESVMRKNLHRRSKKISDIKPASLDQHDSLDSNSLNSFEFQDKEMGNIHLVSKGSRDERLRNEKMNNSCCNSKKGRKKARKHYTQDDDLMGSTITRNKGKFSRSSQKKKTQKPKARTKKRNNRGGCRLLPRSSSNVENHFFQGNWSILGPRTVLSWLIATKVISRDEVIQLRDPDDDTVVKTGLVTKDGVVCTCCNKTVSLSEFKNHAGFNQNCPCLNLFMGSGKPFASCQLEAWSAEYKARRNGWRLE
NP_189154.1 1 302 0.0838043046357616 PF00067.22:p450:40:286 Cytochrome P450 82G1; EC 1.14.-.- 515 247 11 279 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LSF8 1 SwissProt MTFLFSTLQLSLFSLALVIFGYIFLRKQLSRCEVDSSTIPEPLGALPLFGHLHLLRGKKLLCKKLAAMSQKHGPIFSLKLGFYRLVVASDPKTVKDCFTTNDLATATRPNIAFGRYVGYNNASLTLAPYGDYWRELRKIVTVHLFSNHSIEMLGHIRSSEVNTLIKHLYKGNGGTSIVKIDMLFEFLTFNIILRKMVGKRIGFGEVNSDEWRYKEALKHCEYLAVIPMIGDVIPWLGWLDFAKNSQMKRLFKELDSVNTKWLHEHLKKRSRNEKDQERTIMDLLLDILPEDIVISGHVRDVI
NP_190422.1 1 352 0.210561079545455 PF00571.28:CBS:262:311 SNF1-related protein kinase regulatory subunit gamma-1; AKIN subunit gamma-1; AKING1; AKINgamma1; CBS domain-containing protein CBSCBS1 424 50 11 352 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LBB2 1 SwissProt MATVPEIKIMRSESLGHRSDVSSPEAKLGMRVEDLWDEQKPQLSPNEKLNACFESIPVSAFPLSSDSQDIEIRSDTSLAEAVQTLSKFKVLSAPVVDVDAPEDASWIDRYIGIVEFPGIVVWLLHQLEPPSPRSPAVAASNGFSHDFTTDVLDNGDSAVTSGNFFEVLTSSELYKNTKVRDISGTFRWAPFLALQKENSFLTMLLLLSKYKMKSIPVVDLGVAKIENIITQSGVIHMLAECAGLLWFEDWGIKTLSEVGLPIMSKDHIIKIYEDEPVLQAFKLMRRKRIGGIPVIERNSEKPVGNISLRDVQFLLTAPEIYHDYRSITTKNFLVSVREHLEKCGDTSAPIMS
NP_192928.2 1 271 0.226385239852399 PF12638.7:Staygreen:53:203 Magnesium dechelatase SGR2, chloroplastic; Protein NONYELLOWING 2; Protein STAY-GREEN 2; Protein STAYGREEN 2; EC 4.99.1.10 271 151 11 271 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q66WT5 1 SwissProt MCSLATNLLLPSKMKPVFPEKLSTSSLCVTTRRSKMKNRSIVPVARLFGPAIFEASKLKVLFLGVDEKKHPAKLPRTYTLTHSDITAKLTLAISQSINNSQLQGWANKLFRDEVVGEWKKVKGKMSLHVHCHISGGHFFLNLIAKLRYYIFCKELPVVLEAFAHGDEYLLNNHPELQESPVWVYFHSNIPEYNKVECWGPLWEAMSQHQHDGRTHKKSETLPELPCPDECKCCFPTVSTIPWSHRHYQHTAADENVADGLLEIPNPGKSKG
NP_193955.1 1 310 0.294114193548387 PF04755.12:PAP_fibrillin:85:300 Probable plastid-lipid-associated protein 2, chloroplastic; AtPap2; Fibrillin-1b 310 216 11 310 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O49629 1 SwissProt MATVQLSTQFSCQTRVSISPNSKSISKPPFLVPVTSIIHRPMISTGGIAVSPRRVFKVRATDTGEIGSALLAAEEAIEDVEETERLKRSLVDSLYGTDRGLSASSETRAEIGDLITQLESKNPTPAPTEALFLLNGKWILAYTSFVNLFPLLSRGIVPLIKVDEISQTIDSDNFTVQNSVRFAGPLGTNSISTNAKFEIRSPKRVQIKFEQGVIGTPQLTDSIEIPEYVEVLGQKIDLNPIRGLLTSVQDTASSVARTISSQPPLKFSLPADNAQSWLLTTYLDKDIRISRGDGGSVFVLIKEGSPLLNP
NP_194342.1 1 600 0.211417833333333 PF12313.8:NPR1_like_C:369:571,PF11900.8:DUF3420:230:270,PF00651.31:BTB:65:187,PF00023.30:Ank:328:354 Regulatory protein NPR2; BTB/POZ domain-containing protein NPR2 600 394 11 600 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZI3 1 SwissProt MATTTTTTTARFSDSYEFSNTSGNSFFAAESSLDYPTEFLTPPEVSALKLLSNCLESVFDSPETFYSDAKLVLAGGREVSFHRCILSARIPVFKSALATVKEQKSSTTVKLQLKEIARDYEVGFDSVVAVLAYVYSGRVRSPPKGASACVDDDCCHVACRSKVDFMVEVLYLSFVFQIQELVTLYERQFLEIVDKVVVEDILVIFKLDTLCGTTYKKLLDRCIEIIVKSDIELVSLEKSLPQHIFKQIIDIREALCLEPPKLERHVKNIYKALDSDDVELVKMLLLEGHTNLDEAYALHFAIAHCAVKTAYDLLELELADVNLRNPRGYTVLHVAAMRKEPKLIISLLMKGANILDTTLDGRTALVIVKRLTKADDYKTSTEDGTPSLKGGLCIEVLEHEQKLEYLSPIEASLSLPVTPEELRMRLLYYENRVALARLLFPVETETVQGIAKLEETCEFTASSLEPDHHIGEKRTSLDLNMAPFQIHEKHLSRLRALCKTVELGKRYFKRCSLDHFMDTEDLNHLASVEEDTPEKRLQKKQRYMELQETLMKTFSEDKEECGKSSTPKPTSAVRSNRKLSHRRLKVDKRDFLKRPYGNGD
NP_196859.1 1 685 0.0972478832116788 PF00916.20:Sulfate_transp:95:468,PF01740.21:STAS:519:638 Sulfate transporter 4.1, chloroplastic; AST82 685 494 11 421 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FY46 1 SwissProt MSYASLSVKDLTSLVSRSGTGSSSSLKPPGQTRPVKVIPLQHPDTSNEARPPSIPFDDIFSGWTAKIKRMRLVDWIDTLFPCFRWIRTYRWSEYFKLDLMAGITVGIMLVPQAMSYAKLAGLPPIYGLYSSFVPVFVYAIFGSSRQLAIGPVALVSLLVSNALGGIADTNEELHIELAILLALLVGILECIMGLLRLGWLIRFISHSVISGFTSASAIVIGLSQIKYFLGYSIARSSKIVPIVESIIAGADKFQWPPFVMGSLILVILQVMKHVGKAKKELQFLRAAAPLTGIVLGTTIAKVFHPPSISLVGEIPQGLPTFSFPRSFDHAKTLLPTSALITGVAILESVGIAKALAAKNRYELDSNSELFGLGVANILGSLFSAYPATGSFSRSAVNNESEAKTGLSGLITGIIIGCSLLFLTPMFKYIPQCALAAIVISAVSGLVDYDEAIFLWRVDKRDFSLWTITSTITLFFGIEIGVLVGVGFSLAFVIHESANPHIAVLGRLPGTTVYRNIKQYPEAYTYNGIVIVRIDSPIYFANISYIKDRLREYEVAVDKYTNRGLEVDRINFVILEMSPVTHIDSSAVEALKELYQEYKTRDIQLAISNPNKDVHLTIARSGMVELVGKEWFFVRVHDAVQVCLQYVQSSNLEDKHLSFTRRYGGSNNNSSSSNALLKEPLLSVEK
NP_197016.1 1 293 0.3727 PF07847.12:PCO_ADO:89:291 Plant cysteine oxidase 1; Hypoxia-responsive unknown protein 29; EC 1.13.11.20 293 203 11 293 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXG9 1 SwissProt MGFEMKPEKEVLELISSKNQCKSNPNSVKKKNKNKNKKMMMTWRRKKIDSPADGITAVRRLFNTCKEVFSNGGPGVIPSEDKIQQLREILDDMKPEDVGLTPTMPYFRPNSGVEARSSPPITYLHLHQCDQFSIGIFCLPPSGVIPLHNHPGMTVFSKLLFGTMHIKSYDWVVDAPMRDSKTRLAKLKVDSTFTAPCNASILYPEDGGNMHRFTAITACAVLDVLGPPYCNPEGRHCTYFLEFPLDKLSSEDDDVLSSEEEKEGYAWLQERDDNPEDHTNVVGALYRGPKVED
NP_197302.1 1 90 0.171292222222222 PF02519.14:Auxin_inducible:16:88 Auxin-responsive protein SAUR19; Protein SMALL AUXIN UP RNA 19 90 73 11 90 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJG1 1 SwissProt MAFVRSLLGAKKILSRSTAAGSAAPKGFLAVYVGESQKKRYLVPLSYLSQPSFQALLSKSEEEFGFAHPMGGLTIPCPEDTFINVTSRLQ
NP_197847.3 167 451 0.409743859649123 NAC domain-containing protein 91; ANAC091; TCV-interacting protein 451 0 11 285 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LKG8 1 SwissProt IVNGAAEPEESKSCEVEPAVSSPTVVDEVEMSEVSPVFPKTEETNPCDVAESSLVIPSECRSGYSVPEVTTTGLDDIDWLSFMEFDSPKLFSPLHSQVQSELGSSFNGLQSESSELFKNHNEDYIQTQYGTNDADEYMSKFLDSFLDIPYEPEQIPYEPQNLSSCNKINDESKRGIKIRARRAQAPGCAEQFVMQGDASRRLRLQVNLNSHKSETDSTQLQFIKKEVKDTTTETMTKGCGNFTRSKSRTSFIFKKIAAMGCSYRGLFRVGVVAVVCVMSVCSLVA
NP_199178.1 1 247 0.381248178137652 PF00010.26:HLH:87:135 basic helix-loop-helix (bHLH) DNA-binding superfamily protein 247 49 11 247 0 Arabidopsis thaliana NP_199178.1 1 RefSeq MDNFFLGLSCQEENNFWDLIVADISGDRSVSVPIRSAFRSYMKDTELRMMSPKISSSKVNVKKRMVNLLRKNWEEKKNTVAPEKERSRRHMLKERTRREKQKQSYLALHSLLPFATKNDKNSIVEKAVDEIAKLQRLKKELVRRIKVIEEKSAKDGHDEMSETKVRVNLKEPLSGLDSMLEALHYLKSMGTKLKTVHANFSPQEFSATMTIETQIRGEEVEKRVERRLQETEWKLLFLPEASFYKDY
NP_199351.2 1 704 0.0574914772727273 PF00474.17:SSF:74:496 Urea-proton symporter DUR3; AtDUR3; High-affinity urea active transporter DUR3 704 423 11 371 15 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4KD71 1 SwissProt MATCPPFDFSTKYYDGDGGCQRQSSFFGGTTVLDQGVGYAVILGFGAFFAVFTSFLVWLEKRYVGARHTSEWFNTAGRNVKTGLIASVIVSQWTWAATILQSSNVAWQYGVSGPFWYASGATIQVLLFGVMAIEIKRKAPNAHTVCEIVKARWGTATHIVFLVFCLATNVVVTAMLLLGGSAVVNALTGVNLYAASFLIPLGVVVYTLAGGLKATFLASYVHSVIVHVALVVFVFLVYTSSKELGSPSVVYDRLKDMVAKSRSCTEPLSHHGQACGPVDGNFRGSYLTMLSSGGAVFGLINIVGNFGTVFVDNGYWVSAIAARPSSTHKGYLLGGLVWFAVPFSLATSLGLGALALDLPISKDEADRGLVPPATAIALMGKSGSLLLLTMLFMAVTSAGSSELIAVSSLFTYDIYRTYINPRATGRQILKISRCAVLGFGCFMGILAVVLNKAGVSLGWMYLAMGVLIGSAVIPIAFMLLWSKANAFGAILGATSGCVFGIITWLTTAKTQYGRVDLDSTGKNGPMLAGNLVAILTGGLIHAVCSLVRPQNYDWSTTREIKVVEAYASGDEDVDVPAEELREEKLRRAKAWIVKWGLVFTILIVVIWPVLSLPARVFSRGYFWFWAIVAIAWGTIGSIVIIGLPLVESWDTIKSVCMGMFTNDRVMKKLDDLNHRLRALTMAVPEAEKIYLLELEKTKKNDEEG
NP_199678.1 1 321 0.439165732087228 PF00319.18:SRF-TF:11:51 Agamous-like MADS-box protein AGL80 321 41 11 321 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJK3 1 SwissProt MTRKKVKLAYISNDSSRKATFKKRKKGLMKKVHELSTLCGITACAIIYSPYDTNPEVWPSNSGVQRVVSEFRTLPEMDQHKKMVDQEGFLKQRIAKATETLRRQRKDSRELEMTEVMFQCLIGNMEMFHLNIVDLNDLGYMIEQYLKDVNRRIEILRNSGTEIGESSSVAVAASEGNIPMPNLVATTAPTTTIYEVGSSSSFAAVANFVNPIDLQQFRHPAAQHVGLNEQPQNLNLNLNQNYNQNQEWFMEMMNHPEQMRYQTEQMGYQFMDDNHHNHIHHQPQEHQHQIHDESSNALDAANSSSIIPVTSSSITNKTWFH
NP_199832.1 1 718 0.211874233983287 PF02445.16:NadA:267:572,PF02657.15:SufE:93:213 Quinolinate synthase, chloroplastic; Protein ONSET OF LEAF DEATH 5; Protein SULFUR E 3; EC 2.5.1.72 718 427 11 718 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FGS4 1 SwissProt MALALSVAPTSSSLSSLLSRTPNPSPNFRTTHLNFGSQRRIYTINPLLRSFKCLQSSSRDVNASPFSISAIASSSSSSQTTELVPYKLQRLVKEFKSLTEPIDRLKWVLHYASLLPQMPESSKTESNRVMGCTARVWLDAELGQDGKMRFCADSDSDVSKGMCSCLIQVLDEASPVEVMELKTEDLAELNVGLLGGERSRVNTWYNVLVSMQKKTRRLVAEREGKVPSFEPFPSLVLTAHGIEAKGSFAQAQAKYLFPEESRVEELVNVLKEKKIGVVAHFYMDPEVQGVLTAAQKHWPHISISDSLVMADSAVTMAKAGCQFITVLGVDFMSENVRAILDQAGFEKVGVYRMSDETIGCSLADAASAPAYLNYLEAASRSPPSLHVVYINTSLETKAFAHELVPTITCTSSNVVQTILQAFAQMPELTVWYGPDSYMGANIVKLFQQMTLMTNEEIANIHPKHSLDSIKSLLPRLHYFQEGTCIVHHLFGHEVVERIKYMYCDAFLTAHLEVPGEMFSLAMEAKKREMGVVGSTQNILDFIKQKVQEAVDRNVDDHLQFVLGTESGMVTSIVAVIRSLLGSSANSKLKVEVVFPVSSDSMTKTSSDSSNSIKVGDVALPVVPGVAGGEGCSIHGGCASCPYMKMNSLSSLLKVCHKLPDLENVYGGFIAERFKRQTPQGKLIADVGCEPILHMRHFQANKELPDKLVHQVLSCESKR
NP_200212.1 1 298 0.144088926174497 UDP-Glycosyltransferase superfamily protein 453 0 11 298 0 Arabidopsis thaliana NP_200212.1 1 RefSeq MGSKFHAFMFPWFGFGHMTAFLHLANKLAEKDHKITFLLPKKARKQLESLNLFPDCIVFQTLTIPSVDGLPDGAETTSDIPISLGSFLASAMDRTRIQVKEAVSVGKPDLIFFDFAHWIPEIAREYGVKSVNFITISAACVAISFVPGRSQDDLGSTPPGYPSSKVLLRGHETNSLSFLSYPFGDGTSFYERIMIGLKNCDVISIRTCQEMEGKFCDFIENQFQRKVLLTGPMLPEPDNSKPLEDQWRQWLSKFDPGSVIYCALGSQIILEKDQFQELCLGMELTGLPFLVAVKPPKG
NP_200893.1 1 307 0.488694462540717 PF02701.15:zf-Dof:49:105 OBF binding protein 4 307 57 11 307 0 Arabidopsis thaliana NP_200893.1 1 RefSeq MQDIHDFSMNGVGGGGGGGGRFFGGGIGGGGGGDRRMRAHQNNILNHHQSLKCPRCNSLNTKFCYYNNYNLSQPRHFCKNCRRYWTKGGVLRNVPVGGGCRKAKRSKTKQVPSSSSADKPTTTQDDHHVEEKSSTGSHSSSESSSLTASNSTTVAAVSVTAAAEVASSVIPGFDMPNMKIYGNGIEWSTLLGQGSSAGGVFSEIGGFPAVSAIETTPFGFGGKFVNQDDHLKLEGETVQQQQFGDRTAQVEFQGRSSDPNMGFEPLDWGSGGGDQTLFDLTSTVDHAYWSQSQWTSSDQDQSGLYLP
NP_201058.1 1 161 0.288614285714286 Probable inactive poly [ADP-ribose] polymerase SRO5; Protein SIMILAR TO RCD ONE 5 309 0 11 161 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJJ3 1 SwissProt MDYVRTQVEAVFDDSEQDGSTISESGSCDSSSDRSFADELGLMELLEGDKAHDLIYRNCKSGLGDQCQILSVLRNGFRNVGSRAKLKTFQVFQEAVQMKHGGDGGAKVKYGWCSVSKHELKTIFEYGFSEPLRNDGSFGRGLYLSPDNSPLDCLKDSASES
NP_201299.2 348 761 0.11988309178744 PF03109.16:ABC1:4:49 Protein ACTIVITY OF BC1 COMPLEX KINASE 8, chloroplastic; ABC1-LIKE KINASE 8; ABC2 homolog protein 13; AtATH13; Oxidative stress-related ABC1-like protein 1, chloroplastic; AtOSA1; EC 2.7.11.1 761 46 11 371 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93Y08 1 SwissProt GAKRDWVAIYDECASVLYQEIDYTKEAANSELFANNFKDLEYVKVPSIYWEYTTPQVLTMEYVPGIKINKIQALDQLGVDRKRLGRYAVESYLEQILSHGFFHADPHPGNIAVDDVNGGRLIFYDFGMMGSISPNIREGLLEAFYGVYEKDPDKVLQAMVQMGVLVPTGDLTAVRRTALFFLNSFEERLAAQRKEKEEIAAAEELGFKKPLSKEEKQEKKKQRLAAIGEDLLAIAADQPFRFPATFTFVVRAFSVLDGIGKGLDPRFDITEIAKPYALELLRFREAGVEVVVKDLRKRWDRQSQAFYNLFRQADRVEKLAVVIERLEQGDLKLRVRALESERAFQRVAAVQKTVGSAVAAGSLVNLATILYLNSIKTPATIAYTVCAFFSLQVLIGIIKVKKFDQREKLITGTA
NP_214538.1 1 158 0.383395569620253 NLP/P60 family protein 281 0 11 158 0 Mycobacterium tuberculosis H37Rv NP_214538.1 1 RefSeq MNYSEVELLSRAHQLFAGDSRRPGLDAGTTPYGDLLSRAADLNVGAGQRRYQLAVDHSRAALLSAARTDAAAGAVITGAQRDRAWARRSTGTVLDEARSDTTVTAVMPIAQREAIRRRVARLRAQRAHVLTARRRARRHLAALRALRYRVAHGPGVAL
NP_215505.1 1 218 0.302094495412844 PF08666.12:SAF:55:111,PF13144.6:ChapFlgA:55:114 hypothetical protein 218 60 11 218 0 Mycobacterium tuberculosis H37Rv NP_215505.1 1 RefSeq MAESSLNPSLVSRISAFLRPDWTRTVRARRFAAAGLVMLAGVAALRSNPEDDRSEVVVAAHDLRPGTALTPGDVRLEKRSATTLPDGSQADLDAVVGSTLASPTRRGEVLTDVRLLGSRLAESTAGPDARIVPLHLADSALVDLVRVGDVVDVLAAPVTDSPAALRLLATDAIVVLVSAQQKAQAADSDRVVLVALPARLANTVAGAALGQTVTLTLH
NP_215705.1 1 290 0.206432068965517 PF08281.12:Sigma70_r4_2:110:162,PF04542.14:Sigma70_r2:12:74 Probable ECF RNA polymerase sigma factor SigI; ECF sigma factor SigI; Alternative RNA polymerase sigma factor SigI; RNA polymerase sigma-I factor; Sigma-I factor 290 116 11 290 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WGH3 1 SwissProt MSQHDPVSAAWRAHRAYLVDLAFRMVGDIGVAEDMVQEAFSRLLRAPVGDIDDERGWLIVVTSRLCLDHIKSASTRRERPQDIAAWHDGDASVSSVDPADRVTLDDEVRLALLIMLERLGPAERVVFVLHEIFGLPYQQIATTIGSQASTCRQLAHRARRKINESRIAASVEPAQHRVVTRAFIEACSNGDLDTLLEVLDPGVAGEIDARKGVVVVGADRVGPTILRHWSHPATVLVAQPVCGQPAVLAFVNRALAGVLALSIEAGKITKIHVLVQPSTLDPLRAELGGG
NP_215882.1 1 273 0.253799267399268 PF04607.17:RelA_SpoT:76:174 hypothetical protein 273 99 11 273 0 Mycobacterium tuberculosis H37Rv NP_215882.1 1 RefSeq MVVALVGSAIVDLHSRPPWSNNAVRRLGVALRDGVDPPVDCPSYAEVMLWHADLAAEVQDRIEGRSWSASELLVTSRAKSQDTLLAKLRRRPYLQLNTIQDIAGVRIDADLLLGEQTRLAREIADHFGADQPAIHDLRDHPHAGYRAVHVWLRLPAGRVEIQIRTILQSLWANFYELLADAYGRGIRYDERPEQLAAGVVPAQLQELVGVMQDASADLAMHEAEWQHCAEIEYPGQRAMALGEASKNKATVLATTKFRLERAINEAESAGGGG
NP_216156.1 1 681 0.197972393538914 PF09924.9:DUF2156:309:607,PF16995.5:tRNA-synt_2_TM:76:290 Lysylphosphatidylglycerol biosynthesis bifunctional protein LysX; EC 6.1.1.6; EC 2.3.2.3 1172 514 11 546 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WFU7 1 SwissProt MGLHLTVPGLRRDGRGVQSNSHDTSSKTTADISRCPQHTDAGLQRAATPGISRLLGISSRSVTLTKPRSATRGNSRYHWVPAAAGWTVGVIATLSLLASVSPLIRWIIKVPREFINDYLFNFPDTNFAWSFVLALLAAALTARKRIAWLVLLANMVLAAVVNAAEIAAGGNTAAESFGENLGFAVHVVAIVVLVLGYREFWAKVRRGALFRAAAVWLAGAVVGIVASWGLVELFPGSLAPDERLGYAANRVVGFALADPDLFTGRPHVFLNAIFGLFGAFALIGAAIVLFLSQRADNALTGEDESAIRGLLDLYGKDDSLGYFATRRDKSVVFASSGRACITYRVEVGVCLASGDPVGDHRAWPQAVDAWLRLCQTYGWAPGVMGASSQGAQTYREAGLTALELGDEAILRPADFKLSGPEMRGVRQAVTRARRAGLTVRIRRHRDIAEDEMAQTITRADSWRDTETERGFSMALGRLGDPADSDCLLVEAIDPHNQVLAMLSLVPWGTTGVSLDLMRRSPQSPNGTIELMVSELALHAESLGITRISLNFAVFRAAFEQGAQLGAGPVARLWRGLLVFFSRWWQLETLYRSNMKYQPEWVPRYACYEDARVIPRVGVASVIAEGFLVLPFSRRNRVHTGHHPAVPERLAATGLLHHDGSAPDVSGLRQVGLTNGDGVERR
NP_219498.1 1 68 0.369888235294118 MEC1-mediated checkpoint protein HUG1 68 0 11 68 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q6Q5K6 1 SwissProt MTMDQGLNPKQFFLDDVVLQDTLCSMSNRVNKSVKTGYLFPKDHVPSANIIAVERRGGLSDIGKNTSN
NP_219816.1 1 236 0.252200847457627 hypothetical protein 236 0 11 236 0 Chlamydia trachomatis D/UW-3/CX NP_219816.1 1 RefSeq MKRVILCSLLFASLPIVSKAAVEGKPLSRAAQLRERRKDLHVSGKPSPRYALKKRALEAKKNKPSISWITYSNSTYSFLIPNTWQCIDDKTQLPEKLDVLFIGKGAGGLTPTINSAHEIIHKTEDAYIEEILDYHRTNENTLESSIFAHIQAHSSNFTIIKTEKNTPWGRVFCLQGVTVIDHTAYVLTSTSTIDDYPNVSLALLKAASSFRLSEKEEAARGDAILEKALKDLQNGK
NP_225004.1 1 493 0.393797971602434 type III secretion system translocator CopB 493 0 11 424 3 Chlamydia pneumoniae CWL029 NP_225004.1 1 RefSeq MSISSSSGPDNQKNIMSQVLTSTPQGVPQQDKLSGNETKQIQQTRQGKNTEMESDATIAGASGKDKTSSTTKTETAPQQGVAAGKESSESQKAGADTGVSGAAATTASNTATKIAMQTSIEEASKSMESTLESLQSLSAAQMKEVEAVVVAALSGKSSGSAKLETPELPKPGVTPRSEVIEIGLALAKAIQTLGEATKSALSNYASTQAQADQTNKLGLEKQAIKIDKEREEYQEMKAAEQKSKDLEGTMDTVNTVMIAVSVAITVISIVAAIFTCGAGLAGLAAGAAVGAAAAGGAAGAAAATTVATQITVQAVVQAVKQAVITAVRQAITAAIKAAVKSGIKAFIKTLVKAIAKAISKGISKVFAKGTQMIAKNFPKLSKVISSLTSKWVTVGVGVVVAAPALGKGIMQMQLSEMQQNVAQFQKEVGKLQAAADMISMFTQFWQQASKIASKQTGESNEMTQKATKLGAQILKAYAAISGAIAGAHKTNNF
NP_230473.1 1 372 0.132428225806452 toxin co-regulated pilus biosynthesis protein I 620 0 11 326 2 Vibrio cholerae O1 biovar El Tor str. N16961 NP_230473.1 1 RefSeq MIKKIISVFLLLACIITLAFTAFFYHSKLSDQTKSISSLSSQQAQERLQSYQDSLDFYKKLNTSLSVAIANSLRDKAVEELNAIALRIQENHGFIGVTFASLDGTMFTDIGTLDWNAKTLRRDWFVKTVELGTKHYTAFDIDKTTGQHVLTIATPVYVGNDIVGSVALDIAGDQIASPNGSGMFMMTDRNFNVFASDLTHSTLIGKDLTKEKPLFKNLVSGQYVTFSDADSHWFAVSQTEIDGENKLFTIIDIQQIVQTYKRDIQLIIAGFSGFSCVMLIGLYWVLSKELSGVRQIREWILALSDGQIKERRPIKFHNELDTIAQSLENLQFRLLDVVRNSHRTMNDLSIKQTDITYSIEGNTNNSQQELGL
NP_233392.1 1 331 0.264299395770393 PF13609.6:Porin_4:7:307,PF00267.21:Porin_1:35:331 outer membrane protein 331 325 11 308 1 Vibrio cholerae O1 biovar El Tor str. N16961 NP_233392.1 1 RefSeq MKKAAIAVAVLSAVVSGSTLAATVYDAEGTSLKVGGRLEFRGDFNGNDKGEEIEGTMLNKSRVRLNVAGETDIGAGMKGFGFWEAEQGVKSSAGTSTEQETTFKQRYMYVGMKGDFGSLSFGRQNTAGVQISDMSDIGTFTGDQKAFVSAGNEQINNTIAYGYDFESFKLKASYIADDQKNADGYGLSGIYSAPFGLDIGLGYAANDLGTDKGSADQIIAGLGYTMGDLYFGATYTTGDKDDKADTEFTGIEVSAQYKITKEFRLIAAYQNQEEETKNVTKDKADFFELTGRYDFTKNFRSYLAYKANGLDDKDAGYKVEDTIRLGLRYDF 5
NP_251078.1 1 331 0.30294501510574 PF04773.13:FecR:125:211,PF16220.5:DUF4880:14:55 protein FpvR 331 129 11 308 1 Pseudomonas aeruginosa PAO1 NP_251078.1 1 RefSeq MKTPSPADSDTLVQDAAHWCMRLHAEDFSEEERGEFQRWLAADPRHAAEYAEMEEIWALSELLPRTPAPELRNHAATRPLGRRRRGWKSQARAAALALLMLPTAGYLGWLQGWIPSNYQRYEAEGNVRHAVLPDGSEVELDLNTQLSFANFKDIRRVSLDRGEAYFHVTHDSAHPFVVKAGNGSITVTGTRFNVWKYQDNVVVTVTEGSVKVRSEGSGNDSSLTPGMQASYYPGLLQPLVEAVDTRQTLAWREGRLVLDDLPLSKALPLINRYLDAPLVLGDRSAAKLRIGGIYSTRDIRSLVDALPKVLPVDLEHREDGSIRISSRYAQL
NP_268515.1 1 497 0.0460967806841046 PF08270.11:PRD_Mga:171:384,PF08280.11:HTH_Mga:7:65,PF05043.13:Mga:76:157 regulatory protein 497 355 11 497 0 Streptococcus pyogenes M1 GAS NP_268515.1 1 RefSeq MIEKYLESSIESKCQLIVLFFKTSYLPITEVAEKTGLTFLQLNHYCEELNAFFPGSLSMTIQKRMISCQFTHPFKETYLYQLYASSNVLQLLAFLIKNGSHSRPLTDFARSHFLSNSSAYRMREALIPLLRNFELKLSKNKIVGEEYRIRYLIALLYSKFGIKVYDLTQQDKNTIHSFLSHSSTHLKTSPWLSESFSFYDILLALSWKRHQFSVTIPQTRIFQQLKKLFVYDSLKKSSHDIIETYCQLNFSAGDLDYLYLIYITANNSFASLQWTPEHIRQYCQLFEENDTFRLLLNPIITLLPNLKEQKASLVKALMFFSKSFLFNLQHFIPETNLFVSPYYKGNQKLYTSLKLIVEEWMAKLPGKRDLNHKHFHLFCHYVEQSLRNIQPPLVVVFVASNFINAHLLTDSFPRYFSDKSIDFHSYYLLQDNVYQIPDLKPDLVITHSQLIPFVHHELTKGIAVAEISFDESILSIQELMYQVKEEKFQADLTKQLT
NP_293729.1 1 291 0.418263573883162 DNA damage response protein C 291 0 11 291 0 Deinococcus radiodurans (strain ATCC 13939 / DSM 20539 / JCM 16871 / LMG 4051 / NBRC 15346 / NCIMB 9279 / R1 / VKM B-1422) SwissProt::Q9RYE6 1 SwissProt MGTGDPSPLASQGPLPLVEGQKMKKPPPVRRGMNEAMEDRGSFFMALVSAYALHLARPFPALALAAGYLFVEGEDGCVAPRFAFLNGAGGHGVDVGQPQQFGARQAVAGGLDAAFLTYRRAVLAKDGAVADAAELTVDPALATVPGDGGEQFAPGASAFQSGSEPAPGVRLGVALGNLGSHAHVALHGAGEQLPRPLLPAPGFGGVEGEGKRHEIGHAHGGLGSGAEVAVRLGQAVFDQRRFPALGEPELLLGGGGVKQTVRADRQPHGAEIEGQRSVLHTDILAQIMFLT
NP_294049.1 1 198 0.564817171717172 DNA damage response protein D 198 0 11 198 0 Deinococcus radiodurans (strain ATCC 13939 / DSM 20539 / JCM 16871 / LMG 4051 / NBRC 15346 / NCIMB 9279 / R1 / VKM B-1422) SwissProt::Q9RXI7 1 SwissProt MDTLKKAGTMLAHLDLFHSMLDLRRLLQLAAYMKERGDRAMLISAGEITLIGSESMTAPEVVTSKGETIDAATAYRVLGQLEGYEAPEYAVNREALAALNARAVAELEGSEALRAFGDTLARISAAPTDPAGPERPGTDRAERTAAERTASERATHDRASTERPARPRRSAEPEAVRTEDAPQPNAEASEAGENTPAA
NP_346964.1 1 379 0.0422580474934037 sensory transduction histidine kinase 654 0 11 230 7 Clostridium acetobutylicum ATCC 824 NP_346964.1 1 RefSeq MDYSKIEDFHVNIKKDQRNKIIFLLILFCMYCFTQILFLNYEFYAKTSNVFKMIFDLGILISSIITYKFSGRKSIGHTRFGFAIVVIMDFISLVVTLRYGSFPREIYRFIRCSEGMQSFVIYTYIVGIVFRNVDDENKDKYVKVVEIISFVSLTLLMISLVLVEKVFTYKVITIYKFNIFCVCICLLITLIFIYNLYSRMKYIKDNCLIKWYVLVYLAVIIPNVLALSSTLMELRPFMEFISSFIIYITVSEKVINKTINNAKAEFYSLKRDKLSYRGVLEKLKDGVIIKNEDKVVFMNEAQRKMFGLTKDKTVEGIDINKIVHRCCCKAQNNEKKIITAGGKELYLEVEAFGITINGERLTLDIVKDVSDEILVKKSK
NP_388055.1 81 208 0.26813828125 Anti-sigma-W factor RsiW; Regulator of SigW; Sigma-W anti-sigma factor RsiW 208 0 11 105 1 Bacillus subtilis (strain 168) SwissProt::Q45588 1 SwissProt KRWFRTHPVIAAAAVFIILMGGGFFNSWHNDHNFSVSKQPNLVVHNHTVTVPEGETVKGDVTVKNGKLIIKGKIDGDVTVVNGEKYMASAGQVTGQIEEINQLFDWTWYKMKSAGKSVLDAFNPNGEE
NP_388833.1 79 163 0.179205882352941 PF08281.12:Sigma70_r4_2:27:74,PF04545.16:Sigma70_r4:28:75 ECF RNA polymerase sigma factor SigM; ECF sigma factor SigM 163 49 11 85 0 Bacillus subtilis (strain 168) SwissProt::O07582 1 SwissProt DLIGSLFQNAVQSPAHQVEIKEVLTGYMSELPDNYREALTLYYLKELNYKEASHIMNISEANFKSVLFRARQRLKALYNRGVNDE
NP_414998.1 1 920 0.203142608695652 PF12794.7:MscS_TM:509:826,PF12795.7:MscS_porin:50:289 potassium dependent, small conductance mechanosensitive channel 1120 558 11 675 11 Escherichia coli K-12 substr. MG1655 ecocyc::G6255-MONOMER 1 ecocyc MTMFQYYKRSRHFVFSAFIAFVFVLLCQNTAFARASSNGDLPTKADLQAQLDSLNKQKDLSAQDKLVQQDLTDTLATLDKIDRIKEETVQLRQKVAEAPEKMRQATAALTALSDVDNDEETRKILSTLSLRQLETRVAQALDDLQNAQNDLASYNSQLVSLQTQPERVQNAMYNASQQLQQIRSRLDGTDVGETALRPSQKVLMQAQQALLNAEIDQQRKSLEGNTVLQDTLQKQRDYVTANSARLEHQLQLLQEAVNSKRLTLTEKTAQEAVSPDEAARIQANPLVKQELEINQQLSQRLITATENGNQLMQQNIKVKNWLERALQSERNIKEQIAVLKGSLLLSRILYQQQQTLPSADELENMTNRIADLRLEQFEVNQQRDALFQSDAFVNKLEEGHTNEVNSEVHDALLQVVDMRRELLDQLNKQLGNQLMMAINLQINQQQLMSVSKNLKSILTQQIFWVNSNRPMDWDWIKAFPQSLKDEFKSMKITVNWQKAWPAVFIAFLAGLPLLLIAGLIHWRLGWLKAYQQKLASAVGSLRNDSQLNTPKAILIDLIRALPVCLIILAVGLILLTMQLNISELLWSFSKKLAIFWLVFGLCWKVLEKNGVAVRHFGMPEQQTSHWRRQIVRISLALLPIHFWSVVAELSPLHLMDDVLGQAMIFFNLLLIAFLVWPMCRESWRDKESHTMRLVTITVLSIIPIALMVLTATGYFYTTLRLAGRWIETVYLVIIWNLLYQTVLRGLSVAARRIAWRRALARRQNLVKEGAEGAEPPEEPTIALEQVNQQTLRITMLLMFALFGVMFWAIWSDLITVFSYLDSITLWHYNGTEAGAAVVKNVTMGSLLFAIIASMVAWALIRNLPGLLEVLVLSRLNMRQGASYAITTILNYIIIAVGAMTVFGSLGVSWDKLQWLAAALS
NP_415866.1 1 601 0.534765557404326 PF06630.11:Exonuc_VIII:2:178 Rac prophage; exonuclease VIII, ds DNA exonuclease, 5' --> 3' specific 866 177 11 601 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10827-MONOMER 1 ecocyc MSTKPLFLLRKAKKSSGEPDVVLWASNDFESTCATLDYLIVKSGKKLSSYFKAVATNFPVVNDLPAEGEIDFTWSERYQLSKDSMTWELKPGAAPDNAHYQGNTNVNGEDMTEIEENMLLPISGQELPIRWLAQHGSEKPVTHVSRDGLQALHIARAEELPAVTALAVSHKTSLLDPLEIRELHKLVRDTDKVFPNPGNSNLGLITAFFEAYLNADYTDRGLLTKEWMKGNRVSHITRTASGANAGGGNLTDRGEGFVHDLTSLARDVATGVLARSMDLDIYNLHPAHAKRIEEIIAENKPPFSVFRDKFITMPGGLDYSRAIVVASVKEAPIGIEVIPAHVTEYLNKVLTETDHANPDPEIVDIACGRSSAPMPQRVTEEGKQDDEEKPQPSGTTAVEQGEAETMEPDATEHHQDTQPLDAQSQVNSVDAKYQELRAELHEARKNIPSKNPVDDDKLLAASRGEFVDGISDPNDPKWVKGIQTRDCVYQNQPETEKTSPDMNQPEPVVQQEPEIACNACGQTGGDNCPDCGAVMGDATYQETFDEESQVEAKENDPEEMEGAEHPHNENAGSDPHRDCSDETGEVADPVIVEDIEPGIYY
NP_417004.1 1 456 0.368189473684211 PF02601.15:Exonuc_VII_L:126:440,PF13742.6:tRNA_anti_2:10:103,PF01336.25:tRNA_anti-codon:30:104 exodeoxyribonuclease VII subunit XseA (EC 3.1.11.6) 456 410 11 456 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11072-MONOMER 1 ecocyc MLPSQSPAIFTVSRLNQTVRLLLEHEMGQVWISGEISNFTQPASGHWYFTLKDDTAQVRCAMFRNSNRRVTFRPQHGQQVLVRANITLYEPRGDYQIIVESMQPAGEGLLQQKYEQLKAKLQAEGLFDQQYKKPLPSPAHCVGVITSKTGAALHDILHVLKRRDPSLPVIIYPAAVQGDDAPGQIVRAIELANQRNECDVLIVGRGGGSLEDLWSFNDERVARAIFTSRIPVVSAVGHETDVTIADFVADLRAPTPSAAAEVVSRNQQELLRQVQSTRQRLEMAMDYYLANRTRRFTQIHHRLQQQHPQLRLARQQTMLERLQKRMSFALENQLKRTGQQQQRLTQRLNQQNPQPKIHRAQTRIQQLEYRLAETLRAQLSATRERFGNAVTHLEAVSPLSTLARGYSVTTATDGNVLKKVKQVKAGEMLTTRLEDGWIESEVKNIQPVKKSRKKVH
NP_417120.2 1 123 0.173610569105691 PF15933.5:RnlB_antitoxin:14:111 CP4-57 prophage; antitoxin RnlB 123 98 11 123 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7366-MONOMER 1 ecocyc MFEITGINVSGALKAVVMATGFENPLSSVNEIETKLSALLGSETTGEILFDLLCANGPEWNRFVTLEMKYGRIMLDTAKIIDEQDVPTHILSKLTFTLRNHPEYLEASVLSPDDVRQVLSMDF
NP_417354.1 1 542 0.183038376383764 PF14691.6:Fer4_20:453:532 putative oxidoreductase, Fe-S subunit 1032 80 11 542 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7497-MONOMER 1 ecocyc MGDIMRPIPFEELLTRIFDEYQQQRSIFGIPEQQFYSPVKGKTVSVFGETCATPVGPAAGPHTQLAQNIVTSWLTGGRFIELKTVQILDRLELEKPCIDAEDECFNTEWSTEFTLLKAWDEYLKAWFALHLLEAMFQPSDSGKSFIFNMSVGYNLEGIKQPPMQQFIDNMMDASDHPKFAQYRDTLNKLLQDDAFLARHGLQEKRESLQALPARIPTSMVHGVTLSTMHGCPPHEIEAICRYMLEEKGLNTFVKLNPTLLGYARVREILDVCGFGYIGLKEESFDHDLKLTQALEMLERLMALAKEKSLGFGVKLTNTLGTINNKGALPGEEMYMSGRALFPLSINVAAVLSRAFDGKLPISYSGGASQLTIRDIFDTGIRPITMATDLLKPGGYLRLSACMRELEGSDAWGLDHVDVERLNRLAADALTMEYTQKHWKPEERIEVAEDLPLTDCYVAPCVTACAIKQDIPEYIRLLGEHRYADALELIYQRNALPAITGHICDHQCQYNCTRLDYDSALNIRELKKVALEKGWDEYKQRWH
NP_417355.4 1 253 0.151786561264822 PF01979.20:Amidohydro_1:53:245 putative aminohydrolase SsnA 442 193 11 253 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7498-MONOMER 1 ecocyc MLILKNVTAVQLHPAKVQEGVDIAIENDVIVAIGDALTQRYPDASFKEMHGRIVMPGIVCSHNHFYSGLSRGIMANIAPCPDFISTLKNLWWRLDRALDEESLYYSGLICSLEAIKSGCTSVIDHHASPAYIGGSLSTLRDAFLKVGLRAMTCFETTDRNNGIKELQEGVEENIRFARLIDEAKKATSEPYLVEAHIGAHAPFTVPDAGLEMLREAVKATGRGLHIHAAEDLYDVSYSHHWYGKDLLARLAQF
NP_417541.1 68 254 0.259418181818182 PF04954.13:SIP:75:186,PF08021.11:FAD_binding_9:2:65 NADPH-dependent ferric-chelate reductase (EC 1.16.1.9) 254 176 11 187 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7593-MONOMER 1 ecocyc PTVTEEGIVWPEGPRPPSRDYTPLYDELRHELAIDFFIHDGGVASGWAMQAQPGDKLTVAGPRGSLVVPEDYAYQLYVCDESGMPALRRRLETLSKLAVKPQVSALVSVRDNACQDYLAHLDGFNIEWLAHDEQAVDARLAQMQIPADDYFIWITGEGKVVKNLSRRFEAEQYDPQRVRAAAYWHAK
NP_417588.1 66 312 0.143526720647773 PF03466.20:LysR_substrate:33:231 DNA-binding transcriptional activator TdcA 312 199 11 247 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD00268 1 ecocyc AGQLLLSRSESITREMKNMVNEISGMSSEAVVEVSFGFPSLIGFTFMSGMINKFKEVFPKAQVSMYEAQLSSFLPAIRDGRLDFAIGTLSAEMKLQDLHVEPLFESEFVLVASKSRTCTGTTTLESLKNEQWVLPQTNMGYYSELLTTLQRNGISIENIVKTDSVVTIYNLVLNADFLTVIPCDMTSPFGSNQFITIPVEETLPVAQYAAVWSKNYRIKKAASVLVELAKEYSSYNGCRRRQLIEVG
NP_418491.1 1 549 0.0250296903460838 PF00474.17:SSF:63:468 acetate/glycolate:cation symporter 549 406 11 250 13 Escherichia coli K-12 substr. MG1655 ecocyc::YJCG-MONOMER 0 ecocyc MKRVLTALAATLPFAANAADAISGAVERQPTNWQAIIMFLIFVVFTLGITYWASKRVRSRSDYYTAGGNITGFQNGLAIAGDYMSAASFLGISALVFTSGYDGLIYSLGFLVGWPIILFLIAERLRNLGRYTFADVASYRLKQGPIRILSACGSLVVVALYLIAQMVGAGKLIELLFGLNYHIAVVLVGVLMMMYVLFGGMLATTWVQIIKAVLLLFGASFMAFMVMKHVGFSFNNLFSEAMAVHPKGVDIMKPGGLVKDPISALSLGLGLMFGTAGLPHILMRFFTVSDAREARKSVFYATGFMGYFYILTFIIGFGAIMLVGANPEYKDAAGHLIGGNNMAAVHLANAVGGNLFLGFISAVAFATILAVVAGLTLAGASAVSHDLYANVFKKGATEREELRVSKITVLILGVIAIILGVLFENQNIAFMVGLAFAIAASCNFPIILLSMYWSKLTTRGAMMGGWLGLITAVVLMILGPTIWVQILGHEKAIFPYEYPALFSITVAFLGIWFFSATDNSAEGARERELFRAQFIRSQTGFGVEQGRAH
NP_418786.4 1 225 0.177316888888889 PF00196.19:GerE:152:204 DNA-binding transcriptional regulator BglJ 225 53 11 225 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7948-MONOMER 1 ecocyc MEHSRIKKRNVALIEKCVMSSIGIESLFRKFAGNPYKLHTYTSQESFQDAMSRISFAAVIFSFSAMRSERREGLSCLTELAIKFPRTRRLVIADDDIEARLIGSLSPSPLDGVLSKASTLEIFHQELFLSLNGVRQATDRLNNQWYINQSRTLSPTEREILRFMSRGYSMTQIAEQLKRNIKTIRAHKFNVMSKLGVSSDAGLLEAADILLCMRHCETSNVLHPY
NP_443719.3 1 363 0.197367768595042 PF02434.16:Fringe:89:253,PF01762.21:Galactosyl_T:116:252 Glycoprotein-N-acetylgalactosamine 3-beta-galactosyltransferase 1; Core 1 O-glycan T-synthase; T-syn; Core 1 UDP-galactose:N-acetylgalactosamine-alpha-R beta 1,3-galactosyltransferase 1; Core 1 beta1,3-galactosyltransferase 1; C1GalT1; Core 1 beta3-Gal-T1; EC 2.4.1.122 363 165 11 340 1 Mus musculus (Mouse) SwissProt::Q9JJ06 1 SwissProt MASKSWLNFLVFLCGSAIGFFLCSQLLSILLREEAAIQPNMLHNDPHARHSDDNGHSHLKGQMNFNADSSQHKDENIDVAENLYQKVKILCWVMTSPQNLEKKAKHVKATWAQRCNKVLFMSSEENQDFPTVGLKTKEGREQLYWKTIKAFQYVHDHYLEDADWFMKADDDTYVIVDNLRWLLSKYNPEQPIYFGRRFKPYVKQGYMSGGAGYVLSKEALRRFVNAFKTEKCTHSSSIEDLALGRCMEIINVEAGDSRDTIGKETFHPFVPEHHLIKGYLPKTFWYWNYNYYPPIEGPGCCSDIAVSFHYVDGTTMYELEYLVYHLRPYGYLYRYQPALPENILKEINQVNRKEDTKIKLGNP
NP_444318.1 1 134 0.228908955223881 PF04505.12:CD225:35:100 Interferon-induced transmembrane protein 5; Bone-restricted interferon-induced transmembrane protein-like protein; Bril; Dispanin subfamily A member 1; DSPA1; Fragilis family member 4 134 66 11 88 2 Mus musculus (Mouse) SwissProt::O88728 0 SwissProt MDTSYPREDPRAPSSRKADAAAHTALSMGTPGPTPRDHMLWSVFSTMYLNLCCLGFLALVHSVKARDQKMAGNLEAARQYGSKAKCYNILAAMWTLVPPLLLLGLVVTGALHLSKLAKDSAAFFSTKFDEEDYN
NP_445973.1 221 580 0.098165 PF00474.17:SSF:24:222 High affinity choline transporter 1; Hemicholinium-3-sensitive choline transporter; CHT; Solute carrier family 5 member 7 580 199 11 222 6 Rattus norvegicus (Rat) SwissProt::Q9JMD7 1 SwissProt HAKYQSPWLGTIESVEVYTWLDNFLLLMLGGIPWQAYFQRVLSSSSATYAQVLSFLAAFGCLVMALPAICIGAIGASTDWNQTAYGFPDPKTKEEADMILPIVLQYLCPVYISFFGLGAVSAAVMSSADSSILSASSMFARNIYQLSFRQNASDKEIVWVMRITVFVFGASATAMALLTKTVYGLWYLSSDLVYIIIFPQLLCVLFIKGTNTYGAVAGYIFGLFLRITGGEPYLYLQPLIFYPGYYPDKNGIYNQRFPFKTLSMVTSFFTNICVSYLAKYLFESGTLPPKLDIFDAVVSRHSEENMDKTILVRNENIKLNELAPVKPRQSLTLSSTFTNKEALLDVDSSPEGSGTEDNLQ
NP_460542.1 1 210 0.140426190476191 Secreted effector protein SteA; Salmonella translocated effector A 210 0 11 210 0 Salmonella typhimurium (strain 14028s / SGSC 2262) SwissProt::D0ZXR5 1 SwissProt MPYTSVSTYARALSGNKLPHVAAGDYENKLSTKIMKGILYVLTAGLAYGFTRVIEHYCNVTPKVAEFCANAGNIHNHLADAVRDGLFTIDVELSDGRMLTFEQLSLIAEGKPIVRISDGEHTVEVEGTFEEICMRLEEGFFEAPAYYDYDIDEKYKTVRERMAAYNALPQALGAIPCLEYYIARASNMQEAKAQWAADIKARYHNYLDNY
NP_473428.1 1 498 0.0651275100401607 PF01770.18:Folate_carrier:28:459,PF07690.16:MFS_1:76:432 Thiamine transporter 1; ThTr-1; Solute carrier family 19 member 2 498 432 11 271 10 Mus musculus (Mouse) SwissProt::Q9EQN9 1 SwissProt MDVPARVSRRAAAAAARMLLRTARVPRECWFLPTALLCAYGFFANLRPSEPFLTPYLLGPDKNLTERQVYNEIYPVWTYSYLLLLFPVFLATDYLRYKPVILLQGLSLIVTWFMLLYAQGLLAIQFLEFFYGIATATEIAYYSYIYTVVDLGMYQKVTSYCRSATLVGFTVGSVLGQILVSVVGWSLFSLNVISLTCVSVAFAVAWFLPMPQKSLFFHHIPSSCHGVNGLKVQNGGIVTDTPAANHLPGWEDIESKIPLNLDEPPVEEPEEPKPDRLRVFRVLWNDFLMCYSSRPLLCWSVWWALSTCGYFQVVNYAQGLWEKVMPSQNADIYNGGVEAVSTLLGASAVFAVGYIKLSWSTWGEMTLFLCSLLIAAAVYVMDTVQSIWVCYASYVVFRIIYMVLITIATFQIAANLSMERYALVFGVNTFIALALQTLLTLIVVDARGLGLCITTQFLIYASYFAAISVVFLANGIVSIIKKCRKQEDPSSSPQASTS
NP_476664.2 1 424 0.600602830188678 PF03020.15:LEM:6:42 Otefin; LEM domain-containing protein Otefin 424 37 11 401 1 Drosophila melanogaster (Fruit fly) SwissProt::P20240 1 SwissProt MADVDDFDSLSNAELRAKMLAQGLPNIPVTDSSRKVLVKRLRASIGGQASPAASPKKTNRRETLAPAPGAPSAPAAASTPVDKLDGNKVAPATKARRTITAAEAKEPVRRLPEEAIRRRPDEADRLRSEEPVAARKPTTAPAAQPVQTRRTSTSSGSERKVVEPLRKPETIVEQPASSKRADREENYLKVNSLIVLESDEEEDEQLVQAADLVEQEHAARQKTTKLASSGTTTYEYKSKVVEPPRRQVYEATAAPVLPPSVPSARAQTTSSTRSYDYASNPAPGRYSSFVRTAAQGYVTAEAPPVASYSSSYKRTYANELSDDTDSKEDQYESTFARNLARLRAERIGDRISPYSRRTLASGNAGSGSLGYEPRARRSLRPNDNSVSEAFNRWLNSLEQKYHIKSKLFIVLLVLLLIGVYYIFY
NP_477480.1 1 183 0.72523825136612 Histone RNA hairpin-binding protein; Histone stem-loop-binding protein 276 0 11 183 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VAN6 1 SwissProt MLCEDQHMSVENTPQKGSGSLNSSASSISIDVKPTMQSWAQEVRAEFGHSDEASSSLNSSAASCGSLAKKETADGNLESKDGEGREMAFEFLDGVNEVKFERLVKEEKLKTPYKRRHSFTPPSNENSRSNSPNSSNSSANGDAAAPKGGNNPHSRNSKKSGNFRAHKEEKRVRHNSYTSSTSS
NP_477521.1 1 90 0.512302222222222 Mucin-like protein 1; Protein BS106; Small breast epithelial mucin 90 0 11 90 0 Homo sapiens (Human) SwissProt::Q96DR8 1 SwissProt MKFLAVLVLLGVSIFLVSAQNPTTAAPADTYPATGPADDEAPDAETTAAATTATTAAPTTATTAASTTARKDIPVLPKWVGDLPNGRVCP
NP_499023.3 1 576 0.149932465277778 PF07690.16:MFS_1:78:453 Probable vesicular glutamate transporter eat-4; Abnormal pharyngeal pumping eat-4 576 376 11 316 12 Caenorhabditis elegans SwissProt::P34644 1 SwissProt MSSWNEAWDRGKQMVGEPLAKMTAAAASATGAAPPQQMQEEGNENPMQMHSNKVLQVMEQTWIGKCRKRWLLAILANMGFMISFGIRCNFGAAKTHMYKNYTDPYGKVHMHEFNWTIDELSVMESSYFYGYLVTQIPAGFLAAKFPPNKLFGFGIGVGAFLNILLPYGFKVKSDYLVAFIQITQGLVQGVCYPAMHGVWRYWAPPMERSKLATTAFTGSYAGAVLGLPLSAFLVSYVSWAAPFYLYGVCGVIWAILWFCVTFEKPAFHPTISQEEKIFIEDAIGHVSNTHPTIRSIPWKAIVTSKPVWAIIVANFARSWTFYLLLQNQLTYMKEALGMKIADSGLLAAIPHLVMGCVVLMGGQLADYLRSNKILSTTAVRKIFNCGGFGGEAAFMLIVAYTTSDTTAIMALIAAVGMSGFAISGFNVNHLDIAPRYAAILMGFSNGIGTLAGLTCPFVTEAFTAHSKHGWTSVFLLASLIHFTGVTFYAVYASGELQEWAEPKEEEEWSNKELVNKTGINGTGYGAAETTFTQLPAGVDSSYQAQAAPAPGTNPFASAWDEHGSSGVVENPHYQQW
NP_499845.1 1 418 0.542185885167464 PF00130.22:C1_1:352:400 CYtoKinesis defect 681 49 11 418 0 Caenorhabditis elegans NP_499845.1 1 RefSeq MKSSTSKEKVCGENSRHIFNMILNSQRPQFDIKDIGMFHLIDEIERLRKLWKDSEESKKRLNADMREAEEALAKARKKLAMFDIDVKDTQKHLRALMEENKALKLDLNVYETREKQLKDAMKNGIFNSLTKEDRDQFKFLHEPLVRTYSKRVQQRHPHLMEDTQDDEDDSEVDYDETGDSFEEVIHLRNGREVRRSSAAGNAVGGKRRSASAHAITAAANSKRSRSRVMTATIDEEPNEGGTPPKRCRDDGSTPHQEMTTTTTTTTTTTIHNSRAQNQDPPRVSLHRQLTRRSLSCGSIPSCDQTPGQTTNNIGLGMSSAILTKSTLDIRTLKRGTPAWTNGTTRDIAMRPHTFIEAGIKAMRKCDKCATALKLATSMKCRDCHQVVHRSCCNKLHLPCIPRPKTMMTPKSALRGAKP
NP_502378.1 1 1263 0.496502692003167 Condensin complex subunit dpy-26 1263 0 11 1263 0 Caenorhabditis elegans SwissProt::G5EGE9 1 SwissProt MDVPSSSNVTGRRKRQVLDDDEDDGFRSTPLRKVRGTKKIRPADVVPETIMTKIGAHIDDIVNKKKVGELNCFEYKSPLEIHTIEDMIKAKASIQEMAVVLEGAQCIIGYRVDRLHHDVRQIDSALSSGTVMRDSNGEEIHLTLESRKAKKKMAVVDGMNGMLDFLNNMDDALTTTELDADNDKNWKEDEENIAGEPRIDFKANSKDVDAFLQRDIFPEKLIYALSIKRATDLRADLLSDVSNYISADDTAHDLKDANIDWLRANPTFQKATKGSVCNSSNSFHSLNYYGIHSPDGRTLMLHNRIADKNADDRFFTSDVSVSLVKNTRALLTNSLDKKPRILDNYLMLEVKDRPVIGRYKIMSKDVKKSTLPLAESSREKDLANLTFAEMNHRPSNLDMTVAGASDMSMLPGNQGLPLAQGENDETIALDRLTPPLQSSVSQKASDEYVLPPLEANDLDEHLIGKLPIEPNEMDQTLANMFDKKLEVFNTSDTLESKVWKNGIRAEEWGEDDEAIMKNDTKHPRQAGIEGWIKATDAWTNYDVVKMNVNREARSQLDENAIDEQESYRNMVPEIGKNLFLVKSDDYMNNYPGDRPADFTVNDEVSDVMKMWSGEDSTAEDDVPLEQIQQEIREQVQQQDVDMEPIEEMDYDAGGAAFDVDFDDRLAAPVEVEEMEGDNNRNDGRVADILFNEQMDETEVEERNEQDVQRELEDIALAADEVAELMTSAPPPQLVGPSAEMREEIQNIGKNDNAHWVPPVVGDQERQAAVTAQRKRREKKAKSRKATVEDFVHYFRDIPDDEIEREITAAKCSKIADEKSTFLSEQQLYLPTLGIENKPHVAFEMGLLGNSGMFFKKSYGKIRLERVKNQKAEQDLFIDEARGNKDSDCLNWLLSFSGFRCMENPEPITGSDSDENLRTAVEQPFDDDFANDYYDEDRYDPNYEQQLAAAQMGPDMQRKLALTASHINQMFPNIHSKRYGGEYGDSDDEFDDSFDRQSIQAKNLDAAKHKKCLAEILKTDSLSMPSIQYVLEQLTSNQTLRMNNTTIRAADDRNETGRPATPTMEADKTLTSVFDYRSPNKSNHDVNETMKALTEMPDYQAADERPNNQPTTSTYGTANTENRKVHINGCHTLLSLALSMPSRMGETVRPSSIVSFLLHIANENNLQIVQDRSKRSWMSDFIVLNSSESLPRGLKMGRIEDQDEFWKRTQDPDAIEGTASDANNVFSNLMRRPKAVPVRKGRGAGGQPTTSDLGAIVEEEEMEE
NP_505069.1 1 393 0.52635572519084 PF00642.24:zf-CCCH:106:132,PF00642.24:zf-CCCH:148:173 CCCH-type zinc finger protein oma-2; Maturation oocyte expansion protein 2; Oocyte maturation defective protein 2 393 53 11 393 0 Caenorhabditis elegans SwissProt::Q23359 1 SwissProt MDMLKENVIQNNEARTESSVEPSHPDMMETVPEEQQKPISHIDDLLSETANLMAVKEQLLKEIAENEHIHSMQMRALQNLPQEAILPLQYHADPRRRHRMQKPESYKTVICQAWLESKTCAFAENCRFAHGEEELRPSLIEARQNNKYRTKLCDKYTTTGLCPYGKRCLFIHPDNGPNAYIRADKLFEVSQRHALADLRDQMEHHIMNGGRSTAGPQQFDMFARPCTPDEPAANMPLGPTPVSIRGPRYELPSKKPLETEEAGNRPPSSWPLDPSTFFALDSLNMATRPISPFESMLIGAAGNMQYSMLGKQSTPGGVSGYSSSGSTPSQDSDSSPLTAASAAADAACQANSESAQSILLKSINNPMIGNETTLPIPGLDQLAMDIAKHLELW
NP_507869.1 287 856 0.574578245614035 PF12063.8:DUF3543:361:561 Serine/threonine-protein kinase unc-51; Uncoordinated protein 51; EC 2.7.11.1 856 201 11 570 0 Caenorhabditis elegans SwissProt::Q23023 1 SwissProt ILESARSPLLANRRIITPQSSLPVPKRAGSTKLDSPTPVRRIGESPRVQRRVITPGMPSPVPGAPMQESTDFTFLPPRQESSPVKQVQVHTNVSPSLTTCKPVPVPSQRLTYQKMEERLAAARKTAVPSSSSPTGSAVSAQHQHQHQQQQEPASSPVVQRIERPDQLPRRTTLQDPNAHDIERMTMPNPTFVVCGSSTKPSPNNANRVRRSTITSPADTQDMVAADQMLSNLDPTTTTTTIPKSATTANIQGIPRGARDRSVTSPPQPTIHENEPLDNAKYQQTDVNNSPTAPTEPFIIKNQTTCSTSSTSSSVVEEEEAMSLPFASGSHLAAGFKKTPAEVPMDHGALPPALDQEIVLGEEHKQILAKLRFVAELVDTLIHVAEQKDNPLASAMASRRQLLTTGTSTTNTSSPYRRAEQLVVYVRALHMLSSALLLAQTNVANRVLHPSVAVQQVLNQLNDKYHQCLVRSQELASLGLPGQDPAMAVISAERIMYRHAIELCQAAALDELFGNPQLCSQRYQTAYMMLHTLAEQVNCDQDKTVLTRYKVAVEKRLRILERQGFVAAVNT
NP_509087.1 351 835 0.188504536082474 PF00854.21:PTR2:3:152,PF00854.21:PTR2:319:402 Peptide transporter family 1; Di-/tri-peptide transporter CPTB; Oligopeptide transporter 1 835 234 11 396 4 Caenorhabditis elegans SwissProt::Q21219 1 SwissProt DCRLSDTLLLLPDQMQTLNAVLILLFIPLFQVIIYPVAAKCVRLTPLRKMVTGGLLASLAFLITGFVQLQVNTTLPTLPEEGEASISFWNQFETDCTITVMSGIHKRVLPHDKYLHEDKKNKSGIYNLFTTKSPAKGNGDWTLTYDLSYDGACGDTSKLEKTVKVTAKSKKIIYVGVGSFGYYQNTANTDKPTDGTGEFSMGIVTVFNSSYGGNFAMCRQNTSDFDVNHPCNPRHPADFYFWETDYNSHTDDRDQNATITGSLSSQPAVTYKQKSVKPGYWQLYYLLNTPKDVDRQTYNKTATLVAPTNYGFHRVKQGGVFIYALTGTYENPKIHELQIVQSNSVSILWQIPQIVVITAAEILFSITGYEFAYSQSAPSMKALVQALWLLTTAAGDSIIVVITILNLFENMAVEFFVYAAAMFVVIAIFALLSIFYYTYNYYTTDEEDGEIGVDDEEEIEDHNPRYSIDNKGFHPDEKDTFDMHF
NP_509916.2 1 539 0.466773283858998 Protein lin-14; Abnormal cell lineage protein 14 539 0 11 539 0 Caenorhabditis elegans SwissProt::Q21446 1 SwissProt MGKGQPKEPKIEQSVVDLCKRTVAMNLLQCYPTTTVDEMNCEEWGNGTESTQSVAACQGCIELRKEVTDLRQAVNLILPMLPLYPTIGNGFNATGLAAQPTLQHVIQQSLLRKRPVAQTPTVPQPECPGQIRPVLSSPAAALQNVIMLNPWIMGSSLKPASPTLPNGQIPTTIGETSLQGTDDQTVKWIGPSSVDSNGQKTDSSAASAGDNQNIDVIGDGSESPTSSNHSAQEIALMTSQQTFLNALKDSSFLFTNPVPTVETAPPLRVAPPINGTTNGTAKAGGPERKPRKPVNDDIVKIVRNQDLSEENISMFEIPVPKAIASDPTFRPVSEQQIIQQIIQGKKYEEMEVGECMIQLCKKLAEKRVFGPRLMSQTTVAGLNHSNYANLPIKGICYIQHVCRKVLYDKFENEEDFWDKFREAMRKLAARCRRVRHAKKTKHNREEAQAEMLSKRYGEDMPFNLNGAGLIRPKVETVSPEANILNSDQIKSQLESLFAHIPKTESETPLIEIIQQNISLFTHLIRTKVESQSPPLQGPQ
NP_524815.1 1 858 0.275546853146853 PF14694.6:LINES_N:441:801,PF14695.6:LINES_C:814:850 Protein lines 858 398 11 858 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9V4Z9 1 SwissProt MDTSSAAGSGAGTGDVCPCSTVATSTTSASNEQPQTKRQKIEQQIKVGSKALPPQPPHPPDILDLDANSNSHLCSSLSSSSSHSLSTPSTANNSPTTTPCSSRAASYAQLLHNILPQNGDTDLHSQPESAHDEVDRAAKLPNLLTLDTTNGNGSHASSRSNCLQATTATSIDDMLEFEQSLTRQCLCGVSERTLRKPFQSHYSQDTNGQKRIAYLREWPTNKLLQFLSNLQLLFDIYLKQNAKGFICTRIMDVCDALIRNDHKLIDEIIVLAGYENSYVQFLAGRVLAAFLVIAKQELNDEWLQKIVDQLFNFEQLDQAAVQKIHFSLDIIKRIVEWKDMEIHPLDDDWMASANSTSAASSVVPLSTEASVSYMHFPVQEQPLATNYFALQFREDTEGERETGQEAPDNRDRHRRHFGEDMNVAYEPHPAPQSTTMPSGCHVVTLTDSESFDTTHLKCITIQKLEHKWPTLVKNMSELMAPTHQDAAEHCVLNFLQLWENIISVKANLSIDETRPYYAQLDKFELLLSHSLSCTVYKQMLCLFNEALCYGSTLALQDMLPEETCKLAHQIVCHVRGFRILESLPRRQPDNMVSLIGYNGKPMVYANGTITLAHAAQSGDSEEDGAPLDLIEMDKTLLQKMVLLVLKSIAVTVKEIRSDSSDSSIDSTDYDAFQDMMLIERSIRDVLSKLETFIKQTLEFHPECHFSKILIHLFDDQDDHLIEAMVCTLDVTSGISFRNNAFPELVAMLNPVYTFLEFLKMTSNSSDLLLDLLVSNETCFLLYLLRLLKYIRMNWTMFVHSCHTFGMGSAMLDEAMGVLIRLRLQISRLVSRQLYPYDISPVLRLLESCESLYEGNELS
NP_564432.3 1 172 0.478551162790698 Light-harvesting complex-like protein OHP2, chloroplastic; One-helix protein 2; Protein LIGHT-HARVESTING LIKE 6 172 0 11 172 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FEC1 1 SwissProt MSVASPIQCIRILNPSSSSSSSTASSSFRFSTTTKPCVFIIRCSQTEGPLRRPSAPPTLREPQKPVPPSQPSSSPPPSPPPQKAVAVDGKSVTTVEFQRQKAKELQEYFKQKKLEAAGQGPFFGFQPKNEISNGRWAMFGFAVGMLTEYATGSDLVDQVKILLSNFGILDLE
NP_566025.2 1 131 0.574758015267176 WRKY family transcription factor 218 0 11 131 0 Arabidopsis thaliana NP_566025.2 1 RefSeq MEGGGRRVFSNYDLQQVTSSSTTIQENMNFLVPFEETNVLTFFSSSSSSSLSSPSFPIHNSSSTTTTHAPLGFSNNLQGGGPLGSKVVNDDQENFGGGTNNDAHSNSWWRSNSGSGDMKNKVKIRRKLREP
NP_566105.1 1 539 0.0878532467532468 PF01699.24:Na_Ca_ex:44:216,PF01699.24:Na_Ca_ex:367:531 Magnesium/proton exchanger; Mg(2+)/H(+) exchanger; AtMHX; Zinc/proton exchanger; Zn(2+)/H(+) exchanger 539 338 11 312 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22252 1 SwissProt MASILNQTQELQESSKVLGHLRCENFFLFPGENTLSDGLRGVLYFLGLAYCFIGLSAITARFFKSMENVVKHSRKVVTIDPITKAEVITYKKVWNFTIADISLLAFGTSFPQISLATIDAIRNMGERYAGGLGPGTLVGSAAFDLFPIHAVCVVVPKAGELKKISDLGVWLVELVWSFWAYIWLYIILEVWSPNVITLVEALLTVLQYGLLLVHAYAQDKRWPYLSLPMSRGDRPEEWVPEEIDTSKDDNDNDVHDVYSDAAQDAVESGSRNIVDIFSIHSANNDTGITYHTVADTPPDSATKKGKAKNSTVFDIWKHQFVDAITLETSESKKVDSIYLRIAKSFWHLLLAPWKLLFAFVPPCNIAHGWIAFICSLLFISGVAFVVTRFTDLISCVTGINPYVIAFTALASGTSWPDLVASKIAAERQLTADSAIANITCSNSVNIYVGIGVPWLINTVYNYFAYREPLYIENAKGLSFSLLIFFATSVGCIVVLVLRRLIIGAELGGPRLWAWLTSAYFMMLWVVFVVLSSLKVSGVI
NP_566146.1 1 136 0.686211764705882 Classical arabinogalactan protein 11 136 0 11 113 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FVE0 1 SwissProt MARLFVVVALLALAVGTVFAADAPSAAPTASPTKSPTKAPAAAPKSSAAAPKASSPVAEEPTPEDDYSAASPSDSAEAPTVSSPPAPTPEADGPSSDGPSSDGPAAAESPKSGATTNVKLSIAGTVAAAGFFIFSL
NP_566372.1 1 1053 0.281913865147199 PF04048.14:Sec8_exocyst:16:151,PF10475.9:Vps54_N:29:214 Exocyst complex component SEC8; AtSec8; Exocyst complex component 4 1053 199 11 1053 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93YU5 1 SwissProt MGIFNGLPVPSDKTYLREELARIDESWAAARFDSLPHVVHILTSKDREADIHILKEQSDVVEEVVDEVVHAYHGGFNKAIQNYSQILRLFSESTEKIGDLKHDLAEAKQSLGARNKQLHQLWYRSVTLRHIISLLDQIEGIAKVPSRIEKLIADKQFYAAIQVYLQSSLMLEREGLQTVGALQDVRSELTKLRGALFFKILDDLHAHLYNRGEYSSVASSIYERDDEVPTTTAVAASRMSSQPLSRRTRTLKGDSQFGVRGLTNGSYRTASNDESSSFDGHDEEDSVEHDESTADTARNGTDSKLLSHQLPPWLSDSTPDEFIEAVRKSDDPLHVKYLQTLVQCLCMLGKVAAAGAIICQKLRPTIHEIIISKIKAHLETTNLSKSACSQGDRSVAAGLHLIKGQSEAYRLSKEKPQNGISNSGTHLAVSPVSPLMAPGGKAQAAAKELLDSILDTIVKIFENHVVIGELLEMKASQHDINTPRSLPTDVNWNTESEASQATGGYTISFPLTVLQSECQQLICEILRATPEAASADAAAQTAKLAKKAPKKDKRDAPEDGLTFTFRFTDATVSISNQGADLIRQGWGKRAPNASLEGYGSAAVLPEQGIYLAASIYRPVLQFTDKITSMLPKKHSQLVNDGLLTFTENFVKDHLLPTMFVDYRKGVQQAISSAAAFRPRAHTTTYTATVEKGRPILQGLLAIDLLAKEVLGWAQAMPKFATDLVKYVQTFLERTFERCRTSYMEAVLEKLSYMLIGRHDIEKLMRLDAASACLPSTLGHAVSHSEAVGTEVELSDLFLSLRPIKQDNLIRDDNKLILLASLSDSLEYVADSIERLGQAVPRVASQAEGNSRNQAASPRNLASFADEYRKLATDCLKVLRVEMQLETVFHLQEMTNREYLEDEDAEEPDDFVISLTSQITRREEGMAPFISGEKRNYVFGGISGIAANASIKALADMRSINLFGVQQICRNTIAVEQAMAAIPYIDGETVQQNLDRVRTYFELLNMPFEALLAFIAEHDQMFTPTEYSNLLKVNVPGRDTPSDAQSRLLEILSH
NP_568405.1 1 352 0.641711931818181 Serine/threonine-protein kinase TOUSLED; EC 2.7.11.1 688 0 11 352 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q39238 1 SwissProt MSDDMVLHFSSNSSNQSDHSLPDKIAKLEARLTGKTPSSAKPPQQQQQQQQQVSLWSSASAAVKVVTSTPPGLSETSISDSDDENTGDFLIRANTKKRQKVQESNNFSVVDHVEPQEAAYDGRKNDAESKTGLDVSKKKQGRGRASSTGRGRGSKTNNDVTKSQFVVAPVSAASQLDASDQKDFRPDGQLRNGECSLQDEDLKSLRAKIAMLEEELRKSRQDSSEYHHLVRNLENEVKDLKDQEQQGKQKTTKVISDLLISVSKTERQEARTKVRNESLRLGSVGVLRTGTIIAETWEDGQMLKDLNAQLRQLLETKEAIERQRKLLKKRQNGDKNDGTDTESGAQEEDIIP
NP_570016.1 1 196 0.151852040816327 PF06585.11:JHBP:17:195 Circadian clock-controlled protein 260 179 11 196 0 Drosophila melanogaster (Fruit fly) SwissProt::O76879 1 SwissProt MQLTGASMFLVWVGLLSWVSCRVDASEGFPSPLKRCKLQDESCLLAQAQTFFQAFKNGIPERQVAALEPIALGTMFIESGGHSESIKFKLTMSDAKLYNLANSMMVKSLKGFTKDLTRPLKLTLLLDNPELEVRAKYDVDGKLLILPIVSKGDLTIRLNDVHTKVWITAEPVKRSDGHTYLNITDYKTATKIKGGH
NP_571121.1 1 278 0.523494604316547 PF02165.15:WT1:1:278 wilms tumor 1a 419 278 11 278 0 Danio rerio NP_571121.1 1 RefSeq MGSDVRDLNTLLPPVPPLPGGNGNCTLPVSSTPQWPPMLDFHTGAPYGSLAQHSFIKQEPSWGTADPHEDPHCGLSAFTVHFSGQFTGTGACRYGAFGAPAASQPPPSQPRMFSNSPYLSNCMDSQSSSRNQGYGTVAFDGASNYGHTPSHHTPQFPNHSFKHEDSITQQSNMGDQQYPVPPPVYGCHTPSDSCTGSQALLLRNPYNSSDNLYQMASQLECMGWNPVNSLASTIKSHPGGYESDPSTPMVYSCSTQYRIHTHGVFRGLQDVRRVPGIT
NP_571309.1 1 233 0.527717596566524 GATA binding protein 1a 418 0 11 233 0 Danio rerio NP_571309.1 1 RefSeq MENSSEPSRWVSPGLLSPDPLVNYTTDSGLLPPVDVDEPFYSSSETDLLPSYYSTSVQSRASSSYRHSPVRQVYSSQSILGNIQWLDNSAGHSLNSPYNPTSTVWSSSPFPKTPLHSHTSTSIYQNTATPSFTSPKEGFPSPSRDGKDSPRLQEVLKAERLSPMSGSGSSFLNLSSATGGVYGPSPHMLSPYGSYMSTSQDYSSAALYSTGGPWMSPSSYSPKLRNKMRLSPP
NP_571719.1 179 407 0.668350218340611 SRY (sex determining region Y)-box 9b 407 0 11 229 0 Danio rerio NP_571719.1 1 RefSeq EQTQISTNALFRALQRAETPDSSTGELHSPGEHSGQSQGPPTPPTTPKTDLPVCSKADLKRERERDRERPLQDGIDFGAVDIGELSSDVISNIEAFDVNEFDQYLPPHGAPGPAGAGFSSGYGSAAWMHKPLASSSMANAGEQHQQRAQIKTEQLSPGHYSQQPPQQQFYSAPYSRAQYTEYSEQHSAYYSPYPTFSYSRPPYTPAAAADTAHTHHWDPQPVYTQLSRP
NP_572433.1 1 414 0.126975120772947 uncharacterized protein 414 0 11 414 0 Drosophila melanogaster NP_572433.1 1 RefSeq MFSINAVILGILVTSVMAQDARGTCELSIGSPAPVVISNFGSQRLLFDSVRKLERENYQTIDLFCASGFSIDYYNYYNNQISTTIKATKLTMTCDPIHRFTYKDGIKDVISVRCLEVGPQLFESMNKLPNCTGMTLVVGRKFDGIGLIKYSALCYDLAAFQLKYIGYTTNFNNIQIFPETQLGQLNDIGLDTPISYQKNLFETISKSELDAYLAKEKQLFTEDTFQVGSLVQDEMVSRHLVVYEDLLTTVWLEVLRSGNWKHWTKAMRDAIGVHFDIRLGVSGTVKLPTFAGQSCNGSRSLNIELIGGKFLPIPAHIWAHVHAVEKSGTGQDEFVIIGHNSPFYRSDLSNLCPSMCNQVSWLRNTLFSRLHEFPAFGMVQCCRVEDVAHKLDNFPGPFENENMNVSRTTEATTI
NP_587963.1 1 956 0.209175104602511 RNA-silencing factor ers1 956 0 11 956 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O94717 1 SwissProt MGKVSANNQSGFFKFYIDTALLLNAEFISSFTNCINSEYNGKVKISYSSSSQQFKVIVYEEHKREAFSLFEEIVQKLKRESTVYKKPRLDIHPFGQLHYDFTTLLNFQRYLDLGYGIEDVKIKENEDIVKSKVGKKPTSSDFYIPCLIKPKIITPFEWEGNFKQVIYSPTVYNGSFKRLFYLPDNNDLLKEFAHATNTKYKASLKDNKIVVLANNDQDINAMLEKMKHIENLVSQKVYEYPEETLVYAGKIAPCQLKFLKLKFENEILYTAMHTTSIFKSVFNILGSFYTMRLLRNKHDGDSYELVPVFAKNPSKTPPCNDNFKIFSDDIKLIGCKIFAGVSLNFSSPKPAHRFYELNKTSSNLSIPVLQKPSNFHSSSTELSDNSIHQGRRAVDPVVNQNNPSNFEEMIMNKLNKLPTIDKQILGTSSLTHFQDKTTAIEHSINKSNSKQPPRFKFQLPPRPTSNTLPLEPEEELVTRYSVSSDGNTVDEAITKQSQTFQLVNSNEFNEVNANDVHKSLRQNCAKLDFDDSKSKNLLSVECLELDKGSDCSTPKSGSLTPSIDMKFLRLQDEKMDDLGDNYYTILMSSNPVSSYGVGSLYLFQPKIVCSEKYINHEEIDNMNLKSLHRWLSRSLHVLQSFSGEIELNLEFGVILYPNISSDVSACSHGFMNIYKDLNLPRSYFADCITKSVSNIDSLLNTPVKILFGRTEYTYPLIEHEVLDSKNYFVFKGSLLFTDDKRNKTEDSTVFFSMICSSKLDQFAFYKDSKQSSTCTINFPLALWDSRLRIETKVPLNDAILTEFSKSIRFRNVNKDLLLVFGNMEDRVIIHSVTRINENSVPFNKNLLPHSLEFILKCSKVKSYDISPSSINSKEAFVCLDRSKESKPIESCFSLSIQSVYMQSQFKYNNSIRAGETAPWKLANKQFIGGAITENVSDLYTAAIIMVNQLGGIHPTI
NP_588210.1 1 735 0.328296870748299 Coiled-coil quantitatively-enriched protein 1; Structural maintenance of chromosomes protein ccq1; SMC protein ccq1 735 0 11 735 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10432 1 SwissProt MSEINDFTNSIIINESDTKYEVYSEVNSSNRWIAKEDCPSPLEDVWLLKLAGHKRKLEEPLSCMRNEEPASKQREIEKFLRTDLDHSENDFLTQEVDEFPSTQQLFPIPLQNDTAFDSSEESITKSSKSSFSVLDIGLPMSALQRKMMHRLVQYFAFCIDHFCTGPSDSRIQEKIRLFIQSAHNIAKHPSLYDTEVRNPSAAESTNSHVSLDASNFSSYAENSSKFLFLQELFKNLSPSYSKTFFLFISNQFLANTLTQWLKSQNIDAELWAEEDAKTSQHPAIWICVSKKAPSASHFLQSCPDLSATIFYDIEAYMSVTSSLPSIQSLVLRLIHLGSIEHAIKCFQSSYNASFLVNIVGVVATLSSSSEENSEASNLSTLFEKSGNFEEILGSESHSSITEKTRDIAKNVATWLKNGENFSSWPLPPLMDLASLSVAEPRDSQPSVSQVNDTFVKSSDSTFPSSQSMQSPSKLHSLTSNATDLLSSSSLKKNFFSQQEADEVELSNNYDLQGAAVQYLQRRLRMVEDELHEAINSKNVQQSRSEELEQQISKLTDNLQEYRNTVRELKLDLEKSKKKNEDLSKLEVEKVEEIANLKKELTHLAKQQEFGFKYVQEFSNEDLQGKLIEANEKNYKLTQLLKTQKEDADFITNQYQNASTFAAEQSKEVAKLQAECKRLQAINSKVMEEVKVYNDSRVEALLAKVSSLEETLKILEQKSLPKFTPHNQSPRIIDSN
NP_593139.1 233 672 0.0566859090909091 PF07778.11:CENP-I:20:110 Inner kinetochore subunit mis6; CENP-I homolog; Constitutive centromere-associated network protein mis6; Sim4 complex subunit mis6 672 91 11 440 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P87227 1 SwissProt DVPLETWSSEKRKRQSSLIPDLITMKNTSSSYSLEELTSVQQMGLVYEKIVFPSRIAAVLKSKLFLIFLFLKNKNVYYSRLDEWLHITLNYGLALRSGSNNQEEEVLHLLYKYLLFSPKFPKSLLQYVITFFSKPNITEENYNLLTLLVTHIPITTDSSYFNSLLKEFEQFILQKNAEFCSKHLNILWLWLFRMLNLRIASMGNNHTLLEKCLLITNHATFLVSHFSWDVSLAYQLSRLFQLYYKILTKIRKQIEPNIPPKELIYVLFFQPSAFYINSMVGLLLLTKNYQERLMDSRIDAISKFTHSYLKSLSEIILLKEKRAILSFLQLWEPFKSDYSQFLPIATRIANDHPYAQRVFSLTCAPQFFSYINGYQIYLQQTNPATGSIPLKPIQEETFGAFQSNLHLSDSWEDFQKNFIIYLKKKGYLAISDFLLSTLNR
NP_593305.1 298 722 0.535900705882353 G2-specific protein kinase fin1; EC 2.7.11.1 722 0 11 425 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O13839 1 SwissProt SDLLHKKHQMLIQLENDLQFREQRLSARESELENVIASRLAQREEILRRELEKQLRDMDARYQRHMQTVVNSMQKMRVTSPVDHNEQPESSTAEMFVDCTIEASQSPLLHIPKLGISKPLQTLSCPGFTLTTQQPILKRPTLRKELSSRALHTTATLMKYRANASSLRTTPIDKDGQITSLQQKNGTSNQVADCMNKLLHTSLDGKKLSPSELCNKFSDGEGLPNRKVSKLSVESDETAVSASSGESVPTDSTLTDTKSKSVFVHPPSPQSLYVEKLEKLNIRSDEVSKPSKASKTLHGYALPSLASPYDVHAEEKIARENEMDGNFKTMKINQHPDEYVLRTPKKIQLLEGQKRSPVKQLGRLGYNKLRRSAMDNAGLELRKAASTSNYTSLQSRTLPGSWRDDEEEIPRPFLRKMLDARMMRA
NP_593613.1 1 461 0.513178958785249 PF01302.25:CAP_GLY:5:73 Tip elongation protein 1; Protein noc1 461 69 11 461 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P79065 1 SwissProt MFPLGSVVEVITGERGFVRYAGEVENRKGVYVGLELLPEFAEFGKNRGVVDGREYFKTKNNEKTGIFVPFDKCKLASSISSSPSPKIDGTAASIGMGFPPMSPNLQSSIPRLTNVSSSSNLSMNTISSTALTPTEKILQKRIEDLLYERQNHQQQLEEVLATVDQLQSLVTNFNDQQDEVDELRERITLKEERIQQMRNEASQRRFEFKTTIECLEESSNRAIETYENRIAELEAQLEMYMSGKSEDDLLFSLQQERDYALNQVEILQERVDTLMKQKANSSTANEKLSHMESSSPTLTNASFESPKRGKGSNDLPENHPQRRQTLEFYEIEIEVLREKVEKLQALSDEKDFYISKLEKSLDRNDTTPVPSDEKLSNYAAEKENLVSRISELEHTIEQLTINNERDNERMSPAEFELETTQEVEENDSDSHDDEETWCEVCETNNHSLQECPTVFGSTDEA
NP_593910.2 1 1400 0.259487785714286 PF12231.8:Rif1_N:110:471 Telomere length regulator protein rif1 1400 362 11 1400 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q96UP3 1 SwissProt MTKEIAVKEASNMLLQEPSTPSSQAVGLSSSPSSSIRKKKVNFSSELENSPGGNRPSFGLPKRGILKTSTPLSSIKQPNFQSFEGNESEKETSLQELQSSFCSGIENLQHVEKSARIETYSKLSSFLKIYTPSLPEDPIFPLLNQLCNFLLSDRCSNNSEGSPDFQLNTQANKLLSILLWHPTISSHIQPETATVFIEQSLNFLEGPKLTKALAAQHLHLLSCQKCPLSIHPLCNRILDVCFNISFPSLVIGQERLAVLTKILSQFPLEFSRRVVDWAPYLLACLVDASRPIREKALLLALDLSKHLYHDKLVARTILANFRSDIKGTAFVLIMTEQFEKLVIEEDDGVYVAHAWAAIISVLGGARISSWEYFNTWLKIIQLCFNSMNPLTKCAAQTSWIRLIHEFSLSETLTQATKRLTLLCQPISMVLGSRNLPTVKNAAMTTLIALIYACLRPGISDAMLSLLWDSVIVNILEKCALKNEVTIFESSNILLALFNTLSNGVWKDDRLVCRESVEAKELPKLNPVWVRANCSRTIEPVKTLLLLAKPDHTVKTTTPARKQHIRGLSYEQSSSVWSTYIKCLASAGQKEIKRSVETGRAICCICSSLHKFLYSKSIRKDELYVERVSRFALMVKSAIEAFGINTFVEASYLVSDNQLVLIDQTKAIDSYDHVPISPLIYLLHSLALLTNGTLFSTVHAAYSSILSSIEEYHLRFGLKLMLLWDCVSPLSDDGTLVLARVLVSHEVSRLTSEALLSELKSRNGNNSVEEGFSEEERSILLKLLSWNVKFCSISDAGSVNNLLQQYFTAIYKFEGCGSVFPFVVDPFTTILDDVLSFEANKVYSFAISLMKVSTFESCTKELPPVTLPENIRQHLDSYNHMVELYNTLLQRLSSSDQVDLQCRYLHELSEFIKKIPKEFIFHTICKLSKGLIPCFLMNAFPQLEKSTTLQKSCTNFCILILQLLLNSTATASNILESLSPLLTSGLKSISKEVVLAAIKFWNQVFGKFESEEYPIELQKTISYLSKTYIILLPFQSLCPGGKQANHQSSEKMSDILKGVDELRSVSKNGPYASSQDKGEKTTEFSGPGKPNNDNYIQIASVQELDDSSKGKAGKMPASKKNKRQKGDVKKIDETKNEATDMEESLTTPSGKVNKEVIVDDTSLRDEAIVPDKAIDVADNSNALLKENISSQSNRKADNNGTPSVNNSFTTANNDECSKENSQIEPEGQTASREGVLSTPRSTRKKRKLGRKSQSSNVNKEVAISEVSATLENVEVIERHGISEQGQNLDESACVLTNESSLSQTEIPEEKTENETTAVNGFENSKKRQFSSLLSGSIDTNNESNKVSSVEFDKSGPQDIIQSMTEATFEIEKNIQDLKSEEVQKLSDLLMRLQRAILSRIA
NP_596460.1 142 522 0.258384251968504 PF03184.19:DDE_1:67:244 ARS-binding protein 1 522 178 11 381 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P49777 1 SwissProt AINEQPTESVVLNNTEPPNDPLSRVYDVTRLTNINDIFTMQETGLFWKLVPNGTPEVEDIKGITRFKARITLTVCCNASGTERLPLWVIGYSQSPRVFRAANVKPEVMNFKWRSNGKASMTTAIMEEWLRWFDACMEGRKVILLIDSYTPHLRAVENIRNSGNDLRNTTVITLPSTSASISQPCSEGVIYALKACYRKHWVQYILEQNELGRNPYNTTNVLRAILWLVKAWTTDISPEIIENAFNLSGVLGLFNESAVTSRALDEMIHPLRELVSEFSVQAAMRIEDFISPSEENIVDSSEDIINQIASQYMDDRAFETDEEESTEFQITTKDAMKAIELLLNYEAQQPDGNPAITISLLNYQKLLEARGGNVNLSRLRST
NP_596702.1 1 593 0.460262563237774 PF09329.11:zf-primase:291:336 DNA replication licensing factor mcm10; Cdc23 protein; Minichromosome maintenance protein 10 593 46 11 593 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O42709 1 SwissProt MHDPFIAEENDLDLEEKRLQRQLNEIQEKKRLRSAQKEASSENAEVIQVPRSPPQQVRVLTVSSPSKLKSPKRLILGIDKGKTGKDVSLGKGPRGPLPKPFHERLAEARNQERKRSDKLKTMKKNRKQSFQRKRNILEDGKSEEEKFPMKCDEIDPYSRQAIVIRYISDEVAKENIGGNQVYLIHQLLKLVRAPKFEAPEVDNYVVMGIVASNSGTRETVNGNKYCMLTLTDLKWQLECFLFGKAFERYWKIQSGTVIALLNPEVLKPKNPDIGRFSLKLDSEYDVLLEIGRSKHLGYCSSRRKSGELCKHWLDKRAGDVCEYHVDLAVQRSMSTRTEFASSMATMHEPRARREKRFRGQGFQGYFAGEKYSAIPNAVAGLYDAEDAVQTERERKERYKKQRAQAEREREILVRLSKRCCASSSSSSNSNNLSTGMSMRTLGHQYLNLQGSGVKNLHDKGNPTALSKDSEIDSSTKKPSVLASFNASIMNPKSSLPSFSNSAILGTNDAASGTPVPQDTTSTKVSPAVVFTSSPRIFSPQSLRKIGFDPTHSADASTTHSTATGLSRSGSLKNIKFRYEFTESDDEDDLEIVP
NP_596764.1 322 642 0.67623769470405 Fork head protein homolog 2 642 0 11 321 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O60129 1 SwissProt SPVPLLAKKREGSPSLPIPILPKMKDTSIPAAEPASSTTSARDQTPSTPKDVGSPSTAETSAEEKQMETYKTPTHAALSDIISTHDYALDANSASQTKKAAFGSPIGSSTYPTSSPAPFWKYVAVPNPHDWPQVGSYDTISPYRNPVNSHLIYSQIQQSSPKKIDEQLHDLQGVDLVNGFEGISSWRESMVNKLRSSVSDSPTMNLANSNSKSSPVAVQRVSTLPQASANKQAKEMESKMSNSPTQKSKTEENNQAVRAILDASATMEKQYDLHRLPTPTSQTESASVPQIANPPNSQNLVKEKSPQQYIQVPQSNVKSSA
NP_601137.1 1 259 0.19669305019305 PF00455.22:DeoRC:77:237,PF08220.12:HTH_DeoR:6:61,PF00392.21:GntR:18:57 transcriptional regulator of sugar metabolism 259 217 11 259 0 Corynebacterium glutamicum ATCC 13032 NP_601137.1 1 RefSeq MYAEERRRQIASLTAVEGRVNVTELAGRFDVTAETIRRDLAVLDREGIVHRVHGGAVATQSFQTTELSLDTRFRSASSAKYSIAKAAMQFLPAEHGGLFLDAGTTVTALADLISEHPSSKQWSIVTNCLPIALNLANAGLDDVQLLGGSVRAITQAVVGDTALRTLALMRADVVFIGTNALTLDHGLSTADSQEAAMKSAMITNAHKVVVLCDSTKMGTDYLVSFGAISDIDVVVTDAGAPASFVEQLRERDVEVVIAE
NP_610937.4 1 4012 0.738237288135594 uncharacterized protein 4012 0 11 4012 0 Drosophila melanogaster NP_610937.4 1 RefSeq MVSKSNKKKQVSQQQQSSTSPPPATSTTTSTSSAEATKLTKKLGPDAFATLTTSNSSSSMQHESVTYGGDPVGPSTSGASLPAAGVRSYSSRSSQSKSKASQSHSSYTEQSQSQLQSEQYSSKISRDYANQKIISSIDLLESEKKEPVFSVPIDVVEIATPTLSVSASGGSVSKMFTSSSMSSSQQQQQASSSSSYFEATTSSSRSANETLIASERADTATGMTSSGPRKQVGFDTDTKTVTNATTSNSNSSSSSTSTNININTSGSRSSNLSESQSVPKLPMSGTADAPASVTSQGYPEPVAASSGATTTKATSSTRRNDKLEVASAKTAVDRATSSSSMVDQRSTKEVSESSVLKSSTSSKQSKSSSKKEVYDVKTKTWTEYSDGSSHGPSKTRPTFERYVSKESDGTSKVTYKKKIYDRRNNRWRVVDERTVDSTADTGYPEIVDDVINTTRTTYTTKVYDTKLGKWTVVDEKSFTDTKAFVPNDIAREIEKDNTDVANITTTTEVTKIFDASINDWRVLDEKVHTDVIEKIVEAPKKTIYVDEFVEIEKNTSISENNENITLNLKETSKHKNITENIYDEIDYVRTDKQRLNDSRTRGVAKNKSTTHSERCICEICTCGRHHCSSSTTKSTENTVIQTEDNVDEAYTRIRTNTWSKEDNGNIPKQNEDILVDYIVIKKPEDNLRPEGDFIVPPKEPYKPGEKREKIVHTDNLRTEGEMTFVEKEEYQYTVRPGYVKPTDNLKPEGEFYSPEKPKYQPGDRPSQVRHQDNLKPEGEFYTPEKPGYAPADRPTQKRPVDNLKPEGEFVSPEKPKYTPAERPEKIIRSDNLRTEGEMTFVEKEEYQYVTRPGQVKPTDNLRPEGSFYSPEKAKYRPGERPSQVRPVDNLKPEGDFYTPERPGFESAERPVQKKPEDNLKPDGEFVRPEKQVYKPADKTERIIRKDNLRTEGEMTFVEREEYHYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFVEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFVEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPQDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPQDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPQDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPQDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFVEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPQDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFVEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFVEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFIEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFVEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFVEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPEQKKPEDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRPAERPVQKKPQDNLKPEGEFVKPEKQVYRPADKTERIIRKDNLRTEGEMTFIEREEYQYVVRPDQVKPLDNLKPEGEFYSPEKPKYKPGERPSQVRPEDNLRPEGEFYTPEKPGFRTAERPEQKKPEDNLRPEGDFYSPEKQPYRPGERPTQVRPEDNLRPEGKFFAPEKPGYKAGDRPVPKKPVDNLKTEGEFFTPDRPKYQPADRVTVVRQKDNLKVEGEFYVQEKEVFKPAERPKQKKPHDNLKPEGEMVIPEKGKYKPADKVTRVIHKDNLRSEGEMTFTEKTEYHNVVRPTPVKPEDNLRTSGKLYVPEKPVHTNGERPEPVKPKDNLKPEGVMYTPEKPKYEPASRPEQKKYADNLKPEGKMHIPEKDGYRPADKVKTVIRKDNLRTEGEMTFTQKEEYHHVKRPEQVKPSDNLKVEGEFYTPNKTSFTPAERPVQKKPKDNLKPEGEFYKRTDRSETDSKMVTETIKRETPKRPVDNLKLEGSMTVTRRNDYKSTANTTVDKVQRTQKINHNSSSITLGSDTTIRKTTNQMNYVSGKDAVKQVDSNGQNPVDGLIVVSTTKVTTVIGGRHKKEPETEYVKRPAKINVIENVAKNTTTTNIENTQNIHKESTSLQRNQKVIKGTEIITGTDVSSTAIESNRSHLTDKNTGDISSKVISGGSVSNNVTGETSSRVISGRNVTSNITENSTSRVMSGRNVSSDAFGETTTRVVSGRSAANNITGDTTSRVLSGSTVGHNISEDSTSRTVSGGRSSANNIVTGSTSSHMTTSKHFHHRKSEFSSEADVSNTVFHRKNVTTDSNTANGSLTSNGKMQRKSILNLHEQPSSTTTYGGERQSYSSIHRQNRDSDANATFSTERRTCNVHKENREQTVKNSSSMTRIISGGTSGSNAERSTVTRSHVSGGGGIDFSSQSHSHTGSHTSRHRGGNQQVSSLGGGIDFPSYSAHGHGAERVVTRRGNQSSISLGNEKFTGSSLYKSEYITAPKTTCAVHKIKQGAFQHTRSTQEHKFFKTSN
NP_619596.1 1 888 0.295997522522522 PF07810.13:TMC:556:671 Transmembrane channel-like protein 2; Transmembrane cochlear-expressed protein 2 888 116 11 730 7 Mus musculus (Mouse) SwissProt::Q8R4P4 1 SwissProt MSPQLKSLDEEGDKSARRPTRKQTSRAACPQDGHRAQSSRKDPAKGSPRPGSSRKKQMEHGSYHKGLQGQKPRKVERSLQGRKKDRRTSLKEQRASPKKEREALRKEAGKQLRKPRSTSLGSSVSTGDSLSEEELAQILEQVEEKKKLITTVRNKPWPMAKKLRELREAQAFVEKYEGALGKGKGKHLYAYRMMMAKKWVKFKRDFDNFKTQCIPWEMKIKDIESHFGSSVASYFIFLRWMYGVNLVLFGLIFGLVIIPEVLMGMPYGSIPRKTVPRAEEERAMDFSVLWDFEGYIKYSALFYGYYNNQRTIGWLRYRLPMAYFMVGVSVFGYSLMIVIRSMASNTQGSTSEGDSDSFTFSFKMFTSWDYLIGNSETADNKYVSITTSFKESIVDEQESNKEGNIHLTRFLRVLANFLILCCLCGSGYLIYFVVKRSQEFSKMQNVSWYERNEVEIVMSLLGMFCPPLFETIAALENYHPRTGLKWQLGRIFALFLGNLYTFLLALMDDVHLKLSNEEKIKNITHWTLFNYYNSSGGNESVPRPPPHPADVPRGSCWETAVGIEFMRLTVSDMLVTYLTILVGDFLRACFVRFMNHCWCWDLEAGFPSYAEFDISGNVLGLIFNQGMIWMGSFYAPGLVGINVLRLLTSMYFQCWAVMSSNVPHERVFKASRSNNFYMGLLLLVLFLSLLPVAYTVMSLPPSFDCGPFSGKNRMYDVLHETIENDFPKFLGKIFAFLANPGLIIPAILLMFLAIYYLNSVSKSLSRANAQLRKKIQALREVEKNHKSIKGKAIVTYSEDTIKNSSKNATQIHLTKEEPTSHSSSQIQTLDKKAQGPHTSSTEGGASPSTSWHHVGSQPPRGRRDSGQPQSQTYTGRSPSGKRTQRPHN
NP_648601.1 336 835 0.5540696 PF12063.8:DUF3543:285:489 Autophagy-related 1, isoform A 835 205 11 500 0 Drosophila melanogaster NP_648601.1 1 RefSeq VVANPAICATITNVGVLCDSENNSGSCSSHEDSDDFVLVPKNLPEDQRQGLAQVQAQPASGGQRPQQQQNQSSPPRPSSLPISEPKPVPAPARRQVARPGPLTVATLGGQQIPRSQPISVKQPRPDQRKSSVSSDINSISPPAVQFAIGTPPTRMRSASGGSLSETPPPHAPSTWQVSPGHSQSPLRRSGNSSPVLPSAALTKLPTLGSPTMLVAPGSLGSIGSAGSGSENNNQHHMLGPRAFTLPELGATGGLHSLLDTGAGGGGEPHAFQAPELSEETLMDREHNETLSKLNFVLALTDCIQEVADSRCAPLSTFMVAGSQSAAQAASADAQQIPPHAPEHCKRAERLVLLVRGLQLLSSGMNLASQQLSNGQLKPSSNVKNALLTMNAKYRSMLFESKRLNGSGLLQKANAFNITADKILYDYALDMCQAAALDELLKNTKNCFERYNTAHILLHSLVQKCNHPQDKMMLNKYRDAVEKRLSILQQHGYIYMTDENA
NP_648971.1 1 211 0.515352606635071 Allatostatins MIP; B-type Allostatin preprohormone; Myoinhibitory-like protein 211 0 11 188 1 Drosophila melanogaster (Fruit fly) SwissProt::Q9VVF7 1 SwissProt MAHTKTRRTYGFLMVLLILGSACGNLVASGSAGSPPSNEPGGGGLSEQVVLDQLSESDLYGNNKRAWQSLQSSWGKRSSSGDVSDPDIYMTGHFVPLVITDGTNTIDWDTFERLASGQSAQQQQQQPLQQQSQSGEDFDDLAGEPDVEKRAWKSMNVAWGKRRQAQGWNKFRGAWGKREPTWNNLKGMWGKRDQWQKLHGGWGKRSQLPSN
NP_650027.1 1 275 0.354814545454546 PF01498.18:HTH_Tnp_Tc3_2:84:164 uncharacterized protein 275 81 11 275 0 Drosophila melanogaster NP_650027.1 1 RefSeq MVLAPEVRSIVKALKGSNSCEEIAQILECDVESVISCIRECELLEGKTATLRLPQKLKTAAPVAVAQEEEKKRDVGRPKVLENRQIVEQMLSDNPHYTSIDVQRELARHGIEVSRRTLQRRISEIRSKGIRQPRGSPQPKEPSTFGLSEGAKKRRLAWATAHQDWTVRDWRNIFNMDDLKFVDESTPPKEIFLDSLMGPEGSVCNDLNLLEQLMAIIQPRIQNQAPKNVSEFRAVLYDVWHSDQDMVDKIEMLYESMTWRVSAVLRNGGDQTEFC
NP_650983.1 1 173 0.119819075144509 PF03045.15:DAN:47:138 Bursicon; Bursicon subunit alpha; Cuticle-tanning hormone 173 92 11 153 1 Drosophila melanogaster (Fruit fly) SwissProt::Q9VD83 1 SwissProt MLRHLLRHENNKVFVLILLYCVLVSILKLCTAQPDSSVAATDNDITHLGDDCQVTPVIHVLQYPGCVPKPIPSFACVGRCASYIQVSGSKIWQMERSCMCCQESGEREAAVSLFCPKVKPGERKFKKVLTKAPLECMCRPCTSIEESGIIPQEIAGYSDEGPLNNHFRRIALQ
NP_671523.1 1 337 0.141265578635015 EVM005 650 0 11 337 0 Ectromelia virus NP_671523.1 1 RefSeq MERYSLHKYFYIASKKSHESQYFENPENNTINATNKNEYDDYLSFYNTICNEPNVEYKELSTKDASTQTWEETNVVDDRYKKNSSILGYSDEIRKNDLDMNIVKDFLANGYDLTVKDYYLNTAVSAYFKRDIMNLEMIDILNDYLTMNQRSSLVHVYLLNHKKFDYPFFKKLVLTNKHCLKTYYCGDFNGLTRIFYGTPLHVLAGLKSLITPNYMKLLVYNGNDINAMNENTPLGTPLHYYLHGFTRSNFYNETIIDTFIELGADIIMPNACNRIPVITAIHNINNIDNIQNIKILRKLLILSKHAKPELFRDSVIHDYIKKSYIDTECLDIIRSLD
NP_680187.2 227 554 0.603159146341463 Outer envelope protein 61; Tetratricopeptide repeat domain-containing protein 7 554 0 11 305 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::B7ZWR6 1 SwissProt LAVEGPGKASRGVVIEDITEENNVTSGENKKPSKEANGHAQGVKTDVDGLQALRDNPEAIRTFQNFISKTDPDTLAALSGGKAGDMSPDMFKTASSMIGKMSPEEIQKMVQTASSFKGDNPFAPTAPSTENGFTPTPDMLKLASDMMGKMSPEERERMFNMASSLKANAPASTSYGNAEASEPRESLGASGSSSGNSFVAPRSGFEPSIPSAPPADLQEQMRNQMKDPAMRQMFTSMIKNMNPEMMASMSEQFGMKLSQEDAAKAQQAMASLSPDALEKMMRWADRAQTGMEKAKKAKKWLFGKGGLIFAILMLVLAMVLHRLGYIGN
NP_689420.1 122 498 0.702475596816976 ubiquilin-1 isoform 2 554 0 11 377 0 Mus musculus NP_689420.1 1 RefSeq PAPDSNPTSGSAANSSFGVGGLGGLAGLSSLGLNTTNFSELQSQMQRQLLSNPEMMVQIMENPFVQSMLSNPDLMRQLIMANPQMQQLIQRNPEISHMLNNPDIMRQTLELARNPAMMQEMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMLNAAQEQFGGNPFASLVSSSSSAEGTQPSRTENRDPLPNPWAPQTSQSSPASGTTGSTTNTMSTSGGTATSTPAGQSTSGPSLVPGAGASMFNTPGMQSLLQQITENPQLMQNMLSAPYMRSMLQSLSQNPDLAAQMQNPDTLSAMSNPRAMQALLQIQQGLQTLATEAPGLIPGFTPGLAAGNSGGSSGTNAPSTAPSEDTNPQGGTAEPGHQQFIQQMLQAL
NP_689597.1 1 341 0.183723167155425 PF07289.11:BBL5:7:339 Bardet-Biedl syndrome 5 protein 341 333 11 341 0 Homo sapiens (Human) SwissProt::Q8N3I7 1 SwissProt MSVLDALWEDRDVRFDLSAQQMKTRPGEVLIDCLDSIEDTKGNNGDRGRLLVTNLRILWHSLALSRVNVSVGYNCILNITTRTANSKLRGQTEALYILTKCNSTRFEFIFTNLVPGSPRLFTSVMAVHRAYETSKMYRDFKLRSALIQNKQLRLLPQEHVYDKINGVWNLSSDQGNLGTFFITNVRIVWHANMNDSFNVSIPYLQIRSIKIRDSKFGLALVIESSQQSGGYVLGFKIDPVEKLQESVKEINSLHKVYSASPIFGVDYEMEEKPQPLEALTVEQIQDDVEIDSDGHTDAFVAYFADGNKQQDREPVFSEELGLAIEKLKDGFTLQGLWEVMS
NP_689628.2 1 397 0.36135113350126 PF07200.13:Mod_r:236:380 Vacuolar protein sorting-associated protein 37A; hVps37A; ESCRT-I complex subunit VPS37A; Hepatocellular carcinoma-related protein 1 397 145 11 397 0 Homo sapiens (Human) SwissProt::Q8NEZ2 1 SwissProt MSWLFPLTKSASSSAAGSPGGLTSLQQQKQRLIESLRNSHSSIAEIQKDVEYRLPFTINNLTININILLPPQFPQEKPVISVYPPIRHHLMDKQGVYVTSPLVNNFTMHSDLGKIIQSLLDEFWKNPPVLAPTSTAFPYLYSNPSGMSPYASQGFPFLPPYPPQEANRSITSLSVADTVSSSTTSHTTAKPAAPSFGVLSNLPLPIPTVDASIPTSQNGFGYKMPDVPDAFPELSELSVSQLTDMNEQEEVLLEQFLTLPQLKQIITDKDDLVKSIEELARKNLLLEPSLEAKRQTVLDKYELLTQMKSTFEKKMQRQHELSESCSASALQARLKVAAHEAEEESDNIAEDFLEGKMEIDDFLSSFMEKRTICHCRRAKEEKLQQAIAMHSQFHAPL
NP_689826.2 1 309 0.386561488673139 PF02893.20:GRAM:17:134 Postacrosomal sheath WW domain-binding protein; WW domain-binding protein 2-like 309 118 11 309 0 Homo sapiens (Human) SwissProt::Q6ICG8 1 SwissProt MAVNQSHTENRRGALIPNGESLLKRSPNVELSFPQRSEGSNVFSGRKTGTLFLTSYRVIFITSCSISDPMLSFMMPFDLMTNLTVEQPVFAANFIKGTIQAAPYGGWEGQATFKLVFRNGDAIEFAQLMVKAASAAARGFPLRTLNDWFSSMGIYVITGEGNMCTPQMPCSVIVYGAPPAGYGAPPPGYGAPPAGYGAQPVGNEGPPVGYRASPVRYGAPPLGYGAPPAGYGAPPLGYGAPPLGYGTPPLGYGAPPLGYGAPPAGNEGPPAGYRASPAGSGARPQESTAAQAPENEASLPSASSSQVHS
NP_694545.1 1 514 0.238071011673152 PF14921.6:APCDDC:51:282,PF14921.6:APCDDC:290:467 Protein APCDD1; Adenomatosis polyposis coli down-regulated 1 protein 514 410 11 494 1 Homo sapiens (Human) SwissProt::Q8J025 1 SwissProt MSWPRRLLLRYLFPALLLHGLGEGSALLHPDSRSHPRSLEKSAWRAFKESQCHHMLKHLHNGARITVQMPPTIEGHWVSTGCEVRSGPEFITRSYRFYHNNTFKAYQFYYGSNRCTNPTYTLIIRGKIRLRQASWIIRGGTEADYQLHNVQVICHTEAVAEKLGQQVNRTCPGFLADGGPWVQDVAYDLWREENGCECTKAVNFAMHELQLIRVEKQYLHHNLDHLVEELFLGDIHTDATQRMFYRPSSYQPPLQNAKNHDHACIACRIIYRSDEHHPPILPPKADLTIGLHGEWVSQRCEVRPEVLFLTRHFIFHDNNNTWEGHYYHYSDPVCKHPTFSIYARGRYSRGVLSSRVMGGTEFVFKVNHMKVTPMDAATASLLNVFNGNECGAEGSWQVGIQQDVTHTNGCVALGIKLPHTEYEIFKMEQDARGRYLLFNGQRPSDGSSPDRPEKRATSYQMPLVQCASSSPRAEDLAEDSGSSLYGRAPGRHTWSLLLAALACLVPLLHWNIRR
NP_694578.1 143 289 0.074661224489796 BTB/POZ domain-containing protein KCTD7 289 0 11 147 0 Homo sapiens (Human) SwissProt::Q96MP8 1 SwissProt NMQPLKGEKVRQAFLGLMPYYKDHLERIVEIARLRAVQRKARFAKLKVCVFKEEMPITPYECPLLNSLRFERSESDGQLFEHHCEVDVSFGPWEAVADVYDLLHCLVTDLSAQGLTVDHQCIGVCDKHLVNHYYCKRPIYEFKITWW
NP_694700.2 1 549 0.125919489981785 PF07690.16:MFS_1:109:470,PF00083.24:Sugar_tr:107:285,PF03137.20:OATP:191:260 Protein spinster homolog 2 549 364 11 305 11 Mus musculus (Mouse) SwissProt::Q91VM4 1 SwissProt MMCLECASAAAGGAEEEEADAERRRRRRGAQPGAGGSACCGARGVGGAGVVSADEEVQTLSGSVRRVPSGLPSIPSTPGCAAAAKGPSAPQPKPASLGRGRGAAAAILSLGNVLNYLDRYTVAGVLLDIQQHFGVKDRGAGLLQSVFICSFMVAAPIFGYLGDRFNRKVILSCGIFFWSAVTFSSSFIPQQYFWLLVLSRGLVGIGEASYSTIAPTIIGDLFTKNTRTLMLSVFYFAIPLGSGLGYITGSSVKQAAGDWHWALRVSPVLGMITGTLILILVPATKRGHADQLGGQLKARTSWLRDMKALIRNRSYVFSSLATSAVSFATGALGMWIPLYLHRAQVVQKTAETCNSPPCGAKDSLIFGAITCFTGFLGVVTGAGATRWCRLRTQRADPLVCAVGMLGSAIFICLIFVAAKTSIVGAYICIFVGETLLFSNWAITADILMYVVIPTRRATAVALQSFTSHLLGDAGSPYLIGFISDLIRQSTKDSPLWEFLSLGYALMLCPFVVVLGGMFFLATALFFLSDRAKAEQQVNQLVMPPASVKV
NP_694744.1 1 267 0.239292509363296 PF06888.12:Put_Phosphatase:28:263,PF12710.7:HAD:30:208 Phosphoethanolamine/phosphocholine phosphatase; EC 3.1.3.75 267 236 11 267 0 Mus musculus (Mouse) SwissProt::Q8R2H9 1 SwissProt MSGCFPAVGLRCLSRDGRMAAPGAPRFLLTFDFDETIVDENSDDSIVRAAPGQQLPESLRATYREGYYNEYMQRVFKYLGEQGVRPRDLRAVYETIPLSPGMGDLLQFIAKQGSCFEVILISDANTFGVESALRAAGHHSLFRRILSNPSGPDARGLLTLRPFHTHSCSRCPANMCKHKVLSEYLRERARDGVHFERLFYVGDGANDFCPMGLLAGGDVAFPRRGYPMHRLIQEAQKAEPSSFRAHVVPWETAADVRQHLQQVLKMC
NP_694953.2 1 1156 0.356814965397923 PF13330.6:Mucin2_WxxW:59:143,PF13927.6:Ig_3:291:363,PF00090.19:TSP_1:151:196,PF07679.16:I-set:293:376,PF13895.6:Ig_2:298:377 Cartilage intermediate layer protein 2; CILP-2 1156 218 11 1156 0 Homo sapiens (Human) SwissProt::Q8IUL8 1 SwissProt MASLLPLLCLCVVAAHLAGARDATPTEEPMATALGLERRSVYTGQPSPALEDWEEASEWTSWFNVDHPGGDGDFESLAAIRFYYGPARVCPRPLALEARTTDWALPSAVGERVHLNPTRGFWCLNREQPRGRRCSNYHVRFRCPLEASWGAWGPWGPCSGSCGPGRRLRRRHCPSPAGDACPGRPLEAQKCVRPRCPGCSLDTCECPDHILLGSVVTPSGQPLLGARVSLRDQPGTVATSDAHGTFRVPGVCADSRANIRAQMDGFSAGEAQAQANGSISVVTIILDKLEKPYLVKHPESRVREAGQNVTFCCKASGTPMPKKYSWFHNGTLLDRRAHGYGAHLELRGLRPDQAGIYHCKAWNEAGAVRSGTARLTVLAPGQPACDPRPREYLIKLPEDCGQPGSGPAYLDVGLCPDTRCPSLAGSSPRCGDASSRCCSVRRLERREIHCPGYVLPVKVVAECGCQKCLPPRGLVRGRVVAADSGEPLRFARILLGQEPIGFTAYQGDFTIEVPPSTQRLVVTFVDPSGEFMDAVRVLPFDPRGAGVYHEVKAMRKKAPVILHTSQSNTIPLGELEDEAPLGELVLPSGAFRRADGKPYSGPVEARVTFVDPRDLTSAASAPSDLRFVDSDGELAPLRTYGMFSVDLRAPGSAEQLQVGPVAVRVAASQIHMPGHVEALKLWSLNPETGLWEEESGFRREGSSGPRVRREERVFLVGNVEIRERRLFNLDVPERRRCFVKVRAYANDKFTPSEQVEGVVVTLVNLEPAPGFSANPRAWGRFDSAVTGPNGACLPAFCDADRPDAYTALVTATLGGEELEPAPSLPRPLPATVGVTQPYLDRLGYRRTDHDDPAFKRNGFRINLAKPRPGDPAEANGPVYPWRSLRECQGAPVTASHFRFARVEADKYEYNVVPFREGTPASWTGDLLAWWPNPQEFRACFLKVKIQGPQEYMVRSHNAGGSHPRTRGQLYGLRDARSVRDPERPGTSAACVEFKCSGMLFDQRQVDRTLVTIMPQGSCRRVAVNGLLRDYLTRHPPPVPAEDPAAFSMLAPLDPLGHNYGVYTVTDQSPRLAKEIAIGRCFDGSSDGFSREMKADAGTAVTFQCREPPAGRPSLFQRLLESPATALGDIRREMSEAAQAQARASGPLRTRRGRVRQ
NP_722517.3 1 369 0.356427642276423 PF00888.22:Cullin:137:290 CDK2-associated and cullin domain-containing protein 1; Cdk-associated cullin1 369 154 11 369 0 Homo sapiens (Human) SwissProt::Q86Y37 1 SwissProt MEESMEEEEGGSYEAMMDDQNHNNWEAAVDGFRQPLPPPPPPSSIPAPAREPPGGQLLAVPAVSVDRKGPKEGLPMGPQPPPEANGVIMMLKSCDAAAAVAKAAPAPTASSTININTSTSKFLMNVITIEDYKSTYWPKLDGAIDQLLTQSPGDYIPISYEQIYSCVYKCVCQQHSEQMYSDLIKKITNHLERVSKELQASPPDLYIERFNIALGQYMGALQSIVPLFIYMNKFYIETKLNRDLKDDLIKLFTEHVAEKHIYSLMPLLLEAQSTPFQVTPSTMANIVKGLYTLRPEWVQMAPTLFSKFIPNILPPAVESELSEYAAQDQKFQRELIQNGFTRGDQSRKRAGDELAYNSSSACASSRGYR
NP_724239.1 1 281 0.534605693950178 Short neuropeptide F 281 0 11 281 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VIQ0 1 SwissProt MFHLKRELSQGCALALICLVSLQMQQPAQAEVSSAQGTPLSNLYDNLLQREYAGPVVFPNHQVERKAQRSPSLRLRFGRSDPDMLNSIVEKRWFGDVNQKPIRSPSLRLRFGRRDPSLPQMRRTAYDDLLERELTLNSQQQQQQLGTEPDSDLGADYDGLYERVVRKPQRLRWGRSVPQFEANNADNEQIERSQWYNSLLNSDKMRRMLVALQQQYEIPENVASYANDEDTDTDLNNDTSEFQREVRKPMRLRWGRSTGKAPSEQKHTPEETSSIPPKTQN
NP_730363.2 1 461 0.0786863340563991 PF00939.19:Na_sulph_symp:44:455,PF03600.16:CitMHS:76:452 Protein I'm not dead yet; INDY transporter protein; drIndy 590 412 11 277 8 Drosophila melanogaster (Fruit fly) SwissProt::Q9VVT2 1 SwissProt MATETTKMIYTPPPLDIKMEIEIGEQPQPPVKCSNFFANHWKGLVVFLVPLLCLPVMLLNEGAEFRCMYLLLVMAIFWVTEALPLYVTSMIPIVAFPIMGIMSSDQTCRLYFKDTLVMFMGGIMVALAVEYCNLHKRLALRVIQIVGCSPRRLHFGLIMVTMFLSMWISNAACTAMMCPIIQAVLEELQAQGVCKINHEPQYQIVGGNKKNNEDEPPYPTKITLCYYLGIAYASSLGGCGTIIGTATNLTFKGIYEARFKNSTEQMDFPTFMFYSVPSMLVYTLLTFVFLQWHFMGLWRPKSKEAQEVQRGREGADVAKKVIDQRYKDLGPMSIHEIQVMILFIFMVVMYFTRKPGIFLGWADLLNSKDIRNSMPTIFVVVMCFMLPANYAFLRYCTRRGGPVPTGPTPSLITWKFIQTKVPWGLVFLLGGGFALAEGSKQSGMAKLIGNALIGLKVLPNS
NP_733466.1 1 235 0.524932340425532 Regulator of G-protein signaling 20; RGS20; Gz-selective GTPase-activating protein; G(z)GAP; Gz-GAP; Regulator of G-protein signaling Z1; Regulator of Gz-selective protein signaling 1 388 0 11 235 0 Homo sapiens (Human) SwissProt::O76081 1 SwissProt MPQLSQDNQECLQKHFSRPSIWTQFLPLFRAQRYNTDIHQITENEGDLRAVPDIKSFPPAQLPDSPAAPKLFGLLSSPLSSLARFFSHLLRRPPPEAPRRRLDFSPLLPALPAARLSRGHEELPGRLSLLLGAALALPGRPSGGRPLRPPHPVAKPREEDATAGQSSPMPQMGSERMEMRKRQMPAAQDTPGAAPGQPGAGSRGSNACCFCWCCCCSCSCLTVRNQEDQRPTIAS
NP_734467.1 1 122 0.504362295081967 PF10262.9:Rdx:35:118 selenoprotein H 122 84 11 122 0 Homo sapiens NP_734467.1 1 RefSeq MAPRGRKRKAEAAVVAVAEKREKLANGGEGMEEATVVIEHCTSURVYGRNAAALSQALRLEAPELPVKVNPTKPRRGSFEVTLLRPDGSSAELWTGIKKGPPRKLKFPEPQEVVEELKKYLS
NP_743567.1 1 295 0.246251525423729 PF00561.20:Abhydrolase_1:28:254,PF12146.8:Hydrolase_4:26:253,PF12697.7:Abhydrolase_6:30:259 3-hydroxyacyl-CoA-acyl carrier protein transferase 295 234 11 295 0 Pseudomonas putida (strain ATCC 47054 / DSM 6125 / NCIMB 11950 / KT2440) metacyc::MONOMER-15974 1 metacyc MRPEIAVLDIQGQYRVYTEFYRADAAENTIILINGSLATTASFAQTVRNLHPQFNVVLFDQPYSGKSKPHNRQERLISKETEAHILLELIEHFQADHVMSFSWGGASTLLALAHQPRYVKKAVVSSFSPVINEPMRDYLDRGCQYLAACDRYQVGNLVNDTIGKHLPSLFKRFNYRHVSSLDSHEYAQMHFHINQVLEHDLERALQGARNINIPVLFINGERDEYTTVEDARQFSKHVGRSQFSVIRDAGHFLDMENKTACENTRNVMLGFLKPTVREPRQRYQPVQQGQHAFAI
NP_767408.1 1 163 0.339332515337423 PF01475.19:FUR:41:156 Ferric uptake regulation protein 163 116 11 163 0 Bradyrhizobium japonicum O85719 1 SwissProt/TReMBL MSENTAPHHDDDVHAAALLSGRQPALTGCPWHDVNEMLQSAGLRPTRQRMALGWLLFGKGARHLTAEMLYEEATLAKVPVSLATVYNTLNQLTDAGLLRQVSVDGTKTYFDTNVTTHHHYYLENSHELVDIEDPHLALSKMPEVPEGYEIARIDMVVRLRKKR
NP_776155.1 27 155 0.155944186046512 PF04930.15:FUN14:28:127 FUN14 domain-containing protein 1 155 100 11 129 0 Homo sapiens (Human) SwissProt::Q8IVP5 1 SwissProt ARRHQWWNRVFGHSSGPMVEKYSVATQIVMGGVTGWCAGFLFQKVGKLAATAVGGGFLLLQIASHSGYVQIDWKRVEKDVNKAKRQIKKRANKAAPEINNLIEEATEFIKQNIVISSGFVGGFLLGLAS
NP_776209.1 1 126 0.743280952380952 PF15826.5:PUMA:2:126 Bcl-2-binding component 3; p53 up-regulated modulator of apoptosis 193 125 11 126 0 Rattus norvegicus (Rat) SwissProt::Q80ZG6 1 SwissProt MARARQEGSSPEPVEGLARDSPRPFPLGRLMPSAVSCGLCEPGLPAAPAAPALLPAAYLCAPTAPPAVTAALGGPRWPGGHRSRPRGPRPDGPQPSLSPAQQHLESPVPSAPEALAGGPTQAAPGV
NP_814821.1 1 1103 0.462937624660019 PF17802.1:SpaA:753:820,PF17802.1:SpaA:863:929,PF17802.1:SpaA:967:1033,PF00092.28:VWA:269:497,PF13519.6:VWA_2:270:379 von Willebrand factor type A domain-containing protein 1103 431 11 1080 1 Enterococcus faecalis V583 NP_814821.1 1 RefSeq MITDENDKTNINIELNLLNQTEQPLQREIQLKNAQFMDTAVIEKDGYSYQVTNGTLYLTLDAQVKKPVQLSLAVEQSSLQTAQPPKLLYENNEYDVSVTSEKITVEDSAKESTEPEKITVPENTKETNKNDSAPEKTEQPTATEEVTNPFAEARMAPATLRANLALPLIAPQYTTDNSGTYPTANWQPTGNQNVLNHQGNKDGSAQWDGQTSWNGDPTNRTNSYIEYGGTGDQADYAIRKYARETTTPGLFDVYLNVRGNVQKEITPLDLVLVVDWSGSMNENNRIGEVQKGVNRFVDTLADSGITNNINMGYVGYSSDGYNNNAIQMGPFDTVKNPIKNITPSSTRGGTFTQKALRDAGDMLATPNGHKKVIVLLTDGVPTFSYKVSRVQTEADGRFYGTQFTNRQDQPGSTSYISGSYNAPDQNNINKRINSTFIATIGEAMVLKQRGIEIHGLGIQLQSDPRANLSKQQVEDKMREMVSADENGDLYYESADYAPDISDYLAKKAVQISGTVVNGKVVDPIAEPFKYEPNTLSMKSVGPVQVQTLPEVSLTGATINSNEIYLGKGQEIQIHYQVRIQTESENFKPDFWYQMNGRTTFQPLATAPEKVDFGVPSGKAPGVKLNVKKIWEEYDQDPTSRPDNVIYEISRKQVTDTANWQTGYIKLSKPENDTSNSWERKNVTQLSKTADESYQEVLGLPQYNNQGQAFNYQTTRELAVPGYSQEKIDDTTWKNTKQFKPLDLKVIKNSSSGEKNLVGAVFELSGKNVQTTLVDNKDGSYSLPKDVRLQKGERYTLTEVKAPAGHELGKKTTWQIEVSEQGKVSIDGQEVTTTNQVIPLEIENKFSSLPIRIRKYTMQNGKQVNLAEATFALQRKNAQGSYQTVATQKTDTTGLSYFKISEPGEYRMVEQSGPLGYDTLAGNYEFTVDKYGKIHYAGKNIEENAPEWTLTHQNNLKPFDLTVNKKADNQTPLKGAKFRLTGPDTDIELPKDGKETDTFVFENLKPGKYVLTETFTPEGYQGLKEPIELIIREDGSVTIDGEKVADVLISGEKNNQITLDVTNQAKVPLPETGGIGRLWFYLIAISTFVIAGVYLFIRRPEGSV
NP_849273.1 1 698 0.159424068767908 PF15037.6:IL17_R_N:71:456,PF08357.11:SEFIR:498:647 Interleukin-17 receptor C; IL-17 receptor C; IL-17RC; Interleukin-17 receptor-like protein; IL-17RL; ZcytoR14 698 536 11 678 1 Mus musculus (Mouse) SwissProt::Q8K4C2 1 SwissProt MPVSWFLLSLALGRNPVVVSLERLMEPQDTARCSLGLSCHLWDGDVLCLPGSLQSAPGPVLVPTRLQTELVLRCPQKTDCALCVRVVVHLAVHGHWAEPEEAGKSDSELQESRNASLQAQVVLSFQAYPIARCALLEVQVPADLVQPGQSVGSAVFDCFEASLGAEVQIWSYTKPRYQKELNLTQQLPDCRGLEVRDSIQSCWVLPWLNVSTDGDNVLLTLDVSEEQDFSFLLYLRPVPDALKSLWYKNLTGPQNITLNHTDLVPCLCIQVWSLEPDSERVEFCPFREDPGAHRNLWHIARLRVLSPGVWQLDAPCCLPGKVTLCWQAPDQSPCQPLVPPVPQKNATVNEPQDFQLVAGHPNLCVQVSTWEKVQLQACLWADSLGPFKDDMLLVEMKTGLNNTSVCALEPSGCTPLPSMASTRAARLGEELLQDFRSHQCMQLWNDDNMGSLWACPMDKYIHRRWVLVWLACLLLAAALFFFLLLKKDRRKAARGSRTALLLHSADGAGYERLVGALASALSQMPLRVAVDLWSRRELSAHGALAWFHHQRRRILQEGGVVILLFSPAAVAQCQQWLQLQTVEPGPHDALAAWLSCVLPDFLQGRATGRYVGVYFDGLLHPDSVPSPFRVAPLFSLPSQLPAFLDALQGGCSTSAGRPADRVERVTQALRSALDSCTSSSEAPGCCEEWDLGPCTTLE
NP_850348.1 1 88 0.105756818181818 Protein ORGAN SIZE RELATED 1 88 0 11 42 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWS1 0 SwissProt MRVHDQRLRFDVTPKPMGLNGSSLITARSVALLLFLSLLLLILPPFLPPLPPPPATLLLLPLLLMILLIFLAFSPSNEPSLAVEPLDP
NP_853553.1 1 291 0.21546941580756 Ancient ubiquitous protein 1 410 0 11 268 1 Homo sapiens (Human) SwissProt::Q9Y679 1 SwissProt MELPSGPGPERLFDSHRLPGDCFLLLVLLLYAPVGFCLLVLRLFLGIHVFLVSCALPDSVLRRFVVRTMCAVLGLVARQEDSGLRDHSVRVLISNHVTPFDHNIVNLLTTCSTPLLNSPPSFVCWSRGFMEMNGRGELVESLKRFCASTRLPPTPLLLFPEEEATNGREGLLRFSSWPFSIQDVVQPLTLQVQRPLVSVTVSDASWVSELLWSLFVPFTVYQVRWLRPVHRQLGEANEEFALRVQQLVAKELGQTGTRLTPADKAEHMKRQRHPRLRPQSAQSSFPPSPGP
NP_877435.3 1 1102 0.188696642468239 PF00400.32:WD40:455:495,PF00400.32:WD40:548:584 WD repeat-containing protein 72 1102 78 11 1102 0 Homo sapiens (Human) SwissProt::Q3MJ13 1 SwissProt MRTSLQAVALWGQKAPPHSITAIMITDDQRTIVTGSQEGQLCLWNLSHELKISAKELLFGHSASVTCLARARDFSKQPYIVSAAENGEMCVWNVTNGQCMEKATLPYRHTAICYYHCSFRMTGEGWLLCCGEYQDVLIIDAKTLAVVHSFRSSQFPDWINCMCIVHSMRIQEDSLLVVSVAGELKVWDLSSSINSIQEKQDVYEKESKFLESLNCQTIRFCTYTERLLLVVFSKCWKVYDYCDFSLLLTEVSRNGQFFAGGEVIAAHRILIWTEDGHSYIYQLLNSGLSKSIYPADGRVLKETIYPHLLCSTSVQENKEQSRPFVMGYMNERKEPFYKVLFSGEVSGRITLWHIPDVPVSKFDGSPREIPVTATWTLQDNFDKHDTMSQSIIDYFSGLKDGAGTAVVTSSEYIPSLDKLICGCEDGTIIITQALNAAKARLLEGGSLVKDSPPHKVLKGHHQSVTSLLYPHGLSSKLDQSWMLSGDLDSCVILWDIFTEEILHKFFLEAGPVTSLLMSPEKFKLRGEQIICCVCGDHSVALLHLEGKSCLLHARKHLFPVRMIKWHPVENFLIVGCADDSVYIWEIETGTLERHETGERARIILNCCDDSQLVKSVLPIASETLKHKSIEQRSSSPYQLGPLPCPGLQVESSCKVTDAKFCPRPFNVLPVKTKWSNVGFHILLFDLENLVELLLPTPLSDVDSSSSFYGGEVLRRAKSTVEKKTLTLRKSKTACGPLSAEALAKPITESLAQGDNTIKFSEENDGIKRQKKMKISKKMQPKPSRKVDASLTIDTAKLFLSCLLPWGVDKDLDYLCIKHLNILKLQGPISLGISLNEDNFSLMLPGWDLCNSGMIKDYSGVNLFSRKVLDLSDKYTATLPNQVGIPRGLENNCDSLRESDTIVYLLSRLFLVNKLVNMPLELACRVGSSFRMESIHNKMRGAGNDILNMSSFYSCLRNGKNESHVPEADLSLLKLISCWRDQSVQVTEAIQAVLLAEVQQHMKSLGKIPVNSQPVSMAENGNCEMKQMLPKLEWTEELELQCVRNTLPLQTPVSPVKHDSNSNSANFQDVEDMPDRCALEESESPGEPRHHSWIAKVCPCKVS
NP_892026.1 1 477 0.262141719077569 Oxidative stress-induced growth inhibitor 1; Bone marrow stromal cell-derived growth inhibitor; BMSC-derived growth inhibitor; Ovary, kidney and liver protein 38; huOKL38; Pregnancy-induced growth inhibitor OKL38 477 0 11 477 0 Homo sapiens (Human) SwissProt::Q9UJX0 1 SwissProt MSSSRKDHLGASSSEPLPVIIVGNGPSGICLSYLLSGYTPYTKPDAIHPHPLLQRKLTEAPGVSILDQDLDYLSEGLEGRSQSPVALLFDALLRPDTDFGGNMKSVLTWKHRKEHAIPHVVLGRNLPGGAWHSIEGSMVILSQGQWMGLPDLEVKDWMQKKRRGLRNSRATAGDIAHYYRDYVVKKGLGHNFVSGAVVTAVEWGTPDPSSCGAQDSSPLFQVSGFLTRNQAQQPFSLWARNVVLATGTFDSPARLGIPGEALPFIHHELSALEAATRVGAVTPASDPVLIIGAGLSAADAVLYARHYNIPVIHAFRRAVDDPGLVFNQLPKMLYPEYHKVHQMMREQSILSPSPYEGYRSLPRHQLLCFKEDCQAVFQDLEGVEKVFGVSLVLVLIGSHPDLSFLPGAGADFAVDPDQPLSAKRNPIDVDPFTYQSTRQEGLYAMGPLAGDNFVRFVQGGALAVASSLLRKETRKPP
NP_919323.2 1 374 0.361157754010695 SUN domain-containing protein 2 isoform 3 699 0 11 351 1 Mus musculus NP_919323.2 1 RefSeq MSRRSQRLTRYSQDDNDGGSSSSGASSVAGSQGTVFKDSPLRTLKRKSSNMKHLSPAPQLGPSSDSHTSYYSESVVRESYIGSPRAVSLARSALLDDHLHSEPYWSGDLRGRRRRGTGGSESSKANGLTAESKASEDFFGSSSGYSSEDDLAGRLFGLLYWWIGTTWYRLTTAASLLDVFVLTRSRHFSLNLKSFLWFLLLLLLLTGLTYGAWHFYPLGLQTLQPAVVSWWAAKESRKQPEVWESRDASQHFQAEQRVLSRVHSLERRLEALAADFSSNWQKEAIRLERLELRQGAAGHGGGSSLSHEDALSLLEGLVSRREATLKEDLRRDTVAHIQEELATLRAEHHQDSEDLFKKIVQASQESEARVQQLK
NP_932157.1 1 561 0.746683065953655 Polyhomeotic-like protein 2; hPH2; Early development regulatory protein 2 858 0 11 561 0 Homo sapiens (Human) SwissProt::Q8IXK0 1 SwissProt MENELPVPHTSSSACATSSTSGASSSSGCNNSSSGGSGRPTGPQISVYSGIPDRQTVQVIQQALHRQPSTAAQYLQQMYAAQQQHLMLQTAALQQQHLSSAQLQSLAAVQQASLVSNRQGSTSGSNVSAQAPAQSSSINLAASPAAAQLLNRAQSVNSAAASGIAQQAVLLGNTSSPALTASQAQMYLRAQMLIFTPTATVATVQPELGTGSPARPPTPAQVQNLTLRTQQTPAAAASGPTPTQPVLPSLALKPTPGGSQPLPTPAQSRNTAQASPAGAKPGIADSVMEPHKKGDGNSSVPGSMEGRAGLSRTVPAVAAHPLIAPAYAQLQPHQLLPQPSSKHLQPQFVIQQQPQPQQQQPPPQQSRPVLQAEPHPQLASVSPSVALQPSSEAHAMPLGPVTPALPLQCPTANLHKPGGSQQCHPPTPDTGPQNGHPEGVPHTPQRRFQHTSAVILQLQPASPPQQCVPDDWKEVAPGEKSVPETRSGPSPHQQAIVTAMPGGLPVPTSPNIQPSPAHETGQGIVHALTDLSSPGMTSGNGNSASSIAGTAPQNGENKPPQ
NP_955806.1 1 950 0.501150210526316 PF13778.6:DUF4174:142:270,PF13778.6:DUF4174:616:748,PF13778.6:DUF4174:771:902 Coiled-coil domain-containing protein 80; Down-regulated by oncogenes protein 1; Up-regulated in BRS-3 deficient mouse homolog 950 394 11 950 0 Homo sapiens (Human) SwissProt::Q76M96 1 SwissProt MTWRMGPRFTMLLAMWLVCGSEPHPHATIRGSHGGRKVPLVSPDSSRPARFLRHTGRSRGIERSTLEEPNLQPLQRRRSVPVLRLARPTEPPARSDINGAAVRPEQRPAARGSPREMIRDEGSSARSRMLRFPSGSSSPNILASFAGKNRVWVISAPHASEGYYRLMMSLLKDDVYCELAERHIQQIVLFHQAGEEGGKVRRITSEGQILEQPLDPSLIPKLMSFLKLEKGKFGMVLLKKTLQVEERYPYPVRLEAMYEVIDQGPIRRIEKIRQKGFVQKCKASGVEGQVVAEGNDGGGGAGRPSLGSEKKKEDPRRAQVPPTRESRVKVLRKLAATAPALPQPPSTPRATTLPPAPATTVTRSTSRAVTVAARPMTTTAFPTTQRPWTPSPSHRPPTTTEVITARRPSVSENLYPPSRKDQHRERPQTTRRPSKATSLESFTNAPPTTISEPSTRAAGPGRFRDNRMDRREHGHRDPNVVPGPPKPAKEKPPKKKAQDKILSNEYEEKYDLSRPTASQLEDELQVGNVPLKKAKESKKHEKLEKPEKEKKKKMKNENADKLLKSEKQMKKSEKKSKQEKEKSKKKKGGKTEQDGYQKPTNKHFTQSPKKSVADLLGSFEGKRRLLLITAPKAENNMYVQQRDEYLESFCKMATRKISVITIFGPVNNSTMKIDHFQLDNEKPMRVVDDEDLVDQRLISELRKEYGMTYNDFFMVLTDVDLRVKQYYEVPITMKSVFDLIDTFQSRIKDMEKQKKEGIVCKEDKKQSLENFLSRFRWRRRLLVISAPNDEDWAYSQQLSALSGQACNFGLRHITILKLLGVGEEVGGVLELFPINGSSVVEREDVPAHLVKDIRNYFQVSPEYFSMLLVGKDGNVKSWYPSPMWSMVIVYDLIDSMQLRRQEMAIQQSLGMRCPEDEYAGYGYHSYHQGYQDGYQDDYRHHESYHHGYPY
NP_964011.2 1 296 0.219163175675676 PF06021.11:Gly_acyl_tr_N:2:205,PF08444.10:Gly_acyl_tr_C:207:295 Glycine N-acyltransferase; Acyl-CoA:glycine N-acyltransferase; AAc; Aralkyl acyl-CoA N-acyltransferase; Aralkyl acyl-CoA:amino acid N-acyltransferase; Benzoyl-coenzyme A:glycine N-acyltransferase; Glycine N-benzoyltransferase; HRP-1(CLP); EC 2.3.1.13; EC 2.3.1.71 296 293 11 296 0 Homo sapiens (Human) SwissProt::Q6IB77 1 SwissProt MMLPLQGAQMLQMLEKSLRKSLPASLKVYGTVFHINHGNPFNLKAVVDKWPDFNTVVVCPQEQDMTDDLDHYTNTYQIYSKDPQNCQEFLGSPELINWKQHLQIQSSQPSLNEAIQNLAAIKSFKVKQTQRILYMAAETAKELTPFLLKSKILSPNGGKPKAINQEMFKLSSMDVTHAHLVNKFWHFGGNERSQRFIERCIQTFPTCCLLGPEGTPVCWDLMDQTGEMRMAGTLPEYRLHGLVTYVIYSHAQKLGKLGFPVYSHVDYSNEAMQKMSYTLQHVPIPRSWNQWNCVPL
NP_974179.1 171 371 0.651377611940299 Protein SOMBRERO; NAC domain-containing protein 33; ANAC033 371 0 11 201 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MA17 1 SwissProt RGFHQEQEQDHHHHHQYISTNNDHDHHHHIDSNSNNHSPLILHPLDHHHHHHHIGRQIHMPLHEFANTLSHGSMHLPQLFSPDSAAAAAAAAASAQPFVSPINTTDIECSQNLLRLTSNNNYGGDWSFLDKLLTTGNMNQQQQQQVQNHQAKCFGDLSNNDNNDQADHLGNNNGGSSSSPVNQRFPFHYLGNDANLLKFPK
NP_974288.1 1 181 0.486722651933701 PF00804.25:Syntaxin:13:178 syntaxin of plants 121 315 166 11 181 0 Arabidopsis thaliana NP_974288.1 1 RefSeq MANPAGSTGGVNLDKFFEDVESVKEELKELDRLNETLSSCHEQSKTLHNAKAVKDLRSKMDGDVGVALKKAKMIKVKLEALDRANAANRSLPGCGPGSSSDRTRTSVLNGLRKKLMDSMDSFNRLRELISSEYRETVQRRYFTVTGENPDERTLDRLISTGESERFLQKAIQEQGRGRVLD
NP_974612.1 1 176 0.23800625 Protein TAPETUM DETERMINANT 1 176 0 11 153 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6TLJ2 1 SwissProt MNRRRLLVSATLLSYLLYGMALVSVEASGGEKLRDNLDLTKTTTSSPSISHRKMLLLSPGTGKTERSVEPERIGEKCKSTDIVVNQAVTEPMPNGIPGYMVEITNQCMSGCIISRIHINCGWFSSAKLINPRVFKRIHYDDCLVNNGKPLPFGSTLSFHYANTFPYHLSVAFVTCA
NP_974780.1 1 420 0.433203333333333 PF07002.16:Copine:145:359 E3 ubiquitin-protein ligase RGLG2; RING domain ligase 2; EC 2.3.2.27 468 215 11 420 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LY87 1 SwissProt MGTGNSKENWRQSSFRSTSASSASPSSSSWASQQSYPQYGAESYNYPPPPSYAQPPEYTQPPPPLYSTQPYSAPSYSAPPSQSYGSDNKKRLERKYSKISDDYSSLEQVTEALARAGLESSNLIVGIDFTKSNEWTGARSFNRKSLHFIGSSPNPYEQAITIIGRTLAAFDEDNLIPCYGFGDASTHDQDVFSFNSEDRFCNGFEEVLSRYKEIVPQLKLAGPTSFAPIIDMAMTIVEQSGGQYHVLVIIADGQVTRSVDTENGQLSPQEQKTVDAIVQASKLPLSIVLVGVGDGPWDMMREFDDNIPARAFDNFQFVNFTEIMAKNKAQSLKETEFALSALMEIPQQYKATIELNLLGRRNGYIPERFPLPPPMRGGSSSYNSPKPSRLPSFKPSVPPHPTEGYHVRSSPVPPPTSSAS
O14770 1 275 0.519692363636363 PF16493.5:Meis_PKNOX_N:110:193 Homeobox protein Meis2; Meis1-related protein 1 477 84 11 275 0 Homo sapiens (Human) SwissProt::O14770 1 SwissProt MAQRYDELPHYGGMDGVGVPASMYGDPHAPRPIPPVHHLNHGPPLHATQHYGAHAPHPNVMPASMGSAVNDALKRDKDAIYGHPLFPLLALVFEKCELATCTPREPGVAGGDVCSSDSFNEDIAVFAKQVRAEKPLFSSNPELDNLMIQAIQVLRFHLLELEKVHELCDNFCHRYISCLKGKMPIDLVIDERDGSSKSDHEELSGSSTNLADHNPSSWRDHDDATSTHSAGTPGPSSGGHASQSGDNSSEQGDGLDNSVASPGTGDDDDPDKDKK
O14925 1 209 0.192947368421053 PF02466.19:Tim17:78:186 Mitochondrial import inner membrane translocase subunit Tim23 209 109 11 140 3 Homo sapiens (Human) SwissProt::O14925 1 SwissProt MEGGGGSGNKTTGGLAGFFGAGGAGYSHADLAGVPLTGMNPLSPYLNVDPRYLVQDTDEFILPTGANKTRGRFELAFFTIGGCCMTGAAFGAMNGLRLGLKETQNMAWSKPRNVQILNMVTRQGALWANTLGSLALLYSAFGVIIEKTRGAEDDLNTVAAGTMTGMLYKCTGGLRGIARGGLTGLTLTSLYALYNNWEHMKGSLLQQSL
O15552 136 330 0.143891282051282 PF00001.21:7tm_1:10:137 Free fatty acid receptor 2; G-protein coupled receptor 43 330 128 11 126 3 Homo sapiens (Human) SwissProt::O15552 1 SwissProt MSFGHCTIVIIVQYLNTTEQVRSGNEITCYENFTDNQLDVVLPVRLELCLVLFFIPMAVTIFCYWRFVWIMLSQPLVGAQRRRRAVGLAVVTLLNFLVCFGPYNVSHLVGYHQRKSPWWRSIAVVFSSLNASLDPLLFYFSSSVVRRAFGRGLQVLRNQGSSLLGRRGKDTAEGTNEDRGVGQGEGMPSSDFTTE
O22773 1 216 0.279305092592593 Thylakoid lumenal 16.5 kDa protein, chloroplastic 216 0 11 216 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22773 1 SwissProt MAKSLLCSSTLNPFFSTTLSSSKKNQIAYSGNSKNQTSSSLLWKRRELSLGFMSSLVAIGLVSNDRRRHDANAAILEADDDEELLEKVKQDRKKRIERQAVLNSAVKEKGYLQDLVYKLSKVGQAIENNDLPAAGLVLGKGIDTEWVKTVNLAFTKLSTSPEENTEVEAFNSSLASLITSVNKNDIESSKLAFVSSAGAFEKWTTLTGLLEQLKGL
O23089 1 122 0.261267213114754 PF02519.14:Auxin_inducible:22:90 AT4g00880 protein 122 69 11 122 0 Arabidopsis thaliana O23089 1 SwissProt/TReMBL MGNGDKVMSHWSFHIPRLHHHEHDHEKVPKGCLAVKVGQGEEQERFVIPVMYFNHPLFGQLLKEAEEEFGFAQKGTITIPCHVEEFRYVQGLIDRENTRFLGTNLLDHHHHHHNHLIRCFRV
O24899 1 284 0.52438661971831 Uncharacterized protein 284 0 11 284 0 Helicobacter pylori (strain ATCC 700392 / 26695) O24899 1 SwissProt/TReMBL MGTFIEKCFGFYQVRKELEARISGLEDENAELFAENEKLALGTSELKDANNQLRQKNDKLFTTKENLTQEKTELTEKNKVLTTEKGNLDNQLNASQKQVQALEQSQQVLENEKVELTNKITDLSKEKENLTKANTELKTENDKLNHQVIALTKEQDSLKQERAQLQDAHGFLEELCANLEKDNQHLTDKLKKLESAQKNLENSNDQLLQAIENIAEEKTELEREIARLKSLEATDKSELDLQNCRFKSAIEDLKRQNRKLEEENIALKERAYGLKEQPSKQPKP
O55103 105 1391 0.54033278943279 Periaxin 1391 0 11 1287 0 Mus musculus (Mouse) SwissProt::O55103 1 SwissProt LALRPGTVSGYEMKGPRAKVAKLNIQSLAPVKKKKMVTGALGTPADLAPVDVEFSFPKFSRLRRGLKAEAVKGPVPAAPARRRLQLPRLRVREVAEEAQVARMAAAAPPPRKAKAEAEAATGAGFTAPQIELVGPRLPSAEVGVPQVSVPKGTPSTEAASGFALHLPTLGLGAPAAPAVEPPATGIQVPQVELPTLPSLPTLPTLPCLDTQEGAAVVKVPTLDVAAPSMGVDLALPGAEVEAQGEVPEVALKMPRLSFPRFGIRGKEATEAKVVKGSPEAKAKGPRLRMPTFGLSLLEPRPSGPEAVAESKLKLPTLKMPSFGIGVAGPEVKAPTGPEVKLPKVPEVKLPKVPEAAIPDVQLPEVQLPKMSDMKLPKIPEMVVPDVRLPEVQLPKVPEMKVPEMKLPKWPEMAVPDVHLPDVQLPKVPEMKLPKVPEMAVPDVHLPDVQLPKVPEMKLPEMKLPKVPEMAVPDVRLPEVQLPKVSEVKLPKMPEMAVPDVHLPELQLPKMSEVKLPKMPEMAVPDVRLPEVQLPKVSEMKLPKMPEMTMPDIRLPEVQLPKVPDIKLPEMKLPEIKLPKVPDMAVPDVPLPELQLPKVSDIRLPEMQVSQVPEVQLPKMPEMKLSKVPEVQRKSAGAEQAKGTEFSFKLPKMTMPKLGKVGKPGEASIEVPDKLMTLPCLQPEVGTEASHVGVPSLSLPSVELDLPGALGLEGQVQEAVPGKVEKPEGPRVAVGVGEVGFRVPSVEIVTPQLPTVEVEKEQLEMVEMKVKPSSKFSLPKFGLSGPKAVKGEVEGPGRATKLKVSKFTISLPKARAGTEAEAKGAGEAGLLPALDLSIPQLSLDAQLPSGKVEVADSKPKSSRFALPKFGVKGRDSEADVLVAGEAELEGKGWGWDGKVKMPKLKMPSFGLSRGKEAETQDGRVSPGEKLEAIAGQLKIPAVELVTPGAQETEKVTSGVKPSGLQVSTTGQVVAEGQESVQRVSTLGISLPQVELASFGEAGPEIVAPSAEGTAGSRVQVPQVMLELPGTQVAGGDLLVGEGIFKMPTVTVPQLELDVGLGHEAQAGEAAKSEGGIKLKLPTLGTGSRGEGVEPQGPEAQRTFHLSLPDVELTSPVSSHAEYQVVEGDGDGGHKLKVRLPLFGLAKAKEGIEVGEKVKSPKLRLPRVGFSQSESVSGEGSPSPEEEEEGSGEGASSRRGRVRVRLPRVGLASPSKVSKGQEGDATSKSPVGEKSPKFRFPRVSLSPKARSGSRDREEGGFRVRLPSVGFSETAVPGSTRIEGTQAAAI
O65660 1 181 0.138404972375691 PF01477.23:PLAT:31:154,PF06232.11:ATS3:23:129 PLAT domain-containing protein 1; AtPLAT1; PLAT domain protein 1 181 132 11 181 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O65660 1 SwissProt MARRDVLLPFLLLLATVSAVAFAEDDPDCVYTFYLRTGSIWKAGTDSIISARIYDKDGDYIGIKNLQAWAGLMGPDYNYFERGNLDIFSGRAPCLPSPICALNLTSDGSGDHHGWYVNYVEITTAGVHAQCSTQDFEIEQWLATDTSPYELTAVRNNCPVKLRDSVSRVGSEIRKKLSWVV
O75448 1 989 0.163539231547017 PF11277.8:Med24_N:1:987 Mediator of RNA polymerase II transcription subunit 24; Activator-recruited cofactor 100 kDa component; ARC100; Cofactor required for Sp1 transcriptional activation subunit 4; CRSP complex subunit 4; Mediator complex subunit 24; Thyroid hormone receptor-associated protein 4; Thyroid hormone receptor-associated protein complex 100 kDa component; Trap100; hTRAP100; Vitamin D3 receptor-interacting protein complex 100 kDa component; DRIP100 989 987 11 989 0 Homo sapiens (Human) SwissProt::O75448 1 SwissProt MKVVNLKQAILQAWKERWSDYQWAINMKKFFPKGATWDILNLADALLEQAMIGPSPNPLILSYLKYAISSQMVSYSSVLTAISKFDDFSRDLCVQALLDIMDMFCDRLSCHGKAEECIGLCRALLSALHWLLRCTAASAERLREGLEAGTPAAGEKQLAMCLQRLEKTLSSTKNRALLHIAKLEEASSWTAIEHSLLKLGEILANLSNPQLRSQAEQCGTLIRSIPTMLSVHAEQMHKTGFPTVHAVILLEGTMNLTGETQSLVEQLTMVKRMQHIPTPLFVLEIWKACFVGLIESPEGTEELKWTAFTFLKIPQVLVKLKKYSHGDKDFTEDVNCAFEFLLKLTPLLDKADQRCNCDCTNFLLQECGKQGLLSEASVNNLMAKRKADREHAPQQKSGENANIQPNIQLILRAEPTVTNILKTMDADHSKSPEGLLGVLGHMLSGKSLDLLLAAAAATGKLKSFARKFINLNEFTTYGSEESTKPASVRALLFDISFLMLCHVAQTYGSEVILSESRTGAEVPFFETWMQTCMPEEGKILNPDHPCFRPDSTKVESLVALLNNSSEMKLVQMKWHEACLSISAAILEILNAWENGVLAFESIQKITDNIKGKVCSLAVCAVAWLVAHVRMLGLDEREKSLQMIRQLAGPLFSENTLQFYNERVVIMNSILERMCADVLQQTATQIKFPSTGVDTMPYWNLLPPKRPIKEVLTDIFAKVLEKGWVDSRSIHIFDTLLHMGGVYWFCNNLIKELLKETRKEHTLRAVELLYSIFCLDMQQVTLVLLGHILPGLLTDSSKWHSLMDPPGTALAKLAVWCALSSYSSHKGQASTRQKKRHREDIEDYISLFPLDDVQPSKLMRLLSSNEDDANILSSPTDRSMSSSLSASQLHTVNMRDPLNRVLANLFLLISSILGSRTAGPHTQFVQWFMEECVDCLEQGGRGSVLQFMPFTTVSELVKVSAMSSPKVVLAITDLSLPLGRQVAAKAIAAL
O80622 88 253 0.255089759036145 PF01357.21:Pollen_allerg_1:74:151,PF03330.18:DPBB_1:6:63 Expansin-A15 253 136 11 166 0 Arabidopsis thaliana O80622 1 SwissProt/TReMBL QSDGAWCLPGAIIVTATNFCPPNNALPNNAGGWCNPPLHHFDLSQPVFQRIAQYKAGVVPVSYRRVPCMRRGGIRFTINGHSYFNLVLVTNVGGAGDVHSVAVKGSRTRWQQMSRNWGQNWQSNNLLNGQALSFKVTASDGRTVVSNNIAPASWSFGQTFTGRQFR
O94901 1 569 0.351939191564148 PF09387.10:MRP:62:318 SUN domain-containing protein 1; Protein unc-84 homolog A; Sad1/unc-84 protein-like 1 812 257 11 526 2 Homo sapiens (Human) SwissProt::O94901 1 SwissProt MDFSRLHMYSPPQCVPENTGYTYALSSSYSSDALDFETEHKLDPVFDSPRMSRRSLRLATTACTLGDGEAVGADSGTSSAVSLKNRAARTTKQRRSTNKSAFSINHVSRQVTSSGVSHGGTVSLQDAVTRRPPVLDESWIREQTTVDHFWGLDDDGDLKGGNKAAIQGNGDVGAAAATAHNGFSCSNCSMLSERKDVLTAHPAAPGPVSRVYSRDRNQKCDDCKGKRHLDAHPGRAGTLWHIWACAGYFLLQILRRIGAVGQAVSRTAWSALWLAVVAPGKAASGVFWWLGIGWYQFVTLISWLNVFLLTRCLRNICKFLVLLIPLFLLLAGLSLRGQGNFFSFLPVLNWASMHRTQRVDDPQDVFKPTTSRLKQPLQGDSEAFPWHWMSGVEQQVASLSGQCHHHGENLRELTTLLQKLQARVDQMEGGAAGPSASVRDAVGQPPRETDFMAFHQEHEVRMSHLEDILGKLREKSEAIQKELEQTKQKTISAVGEQLLPTVEHLQLELDQLKSELSSWRHVKTGCETVDAVQERVDVQVREMVKLLFSEDQQGGSLEQLLQRFSSQFV
P02688 1 102 0.710369607843137 PF01669.17:Myelin_MBP:16:102 Myelin basic protein; MBP 195 87 11 102 0 Rattus norvegicus (Rat) SwissProt::P02688 1 SwissProt MASQKRPSQRHGSKYLATASTMDHARHGFLPRHRDTGILDSIGRFFSGDRGAPKRGSGKVPWLKQSRSPLPSHARSRPGLCHMYKDSHTRTTHYGSLPQKSQ
P02835 1 238 0.618767647058824 PF03867.14:FTZ:1:238 Segmentation protein fushi tarazu 410 238 11 238 0 Drosophila melanogaster (Fruit fly) SwissProt::P02835 1 SwissProt MATTNSQSHYSYADNMNMYNMYHPHSLPPTYYDNSGSNAYYQNTSNYQGYYPQESYSESCYYYNNQEQVTTQTVPPVQPTTPPPKATKRKAEDDAASIIAAVEERPSTLRALLTNPVKKLKYTPDYFYTTVEQVKKAPAVSTKVTASPAPSYDQEYVTVPTPSASEDVDYLDVYSPQSQTQKLKNGDFATPPPTTPTSLPPLEGISTPPQSPGEKSSSAVSQEINHRIVTAPNGAGDF 1
P03185 1 336 0.299599107142857 PF04541.13:Herpes_U34:6:186 Nuclear egress protein 2 336 181 11 318 1 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03185 1 SwissProt MASPEERLLDELNNVIVSFLCDSGSLEVERCSGAHVFSRGSSQPLCTVKLRHGQIYHLEFVYKFLAFKLKNCNYPSSPVFVISNNGLATTLRCFLHEPSGLRSGQSGPCLGLSTDVDLPKNSIIMLGQDDFIKFKSPLVFPAELDLLKSMVVCRAYITEHRTTMQFLVFQAANAQKASRVMDMISDMSQQLSRSGQVEDTGARVTGGGGPRPGVTHSGCLGDSHVRGRGGWDLDNFSEAETEDEASYAPWRDKDSWSESEAAPWKKELVRHPIRRHRTRETRRMRGSHSRVEHVPPETRETVVGGAWRYSWRATPYLARVLAVTAVALLLMFLRWT
P05827 79 297 0.246007762557078 PF03466.20:LysR_substrate:11:215 DNA-binding transcriptional dual regulator IlvY 297 205 11 219 0 Escherichia coli K-12 substr. MG1655 ecocyc::PD00200 1 ecocyc RHTIDQQGPSLSGELHIFCSVTAAYSHLPPILDRFRAEHPSVEIKLTTGDAADAMEKVVTGEADLAIAGKPETLPGAVAFSMLENLAVVLIAPALPCPVRNQVSVEKPDWSTVPFIMADQGPVRRRIELWFRRNKISNPMIYATVGGHEAMVSMVALGCGVALLPEVVLENSPEPVRNRVMILERSDEKTPFELGVCAQKKRLHEPLIEAFWKILPNHK
P10566 1 314 0.159958280254777 PF00153.27:Mito_carr:32:121,PF00153.27:Mito_carr:128:213,PF00153.27:Mito_carr:217:313 Mitochondrial RNA-splicing protein MRS3 314 273 11 314 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P10566 1 SwissProt MVENSSSNNSTRPIPAIPMDLPDYEALPTHAPLYHQLIAGAFAGIMEHSVMFPIDALKTRIQSANAKSLSAKNMLSQISHISTSEGTLALWKGVQSVILGAGPAHAVYFGTYEFCKKNLIDSSDTQTHHPFKTAISGACATTASDALMNPFDTIKQRIQLNTSASVWQTTKQIYQSEGLAAFYYSYPTTLVMNIPFAAFNFVIYESSTKFLNPSNEYNPLIHCLCGSISGSTCAAITTPLDCIKTVLQIRGSQTVSLEIMRKADTFSKAASAIYQVYGWKGFWRGWKPRIVANMPATAISWTAYECAKHFLMTY
P15379 182 707 0.73845969581749 CD44 antigen; Extracellular matrix receptor III; ECMR-III; GP90 lymphocyte homing/adhesion receptor; HUTCH-I; Hermes antigen; Hyaluronate receptor; Lymphocyte antigen 24; Ly-24; Phagocytic glycoprotein 1; PGP-1; Phagocytic glycoprotein I; PGP-I; CD44 antigen 778 0 11 503 1 Mus musculus (Mouse) SwissProt::P15379 1 SwissProt SSGSTIEKSTPEGYILHTYLPTEQPTGDQDDSFFIRSTLATIASTVHSKSHAAAQKQNNWIWSWFGNSQSTTQTQEPTTSATTALMTTPETPPKRQEAQNWFSWLFQPSESKSHLHTTTKMPGTESNTNPTGWEPNEENEDETDTYPSFSGSGIDDDEDFISSTIATTPRVSARTEDNQDWTQWKPNHSNPEVLLQTTTRMADIDRISTSAHGENWTPEPQPPFNNHEYQDEEETPHATSTTPNSTAEAAATQQETWFQNGWQGKNPPTPSEDSHVTEGTTASAHNNHPSQRITTQSQEDVSWTDFFDPISHPMGQGHQTESKDTDSSHSTTLQPTAAPNTHLVEDLNRTGPLSVTTPQSHSQNFSTLHGEPEEDENYPTTSILPSSTKSSAKDARRGGSLPTDTTTSVEGYTFQYPDTMENGTLFPVTPAKTEVFGETEVTLATDSNVNVDGSLPGDRDSSKDSRGSSRTVTHGSELAGHSSANQDSGVTTTSGPMRRPQIPEWLIILASLLALALILAVCIAVN
P16749 1 744 0.59316935483871 PF05459.12:Herpes_UL69:294:520 mRNA export factor ICP27 homolog 744 227 11 744 0 Human cytomegalovirus (strain AD169) (HHV-5) (Human herpesvirus 5) SwissProt::P16749 1 SwissProt MELHSRGRHDAPSLSSLSERERRARRARRFCLDYEPVPRKFRRERSPTSPSTRNGAAASEHHLAEDTVGAASHHHRPCVPARRPRYSKDDDTEGDPDHYPPPLPPSSRHALGGTGGHIIMGTAGFRGGHRASSSFKRRVAASASVPLNPHYGKSYDNDDGEPHHHGGDSTHLRRRVPSCPTTFGSSHPSSANNHHGSSAGPQQQQMLALIDDELDAMDEDELQQLSRLIEKKKRARLQRGAASSGTSPSSTSPVYDLQRYTAESLRLAPYPADLKVPTAFPQDHQPRGRILLSHDELMHTDYLLHIRQQFDWLEEPLLRKLVVEKIFAVYNAPNLHTLLAIIDETLSYMKYHHLHGLPVNPHDPYLETVGGMRQLLFNKLNNLDLGCILDHQDGWGDHCSTLKRLVKKPGQMSAWLRDDVCDLQKRPPETFSQPMHRAMAYVCSFSRVAVSLRRRALQVTGTPQFFDQFDTNNAMGTYRCGAVSDLILGALQCHECQNEMCELRIQRALAPYRFMIAYCPFDEQSLLDLTVFAGTTTTTASNHATAGGQQRGGDQIHPTDEQYANMESRTDPATLTAYDKKDREGSHRHPSPMIAAAPPAQPPSQPQQHYSEGELEEDEDSDDASSQDLVRATDRHGDTVVYKTTAVPPSPPAPLAGVRSHRGELNLMTPSPSHGGSPPQVPHKQPIIPVQSANGNHSTTATQQQQPPPPPPPPPVPQEDDSVVMRCQTPDYEDMLCYSDDMDD
P20107 1 442 0.232583031674208 PF01545.21:Cation_efflux:13:295 Zinc/cadmium resistance protein 442 283 11 312 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P20107 1 SwissProt MITGKELRIISLLTLDTVFFLLEITIGYMSHSLALIADSFHMLNDIISLLVALWAVDVAKNRGPDAKYTYGWKRAEILGALINAVFLIALCFSIMIEALQRLIEPQEIQNPRLVLYVGVAGLISNVVGLFLFHDHGSDSLHSHSHGSVESGNNDLDIESNATHSHSHASLPNDNLAIDEDAISSPGPSGQIGEVLPQSVVNRLSNESQPLLNHDDHDHSHESKKPGHRSLNMHGVFLHVLGDALGNIGVIAAALFIWKTEYSWRYYSDPIVSLIITIIIFSSALPLSRRASRILLQATPSTISADQIQREILAVPGVIAVHDFHVWNLTESIYIASIHVQIDCAPDKFMSSAKLIRKIFHQHGIHSATVQPEFVSGDVNEDIRRRFSIIAGGSPSSSQEAFDSHGNTEHGRKKRSPTAYGATTASSNCIVDDAVNCNTSNCL
P25574 1 760 0.174168421052632 PF07774.13:EMC1_C:539:757 ER membrane protein complex subunit 1 760 219 11 720 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25574 1 SwissProt MKITCTDLVYVFILLFLNTSCVQAVFSDDAFITDWQLANLGPWEKVIPDSRDRNRVLILSNPTETSCLVSSFNVSSGQILFRNVLPFTIDEIQLDSNDHNAMVCVNSSSNHWQKYDLHDWFLLEEGVDNAPSTTILPQSSYLNDQVSIKNNELHILDEQSKLAEWKLELPQGFNKVEYFHREDPLALVLNVNDTQYMGFSANGTELIPVWQRDEWLTNVVDYAVLDVFDSRDVELNKDMKAELDSNSLWNAYWLRLTTNWNRLINLLKENQFSPGRVFTKLLALDAKDTTVSDLKFGFAKILIVLTHDGFIGGLDMVNKGQLIWKLDLEIDQGVKMFWTDKNHDELVVFSHDGHYLTIEVTKDQPIIKSRSPLSERKTVDSVIRLNEHDHQYLIKFEDKDHLLFKLNPGKNTDVPIVANNHSSSHIFVTEHDTNGIYGYIIENDTVKQTWKKAVNSKEKMVAYSKRETTNLNTLGITLGDKSVLYKYLYPNLAAYLIANEEHHTITFNLIDTITGEILITQEHKDSPDFRFPMDIVFGEYWVVYSYFSSEPVPEQKLVVVELYESLTPDERLSNSSDNFSYDPLTGHINKPQFQTKQFIFPEIIKTMSISKTTDDITTKAIVMELENGQITYIPKLLLNARGKPAEEMAKDKKKEFMATPYTPVIPINDNFIITHFRNLLPGSDSQLISIPTNLESTSIICDLGLDVFCTRITPSGQFDLMSPTFEKGKLLITIFVLLVITYFIRPSVSNKKLKSQWLIK
P28667 1 200 0.915329 PF02063.17:MARCKS:3:43,PF02063.17:MARCKS:56:198 MARCKS-related protein; Brain protein F52; MARCKS-like protein 1; Macrophage myristoylated alanine-rich C kinase substrate; Mac-MARCKS; MacMARCKS 200 184 11 200 0 Mus musculus (Mouse) SwissProt::P28667 1 SwissProt MGSQSSKAPRGDVTAEEAAGASPAKANGQENGHVRSNGDLTPKGEGESPPVNGTDEAAGATGDAIEPAPPSQEAEAKGEVAPKETPKKKKKFSFKKPFKLSGLSFKRNRKEGGGDSSASSPTEEEQEQGEMSACSDEGTAQEGKAAATPESQEPQAKGAEASAASKEGDTEEEAGPQAAEPSTPSGPESGPTPASAEQNE
P28715 124 746 0.685708667736757 DNA repair protein complementing XP-G cells; DNA excision repair protein ERCC-5; Xeroderma pigmentosum group G-complementing protein; EC 3.1.-.- 1186 0 11 623 0 Homo sapiens (Human) SwissProt::P28715 1 SwissProt RSKRDEALPSLTQVRRENDLYVLPPLQEEEKHSSEEEDEKEWQERMNQKQALQEEFFHNPQAIDIESEDFSSLPPEVKHEILTDMKEFTKRRRTLFEAMPEESDDFSQYQLKGLLKKNYLNQHIEHVQKEMNQQHSGHIRRQYEDEGGFLKEVESRRVVSEDTSHYILIKGIQAKTVAEVDSESLPSSSKMHGMSFDVKSSPCEKLKTEKEPDATPPSPRTLLAMQAALLGSSSEEELESENRRQARGRNAPAAVDEGSISPRTLSAIKRALDDDEDVKVCAGDDVQTGGPGAEEMRINSSTENSDEGLKVRDGKGIPFTATLASSSVNSAEEHVASTNEGREPTDSVPKEQMSLVHVGTEAFPISDESMIKDRKDRLPLESAVVRHSDAPGLPNGRELTPASPTCTNSVSKNETHAEVLEQQNELCPYESKFDSSLLSSDDETKCKPNSASEVIGPVSLQETSSIVSVPSEAVDNVENVVSFNAKEHENFLETIQEQQTTESAGQDLISIPKAVEPMEIDSEESESDGSFIEVQSVISDEELQAEFPETSKPPSEQGEEELVGTREGEAPAESESLLRDNSERDDVDGEPQEAEKDAEDSLHEWQDINLEELETLESNLLAQ
P28908 1 595 0.40761025210084 PF00020.18:TNFR_c6:108:149 Tumor necrosis factor receptor superfamily member 8; CD30L receptor; Ki-1 antigen; Lymphocyte activation antigen CD30; CD30 antigen 595 42 11 572 1 Homo sapiens (Human) SwissProt::P28908 1 SwissProt MRVLLAALGLLFLGALRAFPQDRPFEDTCHGNPSHYYDKAVRRCCYRCPMGLFPTQQCPQRPTDCRKQCEPDYYLDEADRCTACVTCSRDDLVEKTPCAWNSSRVCECRPGMFCSTSAVNSCARCFFHSVCPAGMIVKFPGTAQKNTVCEPASPGVSPACASPENCKEPSSGTIPQAKPTPVSPATSSASTMPVRGGTRLAQEAASKLTRAPDSPSSVGRPSSDPGLSPTQPCPEGSGDCRKQCEPDYYLDEAGRCTACVSCSRDDLVEKTPCAWNSSRTCECRPGMICATSATNSCARCVPYPICAAETVTKPQDMAEKDTTFEAPPLGTQPDCNPTPENGEAPASTSPTQSLLVDSQASKTLPIPTSAPVALSSTGKPVLDAGPVLFWVILVLVVVVGSSAFLLCHRRACRKRIRQKLHLCYPVQTSQPKLELVDSRPRRSSTQLRSGASVTEPVAEERGLMSQPLMETCHSVGAAYLESLPLQDASPAGGPSSPRDLPEPRVSTEHTNNKIEKIYIMKADTVIVGTVKAELPEGRGLAGPAEPELEEELEADHTPHYPEQETEPPLGSCSDVMLSVEEEGKEDPLPTAASGK
P32839 1 231 0.259582683982684 PF08740.11:BCS1_N:61:228 Mitochondrial chaperone BCS1 456 168 11 208 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32839 1 SwissProt MSDKPIDIQYDKQATPNLSGVITPPTNETGNDSVREKLSKLVGDAMSNNPYFAAGGGLMILGTGLAVARSGIIKASRVLYRQMIVDLEIQSKDKSYAWFLTWMAKHPQRVSRHLSVRTNYIQHDNGSVSTKFSLVPGPGNHWIRYKGAFILIKRERSAKMIDIANGSPFETVTLTTLYRDKHLFDDILNEAKDIALKTTEGKTVIYTSFGPEWRKFGQPKAKRMLPSVILD
P35829 1 444 0.355173423423423 PF03217.14:SlpA:321:377,PF03217.14:SlpA:385:441 S-layer protein 444 114 11 421 1 Lactobacillus acidophilus (strain ATCC 700396 / NCK56 / N2 / NCFM) P35829 1 SwissProt/TReMBL MKKNLRIVSAAAAALLAVAPVAASAVSTVSAATTINASSSAINTNTNAKYDVDVTPSVSAVAANTANNTPAIAGNLTGTISASYNGKTYTANLKADTENATITAAGSTTAVKPAELAAGVAYTVTVNDVSFNFGSENAGKTVTLGSANSNVKFTGTNSDNQTETNVSTLKVKLDQNGVASLTNVSIANVYAINTTDNSNVNFYDVTSGATVTNGAVSVNADNQGQVNVANVVAAINSKYFAAQYADKKLNTRTANTEDAIKAALKDQKIDVNSVGYFKAPHTFTVNVKATSNTNGKSATLPVVVTVPNVAEPTVASVSKRIMHNAYYYDKDAKRVGTDSVKRYNSVSVLPNTTTINGKTYYQVVENGKAVDKYINAANIDGTKRTLKHNAYVYASSKKRANKVVLKKGEVVTTYGASYTFKNGQKYYKIGDNTDKTYVKVANFR 1
P38301 1 280 0.0811857142857143 PF01169.19:UPF0016:44:117,PF01169.19:UPF0016:195:268 GCR1-dependent translation factor 1 280 148 11 174 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38301 1 SwissProt MGNMIKKASLIALLPLFTAAAAAATDAETSMESGSSSHLKSFLMSVSMIGLSEIGDKTFLIAALMAMRHKRVLVFSAAATSLAIMTILSGVVGHSAVAFLSERYTAFFAGILFLVFGYKLTMEGLEMSKDAGVEEEMAEVEEEIAIKDMNQDMDDVEKGGDTAYDKQLKNASIGKKIVHRIRELASFMFSPVWVQIFLMVFLGELGDRSQISIIAMATDSDYWYVIAGAVIGHAICSGLAVVGGKLLATRISIRTITLASSLLFFIFALMYIYQAFTTQD
P41903 120 349 0.240262173913044 PF02551.15:Acyl_CoA_thio:82:217,PF13622.6:4HBT_3:120:218 Peroxisomal acyl-coenzyme A thioester hydrolase 1; Peroxisomal long-chain acyl-CoA thioesterase 1; EC 3.1.2.2 349 137 11 230 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41903 1 SwissProt KEHDSLQHWETIPGLQGKQPDPHRYEEATSLFQKEVLDPQKLSRYASLSDRFQDATSMSKYVDAFQYGVMEYQFPKDMFYSARHTDELDYFVKVRPPITTVEHAGDESSLHKHHPYRIPKSITPENDARYNYVAFAYLSDSYLLLTIPYFHNLPLYCHSFSVSLDHTIYFHQLPHVNNWIYLKISNPRSHWDKHLVQGKYFDTQSGRIMASVSQEGYVVYGSERDIRAKF
P45951 1 272 0.568139338235294 PF02037.27:SAP:98:128 DNA-(apurinic or apyrimidinic site) lyase, chloroplastic; Apurinic endonuclease-redox protein; EC 4.2.99.18 536 31 11 272 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P45951 1 SwissProt MNNVLQFGLQSSAIYVAKFLVVPLRSLRVGSSFVGVGVGTRSFNKRLMSNATAFSINNSKRKELKIPGAAIDQNCHQMGSDTDRDEMGTLQDDRKEIEAMTVQELRSTLRKLGVPVKGRKQELISTLRLHMDSNLPDQKETSSSTRSDSVTIKRKISNREEPTEDECTNSEAYDIEHGEKRVKQSTEKNLKAKVSAKAIAKEQKSLMRTGKQQIQSKEETSSTISSELLKTEEIISSPSQSEPWTVLAHKKPQKDWKAYNPKTMRPPPLPEG
P47130 1 423 0.137413002364066 PF01399.27:PCI:300:415 Cop9 signalosome complex subunit 12 423 116 11 423 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47130 1 SwissProt MDVDIGCYFEEKRYDDKLLDFIRYDVKTPKKTKYILQRPTATDEESVRLQRFYQLGVDLKLKYSKRRSLKKQGRIKNATEELLRLANEQLKLFNRIVERETNWIIYPLWVMAKQLIRLANESSELNKDSIEECGRTIHRSFTICLNDRNPRLNENKKIGCYMFANLEFSIYHRLSNKDMIKNLVKVLESRVNARDIPPLNKSLAMEHKSQVVLYNYYLGQYYGCLENDHERGFFHLNEALLQCPMLYVESTGKFVLQGQMEKIMILLVPLALLTKRLYPHWDHPVIAGVITRSKRLSQVYPTLVRSVISGNLSLYEATAASHERFFLSQGLHVVITLLREVVFTRLVQRCWQWGNDRKSIMPLKILLATKQHDSSANEDEEEQLDALECRLASAIASGLLRAYLSHSNRCIVFSKKEPFPHSK
P49754 1 854 0.180134074941452 PF00637.20:Clathrin:573:710 Vacuolar protein sorting-associated protein 41 homolog; S53 854 138 11 854 0 Homo sapiens (Human) SwissProt::P49754 1 SwissProt MAEAEEQETGSLEESTDESEEEESEEEPKLKYERLSNGVTEILQKDAASCMTVHDKFLALGTHYGKVYLLDVQGNITQKFDVSPVKINQISLDESGEHMGVCSEDGKVQVFGLYSGEEFHETFDCPIKIIAVHPHFVRSSCKQFVTGGKKLLLFERSWMNRWKSAVLHEGEGNIRSVKWRGHLIAWANNMGVKIFDIISKQRITNVPRDDISLRPDMYPCSLCWKDNVTLIIGWGTSVKVCSVKERHASEMRDLPSRYVEIVSQFETEFYISGLAPLCDQLVVLSYVKEISEKTEREYCARPRLDIIQPLSETCEEISSDALTVRGFQENECRDYHLEYSEGESLFYIVSPRDVVVAKERDQDDHIDWLLEKKKYEEALMAAEISQKNIKRHKILDIGLAYINHLVERGDYDIAARKCQKILGKNAALWEYEVYKFKEIGQLKAISPYLPRGDPVLKPLIYEMILHEFLESDYEGFATLIREWPGDLYNNSVIVQAVRDHLKKDSQNKTLLKTLAELYTYDKNYGNALEIYLTLRHKDVFQLIHKHNLFSSIKDKIVLLMDFDSEKAVDMLLDNEDKISIKKVVEELEDRPELQHVYLHKLFKRDHHKGQRYHEKQISLYAEYDRPNLLPFLRDSTHCPLEKALEICQQRNFVEETVYLLSRMGNSRSALKMIMEELHDVDKAIEFAKEQDDGELWEDLILYSIDKPPFITGLLNNIGTHVDPILLIHRIKEGMEIPNLRDSLVKILQDYNLQILLREGCKKILVADSLSLLKKMHRTQMKGVLVDEENICESCLSPILPSDAAKPFSVVVFHCRHMFHKECLPMPSMNSAAQFCNICSAKNRGPGSAILEMKK
P53109 1 570 0.165863157894737 PF08030.12:NAD_binding_6:372:557,PF01794.19:Ferric_reduct:101:219,PF08022.12:FAD_binding_8:255:364 Probable metalloreductase AIM14; Altered inheritance of mitochondria protein 14; EC 1.16.1.- 570 415 11 421 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53109 1 SwissProt MKESPLITLVKRHSETHFANIKYGYYVLIISLVYLIGLALLRAFGRRTPSRSSSAFKNKIIYRLYDIDPAIHLGILFFAVLIPFYYHYSLTTQSTVYLKRLGRLSYALIPLNLFLTLRPNWFLRKNCTYTDFIPFHKWFSRIITVIGLLHGIFFIIKWAIDDNVSLKQKLILKTFNFAGFIISILVLFLLICSIGPMRRYNYRLFYIVHNLVNVAFILLTPIHSRPGVKFPFLLLNCTLLFIHIINRIVFAKSLMILNKNANYSKTNLVHVRLPRAILPDYFEPGSHIRISPYRRINPLYWLLPSHPYTIASLAEDNSIDLIIKETSTAEPGSQIESLRSNPKSFHLDQEKTYTLINSYPPSVPEECYSQGTNIAIICGGSGISFALPLFRHFFNKENVKYLKMIWLIKDYSEYELVLDYLKTNGLTFEKKLSNNKRISVFISGEYTAETRLDEITTNIDDENSEYEMGSFNNEDEDLSISNFNSENADSNDNTPETSHSPTKENGSMIEVKSKHSFTLSNELKSFNNESAQVNQNETWLFSCGPPSLLQLSKKYCNDERINFVCETYGL
P53168 1 247 0.553981376518219 PF08651.10:DASH_Duo1:63:135 DASH complex subunit DUO1; Death upon overproduction protein 1; Outer kinetochore protein DUO1 247 73 11 247 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53168 1 SwissProt MSEQSQLDDSTIDKLIPQIFNEMRSNLNNTTNKFPKSTGGGASDNISANSNSIRSFNSITTQSLLKESESLDKITAMIKNVTAALKNNLPVYVNQVHEVCKSTNSILDSWINIHSQAGYIHKLMSDQTYLKLINDRLHNENVNTNDEDGSTLHNVIALKKKEILDLRQKLENRKGEKDAAPAKPPNQGLNPRYGVQSGRRPVPSAGISNNGRVRKTHVPASKRPSGIPRVTNRWTKPTASSSRKMFR
P59544 1 299 0.0150896321070234 PF05296.13:TAS2R:1:297 Taste receptor type 2 member 50 299 297 11 138 7 Homo sapiens P59544 0 SwissProt/TReMBL MITFLYIFFSILIMVLFVLGNFANGFIALVNFIDWVKRKKISSADQILTALAVSRIGLLWALLLNWYLTVLNPAFYSVELRITSYNAWVVTNHFSMWLAANLSIFYLLKIANFSNLLFLHLKRRVRSVILVILLGTLIFLVCHLLVANMDESMWAEEYEGNMTGKMKLRNTVHLSYLTVTTLWSFIPFTLSLISFLMLICSLCKHLKKMQLHGEGSQDLSTKVHIKALQTLISFLLLCAIFFLFLIVSVWSPRRLRNDPVVMVSKAVGNIYLAFDSFILIWRTKKLKHTFLLILCQIRC
P59666 1 64 0.2919671875 PF00879.18:Defensin_propep:1:52 Neutrophil defensin 3; Defensin, alpha 3; HNP-3; HP-3; HP3 94 52 11 64 0 Homo sapiens (Human) SwissProt::P59666 1 SwissProt MRTLAILAAILLVALQAQAEPLQARADEVAAAPEQIAADIPEVVVSLAWDESLAPKHPGSRKNM
P69851 1 72 0.371102777777778 PF08650.10:DASH_Dad4:1:71 DASH complex subunit DAD4; DUO1 and DAM1-interacting protein 4; Helper of ASK1 protein 2; Outer kinetochore protein DAD4 72 71 11 72 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P69851 1 SwissProt MENPHEQVQANILSRIIGNVKRLNESVAILNQELVTINNRNKNLEIMGAICDNYHSSVQFNLEATNNKKPPL
P70056 208 518 0.549631832797428 Forkhead box protein H1; Forkhead activin signal transducer 1; Fast-1; xFAST-1; XFoxH1a 518 0 11 311 0 Xenopus laevis (African clawed frog) SwissProt::P70056 1 SwissProt NTALTRGGSDYFVQDLAPYILHNYKYEHNAGAYGHQMPPSHARSLSLAEDSQQTNTGGKLNTSFMIDSLLHDLQEVDLPDASRNLENQRISPAVAMNNMWSSAPLLYTHSKPTRNARSPGLSTIHSTYSSSSSSISTISPVGFQKEQEKSGRQTQRVGHPIKRSREDDDCSTTSSDPDTGNYSPIEPPKKMPLLSLDLPTSYTKSVAPNVVAPPSVLPFFHFPRFTYYNYGPSPYMTPPYWGFPHPTNSGGDSPRGPQSPLDLDNMLRAMPPNKSVFDVLTSHPGDLVHPSFLSQCLGSSGSPYPSRQGLM
P73804 1 388 0.244720360824743 PF00392.21:GntR:60:122 Sll1961 protein 388 63 11 388 0 Synechocystis sp. (strain PCC 6803 / Kazusa) P73804 1 SwissProt/TReMBL MMAWARLPVAIKTKPSMNLSPVRAVLSPGMKSGLNLPTPRLPFPKMLQFQIQNDSEIPASKQLFDQIRFAIASRQYHPGHRLPSTRQLAMMTGLHRNTISKVYQNLEDAGLVESIAGSGIYVKAPSTEEGMILDGPLFREYPEASQLIQKTIDELLGQGLNLSQVKELCLETIDWRLRSTARVLVTVPQRDIGAGQLILNELEQALVIPVQLVPMETLKQTLSELPSGTVVTSRYFLAEAESIATPYDVRVIPVDIYDYSKELELVKALPENSCLGIVSLSPGILTIAEILIHSLRGESLFLKSALVSDPQKLRSLVRTARTIITDPASEPIVRQAIEAERHDLIRMPEIICSEHYIGEKSIAILKRELGLGEEETEEEGKSTKVATA
P98095 1 595 0.431840840336134 PF01821.18:ANATO:445:480 Fibulin-2; FIBL-2 1184 36 11 595 0 Homo sapiens (Human) SwissProt::P98095 1 SwissProt MVLLWEPAGAWLALGLALALGPSVAAAAPRQDCTGVECPPLENCIEEALEPGACCATCVQQGCACEGYQYYDCLQGGFVRGRVPAGQSYFVDFGSTECSCPPGGGKISCQFMLCPELPPNCIEAVVVADSCPQCGQVGCVHAGHKYAAGHTVHLPPCRACHCPDAGGELICYQLPGCHGNFSDAEEGDPERHYEDPYSYDQEVAEVEAATALGGEVQAGAVQAGAGGPPAALGGGSQPLSTIQAPPWPAVLPRPTAAAALGPPAPVQAKARRVTEDSEEEEEEEEEREEMAVTEQLAAGGHRGLDGLPTTAPAGPSLPIQEERAEAGARAEAGARPEENLILDAQATSRSTGPEGVTHAPSLGKAALVPTQAVPGSPRDPVKPSPHNILSTSLPDAAWIPPTREVPRKPQVLPHSHVEEDTDPNSVHSIPRSSPEGSTKDLIETCCAAGQQWAIDNDECLEIPESGTEDNVCRTAQRHCCVSYLQEKSCMAGVLGAKEGETCGAEDNDSCGISLYKQCCDCCGLGLRVRAEGQSCESNPNLGYPCNHVMLSCCEGEEPLIVPEVRRPPEPAAAPRRVSEAEMAGREALSLGTEAE
Q03769 194 411 0.773351834862385 Epsin-5 411 0 11 218 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03769 1 SwissProt IRQQGESSIYNANQISTSASYDNIDDDEFDADADGFDSEMDANNVTNFNVPVETEANSNTRRRSHMEEQRRQRREILREQIKNKEQQRKRKQQQDSIPDLIDLDDSTSTTNNITIDNGNNDNKNNNINSNSDDDDDEFGDFQSETSPDTTAPKTSNSKIDDLLDWDGPKSDTDTTAAAQTSLPFAEKKQQKARPQATKDKSKGNDAFSDLFSYSKSLV
Q08851 1 355 0.344641690140845 PF05739.19:SNARE:299:351,PF11416.8:Syntaxin-5_N:52:74 Syntaxin-5 355 76 11 335 1 Rattus norvegicus (Rat) SwissProt::Q08851 1 SwissProt MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAINSSSDIAPLPTPVALVPSPPDTMSCRDRTQEFLSACKSLQSRQNGIQTNKPALHATRQCSEFTLMARRIGKDLSNTFAKLEKLTILAKRKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQSKLASMSNDFKSVLEVRTENLKQQRNRREQFSRAPVSALPLAPNNLGGGPIVLGGESRASRDVAIDMMDPRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEETIQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA
Q13190 1 355 0.353357183098592 PF05739.19:SNARE:299:351,PF11416.8:Syntaxin-5_N:52:74 Syntaxin-5 355 76 11 335 1 Homo sapiens (Human) SwissProt::Q13190 1 SwissProt MIPRKRYGSKNTDQGVYLGLSKTQVLSPATAGSSSSDIAPLPPPVTLVPPPPDTMSCRDRTQEFLSACKSLQTRQNGIQTNKPALRAVRQRSEFTLMAKRIGKDLSNTFAKLEKLTILAKRKSLFDDKAVEIEELTYIIKQDINSLNKQIAQLQDFVRAKGSQSGRHLQTHSNTIVVSLQSKLASMSNDFKSVLEVRTENLKQQRSRREQFSRAPVSALPLAPNHLGGGAVVLGAESHASKDVAIDMMDSRTSQQLQLIDEQDSYIQSRADTMQNIESTIVELGSIFQQLAHMVKEQEETIQRIDENVLGAQLDVEAAHSEILKYFQSVTSNRWLMVKIFLILIVFFIIFVVFLA
Q15043 1 492 0.135134552845528 PF02535.22:Zip:152:482 Zinc transporter ZIP14; LIV-1 subfamily of ZIP zinc transporter 4; LZT-Hs4; Solute carrier family 39 member 14; Zrt- and Irt-like protein 14; ZIP-14 492 331 11 364 6 Homo sapiens (Human) SwissProt::Q15043 1 SwissProt MKLLLLHPAFQSCLLLTLLGLWRTTPEAHASSLGAPAISAASFLQDLIHRYGEGDSLTLQQLKALLNHLDVGVGRGNVTQHVQGHRNLSTCFSSGDLFTAHNFSEQSRIGSSELQEFCPTILQQLDSRACTSENQENEENEQTEEGRPSAVEVWGYGLLCVTVISLCSLLGASVVPFMKKTFYKRLLLYFIALAIGTLYSNALFQLIPEAFGFNPLEDYYVSKSAVVFGGFYLFFFTEKILKILLKQKNEHHHGHSHYASESLPSKKDQEEGVMEKLQNGDLDHMIPQHCSSELDGKAPMVDEKVIVGSLSVQDLQASQSACYWLKGVRYSDIGTLAWMITLSDGLHNFIDGLAIGASFTVSVFQGISTSVAILCEEFPHELGDFVILLNAGMSIQQALFFNFLSACCCYLGLAFGILAGSHFSANWIFALAGGMFLYISLADMFPEMNEVCQEDERKGSILIPFIIQNLGLLTGFTIMVVLTMYSGQIQIG
Q27354 1 122 0.3748 Bag1 protein 229 0 11 122 0 Toxoplasma gondii Q27354 1 SwissProt/TReMBL MAPSASHPPGACPPGCTKHPATATAISPSGVCPMRAFHPAGPHSHFSCYDDLRNRLSHDKNVRPVASQQLDYLDEVSPFALAYYPPPFWGGVGLNPIDDMLFETALTANEMMEDITWRPRVD
Q40477 109 225 0.476068376068376 Ethylene-responsive transcription factor 4; Ethylene-responsive element-binding factor 3; EREBP-3; Ethylene-responsive element-binding factor 4 homolog; NtERF3 225 0 11 117 0 Nicotiana tabacum (Common tobacco) SwissProt::Q40477 1 SwissProt PPHAPLELDLTRRLGSVAADGGDNCRRSGEVGYPIFHQQPTVAVLPNGQPVLLFDSLWRAGVVNRPQPYHVTPMGFNGVNAGVGPTVSDSSSAVEENQYDGKRGIDLDLNLAPPMEF
Q8W420 165 611 0.25149485458613 PF13418.6:Kelch_4:130:180,PF13418.6:Kelch_4:181:230,PF13418.6:Kelch_4:233:279,PF13415.6:Kelch_3:139:188,PF13415.6:Kelch_3:192:241,PF13415.6:Kelch_3:246:295,PF13854.6:Kelch_5:179:216,PF07646.15:Kelch_2:352:397,PF01344.25:Kelch_1:129:170,PF12937.7:F-box-like:42:80,PF00646.33:F-box:34:78 Adagio protein 2; F-box only protein 2c; FBX2c; Flavin-binding kelch repeat F-box protein 1-like protein 1; FKF1-like protein 1; LOV kelch protein 2 611 260 11 447 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W420 1 SwissProt SPDLSAKEIPRISRSFTSALPIGERNVSRGLCGIFELSDEVIAIKILSQLTPGDIASVGCVCRRLNELTKNDDVWRMVCQNTWGTEATRVLESVPGAKRIGWVRLAREFTTHEATAWRKFSVGGTVEPSRCNFSACAVGNRIVIFGGEGVNMQPMNDTFVLDLGSSSPEWKSVLVSSPPPGRWGHTLSCVNGSRLVVFGGYGSHGLLNDVFLLDLDADPPSWREVSGLAPPIPRSWHSSCTLDGTKLIVSGGCADSGALLSDTFLLDLSMDIPAWREIPVPWTPPSRLGHTLTVYGDRKILMFGGLAKNGTLRFRSNDVYTMDLSEDEPSWRPVIGYGSSLPGGMAAPPPRLDHVAISLPGGRILIFGGSVAGLDSASQLYLLDPNEEKPAWRILNVQGGPPRFAWGHTTCVVGGTRLVVLGGQTGEEWMLNEAHELLLATSTTAST
Q92609 159 795 0.386251805337519 PF00566.18:RabGAP-TBC:3:221 TBC1 domain family member 5 795 219 11 637 0 Homo sapiens (Human) SwissProt::Q92609 1 SwissProt LRSMIEQDVKRTFPEMQFFQQENVRKILTDVLFCYARENEQLLYKQGMHELLAPIVFVLHCDHQAFLHASESAQPSEEMKTVLNPEYLEHDAYAVFSQLMETAEPWFSTFEHDGQKGKETLMTPIPFARPQDLGPTIAIVTKVNQIQDHLLKKHDIELYMHLNRLEIAPQIYGLRWVRLLFGREFPLQDLLVVWDALFADGLSLGLVDYIFVAMLLYIRDALISSNYQTCLGLLMHYPFIGDVHSLILKALFLRDPKRNPRPVTYQFHPNLDYYKARGADLMNKSRTNAKGAPLNINKVSNSLINFGRKLISPAMAPGSAGGPVPGGNSSSSSSVVIPTRTSAEAPSHHLQQQQQQQRLMKSESMPVQLNKGLSSKNISSSPSVESLPGGREFTGSPPSSATKKDSFFSNISRSRSHSKTMGRKESEEELEAQISFLQGQLNDLDAMCKYCAKVMDTHLVNIQDVILQENLEKEDQILVSLAGLKQIKDILKGSLRFNQSQLEAEENEQITIADNHYCSSGQGQGRGQGQSVQMSGAIKQASSETPGCTDRGNSDDFILISKDDDGSSARGSFSGQAQPLRTLRSTSGKSQAPVCSPLVFSDPLMGPASASSSNPSSSPDDDSSKDSGFTIVSPLDI
Q92734 1 400 0.66673375 PF00564.24:PB1:13:91 Protein TFG; TRK-fused gene protein 400 79 11 400 0 Homo sapiens (Human) SwissProt::Q92734 1 SwissProt MNGQLDLSGKLIIKAQLGEDIRRIPIHNEDITYDELVLMMQRVFRGKLLSNDEVTIKYKDEDGDLITIFDSSDLSFAIQCSRILKLTLFVNGQPRPLESSQVKYLRRELIELRNKVNRLLDSLEPPGEPGPSTNIPENDTVDGREEKSASDSSGKQSTQVMAASMSAFDPLKNQDEINKNVMSAFGLTDDQVSGPPSAPAEDRSGTPDSIASSSSAAHPPGVQPQQPPYTGAQTQAGQIEGQMYQQYQQQAGYGAQQPQAPPQQPQQYGIQYSASYSQQTGPQQPQQFQGYGQQPTSQAPAPAFSGQPQQLPAQPPQQYQASNYPAQTYTAQTSQPTNYTVAPASQPGMAPSQPGAYQPRPGFTSLPGSTMTPPPSGPNPYARNRPPFGQGYTQPGPGYR
Q92911 216 643 0.150551635514019 PF00474.17:SSF:28:237 Sodium/iodide cotransporter; Na(+)/I(-) cotransporter; Sodium-iodide symporter; Na(+)/I(-) symporter; Solute carrier family 5 member 5 643 210 11 270 7 Homo sapiens (Human) SwissProt::Q92911 1 SwissProt PRQVLTLAQNHSRINLMDFNPDPRSRYTFWTFVVGGTLVWLSMYGVNQAQVQRYVACRTEKQAKLALLINQVGLFLIVSSAACCGIVMFVFYTDCDPLLLGRISAPDQYMPLLVLDIFEDLPGVPGLFLACAYSGTLSTASTSINAMAAVTVEDLIKPRLRSLAPRKLVIISKGLSLIYGSACLTVAALSSLLGGGVLQGSFTVMGVISGPLLGAFILGMFLPACNTPGVLAGLGAGLALSLWVALGATLYPPSEQTMRVLPSSAARCVALSVNASGLLDPALLPANDSSRAPSSGMDASRPALADSFYAISYLYYGALGTLTTVLCGALISCLTGPTKRSTLAPGLLWWDLARQTASVAPKEEVAILDDNLVKGPEELPTGNKKPPGFLPTNEDRLFFLGQKELEGAGSWTPCVGHDGGRDQQETNL
Q92968 1 265 0.313290188679245 PF04088.13:Peroxin-13_N:117:254 Peroxisomal membrane protein PEX13; Peroxin-13 403 138 11 265 0 Homo sapiens (Human) SwissProt::Q92968 1 SwissProt MASQPPPPPKPWETRRIPGAGPGPGPGPTFQSADLGPTLMTRPGQPALTRVPPPILPRPSQQTGSSSVNTFRPAYSSFSSGYGAYGNSFYGGYSPYSYGYNGLGYNRLRVDDLPPSRFVQQAEESSRGAFQSIESIVHAFASVSMMMDATFSAVYNSFRAVLDVANHFSRLKIHFTKVFSAFALVRTIRYLYRRLQRMLGLRRGSENEDLWAESEGTVACLGAEDRAATSAKSWPIFLFFAVILGGPYLIWKLLSTHSDEVTDSI
Q99518 227 535 0.106245307443366 PF00743.19:FMO-like:1:306 Dimethylaniline monooxygenase [N-oxide-forming] 2; Dimethylaniline oxidase 2; FMO 1B1; Pulmonary flavin-containing monooxygenase 2; FMO 2; EC 1.14.13.8 535 306 11 286 1 Homo sapiens (Human) SwissProt::Q99518 1 SwissProt DGYPWDSVFHTRFRSMLRNVLPRTAVKWMIEQQMNRWFNHENYGLEPQNKYIMKEPVLNDDVPSRLLCGAIKVKSTVKELTETSAIFEDGTVEENIDVIIFATGYSFSFPFLEDSLVKVENNMVSLYKYIFPAHLDKSTLACIGLIQPLGSIFPTAELQARWVTRVFKGLCSLPSERTMMMDIIKRNEKRIDLFGESQSQTLQTNYVDYLDELALEIGAKPDFCSLLFKDPKLAVRLYFGPCNSYQYRLVGPGQWEGARNAIFTQKQRILKPLKTRALKDSSNFSVSFLLKILGLLAVVVAFFCQLQWS
SwissProt::M1WEN5 1 290 0.257251724137931 PF05368.13:NmrA:116:220 Agroclavine dehydrogenase; Ergot alkaloid biosynthesis protein G; EC 1.5.1.46 290 105 11 290 0 Claviceps purpurea (strain 20.1) (Ergot fungus) (Sphacelia segetum) SwissProt::M1WEN5 1 SwissProt MTVLLTGGTGRTAKHIAGIFRQTNVPFLVASRSSSAGTAENHRKFDWLDEETFPNALSVDQGMKPISVVWLCPPPLYDLATPVIKFIDFAVSQNVKKFVLLSASVIQKGGPAMGKIHEHLDSIKDVTYTVLRPTWFMENFSTKGEIQCEAIRRDSTVYSATENGKIPFISVVDIARVAACALTAETLKNSDHILQGPDLLTYDEVAQALTGVLGRKITHTKMTEGELAEKLMEEGVTPEEAYMHAAMDSMIKSGSEERVVSDEVKAWTGVKPRGFINFALSEKAAWRARK
SwissProt::O70361 413 1113 0.546228673323823 PF12114.8:Period_C:529:686 Period circadian protein homolog 3; mPER3; Circadian clock protein PERIOD 3 1113 158 11 701 0 Mus musculus (Mouse) SwissProt::O70361 1 SwissProt ASASSGYGSLGSSGSQEQHVSITSSSESSGHCPEEGQHEQMTLQQVYASVNKIKNVGQQLYIESMARSSVKPVAETCVEPQGGDEQKDFSSSQTLKNKSTTDTGSGGNLQQEQPSSSYQQMNCIDSVIRYLTSYSLPALKRKCISCTNTSSSSEEAKPIPEVDSSQRDTEQLLDIRKQETTGPSTDIEGGAARTLSTAALSVASGISQCSCSSTSGHAPPLQSESVAVACKPWALRTKASHLAAGGFKHVGLTAAVLSAHTQKEEQNYVDRFREKILTSPYGCYLQQESRNRAQYSCVQAGSTAKHSRCAGSERQKHKRKKLPAPVDTSSPGAHLCPHVTGLLPDEQHWGPSASPSPLGAGLAFPSALVVPSQTPYLLPSFPLQDMASQGVGVSAAWGAAAGCPPLSAGPQAVAAFPSAYVDTLMTIFLHNAPLFPLWPPSFSPYPSLGAAGSSELAPLVPAMAPNPEPTTSGHSQRRVEENWEAHSEELPFISSRSSSPLQLNLLQEEMPAPSESADAVRRGAGPDAKHHCVTGPSGSRSRHCTSGELATATAQQESAAASGSSASSIYFSSTDYASEVSENRQRPQDRQRDEALPGAAEESIWRMIERTPECVLMTYQVPERGREEVLKQDLEKLQSMEQQQPLFSPAQREELAKVRSWIHSHTAPQEGHLQSCVACEDRGSVGDTAEVLEQHPAEDTS
SwissProt::P0AG38 1 206 0.0235961165048544 PF01810.18:LysE:14:205 L-threonine exporter 206 192 11 74 6 Escherichia coli K-12 substr. MG1655 ecocyc::RHTC-MONOMER 0 ecocyc MLMLFLTVAMVHIVALMSPGPDFFFVSQTAVSRSRKEAMMGVLGITCGVMVWAGIALLGLHLIIEKMAWLHTLIMVGGGLYLCWMGYQMLRGALKKEAVSAPAPQVELAKSGRSFLKGLLTNLANPKAIIYFGSVFSLFVGDNVGTTARWGIFALIIVETLAWFTVVASLFALPQMRRGYQRLAKWIDGFAGALFAGFGIHLIISR
SwissProt::P0CT21 1 290 0.260976206896552 PF05368.13:NmrA:126:220 Agroclavine dehydrogenase; Ergot alkaloid biosynthesis protein G; EC 1.5.1.46 290 95 11 290 0 Claviceps purpurea (Ergot fungus) (Sphacelia segetum) SwissProt::P0CT21 1 SwissProt MTVLLTGGTGRTAKHIAGIFRQTNVPFLVASRSSSAGTAENHRKFDWLDEETFPNALSVDQGMKPISVVWLCPPPLYDLATPVIKFIDFAVSQNVKKFVLLSASVIQKGGPAMGKIHGHLDSIKDVTYTVLRPTWFMENFSTKGEIQCEAIRRDSTVYSATENGKIPFISVVDIARVAACALTAETLKNSDHILQGPDLLTYDEVAQALTGVLGRKITHTKMTEGELAEKLMEEGVTPEEAYMHAAMDSMIKSGSEERVVSDEVKEWTGVKPRGFINFALSEKAAWRARK
SwissProt::P26434 244 717 0.161367721518987 PF00999.21:Na_H_Exchanger:6:233,PF16644.5:NEXCaM_BD:324:430 Sodium/hydrogen exchanger 4; Na(+)/H(+) exchanger 4; NHE-4; Solute carrier family 9 member 4 717 335 11 336 6 Rattus norvegicus (Rat) SwissProt::P26434 1 SwissProt NILIAFTKMHKFEDIEAVDILAGCARFVIVGCGGVFFGIIFGFISAFITRFTQNISAIEPLIVFMFSYLSYLAAETLYLSGILAITACAVTMKKYVEENVSQTSYTTIKYFMKMLSSVSETLIFIFMGVSTVGKNHEWNWAFVCFTLAFCQIWRAISVFTLFYVSNQFRTFPFSIKDQLIIFYSGVRGAGSFSLAFLLPLTLFPRKKLFVTATLVVTYFTVFFQGITIGPLVRYLDVRKTNKKESINEELHIRLMDHLKAGIEDVCGQWSHYQVRDKFKKFDHRYLRKILIRRNQPKSSIVSLYKKLEMKQAIEMAETGLLSSVASPTPYQSERIQGIKRLSPEDVESMRDILTRNMYQVRQRTLSYNKYNLKPQTSEKQAKEILIRRQNTLRESLRKGQSLPWVKPAGTKNFRYLSFPYSNPQPARRGARAAESTGNPCCWLLHFLLCRAMVEKIWGPGGQETQPRLLCRNLN
SwissProt::P83303 1 52 0.156301923076923 Huwentoxin-IV; HwTx-IV; Huwentoxin-4; Huwentoxin-IVa; HWTX-IVa; Huwentoxin-IVb; HWTX-IVb; Huwentoxin-IVc; HWTX-IVc; Mu-theraphotoxin-Hs2a; Mu-TRTX-Hs2a 89 0 11 34 1 Cyriopagopus schmidti (Chinese bird spider) (Haplopelma schmidti) SwissProt::P83303 0 SwissProt MVNMKASMFLALAGLVLLFVVCYASESEEKEFSNELLSSVLAVDDNSKGEER
SwissProt::Q00202 168 678 0.685504892367906 pH-response transcription factor pacC/RIM101 678 0 11 511 0 Emericella nidulans (strain FGSC A4 / ATCC 38163 / CBS 112.46 / NRRL 194 / M139) (Aspergillus nidulans) SwissProt::Q00202 1 SwissProt SVLVRSPEPGSRNPDMMFGGNGKGYAAAHYFEPALNPVPSQGYAHGPPQYYQAHHAPQPSNPSYGNVYYALNTGPEPHQASYESKKRGYDALNEFFGDLKRRQFDPNSYAAVGQRLLSLQNLSLPVLTAAPLPEYQAMPAPVAVASGPYGGGPHPAPAYHLPPMSNVRTKNDLINIDQFLQQMQDTIYENDDNVAAAGVAQPGAHYIHNGISYRTTHSPPTQLPSAHATTQTTAGPIISNTSAHSPSSSTPALTPPSSAQSYTSGRSPISLPSAHRVSPPHESGSSMYPRLPSATDGMTSGYTAASSAAPPSTLGGIFDNDERRRYTGGTLQRARPASRAASESMDLSSDDKESGERTPKQISASLIDPALHSGSPGEDDVTRTAKAATEVAERSDVQSEWVEKVRLIEYLRNYIANRLERGEFSDDSEQEQDQEQEQDQEQEQDQEQGQDRVSRSPVSKADVDMEGVERDSLPRSPRTVPIKTDGESAEDSVMYPTLRGLDEDGDSKMPS
SwissProt::Q5AG77 1 582 0.0811960481099656 PF00324.21:AA_permease:79:538,PF13520.6:AA_permease_2:77:516 Amino-acid permease GAP1 582 462 11 324 12 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AG77 1 SwissProt MLHKKETNDTFVQLNRSPSTGEQKSSGIWSSIKDSFKPALPQDKLTGVDDIPDRELTDIERININAANSNLQRKLKTRHLQMIAIGSSIGTGLFVGTGGALSTGGPAAIVLAWAISAISVFMTMQGLGELAVAFPVSGGFNLYASKFLEPGIGFAVGWNYFLQFFVLLPLELVAGAITIKYWNASINSDVFVIIFWFVVLVITMLGVRWYGEAELVFCTIKVIAVIGFIILGIVLICGGGPNHEFIGGKYWREPGPFANSFKGFASSLITAAFSFGGTEMIALTASESSNVRHALPKAIKQVFWRIVIFYLGSIIMIATLVPYNDKRLLGSSSVDVTASPFTIAIVNGGIKGLPSVINAVILISVLSVGNASVYATSRTLNSLAEQGMAPKWTGYIDRAGRPLFAILITNVFGLFALIAADNEKQVVAFNWLLALSGLSSIFTWMSINLSHIRFRRAMKVQNRSLTELPFVAQSGVWGSYFGLTLNILYLIAQFYIGLFPVGGKPNAYDFFLAYLGVPVILASWIGYKIWKRDWTLFIRAKDIDLDTGRINVDLDLLQQEIAEEKAQLAEKPFYIRIYRFWC
SwissProt::Q641K1 1 904 0.313081194690266 PF18027.1:Pepdidase_M14_N:704:838 Cytosolic carboxypeptidase 1; ATP/GTP-binding protein 1; Nervous system nuclear protein induced by axotomy protein 1; EC 3.4.17.- 1218 135 11 904 0 Mus musculus (Mouse) SwissProt::Q641K1 1 SwissProt MSKLKVVGEKSLTNSSRVVGLLAQLEKINTDSTESDTARYVTSKILHLAQSQEKTRREMTTKGSTGMEVLLSTLENTKDLQTVLNILSILIELVSSGGGRRASFLVAKGGSQILLQLLMNASKDSPPHEEVMVQTHSILAKIGPKDKKFGVKARVNGALTVTLNLVKQHFQNYRLVLPCLQLLRVYSTNSVNSVSLGKNGVVELMFKIIGPFSKKNSGLMKVALDTLAALLKSKTNARRAVDRGYVQVLLTIYVDWHRHDNRHRNMLIRKGILQSLKSVTNIKLGRKAFIDANGMKILYNTSQECLAVRTLDPLVNTSSLIMRKCFPKNRLPLPTIKSSFHFQLPIIPVTGPVAQLYSLPPEVDDVVDESDDNDDIDLEVENELENEDDLDQSFKNDDIETDINKLRPQQVPGRTIEELKMYEHLFPELVDDFQDYELISKEPKPFVFEGKARGPIVVPTAGEEVPGNSGSVKKGVVMKERASPKGEEAKEDPKGHDRTLPQQLGGQSRVAPSAHSFNNDLVKALDRITLQNVPSQVASGLNAGMRKDFGLPLTVLSCTKACPHVAKCGSTLFEGRTVHLGKLCCTGVETEDDEDTESHSSTEQAPSVEASDGPTLHDPDLYIEIVKNTKSVPEYSEVAYPDYFGHIPPPFKEPILERPYGVQRTKIAQDIERLIHQNDIIDRVVYDLDNPTYTTPEEGDTLKFNSKFESGNLRKVIQIRKSEYDLILNSDINSNHYHQWFYFEVSGMRPGVAYRFNIINCEKSNSQFNYGMQPLMYSVQEALNARPWWIRMGTDICYYKNHFSRSSVAAGGQKGKSYYTITFTVNFPHKDDVCYFAYHYPYTYSTLQMHLQKLESAHNPQQIYFRKDVLCETLSGNICPLVTITAMPESNYYEHICQFRTRPY
SwissProt::Q8CCH7 1 1151 0.469408166811468 PF12874.7:zf-met:1120:1138 Zinc finger protein ZFPM2; Friend of GATA protein 2; FOG-2; Friend of GATA 2; mFOG-2; Zinc finger protein multitype 2 1151 19 11 1151 0 Mus musculus (Mouse) SwissProt::Q8CCH7 1 SwissProt MSRRKQSKPRQIKRPLEDAIDDEEEECPVEEAEVISKGDFPLEGSFPAGFEPENLSCEDVEFFCNKGDDEGIQEPAESDGDSHSDKPGQPGVETDDWDGPGELEVFQRDGERKIQSRQQLPVGTTWGPFAGKMDLNNNSLKTKAQVPMVLTAGPKWLLDVTWQGVEDSKNNCIVYSKGGQLWCTTTKAISEGEELVAFVVDFDSRLQAASHMTLTEGMYPARLLDSIQLLPQQAAMASILPTAIVNKDIFPCKSCGIWYRSERNLQAHLMYYCSGRQREAAPVSEENEDNSHQVSSLCPFPQCTKSFSNARALEMHLNSHSGVKMEEFLPPGASLKCTVCSYTADSVINFHQHLFSHLTQAAFRCNHCHFGFQTQRELLQHQELHVPSGKLPRESDMEHSPSGTEDSLQPATDLLARSDLSQSQKAMPTKDASSDTELDKCEKKTQLFLTNQRPEIQPAANKQNFSYTKIKSEPSSPRLASSPVQPNIGPSFPVGPFLSQFAFPQDITMVPQASEILAKMSELVHRRLRHGSSSYPPVIYSPLMPKGATCFECNITFNNLDNYLVHKKHYCSSRWQQMAKSPEFPSVSEKMPEAVSPNTGQTSINLLNPAAHSSDPENPLLQTSCINSSTVLDLIGPNGKGHEKDFSTQVKKLPTSNSSDDKINGKPVDVKNPSGPLVDGESDPNKTTCEACNITFSRHETYMVHKQYYCATRHDPPLKRSASNKVPAMQRTMRTRKRRKMYEMCLPEQEQRPPLVQQRFLDVANLSNPCSSTQEPTEGLGECYHPRCDIFPGIVSKHLETSLAMNKCVPVPKCDTTHSNVSCLEMDVPIDLSKKCLSQSERTTASPKRLLDYHECTVCKISFNKVENYLAHKQNFCPVTAHQRNDLGQLDGKVFPNPESERSSPEVSFERNMIKCEKNGNPKQPSPNGNLFSSHLATLQGLKVFSEAAQLIATKEENKHLFLPQCLYPGAIKKTKGADQLSPYYGIKPSDYIASSLVIHNTDVEQSTNTENESPKGQASSNGCAVPKKDSLPLLPKNRGMVIVNGGLKQDERPTANPQQENISQNTQHEDGHKSPSWISENPLAANENVSPGIPCAEEQLSSIAKGVNGASQAPSSGKYCRLCDIQFNNLSNFITHKKFYCSSHAAEHVK
SwissProt::Q8K4J6 434 964 0.656192843691149 Myocardin-related transcription factor A; MRTF-A; Basic SAP coiled-coil transcription activator; MKL/myocardin-like protein 1; Megakaryoblastic leukemia 1 protein homolog; Megakaryocytic acute leukemia protein homolog 964 0 11 531 0 Mus musculus (Mouse) SwissProt::Q8K4J6 1 SwissProt TTSVLSKAGEVVVAFPAALLSTGSALVTAGLAPAEMVVATVTSNGMVKFGSTGSTPPVSPTPSERSLLSTGDENSTPGDAFGEMVTSPLTQLTLQASPLQIVKEEGARAASCCLSPGARAELEGLDKDQMLQEKDKQIEELTRMLQQKQQLVELLRLQLEQQKRAQQPAPASSPVKRESGFSSCQLSCQPQGSAHAFGSGLVVPTTNHGDTQAPAPESPPVVVKQEAGPPEPDLAPSSQLLLGSQGTSFLKRVSPPTLVTDSTGTHLILTVTNKSADGPGLPAGSPQQPLSQPGSPAPGPPAQMDLEHPPQPPFATPTSLLKKEPPGYEETVTQQPKQQENGSSSQHMDDLFDILIQSGEISADFKEPPSLPGKEKSPPAAAAYGPPLTPQPSPLSELPQAAPPPGSPTLPGRLEDFLESSTGLPLLTSGHEGPEPLSLIDDLHSQMLSSSAILDHPPSPMDTSELHFAPEPSSGMGLDLAVGHLDSMDWLELSSGGPVLSLAPLSTAAPSLFSMDFLDGHDLQLHWDSCL
SwissProt::Q8N0U8 1 176 0.0272988636363636 PF07884.14:VKOR:20:156 Vitamin K epoxide reductase complex subunit 1-like protein 1; VKORC1-like protein 1; EC 1.17.4.4 176 137 11 130 2 Homo sapiens (Human) SwissProt::Q8N0U8 1 SwissProt MAAPVLLRVSVPRWERVARYAVCAAGILLSIYAYHVEREKERDPEHRALCDLGPWVKCSAALASRWGRGFGLLGSIFGKDGVLNQPNSVFGLIFYILQLLLGMTASAVAALILMTSSIMSVVGSLYLAYILYFVLKEFCIICIVTYVLNFLLLIINYKRLVYLNEAWKRQLQPKQD
SwissProt::Q8STE5 1 731 0.214758139534884 PF16457.5:PH_12:544:679,PF11841.8:DUF3361:154:303,PF04727.13:ELMO_CED12:370:473 Cell death abnormality protein 12 731 390 11 731 0 Caenorhabditis elegans SwissProt::Q8STE5 1 SwissProt MAFHMPLKELQPVDTSLPEHVVKGAVVIDKEFTIWNHRAVIPSNALHTVFITINLLEQKLTDVVKMAARSMNLSEDDSYGLMADKPKRFITDDNLNSLGSGFILTLCASPDHYVKRITEILTEGNNISQMENAVKTLDEFSLDPALIEAFYRCSSLELLFSLIRDDRVCMSSTLLSTCLRALSSMLELAVGDFTWKSVPNDVVVSMASLVTGKAKREEANTLLAALQMLEQLVIGDDTTRDWILEEVPIETLIRHVEKSDERIALCALSLMNSMIRRCPDDEKRFELIKSLEVVPFRNAVHSSLLRGGGGVRNLNAIEQLVEVQRSLISAYETSPPTDAEVQKILDIESSEDVSEEIREMWKSQIGEHRCGRLAAISMVQFAEKSPQDLRMLISENTMRIEGGKWQLIPMWMRCCDIAAELFRVIPGRDELDRLIVVLFSTETPFPAVFACIVHLFHRTWREMQAKGGEMEKVACVVLEQLRHVLKRREIQDVEELSADLETFSYRAMQEIWREEQLGKENIQLHSEAVIQLKSKLRPKMEELVRINHLNYLKLGAVFRKPQKSKSLAKLAFWHWKLDASEKMLTITGCDGENYVEGVQRDDIRQVWIKDIADVTNNDEIDRKASSSRFTSSPSTQMLRGIRVQLKTTNDMKEGEVLMALTSDETQSVIWLEGLAELIGSKAVKSETDAMVERMLKMELRVRLLNVKLTNPEEKPEIPPIPDDIKSFISKF
SwissProt::Q8TD16 1 447 0.477635346756152 PF09730.9:BicD:83:446 Protein bicaudal D homolog 2; Bic-D 2 824 364 11 447 0 Homo sapiens (Human) SwissProt::Q8TD16 1 SwissProt MSAPSEEEEYARLVMEAQPEWLRAEVKRLSHELAETTREKIQAAEYGLAVLEEKHQLKLQFEELEVDYEAIRSEMEQLKEAFGQAHTNHKKVAADGESREESLIQESASKEQYYVRKVLELQTELKQLRNVLTNTQSENERLASVAQELKEINQNVEIQRGRLRDDIKEYKFREARLLQDYSELEEENISLQKQVSVLRQNQVEFEGLKHEIKRLEEETEYLNSQLEDAIRLKEISERQLEEALETLKTEREQKNSLRKELSHYMSINDSFYTSHLHVSLDGLKFSDDAAEPNNDAEALVNGFEHGGLAKLPLDNKTSTPKKEGLAPPSPSLVSDLLSELNISEIQKLKQQLMQMEREKAGLLATLQDTQKQLEHTRGSLSEQQEKVTRLTENLSALRRLQASKERQTALDNEKDRDSHEDGDYYEVDINGPEILACKYHVAVAEAG
SwissProt::Q96EZ8 1 462 0.363743722943723 PF13325.6:MCRS_N:134:331,PF00498.26:FHA:363:435 Microspherule protein 1; 58 kDa microspherule protein; Cell cycle-regulated factor p78; INO80 complex subunit J; MCRS2 462 271 11 462 0 Homo sapiens (Human) SwissProt::Q96EZ8 1 SwissProt MDKDSQGLLDSSLMASGTASRSEDEESLAGQKRASSQALGTIPKRRSSSRFIKRKKFDDELVESSLAKSSTRAKGASGVEPGRCSGSEPSSSEKKKVSKAPSTPVPPSPAPAPGLTKRVKKSKQPLQVTKDLGRWKPADDLLLINAVLQTNDLTSVHLGVKFSCRFTLREVQERWYALLYDPVISKLACQAMRQLHPEAIAAIQSKALFSKAEEQLLSKVGSTSQPTLETFQDLLHRHPDAFYLARTAKALQAHWQLMKQYYLLEDQTVQPLPKGDQVLNFSDAEDLIDDSKLKDMRDEVLEHELMVADRRQKREIRQLEQELHKWQVLVDSITGMSSPDFDNQTLAVLRGRMVRYLMRSREITLGRATKDNQIDVDLSLEGPAWKISRKQGVIKLKNNGDFFIANEGRRPIYIDGRPVLCGSKWRLSNNSVVEIASLRFVFLINQDLIALIRAEAAKITPQ
SwissProt::Q96H96 1 371 0.107232075471698 PF01040.18:UbiA:92:333 4-hydroxybenzoate polyprenyltransferase, mitochondrial; 4-HB polyprenyltransferase; 4-hydroxybenzoate decaprenyltransferase; COQ2 homolog; hCOQ2; Para-hydroxybenzoate--polyprenyltransferase; PHB:PPT; PHB:polyprenyltransferase; EC 2.5.1.39 371 242 11 246 6 Homo sapiens (Human) SwissProt::Q96H96 1 SwissProt MLGSRAAGFARGLRALALAWLPGWRGRSFALARAAGAPHGGDLQPPACPEPRGRQLSLSAAAVVDSAPRPLQPYLRLMRLDKPIGTWLLYLPCTWSIGLAAEPGCFPDWYMLSLFGTGAILMRGAGCTINDMWDQDYDKKVTRTANRPIAAGDISTFQSFVFLGGQLTLALGVLLCLNYYSIALGAGSLLLVITYPLMKRISYWPQLALGLTFNWGALLGWSAIKGSCDPSVCLPLYFSGVMWTLIYDTIYAHQDKRDDVLIGLKSTALRFGENTKPWLSGFSVAMLGALSLVGVNSGQTAPYYAALGAVGAHLTHQIYTLDIHRPEDCWNKFISNRTLGLIVFLGIVLGNLWKEKKTDKTKKGIENKIEN
SwissProt::Q96PC5 1 1345 0.492278587360594 PF07653.17:SH3_2:46:98 Melanoma inhibitory activity protein 2; CTAGE family member 5 ER export factor; Meningioma-expressed antigen 6/11 1412 53 11 1299 2 Homo sapiens (Human) SwissProt::Q96PC5 1 SwissProt MAKFGVHRILLLAISLTKCLESTKLLADLKKCGDLECEALINRVSAMRDYRGPDCRYLNFTKGEEISVYVKLAGEREDLWAGSKGKEFGYFPRDAVQIEEVFISEEIQMSTKESDFLCLLGVSYTFDNEDSELNGDYGENIYPYEEDKDEKSSIYESDFQIEPGFYATYESTLFEDQVPALEAPEDIGSTSESKDWEEVVVESMEQDRIPEVHVPPSSAVSGVKEWFGLGGEQAEEKAFESVIEPVQESSFRSRKIAVEDENDLEELNNGEPQTEHQQESESEIDSVPKTQSELASESEHIPKPQSTGWFGGGFTSYLGFGDEDTGLELIAEESNPPLQDFPNSISSDKEATVPCTEILTEKKDTITNDSLSLKPSWFDFGFAILGFAYAKEDKIMLDDRKNEEDGGADEHEHPLTSELDPEKEQEIETIKIIETEDQIDKKPVSEKTDESDTIPYLKKFLYNFDNPWNFQNIPKETELPFPKQILDQNNVIENEETGEFSIDNYPTDNTKVMIFKSSYSLSDMVSNIELPTRIHEEVYFEPSSSKDSDENSKPSVDTEGPALVEIDRSVENTLLNSQMVSTDNSLSSQNYISQKEDASEFQILKYLFQIDVYDFMNSAFSPIVILTERVVAALPEGMRPDSNLYGFPWELVICAAVVGFFAVLFFLWRSFRSVRSRLYVGREKKLALMLSGLIEEKSKLLEKFSLVQKEYEGYEVESSLKDASFEKEATEAQSLEATCEKLNRSNSELEDEILCLEKELKEEKSKHSEQDELMADISKRIQSLEDESKSLKSQVAEAKMTFKIFQMNEERLKIAIKDALNENSQLQESQKQLLQEAEVWKEQVSELNKQKVTFEDSKVHAEQVLNDKESHIKTLTERLLKMKDWAAMLGEDITDDDNLELEMNSESENGAYLDNPPKGALKKLIHAAKLNASLKTLEGERNQIYIQLSEVDKTKEELTEHIKNLQTEQASLQSENTHFENENQKLQQKLKVMTELYQENEMKLHRKLTVEENYRLEKEEKLSKVDEKISHATEELETYRKRAKDLEEELERTIHSYQGQIISHEKKAHDNWLAARNAERNLNDLRKENAHNRQKLTETELKFELLEKDPYALDVPNTAFGREHSPYGPSPLGWPSSETRAFLSPPTLLEGPLRLSPLLPGGGGRGSRGPGNPLDHQITNERGESSCDRLTDPHRAPSDTGSLSPPWDQDRRMMFPPPGQSYPDSALPPQRQDRFCSNSGRLSGPAELRSFNMPSLDKMDGSMPSEMESSRNDTKDDLGNLNVPDSSLPAENEATGPGFVPPPLAPIRGPLFPVDARGPFLRRGPPFPPPPPGAMFGASRDYFPP
SwissProt::Q96PE3 1 879 0.257590898748578 Inositol polyphosphate-4-phosphatase type I A; Inositol polyphosphate 4-phosphatase type I; Type I inositol 3,4-bisphosphate 4-phosphatase; EC 3.1.3.66 977 0 11 879 0 Homo sapiens (Human) SwissProt::Q96PE3 1 SwissProt MTAREHSPRHGARARAMQRASTIDVAADMLGLSLAGNIQDPDEPILEFSLACSELHTPSLDRKPNSFVAVSVTTPPQAFWTKHAQTEIIEGTNNPIFLSSIAFFQDSLINQMTQVKLSVYDVKDRSQGTMYLLGSGTFIVKDLLQDRHHRLHLTLRSAESDRVGNITVIGWQMEEKSDQRPPVTRSVDTVNGRMVLPVDESLTEALGIRSKYASLRKDTLLKSVFGGAICRMYRFPTTDGNHLRILEQMAESVLSLHVPRQFVKLLLEEDAARVCELEELGELSPCWESLRRQIVTQYQTIILTYQENLTDLHQYRGPSFKASSLKADKKLEFVPTNLHIQRMRVQDDGGSDQNYDIVTIGAPAAHCQGFKSGGLRKKLHKFEETKKHFEECCTSSGCQSIIYIPQDVVRAKEIIAQINTLKTQVSYYAERLSRAAKDRSATGLERTLAILADKTRQLVTVCDCKLLANSIHGLNAARPDYIASKASPTSTEEEQVMLRNDQDTLMARWTGRNSRSSLQVDWHEEEWEKVWLNVDKSLECIIQRVDKLLQKERLHGEGCEDVFPCAGSCTSKKGNPDSHAYWIRPEDPFCDVPSSPCPSTMPSTACHPHLTTHCSPPPEESSPGEWSEALYPLLTTLTDCVAMMSDKAKKAMVFLLMQDSAPTIATYLSLQYRRDVVFCQTLTALICGFIIKLRNCLHDDGFLRQLYTIGLLAQFESLLSTYGEELAMLEDMSLGIMDLRNVTFKVTQATSSASADMLPVITGNRDGFNVRVPLPGPLFDALPREIQSGMLLRVQPVLFNVGINEQQTLAERFGDTSLQEVINVESLVRLNSYFEQFKEVLPEDCLPRSRSQTCLPELLRFLGQNVHARKNKNVDILWQ
SwissProt::Q9AT76 71 196 0.479253968253968 PF01486.17:K-box:25:94 Agamous-like MADS-box protein AGL27; MADS box FLC1-like nuclear protein; Protein FLOWERING LOCUS M; Protein MADS AFFECTING FLOWERING 1 196 70 11 126 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9AT76 1 SwissProt EIQHADELRALDLEEKIQNYLPHKELLETVQSKLEEPNVDNVSVDSLISLEEQLETALSVSRARKAELMMEYIESLKEKEKLLREENQVLASQMGKNTLLATDDERGMFPGSSSGNKIPETLPLLN
SwissProt::Q9BWF3 158 364 0.338252657004831 PF00098.23:zf-CCHC:4:19 RNA-binding protein 4; Lark homolog; hLark; RNA-binding motif protein 4; RNA-binding motif protein 4a 364 16 11 207 0 Homo sapiens (Human) SwissProt::Q9BWF3 1 SwissProt DQSGCYRCGKEGHWSKECPIDRSGRVADLTEQYNEQYGAVRTPYTMSYGDSLYYNNAYGALDAYYKRCRAARSYEAVAAAAASVYNYAEQTLSQLPQVQNTAMASHLTSTSLDPYDRHLLPTSGAAATAAAAAAAAAAVTAASTSYYGRDRSPLRRATAPVPTVGEGYGYGHESELSQASAAARNSLYDMARYEREQYADRARYSAF 1
SwissProt::Q9H270 1 941 0.221981934112646 PF12451.8:VPS11_C:864:908,PF00637.20:Clathrin:418:536,PF13923.6:zf-C3HC4_2:821:860,PF13639.6:zf-RING_2:821:860 Vacuolar protein sorting-associated protein 11 homolog; hVPS11; RING finger protein 108 941 204 11 941 0 Homo sapiens (Human) SwissProt::Q9H270 1 SwissProt MAAYLQWRRFVFFDKELVKEPLSNDGAAPGATPASGSAASKFLCLPPGITVCDSGRGSLVFGDMEGQIWFLPRSLQLTGFQAYKLRVTHLYQLKQHNILASVGEDEEGINPLVKIWNLEKRDGGNPLCTRIFPAIPGTEPTVVSCLTVHENLNFMAIGFTDGSVTLNKGDITRDRHSKTQILHKGNYPVTGLAFRQAGKTTHLFVVTTENVQSYIVSGKDYPRVELDTHGCGLRCSALSDPSQDLQFIVAGDECVYLYQPDERGPCFAFEGHKLIAHWFRGYLIIVSRDRKVSPKSEFTSRDSQSSDKQILNIYDLCNKFIAYSTVFEDVVDVLAEWGSLYVLTRDGRVHALQEKDTQTKLEMLFKKNLFEMAINLAKSQHLDSDGLAQIFMQYGDHLYSKGNHDGAVQQYIRTIGKLEPSYVIRKFLDAQRIHNLTAYLQTLHRQSLANADHTTLLLNCYTKLKDSSKLEEFIKKKSESEVHFDVETAIKVLRQAGYYSHALYLAENHAHHEWYLKIQLEDIKNYQEALRYIGKLPFEQAESNMKRYGKILMHHIPEQTTQLLKGLCTDYRPSLEGRSDREAPGCRANSEEFIPIFANNPRELKAFLEHMSEVQPDSPQGIYDTLLELRLQNWAHEKDPQVKEKLHAEAISLLKSGRFCDVFDKALVLCQMHDFQDGVLYLYEQGKLFQQIMHYHMQHEQYRQVISVCERHGEQDPSLWEQALSYFARKEEDCKEYVAAVLKHIENKNLMPPLLVVQTLAHNSTATLSVIRDYLVQKLQKQSQQIAQDELRVRRYREETTRIRQEIQELKASPKIFQKTKCSICNSALELPSVHFLCGHSFHQHCFESYSESDADCPTCLPENRKVMDMIRAQEQKRDLHDQFQHQLRCSNDSFSVIADYFGRGVFNKLTLLTDPPTARLTSSLEAGLQRDLLMHSRRGT
SwissProt::Q9JLU4 673 1671 0.753664864864865 SH3 and multiple ankyrin repeat domains protein 3; Shank3; Proline-rich synapse-associated protein 2; ProSAP2; SPANK-2 1740 0 11 999 0 Rattus norvegicus (Rat) SwissProt::Q9JLU4 1 SwissProt RRRAPPPPKRAPSTTLTLRSKSMTAELEELASIRRRKGEKLDEILAVAAEPTLRPDIADADSRAATVKQRPTSRRITPAEISSLFERQGLPGPEKLPGSLRKGIPRTKSVGEDEKLASLLEGRFPRSTSMQDTVREGRGIPPPPQTAPPPPPAPYYFDSGPPPTFSPPPPPPGRAYDTVRSSFKPGLEARLGAGAAGLYDSGTPLGPLPYPERQKRARSMIILQDSAPEVGDVPRPAPAATPPERPKRRPRPSGPDSPYANLGAFSASLFAPSKPQRRKSPLVKQLQVEDAQERAALAVGSPGPVGGSFAREPSPTHRGPRPGGLDYSSGEGLGLTFGGPSPGPVKERRLEERRRSTVFLSVGAIEGSPPSADLPSLQPSRSIDERLLGTGATTGRDLLLPSPVSALKPLVGGPSLGPSGSTFIHPLTGKPLDPSSPLALALAARERALASQTPSRSPTPVHSPDADRPGPLFVDVQTRDSERGPLASPAFSPRSPAWIPVPARREAEKPTREERKSPEDKKSMILSVLDTSLQRPAGLIVVHATSNGQEPNRLGAEEERPGTPELAPTPMQAAAVAEPMPSPRAQPPGSIPADPGPGQGSSEEEPELVFAVNLPPAQLSSSDEETREELARIGLVPPPEEFANGILLATPPPGPGPLPTTVPSPASGKPSSELPPAPESAADSGVEEADTRSSSDPHLETTSTISTVSSMSTLSSESGELTDTHTSFADGHTFLLEKPPVPPKPKLKSPLGKGPVTFRDPLLKQSSDSELMAQQHHATSTGLTSAAGPARPRYLFQRRSKLWGDPVESRGLPGPEDDKPTVISELSSRLQQLNKDTRSLGEEPVGGLGSLLDPAKKSPIAAARCAVVPSAGWLFSSLGELSTISAQRSPGGPGGGASYSVRPSGRYPVARRAPSPVKPASLERVEGLGAGVGGAGRPFGLTPPTILKSSSLSIPHEPKEVRFVVRSVSARSRSPSPSPLPSPSPGSGPSAGPRRPFQQ
SwissProt::Q9NSK7 1 152 0.1931375 Protein C19orf12 152 0 11 129 1 Homo sapiens (Human) SwissProt::Q9NSK7 1 SwissProt MERLKSHKPATMTIMVEDIMKLLCSLSGERKMKAAVKHSGKGALVTGAMAFVGGLVGGPPGLAVGGAVGGLLGAWMTSGQFKPVPQILMELPPAEQQRLFNEAAAIIRHLEWTDAVQLTALVMGSEALQQQLLAMLVNYVTKELRAEIQYDD
SwissProt::Q9R1U7 1 536 0.0952652985074626 PF00083.24:Sugar_tr:118:502,PF07690.16:MFS_1:122:461 Solute carrier family 22 member 8; Organic anion transporter 3; rOat3 536 385 11 329 9 Rattus norvegicus (Rat) SwissProt::Q9R1U7 1 SwissProt MTFSEILDRVGSMGPFQYLHVTLLALPVLGIANHNLLQIFTATTPVHHCRPPPNASIGPWVLPLDPNGKPEKCLRFVHLPNASLPNDTQRATEPCLDGWIYNSTRDTIVIEWDLVCSSNKLKEMAQSIFMAGILVGGPVIGELSDRFGRKPILTWSYLMLAASGSGAAFSPSLPVYMIFRFLCGCSISGISLSTVILNVEWVPTSMRAISSTSIGYCYTIGQFILSGLAYAIPQWRWLQLTSSAPFFIFSLLSWWVPESIRWLVLSGKYSKALKTLQRVATFNGKKEEGKKLTIEELKFNLQKDITSAKVKYGLSDLFRVSILRRVTFCLSLAWFSTGFAYYSLAMGVEEFGVNIYILQIIFGGVDIPAKFITILSLSYLGRRITQSFLLLLAGGAILALIFVPSEMQLLRTALAVFGKGCLSGSFSCLFLYTSELYPTVLRQTGMGISNVWARVGSMIAPLVKITGELQPFIPNVIFGTTALLGGSAAFFLLETLNRPLPETIEDIQNWHKQVQKTKQESEAEKASQIIPLKTGG
SwissProt::Q9UMS6 98 1093 0.648292068273093 Synaptopodin-2; Genethonin-2; Myopodin 1093 0 11 996 0 Homo sapiens (Human) SwissProt::Q9UMS6 1 SwissProt ENENKNLEHLTHGGYVESTTLQIRPATKTQCTEFFLAPVKTEVPLAENQRSGPDCAGSLKEETGPSYQRAPQMPDSQRGRVAEELILREKVEAVQPGPVVELQLSLSQERHKGASGPLVALPGAEKSKSPDPDPNLSHDRIVHINSIPTNEKADPFLRSSKIIQISSGRELRVIQESEAGDAGLPRVEVILDCSDRQKTEGCRLQAGKECVDSPVEGGQSEAPPSLVSFAVSSEGTEQGEDPRSEKDHSRPHKHRARHARLRRSESLSEKQVKEAKSKCKSIALLLTDAPNPNSKGVLMFKKRRRRARKYTLVSYGTGELEREADEEEEGDKEDTCEVAFLGASESEVDEELLSDVDDNTQVVNFDWDSGLVDIEKKLNRGDKMEMLPDTTGKGALMFAKRRERMDQITAQKEEDKVGGTPSREQDAAQTDGLRTTTSYQRKEEESVRTQSSVSKSYIEVSHGLGHVPQQNGFSGTSETANIQRMVPMNRTAKPFPGSVNQPATPFSPTRNMTSPIADFPAPPPYSAVTPPPDAFSRGVSSPIAGPAQPPPWPQPAPWSQPAFYDSSERIASRDERISVPAKRTGILQEAKRRSTTKPMFTFKEPKVSPNPELLSLLQNSEGKRGTGAGGDSGPEEDYLSLGAEACNFMQSSSAKQKTPPPVAPKPAVKSSSSQPVTPVSPVWSPGVAPTQPPAFPTSNPSKGTVVSSIKIAQPSYPPARPASTLNVAGPFKGPQAAVASQNYTPKPTVSTPTVNAVQPGAVGPSNELPGMSGRGAQLFAKRQSRMEKYVVDSDTVQAHAARAQSPTPSLPASWKYSSNVRAPPPVAYNPIHSPSYPLAALKSQPSAAQPSKMGKKKGKKPLNALDVMKHQPYQLNASLFTFQPPDAKDGLPQKSSVKVNSALAMKQALPPRPVNAASPTNVQASSVYSVPAYTSPPSFFAEASSPVSASPVPVGIPTSPKQESASSSYFVAPRPKFSAKKSGVTIQVWKPSVVEE
SwissProt::Q9UPN4 1 784 0.668844770408163 Centrosomal protein of 131 kDa; 5-azacytidine-induced protein 1; Pre-acrosome localization protein 1 1083 0 11 784 0 Homo sapiens (Human) SwissProt::Q9UPN4 1 SwissProt MKGTRAIGSVPERSPAGVDLSLTGLPPPVSRRPGSAATTKPIVRSVSVVTGSEQKRKVLEATGPGGSQAINNLRRSNSTTQVSQPRSGSPRPTEPTDFLMLFEGSPSGKKRPASLSTAPSEKGATWNVLDDQPRGFTLPSNARSSSALDSPAGPRRKECTVALAPNFTANNRSNKGAVGNCVTTMVHNRYTPSERAPPLKSSNQTAPSLNNIIKAATCEGSESSGFGKLPKNVSSATHSARNNTGGSTGLPRRKEVTEEEAERFIHQVNQATVTIQRWYRHQVQRRGAGAARLEHLLQAKREEQRQRSGEGTLLDLHQQKEAARRKAREEKARQARRAAIQELQQKRALRAQKASTAERGPPENPRETRVPGMRQPAQELSPTPGGTAHQALKANNTGGGLPAAGPGDRCLPTSDSSPEPQQPPEDRTQDVLAQDAAGDNLEMMAPSRGSAKSRGPLEELLHTLQLLEKEPDVLPRPRTHHRGRYAWASEVTTEDDASSLTADNLEKFGKLSAFPEPPEDGTLLSEAKLQSIMSFLDEMEKSGQDQLDSQQEGWVPEAGPGPLELGSEVSTSVMRLKLEVEEKKQAMLLLQRALAQQRDLTARRVKETEKALSRQLQRQREHYEATIQRHLAFIDQLIEDKKVLSEKCEAVVAELKQEDQRCTERVAQAQAQHELEIKKLKELMSATEKARREKWISEKTKKIKEVTVRGLEPEIQKLIARHKQEVRRLKSLHEAELLQSDERASQRCLRQAEELREQLEREKEALGQQERERARQRFQQHLEQ
SwissProt::Q9Y4D2 1 1042 0.279256238003838 PF01764.25:Lipase_3:394:529 Sn1-specific diacylglycerol lipase alpha; DGL-alpha; Neural stem cell-derived dendrite regulator; EC 3.1.1.- 1042 136 11 932 5 Homo sapiens (Human) SwissProt::Q9Y4D2 1 SwissProt MPGIVVFRRRWSVGSDDLVLPAIFLFLLHTTWFVILSVVLFGLVYNPHEACSLNLVDHGRGYLGILLSCMIAEMAIIWLSMRGGILYTEPRDSMQYVLYVRLAILVIEFIYAIVGIVWLTQYYTSCNDLTAKNVTLGMVVCNWVVILSVCITVLCVFDPTGRTFVKLRATKRRQRNLRTYNLRHRLEEGQATSWSRRLKVFLCCTRTKDSQSDAYSEIAYLFAEFFRDLDIVPSDIIAGLVLLRQRQRAKRNAVLDEANNDILAFLSGMPVTRNTKYLDLKNSQEMLRYKEVCYYMLFALAAYGWPMYLMRKPACGLCQLARSCSCCLCPARPRFAPGVTIEEDNCCGCNAIAIRRHFLDENMTAVDIVYTSCHDAVYETPFYVAVDHDKKKVVISIRGTLSPKDALTDLTGDAERLPVEGHHGTWLGHKGMVLSAEYIKKKLEQEMVLSQAFGRDLGRGTKHYGLIVVGHSLGAGTAAILSFLLRPQYPTLKCFAYSPPGGLLSEDAMEYSKEFVTAVVLGKDLVPRIGLSQLEGFRRQLLDVLQRSTKPKWRIIVGATKCIPKSELPEEVEVTTLASTRLWTHPSDLTIALSASTPLYPPGRIIHVVHNHPAEQCCCCEQEEPTYFAIWGDNKAFNEVIISPAMLHEHLPYVVMEGLNKVLENYNKGKTALLSAAKVMVSPTEVDLTPELIFQQQPLPTGPPMPTGLALELPTADHRNSSVRSKSQSEMSLEGFSEGRLLSPVVAAAARQDPVELLLLSTQERLAAELQARRAPLATMESLSDTESLYSFDSRRSSGFRSIRGSPSLHAVLERDEGHLFYIDPAIPEENPSLSSRTELLAADSLSKHSQDTQPLEAALGSGGVTPERPPSAAANDEEEEVGGGGGGPASRGELALHNGRLGDSPSPQVLEFAEFIDSLFNLDSKSSSFQDLYCMVVPESPTSDYAEGPKSPSQQEILLRAQFEPNLVPKPPRLFAGSADPSSGISLSPSFPLSSSGELMDLTPTGLSSQECLAADKIRTSTPTGHGASPAKQDELVISAR
SwissProt::Q9Y4E1 1 1320 0.638997954545453 PF15255.6:CAP-ZIP_m:936:1053 WASH complex subunit 2C; Vaccinia virus penetration factor; VPEF 1320 118 11 1320 0 Homo sapiens (Human) SwissProt::Q9Y4E1 1 SwissProt MMNRTTPDQELVPASEPVWERPWSVEEIRRSSQSWSLAADAGLLQFLQEFSQQTISRTHEIKKQVDGLIRETKATDCRLHNVFNDFLMLSNTQFIENRVYDEEVEEPVLKAEAEKTEQEKTREQKEVDLIPKVQEAVNYGLQVLDSAFEQLDIKAGNSDSEEDDANGRVELILEPKDLYIDRPLPYLIGSKLFMEQEDVGLGELSSEEGSVGSDRGSIVDTEEEKEEEESDEDFAHHSDNEQNQHTTQMSDEEEDDDGCDLFADSEKEEEDIEDIEENTRPKRSRPTSFADELAARIKGDAMGRVDEEPTTLPSGEAKPRKTLKEKKERRTPSDDEEDNLFAPPKLTDEDFSPFGSGGGLFSGGKGLFDDEDEESDLFTEASQDRQAGASVKEESSSSKPGKKIPAGAVSVFLGDTDVFGAASVPSLKEPQKPEQPTPRKSPYGPPPTGLFDDDDGDDDDDFFSAPHSKPSKTRKVQSTADIFGDEEGDLFKEKAVASPEATVSQTDENKARAEKKVTLSYSKNLKPSSETKTQKGLFSDEEDSEDLFSSQSASNLKGASLLPGKLPTSVSLFDDEDEEDNLFGGTAAKKQTLSLQAQREEKAKASELSKKKASALLFSSDEEDQWNIPASQTHLASDSRSKGEPRDSGTLQSQEAKAVKKTSLFEEDKEDDLFAIAKDSQKKTQRVSLLFEDDVDSGGSLFGSPPTSVPPATKKKETVSEAPPLLFSDEEEKEAQLGVKSVDKKVESAKESLKFGRTDVAESEKEGLLTRSAQETVKHSDLFSSSSPWDKGTKPRTKTVLSLFDEEEDKMEDQNIIQAPQKEVGKGCDPDAHPKSTGVFQDEELLFSHKLQKDNDPDVDLFAGTKKTKLLEPSVGSLFGDDEDDDLFSSAKSQPLVQEKKRVVKKDHSVNSFKNQKHPESIQGSKEKGIWKPETPQANLAINPAALLPTAASQISEVKPVLPELAFPSSEHRRSHGLESVPVLPGSGEAGVSFDLPAQADTLHSANKSRVKMRGKRRPQTRAARRLAAQESSEAEDMSVPRGPIAQWADGAISPNGHRPQLRAASGEDSTEEALAAAAAPWEGGPVPGVDTSPFAKSLGHSRGEADLFDSGDIFSTGTGSQSVERTKPKAKIAENPANPPVGGKAKSPMFPALGEASSDDDLFQSAKPKPAKKTNPFPLLEDEDDLFTDQKVKKNETKSSSQQDVILTTQDIFEDDIFATEAIKPSQKTREKEKTLESNLFDDNIDIFADLTVKPKEKSKKKVEAKSIFDDDMDDIFSTGIQAKTTKPKSRSAQAAPEPRFEHKVSNIFDDPLNAFGGQ
SwissProt::Q9Y6D5 840 1785 0.154241014799155 PF09324.10:DUF1981:328:409 Brefeldin A-inhibited guanine nucleotide-exchange protein 2; Brefeldin A-inhibited GEP 2; ADP-ribosylation factor guanine nucleotide-exchange factor 2 1785 82 11 946 0 Homo sapiens (Human) SwissProt::Q9Y6D5 1 SwissProt KSTKQNVASEKQRRLLYNLEMEQMAKTAKALMEAVSHAKAPFTSATHLDHVRPMFKLVWTPLLAAYSIGLQNCDDTEVASLCLEGIRCAIRIACIFGMQLERDAYVQALARFSLLTASSSITEMKQKNIDTIKTLITVAHTDGNYLGNSWHEILKCISQLELAQLIGTGVKTRYLSGSGREREGSLKGHTLAGEEFMGLGLGNLVSGGVDKRQMASFQESVGETSSQSVVVAVDRIFTGSTRLDGNAIVDFVRWLCAVSMDELASPHHPRMFSLQKIVEISYYNMNRIRLQWSRIWHVIGDHFNKVGCNPNEDVAIFAVDSLRQLSMKFLEKGELANFRFQKDFLRPFEHIMKKNRSPTIRDMAIRCIAQMVNSQAANIRSGWKNIFAVFHQAASDHDGNIVELAFQTTCHIVTTIFQHHFPAAIDSFQDAVKCLSEFACNAAFPDTSMEAIRLIRFCGKYVSERPRVLQEYTSDDMNVAPGDRVWVRGWFPILFELSCIINRCKLDVRTRGLTVMFEIMKSYGHTFEKHWWQDLFRIVFRIFDNMKLPEQLSEKSEWMTTTCNHALYAICDVFTQFYEALNEVLLSDVFAQLQWCVKQDNEQLARSGTNCLENLVISNGEKFSPEVWDETCNCMLDIFKTTIPHVLLTWRPVGMEEDSSEKHLDVDLDRQSLSSIDKNPSERGQSQLSNPTDDSWKGRPYANQKLFASLLIKCVVQLELIQTIDNIVFYPATSKKEDAEHMVAAQQDTLDADIHIETEDQGMYKYMSSQHLFKLLDCLQESHSFSKAFNSNYEQRTVLWRAGFKGKSKPNLLKQETSSLACCLRILFRMYVDENRRDSWEEIQQRLLTVCSEALAYFITVNSESHREAWTSLLLLLLTKTLKINDEKFKAHASMYYPYLCEIMQFDLIPELRAVLRKFFLRIGVVYKIWIPEEPSQVPAALSPVW
VIMSS10078516 1 182 0.170315934065934 PF01145.25:Band_7:38:181 Prohibitin-2, mitochondrial; Atphb2 286 144 11 159 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZNT7 1 SwissProt MSFNKVPNIPGAPALSALLKVSVIGGLGVYALTNSLYNVDGGHRAVMFNRLTGIKEKVYPEGTHFMVPWFERPIIYDVRARPYLVESTTGSHDLQMVKIGLRVLTRPMGDRLPQIYRTLGENYSERVLPSIIHETLKAVVAQYNASQLITQREAVSREIRKILTERASNFDIALDDVSITTL
VIMSS10078688 1 199 0.391278391959799 PF13326.6:PSII_Pbs27:41:199 Photosystem II D1 precursor processing protein PSB27-H2, chloroplastic; Psb27-H2; LOW PSII accumulation 19 protein; LPA19; Thylakoid lumenal protein PSB27-H2 199 159 11 199 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVZ9 1 SwissProt MGFLVAVMNFSPTLVHHHMKSKPQCQNEKLRQGQTSSLFDRRGFLKCVVGASSFMATIEFSGLQAQASEEKLDEGEGVVGAFKTLFDPNERTKSGKELPKAYLKSAREVVKTMRESLKENPKDNAKFRRSADAAKESIRDYLSNWRGQKTVAGEESYVELENVIRALAKFYSKAGPSAPLPDEVKTEILDDLNKAEEFL
VIMSS10078809 1 285 0.365205964912281 PF00011.21:HSP20:191:284,PF17886.1:ArsA_HSP20:196:280 ACD32.1 (ALPHA-CRYSTALLIN DOMAIN 32.1) (RefSeq) 285 94 11 285 0 Arabidopsis thaliana VIMSS10078809 1 MicrobesOnline MEHESITARRRLAAFAAHFPATSYDSASTASLVPLNCSSSLNTVIQRCDNKISFARQASSEQGFFMRPASPDDVLENLGMNLKNTVVRRGDNRLYFARQASSAQGFFMRQASTNERTIPHDAAASTKFSATKTTGFDSSSPAYAAPHFSKPAKEDIFFPSLSPNLQKERPKLDLPKLANLGTVWSPRSNVAESTHSYVVAIELPGASINDIRVEVDNTNLTVTGRRTSICQKVDAGTKASILGYHKQEILQGPFKVSWPLPSNVNKDNVSAEFMDGILRIVIPKL
VIMSS10079418 1 576 0.355881944444444 PF16760.5:CBM53:140:220,PF16760.5:CBM53:314:405,PF16760.5:CBM53:481:567 starch synthase III (Ss3;SsIII;At1g11720 (chloroplast) (AtSS3) (EC 2.4.1.21) 1025 260 11 576 0 Arabidopsis thaliana CAZy::AAD30251.1 1 CAZy MAASGPKSSGPRGFGRRTTVGSAQKRTQKKNGEKDSNATSTATNEVSGISKLPAAKVDVQKQSSVVLNERNVLDRSDIEDGSDRLDKKTTDDDDLLEQKLKLERENLRRKEIETLAAENLARGDRMFVYPVIVKPDEDIEVFLNRNLSTLNNEPDVLIMGAFNEWRWKSFTRRLEKTWIHEDWLSCLLHIPKEAYKMDFVFFNGQSVYDNNDSKDFCVEIKGGMDKVDFENFLLEEKLREQEKLAKEEAERERQKEEKRRIEAQKAAIEADRAQAKAETQKRRELLQPAIKKAVVSAENVWYIEPSDFKAEDTVKLYYNKRSGPLTNSKELWLHGGFNNWVDGLSIVVKLVNAELKDVDPKSGNWWFAEVVVPGGALVIDWVFADGPPKGAFLYDNNGYQDFHALVPQKLPEELYWLEEENMIFRKLQEDRRLKEEVMRAKMEKTARLKAETKERTLKKFLLSQKDVVYTEPLEIQAGNPVTVLYNPANTVLNGKPEVWFRGSFNRWTHRLGPLPPQKMEATDDESSHVKTTAKVPLDAYMMDFVFSEKEDGGIFDNKNGLDYHLPVVGGISKEPP
VIMSS10079609 75 226 0.455921052631579 PF18517.1:LZ3wCH:75:132 Homologous-pairing protein 2 homolog; Protein AHP2; AtAHP2; Protein ARABIDOPSIS HOMOLOG PAIRING 2; Protein HOP2; AtHOP2 226 58 11 152 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FX64 1 SwissProt IPNSEELAQMKEDNAKLQEQLQEKKKTISDVESEIKSLQSNLTLEEIQEKDAKLRKEVKEMEEKLVKLREGITLVRPEDKKAVEDMYADKINQWRKRKRMFRDIWDTVTENSPKDVKELKEELGIEYDEDVGLSFQAYADLIQHGKKRPRGQ
VIMSS10079919 1 345 0.45126231884058 PF00847.20:AP2:58:116,PF00847.20:AP2:159:210 ovule development protein, putative (RefSeq) 345 111 11 345 0 Arabidopsis thaliana VIMSS10079919 1 MicrobesOnline MFIAVEVSPVMEDITRQSKKTSVENETGDDQSATSVVLKAKRKRRSQPRDAPPQRSSVHRGVTRHRWTGRYEAHLWDKNSWNETQTKKGRQVYLGAYDEEDAAARAYDLAALKYWGRDTILNFPLCNYEEDIKEMESQSKEEYIGSLRRKSSGFSRGVSKYRGVAKHHHNGRWEARIGRVFGNKYLYLGTYATQEEAAIAYDIAAIEYRGLNAVTNFDISRYLKLPVPENPIDTANNLLESPHSDLSPFIKPNHESDLSQSQSSSEDNDDRKTKLLKSSPLVAEEVIGPSTPPEIAPPRRSFPEDIQTYFGCQNSGKLTAEEDDVIFGDLDSFLTPDFYSELNDC
VIMSS10079920 1 397 0.352146347607053 PF01167.18:Tub:151:391 Tubby-like protein 8; AtTLP8 397 241 11 397 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S9M8 1 SwissProt MAGSRKVNDLLEENKGNVDTITGSLSTQKGEDKENVSPEKVSTSVETRKLDRALKSQSMKGNSGFPTEVTNFKSFSTGGRTALKQSSLQACMQKNSEVDKSSFGMKTWTSVDSEHSSSLKVWEFSDSEAAPASSWSTLPNRALLCKTLPLDVGRCTCLIVKEQSPEGLSHGSVYSLYTHEGRGRKDRKLAVAYHSRRNGKSIFRVAQNVKGLLCSSDESYVGSMTANLLGSKYYIWDKGVRVGSVGKMVKPLLSVVIFTPTITTWTGSYRRMRTLLPKQQPMQKNNNKQVQQASKLPLDWLENKEKIQKLCSRIPHYNKISKQHELDFRDRGRTGLRIQSSVKNFQLTLTETPRQTILQMGRVDKARYVIDFRYPFSGYQAFCICLASIDSKLCCTV
VIMSS10080002 1 152 0.416090789473684 transmembrane protein 152 0 11 129 1 Arabidopsis thaliana NP_564008.1 1 RefSeq MAEKVKSGQVFNLLCIFSIFFFLFVLSVNVSADVDSERAVPSEDKTTTVWLTKIKRSGKNYWAKVRETLDRGQSHFFPPNTYFTGKNDAPMGAGENMKEAATRSFEHSKATVEEAARSAAEVVSDTAEAVKEKVKRSVSGGVTQPSEGSEEL
VIMSS10080946 1 417 0.439531894484412 PF06203.14:CCT:361:403,PF00643.24:zf-B_box:14:57 zinc finger (B-box type) family protein (RefSeq) 417 87 11 417 0 Arabidopsis thaliana VIMSS10080946 1 MicrobesOnline MMKSLANAVGAKTARACDSCVKRRARWYCAADDAFLCQSCDSLVHSANPLARRHERVRLKTASPAVVKHSNHSSASPPHEVATWHHGFTRKARTPRGSGKKNNSSIFHDLVPDISIEDQTDNYELEEQLICQVPVLDPLVSEQFLNDVVEPKIEFPMIRSGLMIEEEEDNAESCLNGFFPTDMELEEFAADVETLLGRGLDTESYAMEELGLSNSEMFKIEKDEIEEEVEEIKAMSMDIFDDDRKDVDGTVPFELSFDYESSHKTSEEEVMKNVESSGECVVKVKEEEHKNVLMLRLNYDSVISTWGGQGPPWSSGEPPERDMDISGWPAFSMVENGGESTHQKQYVGGCLPSSGFGDGGREARVSRYREKRRTRLFSKKIRYEVRKLNAEKRPRMKGRFVKRASLAAAASPLGVNY
VIMSS10081031 1 130 0.413166923076923 PF05008.15:V-SNARE:12:91 Vesicle transport v-SNARE 12; AtVTI12; Vesicle soluble NSF attachment protein receptor VTI1b; AtVTI1b; Vesicle transport v-SNARE protein VTI1b 222 80 11 130 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SEL5 1 SwissProt MSDVFEGYERQYCELSTNLSRKCHSASVLSNGEEKKGKIAEIKSGIDEADVLIRKMDLEARSLQPSAKAVCLSKLREYKSDLNQLKKEFKRVSSADAKPSSREELMESGMADLHAVSADQRGRLAMSVER
VIMSS10081225 147 315 0.241792307692308 PF01190.17:Pollen_Ole_e_I:42:127 AGP31 (arabinogalactan-protein 31) (RefSeq) 315 86 11 169 0 Arabidopsis thaliana VIMSS10081225 1 MicrobesOnline PTKPPVYPPTKAPVKPPVSPPTKPPVTPPVYPPKFNRSLVAVRGTVYCKSCKYAAFNTLLGAKPIEGATVKLVCKSKKNITAETTTDKNGYFLLLAPKTVTNFGFRGCRVYLVKSKDYKCSKVSKLFGGDVGAELKPEKKLGKSTVVVNKLVYGLFNVGPFAFNPSCPK
VIMSS10081676 1 264 0.168633712121212 PF04144.13:SCAMP:92:262 Secretory carrier-associated membrane protein 4; Secretory carrier membrane protein 4 264 171 11 172 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C6X2 1 SwissProt MNRHHDPNPFDEDEEIVNPFSKGGGRVPAASRPVEYGQSLDATVDIPLDNMNDSSQKQRKLADWEAELRKKEMDIKRREEAIAKFGVQIDDKNWPPFFPIIHHDIAKEIPVHAQKLQYLAFASWLGIVLCLVFNVIATMVCWIKGGGVKIFFLATIYALIGCPLSYVLWYRPLYRAMRTDSALKFGWFFFTYLIHIGFCIVAAIAPPIFFHGKSLTGVLAAIDVISDSLLAGIFYFIGFGLFCLESLLSLWVLQKIYLYFRGNK
VIMSS10081775 1 78 0.306469230769231 hypothetical protein (RefSeq) 78 0 11 78 0 Arabidopsis thaliana VIMSS10081775 1 MicrobesOnline MNSMFSAFDALFAEVMGKNLMASSFTATTATTKPAAAPQTQTQEKANASSKKIGLVQKIPRFALELDGLHCFETIVRS
VIMSS10082076 1 259 0.542393436293437 PF00010.26:HLH:193:238 Transcription factor bHLH80; Basic helix-loop-helix protein 80; AtbHLH80; bHLH 80; Transcription factor EN 71; bHLH transcription factor bHLH080 259 46 11 259 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C8P8 1 SwissProt MQSTHISGGSSGGGGGGGGEVSRSGLSRIRSAPATWIETLLEEDEEEGLKPNLCLTELLTGNNNSGGVITSRDDSFEFLSSVEQGLYNHHQGGGFHRQNSSPADFLSGSGSGTDGYFSNFGIPANYDYLSTNVDISPTKRSRDMETQFSSQLKEEQMSGGISGMMDMNMDKIFEDSVPCRVRAKRGCATHPRSIAERVRRTRISDRIRRLQELVPNMDKQTNTADMLEEAVEYVKALQSQIQELTEQQKRCKCKPKEEQ
VIMSS10082990 1 355 0.576085070422535 DNA-binding protein RHL1; Protein ELONGATED HYPOCOTYL 7; Protein ROOT HAIRLESS 1 355 0 11 337 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81242 1 SwissProt MVRASSSKKGGSKGGDKDDAESKQRKRLKTLALDNQLLSDSPAKSHSSLKPSKQVLKHHGTDIIRKSQRKNRFLFSFPGLLAPISAATIGDLDRLSTKNPVLYLNFPQGRMKLFGTILYPKNRYLTLQFSRGGKNVLCDDYFDNMIVFSESWWIGTKEENPEEARLDFPKELAQAENTEFDFQGGAGGAASVKKLASPEIGSQPTETDSPEVDNEDVLSEDGEFLDDKIQVTPPVQLTPPVQVTPVRQSQRNSGKKFNFAETSSEASSGESEGNTSDEDEKPLLEPESSTRSREESQDGNGITASASKLPEELPAKREKLKSKDSKLVQATLSNLFKKAEEKTAGTSKAKSSSKA
VIMSS10083234 1 311 0.385281028938907 PF03514.14:GRAS:229:311 Scarecrow-like protein 5; AtSCL5; GRAS family protein 6; AtGRAS-6 597 83 11 311 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H125 1 SwissProt MRLSVFIIPLVESRQASGIINKQSTSLLIRFSLYLEASISTKSFFSKSQRISQTQSPICLSANYYQPDNLDMEATQKHMIQEGSSMFYHQPSSVKQMDLSVQTFDSYCTLESSSGTKSHPCLNNKNNSSSTTSFSSNESPISQANNNNLSRFNNHSPEENNNSPLSGSSATNTNETELSLMLKDLETAMMEPDVDNSYNNQGGFGQQHGVVSSAMYRSMEMISRGDLKGVLYECAKAVENYDLEMTDWLISQLQQMVSVSGEPVQRLGAYMLEGLVARLASSGSSIYKALRCKDPTGPELLTYMHILYEAC
VIMSS10083548 1 345 0.203444927536232 PF01762.21:Galactosyl_T:101:294 galactosyltransferase family protein (RefSeq) 345 194 11 322 1 Arabidopsis thaliana VIMSS10083548 1 MicrobesOnline MHSPRKLFHARSSLATRRSTALVVLTSLAIGIAGFTFGLAVILIPGLRLTGRNCLTNTPPKTVRVVWDVAGNSNGVVSGEKKRHKVMGFVGIQTGFGSAGRRRSLRKTWMPSDPEGLRRLEESTGLAIRFMIGKTKSEEKMAQLRREIAEYDDFVLLDIEEEYSKLPYKTLAFFKAAYALYDSEFYVKADDDIYLRPDRLSLLLAKERSHSQTYLGCLKKGPVFTDPKLKWYEPLSHLLGKEYFLHAYGPIYALSADVVASLVALKNNSFRMFNNEDVTIGAWMLAMNVNHENHHILCEPECSPSSVAVWDIPKCSGLCNPEKRMLELHKQESCSKSPTLPSDDE
VIMSS10083605 169 427 0.495541698841699 PF00076.22:RRM_1:77:147,PF00098.23:zf-CCHC:173:187 Peptidyl-prolyl cis-trans isomerase CYP59; AtCYP59; PPIase CYP59; Cyclophilin-59; EC 5.2.1.8 506 86 11 259 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6Q151 1 SwissProt PPQLAEMMPDASPEGKPKEEVKDDVRLEDDWVPMDEELGAQELEEVIREKAAHSSAVVLESIGDIPEAEVKPPDNVLFVCKLNPVTEDEDLHTIFSRFGTVVSADVIRDFKTGDSLCYAFIEFENKESCEQAYFKMDNALIDDRRIHVDFSQSVSKLWSQFRQKDSQKGKGNGCFKCGSTDHIAKDCVGGPSSKFIVKDQNRQHGGGEGYEMVFEGDVHETPKHNSHERERSEKIQRRSPHGNGEGKRQHRDERDDGRR
VIMSS10083778 1 822 0.381321776155718 E3 ubiquitin-protein ligase BRE1-like 2; Protein HISTONE MONOUBIQUITINATION 2; AtHUB2; RING-type E3 ubiquitin transferase BRE1-like 2; EC 2.3.2.27 900 0 11 822 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C895 1 SwissProt MENQESDEPMQKKPHLLDSVSPNSMARNSSPSHPIAKSVSFFDCDFSLLCLRLVDYEIDVDATVLQLQNQKLVQQLDLQKKQLYDVESKIQELQLNQTSYDDELISVNQLWNQLVDDLILLGVRAGANQEALNYLDIVDKKRVPPCAADETFLCRLLQVDSLDTSKSDEVVRKVEEALALRHSSTMELMGLFENTIDTQKTKAESISQSLHAVKSTEDATIQLSSINDLMKEESKNLREMIDALHVRHKEHSEQIQAYISSHSTDQSELKHLKGQLEEIKAELEENRRKLITLKMQKDAACEGHVTSPAIANGSLSPEKPVDKTKLRELKDSIDEIKIMAEGRLSELQASQEYNLSLSRQCQDIENELKDDQYIYSSRLYSLINDRIHHWNAELDRYKILTEAIQAERSFVMRRDKELNLRAESLEAANHKTTTVGSRIEVLEKKLQSCIIEKNGLELETEEAIQDSERQDIKSEFIAMASTLSKEMEMMEAQLKRWKDTAQDALYLREQAQSLRVSLSNKADEQKGLEDKCAKQMAEIKSLKALIEKLLKEKLQLQNLASICTRECNDDRGLAEIKDSQRKAQAQAEELKNVLDEHFLELRVKAAHETESACQERLATAKAEIAELRTQLDLSEREVLELKEGIKVKEQEAEASIAEMETIGQAYEDMQTQNQHLLQQVAERDDYNIKLVSESVKTKHAYNTHLSEKQVMEKQLHQVNASVENFKARIAHNEEQMKGCFSEAYKLIQEDRHLVISLETTKWEVADADKEFRWLKSAVSSSEKEYEQISRRTDDIKLELDDERREKKKLEEELMELNKELEE
VIMSS10084120 1 396 0.165697727272727 PF00917.26:MATH:110:213,PF00917.26:MATH:267:373 ZW9 (RefSeq) 396 211 11 376 1 Arabidopsis thaliana VIMSS10084120 1 MicrobesOnline MYSEEKKSRNYGSIFVYCFFCFVLIVEVARFAKPYINLQNLIETEAVVEEGFMAVGNSGNLPCGSSKPSSASVRAHDEQKLSQAVTTDTRTRPPNSYCVKFQSFVTMAKQVKENGGKYESRPFSVGGYNWTLLIYPVIYIPTDSGGYVSIYVRVDNSSLITNPKDVYAEITFLAYKSSTDKYQISQETEAQRFHLFKQQWGLLQFLPIYYFENPAYGYFFEGESVVFGVDINIVKPFENWEVFSNEQNIRDPIFEWRLTKFSTRFLDSYTSDSFSSGGRNWALKVYPNGVGNATGNSLSLYLLSDQSNDKGYVEAKLRVIDQIQSNNFEKKVAAWPNATENGWGFDRFLSFADIKNTSKGFLVNDTLKLEVQILSFSKTDYYSHQSSLNVLTGDST 1
VIMSS10084330 1 425 0.167027294117647 PF02535.22:Zip:61:422 iron regulated transporter 3 425 362 11 299 6 Arabidopsis thaliana NP_564766.1 1 RefSeq MFFVDVLWKLVPLYLFGSETKSLSATESILQIVPEAMAATSSNVLCNASESDLCRDDSAAFLLKFVAIASILLAGAAGVTIPLIGRNRRFLQTDGNLFVTAKAFAAGVILATGFVHMLAGGTEALKNPCLPDFPWSKFPFPGFFAMIAALITLFVDFMGTQYYERKQEREASESVEPFGREQSPGIVVPMIGEGTNDGKVFGEEDSGGIHIVGIHAHAAHHRHSHPPGHDSCEGHSKIDIGHAHAHGHGHGHGHGHVHGGLDAVNGARHIVVSQVLELGIVSHSIIIGLSLGVSQSPCTIRPLIAALSFHQFFEGFALGGCISQAQFRNKSATIMACFFALTTPIGIGIGTAVASSFNSHSVGALVTEGILDSLSAGILVYMALVDLIAADFLSTKMRCNFRLQIVSYVMLFLGAGLMSSLAIWA
VIMSS10084410 1 583 0.208120240137221 PF03094.15:Mlo:7:495 Seven transmembrane MLO family protein 583 489 11 405 8 Arabidopsis thaliana NP_176350.1 1 RefSeq MADQVKEKTLEETSTWAVAVVCFVLLLISIVIEKLIHKIGSWFKKKNKKALYEALEKVKAELMLMGFISLLLTIGQGYISNICIPKNIAASMHPCSASEEARKYGKKDVPKEDEEENLRRKLLQLVDSLIPRRSLATKGYDKCAEKGKVAFVSAYGMHQLHIFIFVLAVCHVIYCIVTYALGKTKMRRWKKWEEETKTIEYQYSHDPERFRFARDTSFGRRHLSFWSKSTITLWIVCFFRQFFRSVTKVDYLTLRHGFIMAHLAPGSDARFDFRKYIQRSLEEDFKTIVEINPVIWFIAVLFLLTNTNGLNSYLWLPFIPFIVILIVGTKLQVIITKLGLRIQEKGDVVKGTPLVQPGDHFFWFGRPRFILFLIHLVLFTNAFQLAFFVWSTYEFGLKNCFHESRVDVIIRISIGLLVQILCSYVTLPLYALVTQMGSKMKPTVFNERVATALKSWHHTAKKNIKHGRTSESTTPFSSRPTTPTHGSSPIHLLRNAPHKRSRSVDESFANSFSPRNSDFDSWDPESQHETAETSNSNHRSRFGEEESEKKFVSSSVELPPGPGQIRTQHEISTISLRDFSFKR
VIMSS10084581 1 257 0.11393579766537 PF01357.21:Pollen_allerg_1:163:241,PF03330.18:DPBB_1:66:152 ATEXPA18 (ARABIDOPSIS THALIANA EXPANSIN A18) (RefSeq) 257 166 11 234 1 Arabidopsis thaliana VIMSS10084581 1 MicrobesOnline MDQNLYRKCLVILSMMAMIGTSMATYAGTPWRTASATFYGDDTGSATMGGACGYGNMYDSGYGVATTALSTALFNEGYACGQCFQLKCVSSPNCYYGSPATVVTATNICPPNYGQASNNGGWCNPPRVHFDLTKPAFMKIANWKAGIIPVSYRRVACKKIGGIRFKFEGNGYWLLVYVMNVGGPGDIKTMAVKGSRTGWINMSHNWGASYQAFSSLYGQSLSFRLTSYTTRQTIYAYNAAPASWSAGKTYQSKANFN 1
VIMSS10084949 1 415 0.203611325301205 U-box domain-containing protein (RefSeq) 415 0 11 415 0 Arabidopsis thaliana VIMSS10084949 1 MicrobesOnline MGLSLRVRRRGGSVSKKEIIPVTSCSEEVEITIPSQFQCPISYELMKDPWFESGYQTCPVTNTVLTSLEQIPNHTIRRMIQGWCGSSLGGGIERIPTPRVPVTSHQVSEICERLSAATRRGDYAACMEMVTKMTRLGKESERNRKCVKENGAGLVLCVCFDAFSENANASLLLEETVSVLTWMLPIGLEGQSKLTTTSSFNRLVELLRNGDQNAAFLIKELLELNVTHVHALTKINGVQEAFMKSINRDSTCVNSLISIHHMILTNQETVSRFLELDLVNITVEMLVDSENSVCEKALTVLNVICETKEGREKVRRNKLVIPILVKKILKISEKKDLVSVMWKVCKSGDGSEVEEALRLGAFKKLVVMLQVGCGEGTKEKVTELLKMMNKVMKMNGFVDRSYSSSIEFKHVKKPF
VIMSS10085059 1 284 0.231292605633803 PF00067.22:p450:87:281 Cytokinin hydroxylase; Cytochrome P450 35A2; EC 1.14.13.- 512 195 11 261 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZW95 1 SwissProt MMVTLVLKYVLVIVMTLILRVLYDSICCYFLTPRRIKKFMERQGITGPKPRLLTGNIIDISKMLSHSASNDCSSIHHNIVPRLLPHYVSWSKQYGKRFIMWNGTEPRLCLTETEMIKELLTKHNPVTGKSWLQQQGTKGFIGRGLLMANGEAWHHQRHMAAPAFTRDRLKGYAKHMVECTKMMAERLRKEVGEEVEIGEEMRRLTADIISRTEFGSSCDKGKELFSLLTVLQRLCAQATRHLCFPGSRFLPSKYNREIKSLKTEVERLLMEIIDSRKDSVEIGR
VIMSS10085147 1 611 0.363142880523731 Chloroplast sensor kinase, chloroplastic; EC 2.7.13.3 611 0 11 611 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HVG8 1 SwissProt MLLSAIASQTLLSSNPNLHFSNSIPNPRPSNPSLKLLNASSSSSSSSSSSIFTRGLRYVNHTVSNEESEPGGGETMVASASAIASAIRGASTTPVEFTQMIEKDHLKTKIILPSPDFQRLCLEQLDLFRQIVDPNAVLSIYVRPAGSYVMDRLELRRVTCYPSVNAGDVVILVGNFGIPAGLRAAEASLSSQQVELVSKHRAAVFPMVKHPFVVGFLVAELPVEAEEEEEEEEEEKPHGVNQFLSPEEAYALPASANTKSPRVKLPSVKVFTEEQRSYAINISRTLAMAYVMDQKTMLLQQSSWQNNVRMSKLVEQIRGPLSTMRTLSKMLSTHTKRNQISHDIVEDLIVQGDQIKDTLEELQDAVHLTKANIVRHNEEALKKINKTHNETRRSKYEHKDPIDGSQISSTRLSLGSGLDDSEMPMPPLALAPLQMHSIRPCDISNVLLDMVETVRPLALTQQRVVELGENSASLQVAVEEPALRQALSNLIEGALLRTHVGGKVEILSTRAPAGGSLVVIDDDGPDMRYMTQMHSLTPFGAELLSENMVEDNMTWNFVAGLTVAREILESYGCVIRVISPRSSDAALGAGGTRVELWLPAFPAAVSEANEA
VIMSS10085242 1 213 0.520946948356808 Transcription factor HHO2; MYB-domain transcription factor HHO2; Protein HRS1 HOMOLOG 2 354 0 11 213 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VZS3 1 SwissProt MMVEMDYAKKMQKCHEYVEALEEEQKKIQVFQRELPLCLELVTQAIEACRKELSGTTTTTSEQCSEQTTSVCGGPVFEEFIPIKKISSLCEEVQEEEEEDGEHESSPELVNNKKSDWLRSVQLWNHSPDLNPKEERVAKKAKVVEVKPKSGAFQPFQKRVLETDLQPAVKVASSMPATTTSSTTETCGGKSDLIKAGDEERRIEQQQSQSHTH
VIMSS10085437 1 782 0.13155895140665 PF02705.16:K_trans:24:606 KUP6; potassium ion transmembrane transporter (RefSeq) 782 583 11 512 12 Arabidopsis thaliana VIMSS10085437 1 MicrobesOnline MEIESGSYQNAKKESWRTVLTLAYQSLGVVYGDLSISPLYVYKSTFAEDIHHSESNEEIFGVLSFIFWTITLVPLLKYVFIVLRADDNGEGGTFALYSLLCRHARVNSLPSCQLADEQLIEYKTDSIGSSSMPQSGFAASLKSTLEKHGVLQKILLVLALIGTCMVIGDGVLTPAISVFSAVSGVELSMSKEHHKYIELPAACVILIGLFALQHYGTHRVGFLFAPVILLWLMCISAIGVYNIFHWNPHVYQALSPYYMYKFLKKTQSRGWMSLGGILLCITGSEAMFADLGHFSQLSIKIAFTSLVYPSLILAYMGQAAYLSQHHIIESEYNIGFYVSVPERLRWPVLVIAILAAVVGSQAIITGTFSIIKQCSALGCFPKVKIVHTSSKIHGQIYIPEINWILMVLCLAVTIGFRDTKRLGNASGLAVITVMLVTTCLMSLVIVLCWHKSVIFAIVFVVFFGTIESLYFSASLIKFLEGAWVPIALAFCFLLAMCTWHYGTLKRYEYDVQNKVSVNWLLSLSQTLGIARVRGLGLIHTELVSGVPAIFSHFVTNLPAFHQVLVFLCVKSVPVPHVRPQERFLVGRIGPKEFRIYRCIVRFGYRDVHKDDFEFEGDLVCSIAEFIRTEAETAATAAETNGEDDDRMSVVGTCSTYMQGIEDHYESDIDDPDKPGTSEIRSPKPKKKSKSKVKKRVRFVVPETPKIEKETRQELMELTEAREGGVAYIMGNAYMKAKPGSGLLKRLAINIGYEFLRRNTRGPRNMLTSPHASTLEVGMIYNV
VIMSS10086097 1 247 0.28963076923077 PF01789.16:PsbP:87:246 PsbP domain-containing protein 3, chloroplastic; OEC23-like protein 2 247 160 11 247 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S720 1 SwissProt MAAISPWLSSPQSFSNPRVTITDSRRCSSISAAISVLDSSNEEQHRISSRDHVGMKRRDVMLQIASSVFFLPLAISPAFAETNASEAFRVYTDETNKFEISIPQDWQVGQAEPNGFKSITAFYPQETSTSNVSIAITGLGPDFTRMESFGKVEAFAETLVSGLDRSWQKPVGVTAKLIDSRASKGFYYIEYTLQNPGEARKHLYSAIGMATNGWYNRLYTVTGQFTDEESAEQSSKIQKTVKSFRFI
VIMSS10086111 1 245 0.247662040816327 PF04640.14:PLATZ:73:144 zinc-binding family protein (RefSeq) 245 72 11 245 0 Arabidopsis thaliana VIMSS10086111 1 MicrobesOnline MGPMMMRAEEDNYNLNPPPWLIPMLRANYFIPCSIHAASNKSECNMFCLDCSSEAFCSYCLLNHRNHRVLQIRRSSYHNVVRVNEIQKYIDISCVQTYIINSARIVFLNERPQPRIGKGVTNTCEICCRSLLDSFRFCSLGCKLGGMKRDPSLTFSLRGKHGREYEGEWESDEATTPTKIRKTCAFNRLMSGLSISTVKCDYLSGDQPSSSSGDESGFKLSPGTPPIYNHRNSSRRKGVPHRAPF 2
VIMSS10086150 1 293 0.392326279863481 ATEXT4 (EXTENSIN 4); structural constituent of cell wall (RefSeq) 293 0 11 293 0 Arabidopsis thaliana VIMSS10086150 1 MicrobesOnline MGAPMASFLVLAFSLAFVSQTTANYFYSSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKYYSPPPVYKSPPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPVYKSPPPPVKHYSPPPSYTTLHHHRFTTHLLQSYTTLHHHRFTTHLLQLYTTPHHHPRSTTNTNLLLLRTPLLTSTPTLPLQITTSSSLLDYLIVSNGVDDDTQENKESFGDIIKDTRSLTGELKRIHMSKEKVSHFNVFSLFINTLL
VIMSS10086233 101 244 0.569752083333333 AP2 domain-containing transcription factor, putative (RefSeq) 244 0 11 144 0 Arabidopsis thaliana VIMSS10086233 1 MicrobesOnline ITTTSPFLMNIDEKTLLSPKSIQKVAAQAANSSSDHFTPPSDENDHDHDDGLDHHPSASSSAASSPPDDDHHNDDDGDLVSLMESFVDYNEHVSLMDPSLYEFGHNEIFFTNGDPFDYSPQLHSSEATMDDFYDDVDIPLWSFS
VIMSS10086287 1 334 0.262961377245509 F-box protein At1g78100 334 0 11 334 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C9S2 1 SwissProt MDAFDAIPDPVVIDILNRVGDVKTLIRCRSVSKRFNSLATQSESLLLQLDQILGATESDSEIDSPIASFFRSLFKSIHGLLPPIFSKPANSDEILTRSPKTPAQILSGFERIRNLEVELYGGDVKLEKGAAVKWKAEFGKTLKSCVIVAFRSATVNTSAATEAAAVVDGVVESDSEFVCGLKTRVVWTISALMAASTRHYLMRDLVKDHKEMEKLIVRDSDGEGTVVMDAAGMKEYRETEVRGDNKESERVGERTVVPSVRMSMRHAPSLMLKSGICLEAATLVVVRPTGVASDDNDVELVTEAFAGDGDDCMYGEAVTALLKRRRNVLEMNSF
VIMSS10086322 1 108 0.428 PF05678.14:VQ:17:41 VQ motif-containing protein 10; AtVQ10; Tobacco rattle virus-induced protein variant 1 108 25 11 108 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VYI5 1 SwissProt MSGRGKVKSEPMKVVFINTQYVETDARSFKTVVQELTGKNAIVAAGPFDSPSAFDGRCYDGGSKIGEDTRQLHGGGGGGGRMGTTTEFDRLFKEMPHMEELYKLWSEY
VIMSS10086352 89 325 0.567909282700422 PF05687.13:BES1_N:2:52 BES1/BZR1 homolog protein 4 325 51 11 237 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZV88 1 SwissProt TASPCSSYQPSPCASYNPSPGSSNFMSPASSSFANLTSGDGQSLIPWLKHLSTTSSSSASSSSRLPNYLYIPGGSISAPVTPPLSSPTARTPRMNTDWQQLNNSFFVSSTPPSPTRQIIPDSEWFSGIQLAQSVPASPTFSLVSQNPFGFKEEAASAAGGGGGSRMWTPGQSGTCSPAIPPGADQTADVPMSEAVAPPEFAFGSNTNGLVKAWEGERIHEESGSDDLELTLGNSSTR
VIMSS10086369 167 443 0.174373646209386 EP1-like glycoprotein 4; Curculin-like (Mannose-binding) lectin family protein 443 0 11 277 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVA5 1 SwissProt VGQSLKLNGQNKLVSRLSPSVNANGPYSLVMEAKKLVLYYTTNKTPKPIGYYEYEFFTKIAQLQSMTFQAVEDADTTWGLHMEGVDSGSQFNVSTFLSRPKHNATLSFLRLESDGNIRVWSYSTLATSTAWDVTYTAFTNDNTDGNDECRIPEHCLGFGLCKKGQCNACPSDIGLLGWDETCKIPSLASCDPKTFHYFKIEGADSFMTKYNGGSTTTESACGDKCTRDCKCLGFFYNRKSSRCWLGYELKTLTKTGDTSLVAYVKAPNASKKSALAI
VIMSS10086424 147 418 0.504976470588236 PF00656.22:Peptidase_C14:88:270 Metacaspase-4; AtMC4; Metacaspase 2d; AtMCP2d; Metacaspase-7; EC 3.4.22.- 418 183 11 272 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64517 1 SwissProt EAKEQIGESTKKEAEDEDESEESSSRFGFRKFLRSKVEGAIESRGFHIGGNKKDEDEAEEIETKEIELEDGETIHAKDKSLPLQTLIDILKQQTGNDNIEVGKIRPSLFDAFGDDSSPKVKKFMKVILGKLQAGNGEEGGLMGMLGKLASGFLEGKLNDEDYVKPAMQTHVGSKEEVYAGGSRGSVPLPDSGILISGCQTDQTSADATPAGKPTEAYGAMSNSIQTILEETDGEISNREMVTRARKALKKQGFTQQPGLYCHDGYANAPFIC
VIMSS10086631 1 191 0.22806387434555 PF02309.16:AUX_IAA:92:187 Auxin-responsive protein IAA32; Indoleacetic acid-induced protein 32 191 96 11 191 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RYC6 1 SwissProt MDPNTPADFFKGSSKFHTYYSQTKKGGGVIDLGLSLRTIQHETYLPPARMIGLDGYGELIDWSQPSYNSITQLKSEDTGHQRLAQGYYNNEGESRGKYAYVKVNLDGLVVGRKVCLVDQGAYATLALQLNDMFGMQTVSGLRLFQTESEFSLVYRDREGIWRNVGDVPWKEFVESVDRMRIARRNDALLPF
VIMSS10086781 1 217 0.602873732718894 PF04770.12:ZF-HD_dimer:85:137 Zinc-finger homeodomain protein 3; AtZHD3; Homeobox protein 21; AtHB-21; Zinc finger homeodomain transcription factor 4 310 53 11 217 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64722 1 SwissProt MEIASQEDPIPINTSYGNSGGGHGNMNHHHHANSAPSSLNITTSNPLLVSSNSNGLGKNHDHSHHHHVGYNIMVTNIKKEKPVVIKYKECLKNHAATMGGNAIDGCGEFMPSGEEGSIEALTCSVCNCHRNFHRRETEGEEKTFFSPYLNHHQPPPQQRKLMFHHKMIKSPLPQQMIMPIGVTTAGSNSESEDLMEEEGGGSLTFRQPPPPPSPYSY
VIMSS10087054 1 254 0.292718110236221 PF02469.22:Fasciclin:57:188 FLA7 (FASCICLIN-LIKE ARABINOOGALACTAN 7) (RefSeq) 254 132 11 254 0 Arabidopsis thaliana VIMSS10087054 1 MicrobesOnline MAKMQLSIFIAVVALIVCSASAKTASPPAPVLPPTPAPAPAPENVNLTELLSVAGPFHTFLDYLLSTGVIETFQNQANNTEEGITIFVPKDDAFKAQKNPPLSNLTKDQLKQLVLFHALPHYYSLSEFKNLSQSGPVSTFAGGQYSLKFTDVSGTVRIDSLWTRTKVSSSVFSTDPVAVYQVNRVLLPEAIFGTDVPPMPAPAPAPIVSAPSDSPSVADSEGASSPKSSHKNSGQKLLLAPISMVISGLVALFL
VIMSS10087112 1 467 0.10689164882227 PF02765.17:POT1:12:143 Protection of telomeres protein 1a; AtPOT1a; Protection of telomeres protein 1 467 132 11 467 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q56Y52 1 SwissProt MAKKRESPKLIKIKDAIKLINQQVSLIGIVLEQREPKQCRNNDWICTLRIIDDTYPSPGLTVNVFSKTLEQLPQIKNHDDMILFTRIKMQTFDSGERVNAACSRWVSSFALFEGVDFVCYQCSTNFHEEEALYKSAMDDLRKVFAGCSQVIKAMQSISYRTKPCSEVFSFLREIKIGKRFDLVCRILHADEDTSAVFVWDGTDAPPASILAKRSEEDKAFSSLSVHTLLSRDVLLSFPTVGTILRVHLSSHLFYRVKPGDWVKLYHLLCEVDRGSWVIKVTSSTKVHHLAQDDRLVEKIMRIYDKRLSSKLGHISFWCFPSPPGLTETDDNCAPFVTLMDIITFPKVTCKYRCIVRVVAAYPWQVEDFCSDENRRHHQVLLTLEDSTATLEAFLCNKDAEYFWGLGFQDTETLRKKRNWLLGIRESSNFVAPRNPPWIECCILSYYTNKADPWNTRLYRIFGTRLLH
VIMSS10087735 1 291 0.313351890034364 PF14547.6:Hydrophob_seed:207:289,PF14368.6:LTP_2:228:289 Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein 291 83 11 291 0 Arabidopsis thaliana NP_565348.1 1 RefSeq MDSSKLSSLSLCLFLICIIYLPQHSLACGSCNPRKGGKHSPKAPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTIPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPVPPVTVPKLPLPPISGLPIPPVVGPNLPLPPLPIVGPILPPGTTPPATGGKDCPPPPGSVKPPSGGGKATCPIDTLKLGACVDLLGGLVKIGLGDPAVNKCCPLLKGLVEVEAAACLCTTLKLKALDLNLYVPVALQLLLTCGKNPPPGYTCSI
VIMSS10088346 1 175 0.352676571428571 MEE14 (maternal effect embryo arrest 14) (RefSeq) 175 0 11 175 0 Arabidopsis thaliana VIMSS10088346 1 MicrobesOnline MIKSVTLRSFHLPIEFNDTKFVSRPCFLARSFPVVRCSSTRDVPKLELFSRGKFDRILQDPPLIEKAESELSDYCSTLEGDDSYSCWRAYFELKDLEREKPKVEVENLILQTGEESDREKGMNLHIHIPDGLPKSEQELEEEEKSKMPDSAFTRLLRSKGTIPAWFSHAPDHETD
VIMSS10088909 1 294 0.301617346938776 PF04720.12:PDDEXK_6:21:247 hypothetical protein (RefSeq) 294 227 11 294 0 Arabidopsis thaliana VIMSS10088909 1 MicrobesOnline MDRQNSDDIMRFLDGMASSDDVLFGFLDEGNQSPEDFSVNLNAGEDDGDEDDNNNNSEDNKAFWQEHEQLLQGTLYRTSSIETKIRQATKEALKQVKSKGLYCVCRRPVDGGCRSCLRGEISRHLRDVAGYDCVISKSKWRSCQDIPAGEHEFIEIVDRSGSKKSEMRVVIELSFRAEFEIAKGSEEYKRLISRLPEVYVGKTERLRSLIKILCIAGKKCLRDKKMHMAPWRKHKYMQAKWLGTCDRSSSLEASVSEAMEPENWVPVAKPRVSMLNYDGLLGGFSAGPATVAVV
VIMSS10089173 1 227 0.451885903083701 PF00170.21:bZIP_1:128:173,PF07716.15:bZIP_2:126:173 AtbZIP6 (Arabidopsis thaliana basic leucine-zipper 6); DNA binding / transcription factor (RefSeq) 227 48 11 227 0 Arabidopsis thaliana VIMSS10089173 1 MicrobesOnline MMSTVPAFTFTEPGLVNQLSDFQTGFTPWELNCSDLFSTIHLEPVVPSPCSGESDAGSVKINTDFNGFDESCIGSIKTNSGSDDSNLFHGVPSPQSDELDSKNTKIRSNATNHNRNKLNRSVLQVTDDRKRKRMESNRESAKRSRMRKQRHIDNLKDEANRLGLENRELANRLRIVLYNIALMCTDNNQLLSEQEILRRRFLEMRQILIFRQLQLNPSLIINHHHMI
VIMSS10089304 1 483 0.0969904761904761 PF00520.31:Ion_trans:115:440 Probable cyclic nucleotide-gated ion channel 6 747 326 11 325 7 Arabidopsis thaliana O82226 1 SwissProt/TReMBL MFDTCGPKGVKSQVISGQRENFVRLDSMDSRYSQSSETGLNKCTLNIQGGPKRFAQGSKASSGSFKKGFRKGSEGLWSIGRSIGLGVSRAVFPEDLEVSEKKIFDPQDKFLLLCNKLFVASCILAVSVDPLFLYLPFINDKAKCVGIDRKLAIIVTTIRTVIDSFYLFHMALRFRTAYVAPSSRVFGRGELVIDPAQIAKRYLQQYFIIDLLSVLPVPQIIVWRFLYTSRGANVLATKQALRYIVLVQYIPRFLRMYPLSSELKRTAGVFAETAWAGAAYYLLLYMLASHIVGALWYLLALERNNDCWSKACHNNQNCTRNFLFCGNQNMKGYAAWDNIKVSYLQLKCPVNVPEDEEPPFDFGIYLRALSSGIVSSKNFVSKYFFCLWWGLQNLSTLGQGLETSTYPGEVIFSITLAIAGLLLFALLIGNMQTYLQSLTIRLEEMRVKRRDSEQWMHHRMLPPELRERVRRYDQYKWLETRGV
VIMSS10089411 194 539 0.217625144508671 PF08417.12:PaO:100:185 Protein TIC 55, chloroplastic; Translocon at the inner envelope membrane of chloroplasts 55; AtTIC55; Translocon at the inner envelope membrane of chloroplasts 55-II 539 86 11 300 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SK50 1 SwissProt WMSTKTPPNPEKLPWFENFARPGFFDISTTHELPYDHSILLENLMDPAHVPISHDRTDFTAKREDAQPLVFEVTERSNRGFAGTWGREKEGGKGSNLLRFDAPCVLQNNREFEGKDGVKNYFSGLFLCRPTGQGKSMLIVRFGVTKRSPLVSVLPQWFWHQNACKVFEQDMGFLSSQNEVLMKEKVPTKDLYLNLKSSDTWVAEYRKWMDKVGHGMPYHFGHRTISLPKVPPVVEHAPAGLIAALSASYPAKGGIGTMHAPNLANRYFRHIIHCRSCSNVIKSFELWKNILSATAVALTALAILVVSRQWKAVLLGSAALCSAAAYTCLRAINLNTNNFIRTHRRL
VIMSS10089615 1 290 0.542513793103448 PF07816.11:DUF1645:89:281 AR781 (RefSeq) 290 193 11 290 0 Arabidopsis thaliana VIMSS10089615 1 MicrobesOnline MEVMSLTAPSSPRQLSGCFLSAPTSPRRFNEFYREFEEAATRNFSDRLTVPFDWEETPGTPRKITNDDDDDIDFAFEIGGKLETTSLFAEELFDGGKIKPLKPPPYLQLDHHHQPQILSPRSPRSPIAHARNGLGEERGRGRRQNSGRRVARSLSPFRVSAYPWEEQEQEQEQEQRDVQEQRKGTLSSIPSTSSSACVSCKSSSSKKWRLKDFLLFRSASEGRARHNKDSVKTFTSLFRKQEDTKNSSSRGRGSSSVSAHEFHYMSKKAETKDLKKKTFLPYMQIGRFAF
VIMSS10089752 1 113 0.449688495575221 Uncharacterized protein At2g27730, mitochondrial 113 0 11 95 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUX4 1 SwissProt MATRNALRIVSRRFSSGKVLSEEERAAENVFIKKMEQEKLQKLARQGPGEQAAGSASEAKVAGATASASAESGPKVSEDKNRNYAVVAGVVAIVGSIGWYLKAGGKKQPEVQE
VIMSS10089755 210 466 0.278824513618677 PF01715.17:IPPT:16:138 tRNA dimethylallyltransferase 2; Isopentenyl-diphosphate: tRNA isopentenyltransferase 2; AtIPT2; IPP transferase 2; IPPT 2; EC 2.5.1.75 466 123 11 257 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUX7 1 SwissProt GKTAENWGCINASRFDYCLICMDAETAVLDRYVEQRVDAMVDAGLLDEVYDIYKPGADYTRGLRQSIGVREFEDFLKIHLSETCAGHLTSLSNDDKVMKENLRKILNFPKDDKLRIMLEEAIDRVKLNTRRLLRRQKRRVSRLETVFGWNIHYIDATEYILSKSEESWNAQVVKPASEIIRCFLETETESGRDPTSGKSIERDLWTQYVCEACGNKILRGRHEWEHHKQGRTHRKRTTRHKNSQTYKNREVQEAEVN
VIMSS10089826 1 162 0.496454320987654 PF04520.13:Senescence_reg:40:159 hypothetical protein (RefSeq) 162 120 11 162 0 Arabidopsis thaliana VIMSS10089826 1 MicrobesOnline MATSKCYYPRPSHRFFTTDQHVTATSDFELDEWDLFNTGSDSSSSFSFSDLTITSGRTGTNRQIHGGSDSGKAASSLPVNVPDWSKILGDESRRQRKISNEEEVDGDEILCGEGTRRVPPHELLANRRMASFSVHEGAGRTLKGRDLSRVRNTIFKIRGIED
VIMSS10090145 1 428 0.430988317757009 PF00010.26:HLH:212:259 Transcription factor bHLH91; Basic helix-loop-helix protein 91; AtbHLH91; bHLH 91; Transcription factor EN 25; bHLH transcription factor bHLH091 428 48 11 428 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GX46 1 SwissProt MYEESSCFDPNSMVDNNGGFCAAETTFTVSHQFQPPLGSTTNSFDDDLKLPTMDEFSVFPSVISLPNSETQNQNISNNNHLINQMIQESNWGVSEDNSNFFMNTSHPNTTTTPIPDLLSLLHLPRCSMSLPSSDIMAGSCFTYDPLFHLNLPPQPPLIPSNDYSGYLLGIDTNTTTQRDESNVGDENNNAQFDSGIIEFSKEIRRKGRGKRKNKPFTTERERRCHLNERYEALKLLIPSPSKGDRASILQDGIDYINELRRRVSELKYLVERKRCGGRHKNNEVDDNNNNKNLDDHGNEDDDDDDENMEKKPESDVIDQCSSNNSLRCSWLQRKSKVTEVDVRIVDDEVTIKVVQKKKINCLLLVSKVLDQLQLDLHHVAGGQIGEHYSFLFNTKIYEGSTIYASAIANRVIEVVDKHYMASLPNSNY
VIMSS10090243 1 188 0.217310106382979 PF13302.7:Acetyltransf_3:25:157,PF00583.25:Acetyltransf_1:55:157,PF13420.7:Acetyltransf_4:28:178 GCN5-related N-acetyltransferase (GNAT) family protein (RefSeq) 188 154 11 188 0 Arabidopsis thaliana VIMSS10090243 1 MicrobesOnline MEMDSTTTIKPETLSTVSSSPPEKIHLRPMTLSDVDDFMVWATDSNVTRFCTWEPYTSREAAIAYLNDALLPHPWLRAICLDNDRPIGSISVTPVDEIRGEIGYVLGSKYWGKGIATEAVRLVAGEIFKEKPEMQRLEALVDVDNVGSQKVLEKVGFVKEGVMRKFMYLKGNVRDMVMFSFLPSDSLH
VIMSS10090828 118 298 0.626456906077348 Transcription factor RAX2; Myb-related protein 38; AtMYB38; Protein REGULATOR OF AXILLARY MERISTEMS 2 298 0 11 181 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJL7 1 SwissProt IATMAPPPHHHLAIATSSSSASPSSSSHYNMINSLLPYNPSTNQLLTPHQGIMMTMMGQQQQLFYQEDMGNLVNSPNRNNLIMSHQEDNQEQSTNKGIMLLSDVRSGSSTTSTVTRVKMEHRDHDDHHHHHEEDERSMTSVVMEDYGMEEIKQLISSSCTSSNNSLWFDENKTEDKFMLYY 2
VIMSS10090977 1 289 0.520116262975779 PF13837.6:Myb_DNA-bind_4:41:124 Trihelix transcription factor GT-3b; Transcription factor GT-1-like; Trihelix DNA-binding protein GT-3b 289 84 11 289 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80450 1 SwissProt MDGHQHHHLHQLQYLNKHHLHTQSQTPEIASPVAVGDRFPQWSVEETKELIGIRGELDQTFMETKRNKLLWEVISNKMRDKSFPRSPEQCKCKWKNLVTRFKGCETMEAETARQQFPFYDDMQNIFTTRMQRMLWAESEGGGGGTSGAARKREYSSDEEEENVNEELVDVSNDPKILNPKKNIAKKRKGGSNSSNSNNGVREVLEEFMRHQVRMESEWREGWEAREKERAEKEEEWRRKMEELEKERLAMERMWRDREEQRRSREEMRAEKRDSLINALLAKLTRDGSL
VIMSS10091024 1 619 0.249724555735056 PF01501.20:Glyco_transf_8:297:591,PF18404.1:Glyco_transf_24:369:537 Probable galacturonosyltransferase 7; Like glycosyl transferase 7; EC 2.4.1.- 619 295 11 596 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVI7 1 SwissProt MKGGGGGGGGGGGGKRRWKVLVIGVLVLVILSMLVPLAFLLGLHNGFHSPGFVTVQPASSFESFTRINATKHTQRDVSERVDEVLQKINPVLPKKSDINVGSRDVNATSGTDSKKRGLPVSPTVVANPSPANKTKSEASYTGVQRKIVSGDETWRTCEVKYGSYCLWREENKEPMKDAKVKQMKDQLFVARAYYPSIAKMPSQSKLTRDMKQNIQEFERILSESSQDADLPPQVDKKLQKMEAVIAKAKSFPVDCNNVDKKLRQILDLTEDEASFHMKQSVFLYQLAVQTMPKSLHCLSMRLTVEHFKSDSLEDPISEKFSDPSLLHFVIISDNILASSVVINSTVVHARDSKNFVFHVLTDEQNYFAMKQWFIRNPCKQSTVQVLNIEKLELDDSDMKLSLSAEFRVSFPSGDLLASQQNRTHYLSLFSQSHYLLPKLFDKLEKVVILDDDVVVQRDLSPLWDLDMEGKVNGAVKSCTVRLGQLRSLKRGNFDTNACLWMSGLNVVDLARWRALGVSETYQKYYKEMSSGDESSEAIALQASLLTFQDQVYALDDKWALSGLGYDYYINAQAIKNAAILHYNGNMKPWLELGIPNYKNYWRRHLSREDRFLSDCNVNP
VIMSS10091371 1 500 0.1759662 PF04577.14:DUF563:282:423 transferase, transferring glycosyl groups (RefSeq) 500 142 11 477 1 Arabidopsis thaliana VIMSS10091371 1 MicrobesOnline MVQYQRLIIHHGRKEDKFRVSSAEESGGGGCCYSKRAKQKFRCLLFLSILSCCFVLSPYYLFGFSTLSLLDSFRREIEGLSSYEPVITPLCSEISNGTICCDRTGLRSDICVMKGDVRTNSASSSIFLFTSSTNNNTKPEKIKPYTRKWETSVMDTVQELNLITKDSNKSSDRVCDVYHDVPAVFFSTGGYTGNVYHEFNDGIIPLFITSQHYNKKVVFVIVEYHDWWEMKYGDVVSQLSDYPLVDFNGDTRTHCFKEATVGLRIHDELTVNSSLVIGNQTIVDFRNVLDRGYSHRIQSLTQEETEANVTALDFKKKPKLVILSRNGSSRAILNENLLVELAEKTGFNVEVLRPQKTTEMAKIYRSLNTSDVMIGVHGAAMTHFLFLKPKTVFIQIIPLGTDWAAETYYGEPAKKLGLKYVGYKIAPKESSLYEEYGKDDPVIRDPDSLNDKGWEYTKKIYLQGQNVKLDLRRFRETLTRSYDFSIRRRFREDYLLHRED
VIMSS10091768 1 245 0.2045 PF03105.19:SPX:1:33,PF03105.19:SPX:81:144 SPX domain-containing protein 3; Protein SPX DOMAIN GENE 3; AtSPX3 245 97 11 245 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5PP62 1 SwissProt MKFGKRIKEQIQESLPEWRDKFLRYKELKNLISSPAPVESIFVGLLNAEIDKFNAFFVEQEEDFIIHHKELQYRIQRLVEKCGHNDEMSRENISEIRKDIVNFHGEMVLLVNYSNINYTGLAKILKKYDKRTRGGLRSPFIQKVLHQPFFKTDLVSRLVREWETTMDAVDPVKVAEAEGYERCAAVTSAAAGEGIFRNTVAALLTMKEMRRGSSTYSAFSLPPLNISDSDNVLRSLHLSSPIPIP
VIMSS10092163 1 220 0.234523636363637 PF05757.11:PsbQ:21:220 Photosynthetic NDH subunit of lumenal location 3, chloroplastic; PsbQ-like protein 2 220 200 11 220 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGH4 1 SwissProt MAHFIDLNSLTNTLPSLPKLPESRKTGKSSGFACRRTEEFQEPDSVQITRRMTLGFAVSIGLTGILGENNVSLAQDNGFWIDGPLPIPPIYNNIVNEKTGTRTFIKKGVYVADIGTKGRMYRVKKNAFDLLAMEDLIGPDTLNYVKKYLRLKSTFLFYDFDNLISAAASEDKQPLTDLANRLFDNFEKLEDAAKTKNLAETESCYKDTKFLLQEVMTRMA
VIMSS10092359 158 479 0.392310559006211 NAC domain-containing protein 45 479 0 11 322 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A4VCM0 1 SwissProt IVIEAKPRDQHRSYVHAMSNVSGNCSSSFDTCSDLEISSTTHQVQNTFQPRFGNERFNSNAISNEDWSQYYGSSYRPFPTPYKVNTEIECSMLQHNIYLPPLRVENSAFSDSDFFTSMTHNNDHGVFDDFTFAASNSNHNNSVGDQVIHVGNYDEQLITSNRHMNQTGYIKEQKIRSSLDNTDEDPGFHGNNTNDNIDIDDFLSFDIYNEDNVNQIEDNEDVNTNETLDSSGFEVVEEETRFNNQMLISTYQTTKILYHQVVPCHTLKVHVNPISHNVEERTLFIEEDKDSWLQRAEKITKTKLTLFSLMAQQYYKCLAIFF
VIMSS10092466 1 808 0.133445420792079 PF01094.28:ANF_receptor:47:378,PF00060.26:Lig_chan:541:780,PF13458.6:Peripla_BP_6:29:364,PF00497.20:SBP_bac_3:448:738,PF10613.9:Lig_chan-Glu_bd:427:527 Glutamate receptor 1.1; AtGLR1; Ligand-gated ion channel 1.1 808 704 11 723 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M8W7 1 SwissProt MEILFSISILALLFSGVVAAPSDDDVFEEVRVGLVVDLSSIQGKILETSFNLALSDFYGINNGYRTRVSVLVRDSQGDPIIALAAATDLLKNAKAEAIVGAQSLQEAKLLATISEKAKVPVISTFLPNTLSLKKYDNFIQWTHDTTSEAKGITSLIQDFSCKSVVVIYEDADDWSESLQILVENFQDKGIYIARSASFAVSSSGENHMMNQLRKLKVSRASVFVVHMSEILVSRLFQCVEKLGLMEEAFAWILTARTMNYLEHFAITRSMQGVIGFKSYIPVSEEVKNFTSRLRKRMGDDTETEHSSVIIGLRAHDIACILANAVEKFSVSGKVEASSNVSADLLDTIRHSRFKGLSGDIQISDNKFISETFEIVNIGREKQRRIGLWSGGSFSQRRQIVWPGRSRKIPRHRVLAEKGEKKVLRVLVTAGNKVPHLVSVRPDPETGVNTVSGFCVEVFKTCIAPFNYELEFIPYRGNNDNLAYLLSTQRDKYDAAVGDITITSNRSLYVDFTLPYTDIGIGILTVKKKSQGMWTFFDPFEKSLWLASGAFFVLTGIVVWLVERSVNPEFQGSWGQQLSMMLWFGFSTIVFAHREKLQKMSSRFLVIVWVFVVLILTSSYSANLTSTKTISRMQLNHQMVFGGSTTSMTAKLGSINAVEAYAQLLRDGTLNHVINEIPYLSILIGNYPNDFVMTDRVTNTNGFGFMFQKGSDLVPKVSREIAKLRSLGMLKDMEKKWFQKLDSLNVHSNTEEVASTNDDDEASKRFTFRELRGLFIIAGAAHVLVLALHLFHTRQEVSRLCTKLQSFYK
VIMSS10092541 1 1703 0.263473987081621 PF08638.11:Med14:9:197 Mediator of RNA polymerase II transcription subunit 14; Protein STRUWWELPETER; AtSWP 1703 189 11 1703 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SR02 1 SwissProt MAELGQQTVDFSALVGRAAEESFLSFKELVDKSKSTELSDTEKKVSLLKYVAKTQQRMLRLNALAKWCKQVPLINYFQDLGSTLSAHDICFTQAADSLFFMHEGLQQARAPVYDVPSAVEILLTGSYQRLPKCLDDVGMQSSLDEHQQKPALRKLEVLVRSKLLEITLPKEITEVKISKGTVTLSVDGEFKVLVTLGYRGHLSMWRILHLDLLVGERSGPIKLEVTRRHILGDDLERRMSVAENPFTILYAVLHELCVAIVMDTVIRQVRALLQGRWKDAIRFDLISDTGTTPANQEGEADSVSLRTPGMKLFYWSDSDKNSGPFIKIEPGSDLQIKCSHSTFVIDPLTGKEAEFSLDQSCIDVEKLLLKAICCNRYTRLLEIQKELLRNTRICRTPSDVILQALLDEPGIEGDNMVDSKERVEPEVLRVRAYGSSFFTLGINIRTGRFLLQSSKSILTSSILEEFEDALNQGSISAVDAFINLRSKSILHFFAAIGKFLGLEVYEHGFGINKVPKSLLDGSSILTLGFPDCESSHLLLMELEKDFTPLFKLLETQMDGSGKPQSFNDPSNILRAKKIDIGQIRILEDDLNLITSDVVKFVSSFSDAEGINQASGHRQPGLVDEALTEMSGSQLSFSSVVDGVFGLQKVTSALMSIDGHGLVPKNLSAVTGHGKAPMLTSYHSDSLYNRQGPLQSSSYNMLSSPPGKGSAMKKIAISNSDQELSLILSPSLSTGNGVSESGSRLVTESSLSPLPLSQTADLATSSAGPLLRKDQKPRKRSASDLLRLIPSLQVVEGVASPNKRRKTSELVQSELVKSWSPASQTLSTAVSTSTKTIGCSYGNLIAEANKGNAPSSVFVYALLHVVRHSSLSIKHAKLTSQMEALDIQYVEEMGLRDAFSDIWFRLPFAQNDSWQHICLQLGRPGSMCWDVKINDQHFRDLWELQKGSKTTPWGSGVHIANSSDVDSHIRYDPEGVVLSYQSVEADSIKKLVADIQRLSNARMFSLGMRKLLGIKPDEKTEECSANSTMKGSTGGKGSGEPVDRWRAFKIEAVGLTSLWFSFGSGVLARFVVEWESGKDGCTMHVSPDQLWPHTKFLEDFINGAEVESLLDCIRLTAGPLHALAAATRPARASTATGMPVVPATASSRQSNQIQQTQGIIAPSTLAAPNATGQSASATSGNTVASSAPSPLGGGFHGVAMLAAAGRSGPGIVPSSLLPIDVSVVLRGPYWIRIIYRKRFAVDMRCFAGDQVWLQPATPPKGGASIGGSLPCPQFRPFIMEHVAQELNGLEPNLTGSQGATNPNSGNPTVNGVNRVNFSPSSARAAMNRVASVASGSLVVSSGLPVRRTPGTAVPAHVRGELNTAIIGLGDDGGYGGGWVPLVALKKVLRGILKYLGVLWLFAQLPDLLREILGSILKDNEGALLNLDQEQPALRFFVGGYVFAVSVHRVQLLLQVLSVRRFHHQAQQNGSSAAAQEELTQSEIGEICDYFSRRVASEPYDASRVASFITLLTLPISVLREFLKLIAWKKGLSQSQQAGEIAPAQRPRIELCLENHSGTDLDNNCAAKSNIHYDRPHNTVDFALTVVLDPVHIPHINAAGGAAWLPYCVSVRLRYTFGENPSVTFLGMEGSHGGRACWQRVDDWEKCKQRVSRTVEVNGSAAGDLTQGKLKLVADSVQRTLHLCLQGLREGGNNNNNTHQKEFTI
VIMSS10093263 96 263 0.442852380952381 PF00249.31:Myb_DNA-binding:33:77 myb family transcription factor (RefSeq) 263 45 11 168 0 Arabidopsis thaliana VIMSS10093263 1 MicrobesOnline PAASSPLGFDTDMCRKRPSGARGSDQDRKKGVPWTEEEHRRFLLGLLKYGKGDWRNISRNFVVSKTPTQVASHAQKYYQRQLSGAKDKRRPSIHDITTGNLLNANLNRSFSDHRDILPDLGFIDKDDTEEGVIFMGQNLSSENLFSPSPTSFEAAINFAGENVFSAGA
VIMSS10093383 1 269 0.496339776951673 Protein LNK3; Night light-inducible and clock-regulated 3 269 0 11 269 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LHH5 1 SwissProt MDCYAEELVVPNYQESSSETYPSTGMWGGWSMSSPEAAEKCFDYDGFNGEGMMYSQMSMRTSEEEEESKRSKAFYGASSLHDFEGIEQMDDMFLSSILEDVPEDDGDVHRATSSNNSVGSSSMYGGGREVPMFHCHDMSFKEEAPFTISDLSEENMLDSNYGDELSSEEFVLQDLQRASQKLTDETRKCFRDTFYRLARSSQDKSDSVSPNSEELLMQTSRYDYGDGNRFSREEEIESETNSIDRAVANLTFNKMESNISNFPLSERVQ
VIMSS10093440 1 132 0.272409848484848 PF02519.14:Auxin_inducible:8:113 Auxin-responsive protein SAUR72; Protein SMALL AUXIN UP RNA 72 132 106 11 132 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LTV3 1 SwissProt MKQLIRRLSRVADSAQYSLLRSDSQRPSRRSESFLRSSVTRRSKKQTSSVPEGHVPVYVGDEMERFVVSAELLNHPVFIGLLNRSAQEYGYEQKGVLQIPCHVLVFERIMESLRLGLPVPIDVQDLIGDGTI
VIMSS10093733 1 259 0.349289575289576 PF00612.27:IQ:52:69 IQ-domain 10 259 18 11 259 0 Arabidopsis thaliana NP_188123.1 1 RefSeq MGSGWLLRSIICLNGTKKNKSNRGNVHSETSNRVKPVESSSAASTKLTVEVAVIRIQKAFRAFKARKRLCSLKSARRFNSLIQGHTVMNQTSTALNVIHSWYDIQNQIRARRLYMVTQGRLQHKRLENRLKLEIKLHELEVEWCGGSETMEEILAKIQQKEEATVKRERAMAYAFSHQWRANATQYLGQASFNLGKESWGWSWKERWIAARPWEIRAQCYVVKPIKPSKKPEKSSPNNVITKTSAKPDEVGNSKKPGSG
VIMSS10094236 1 501 0.214523153692615 PF00852.19:Glyco_transf_10:211:373 Glycoprotein 3-alpha-L-fucosyltransferase A; Core alpha-(1,3)-fucosyltransferase; Fuc-T C3; FucT1; FucTA; Fucosyltransferase 11; AtFUT11; EC 2.4.1.214 501 163 11 501 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJK1 1 SwissProt MGVFSNLRGPKIGLTHEELPVVANGSTSSSSSPSSFKRKVSTFLPICVALVVIIEIGFLCRLDNASLVDTLTHFFTKSSSDLKVGSGIEKCQEWLERVDSVTYSRDFTKDPIFISGSNKDFKSCSVDCVMGFTSDKKPDAAFGLSHQPGTLSIIRSMESAQYYQENNLAQARRKGYDIVMTTSLSSDVPVGYFSWAEYDIMAPVQPKTEKALAAAFISNCAARNFRLQALEALMKTNVKIDSYGGCHRNRDGSVEKVEALKHYKFSLAFENTNEEDYVTEKFFQSLVAGSVPVVVGAPNIEEFAPSPDSFLHIKQMDDVKAVAKKMKYLADNPDAYNQTLRWKHEGPSDSFKALIDMAAVHSSCRLCIFVATRIREQEEKSPEFKRRPCKCTRGSETVYHLYVRERGRFDMESIFLKDGNLTLEALESAVLAKFMSLRYEPIWKKERPASLRGDGKLRVHGIYPIGLTQRQALYNFKFEGNSSLSTHIQRNPCPKFEVVFV
VIMSS10094354 1 115 0.450086086956522 hypothetical protein (RefSeq) 115 0 11 115 0 Arabidopsis thaliana VIMSS10094354 1 MicrobesOnline MGNCLRHESEMHWAGEDWDEFITEDEEDHHYSSKTTRDGKPVIVTRDSKSSVPSHEIKIRLTKKQLHDLLSKVNVHDLTFQQQTFSCPILNNRGYEEANQQRLWRPVLQSIPEVN
VIMSS10094446 1 277 0.413235018050542 NAD(H) kinase 1; AtNADK-1; EC 2.7.1.23; EC 2.7.1.86 524 0 11 277 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q56YN3 1 SwissProt MSSTYKLNHTDSFANGDAKSLLPNPENGFTHLTSLAQSEKAVQELLLQQTPMQATDDHLVEFSEALRTVAKALRGAAEGKALAQAEAAEWKRRYELERSKNVELQHKELSNGVCADESNGQRMEHLAKSPRLYAQEISSNGMETICSHEVLQDGGFNSFNNKLKRKASFKLSWGCKGMANDQHKKEIVSFERGNISTAERSSKQISLTWESDPQTVLIITKPNSTSVRVLSVDMVRWLRTQKGLNIYVEPRVKEELLSESSSFNFVQTWEDDKEISL
VIMSS10094566 1 252 0.155187698412698 PF01657.17:Stress-antifung:39:133,PF01657.17:Stress-antifung:153:245 receptor protein kinase-related (RefSeq) 252 188 11 232 1 Arabidopsis thaliana VIMSS10094566 1 MicrobesOnline MSSLKRIVWFPILAIAIQILSIHTVLSQSQNNAFLFHKCSDIEGSFTSKSLYESNLNNLFSQLSYKVPSTGFAASSTGNTPNNVNGLALCRGDASSSDCRSCLETAIPELRQRCPNNKAGIVWYDNCLVKYSSTNFFGKIDFENRFYLYNVKNVSDPSTFNSQTKALLTELTKKATTRDNQKLFATGEKNIGKNKLYGLVQCTRDLKSITCKACLNGIIGELPNCCDGKEGGRVVGGSCNFRYEIYPFVKTA
VIMSS10094639 1 203 0.446536945812808 PF14368.6:LTP_2:10:108 protease inhibitor/seed storage/lipid transfer protein (LTP) family protein (RefSeq) 203 99 11 203 0 Arabidopsis thaliana VIMSS10094639 1 MicrobesOnline MSKIISLVVAMIAVLALPIRGQQQPLSQCTPSMMTTVSPCMGFITNSSSNGTSPSSDCCNSLRSLTTGGMGCLCLIVTGTVPFNIPINRTTAVSLPRACNMPRVPLQCQANIAPAAAPGPAATFGPSMSPGPETDPIVPEPTPAAQTPQSDTTRPFTPSVDGGAPTSDDGGSTSRPSETPSSAYALSPSLLFFSIALVALKFY
VIMSS10095210 1 114 0.145735964912281 PF02298.17:Cu_bind_like:35:114 plastocyanin-like domain-containing protein (RefSeq) 174 80 11 114 0 Arabidopsis thaliana VIMSS10095210 1 MicrobesOnline MKMQAVLVILVFSGLLSVKTALAARHVIGGSQGWEQSVDFDSWSSDQSFKVGDQIVFKYSELHSVVELGSETAYKSCDLGTSVNSLSSGNDVVKLSKTGTRYFACGTVGHCEQG
VIMSS10095218 1 178 0.128868539325843 PF01145.25:Band_7:34:177 Prohibitin-4, mitochondrial; Atphb4 279 144 11 178 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LK25 1 SwissProt MGSQQVAISFLTNLAKAAFGLGVAATALNSSLYTVDGGERAVLFDRFRGVLDQTVGEGTHFLIPYLQTPHIYDIRTKPHTFSSKSGTKDLQMVNLTLRVLFRPEVSRLPYIFQTLGLEYDEKVLPSIGNEVLKAVVANFNADQLLTERPQVSALVRDALIKRAREFNIELDDIAITHL
VIMSS10097178 1 438 0.272337899543379 PF00224.21:PK:234:320 pyruvate kinase family protein (RefSeq) 710 87 11 438 0 Arabidopsis thaliana VIMSS10097178 1 MicrobesOnline MVGLDSSHLLRDKILCFSSRSHINNQHKKTSYALSLNHMKLPIQRTLAFALARGKGEAESFSRLEATFGDNTSTECTWSFDFPDSKDAMSHLKSEADLSGSNGANNVASVIEKLNALRSHLLAAEKWNASQLHLCDSKYLECATNLVHYMALRSLDIEQLNSHLASLGLSSLDNNNLDVLAHLNASINLLMNDQNAVTESWTNVYPKGKSTKKNDKGRVLSYKESLLGKLREGRSTHIMVTIGEEATLSETFITDILKAGTSVIRINCAHGDPSIWGEIIKRVRRTSQMLEMPCRVHMDLAGPKLRTGTLKPGPCVMKISPKKDAYGNVVSPALVWLCLTGTEPPAHVSPDATISVQGQDFLAGLQIGDSIRLCDARGRKRRLKISKEFHVFNSTGFVAECFDTAYIESGTELSVKGKKGRRLVGRVVDVPPKESFVR
VIMSS10097238 127 310 0.434341304347826 Transcription factor RAX3; Myb-related protein 84; AtMYB84; Protein REGULATOR OF AXILLARY MERISTEMS 3 310 0 11 184 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2Y9 1 SwissProt EACMEQQEMMVMMKRQHQQQQIQTSFMMRQDQTMFTWPLHHHNVQVPALFMNQTNSFCDQEDVKPVLIKNMVKIEDQELEKTNPHHHQDSMTNAFDHLSFSQLLLDPNHNHLGSGEGFSMNSILSANTNSPLLNTSNDNQWFGNFQAETVNLFSGASTSTSADQSTISWEDISSLVYSDSKQFF
VIMSS10097284 1 361 0.134481440443213 PF00134.23:Cyclin_N:84:185,PF02984.19:Cyclin_C:188:297 Cyclin-D3-3; G1/S-specific cyclin-D3-3; CycD3;3 361 212 11 361 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SN11 1 SwissProt MALEEEEESQNAPFCVLDGLFCEEESEFHEQVDLCDESVEKFPFLNLGLSDHDMLWDDDELSTLISKQEPCLYDEILDDEFLVLCREKALDWIFKVKSHYGFNSLTALLAVNYFDRFITSRKFQTDKPWMSQLTALACLSLAAKVEEIRVPFLLDFQVEEARYVFEAKTIQRMELLVLSTLDWRMHPVTPISFFDHIIRRYSFKSHHQLEFLSRCESLLLSIIPDSRFLSFSPSVLATAIMVSVIRDLKMCDEAVYQSQLMTLLKVDSEKVNKCYELVLDHSPSKKRMMNWMQQPASPIGVFDASFSSDSSNESWVVSASASVSSSPSSEPLLKRRRVQEQQMRLSSINRMFFDVLSSSPR
VIMSS10097365 85 276 0.530181770833333 PF05687.13:BES1_N:4:57 BES1/BZR1 homolog protein 1 276 54 11 192 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S7F3 1 SwissProt TETTVPCSSIQLSPQSSAFQSPIPSYQASPSSSSYPSPTRFDPNQSSTYLIPYLQNLASSGNLAPLRISNSAPVTPPISSPRRSNPRLPRWQSSNFPVSAPSSPTRRLHHYTSIPECDESDVSTVDSCRWGNFQSVNVSQTCPPSPTFNLVGKSVSSVGVDVSVKPWEGEKIHDVGIDDLELTLGHNTKGRG
VIMSS10097406 1 370 0.497923783783783 PF05142.12:DUF702:141:285 Protein SHI RELATED SEQUENCE 1; Protein STYLISH 1 370 145 11 370 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SD40 1 SwissProt MAGFFSLDGGGGGGGGGGNNQEDHRSNTNPPPPVSEAWLWYRNPNVNANANTNVNANAPSSSNAALGTLELWQNHNQQEIMFQHQQHQQRLDLYSSAAGLGVGPSNHNQFDISGETSTAGAGRAAAMMMIRSGGSGGGSGGVSCQDCGNQAKKDCSHMRCRTCCKSRGFECSTHVRSTWVPAAKRRERQQQLATVQPQTQLPRGESVPKRHRENLPATSSSLVCTRIPSHSGLEVGNFPAEVSSSAVFRCVRVSSVEDGEEEFAYQTAVSIGGHIFKGILYDLGPGSSGGGGYNVVAAGESSSGGGGAQQLNLITAGSVTVATASSSTPNLGGIGSSSAAAATYIDPAALYPTPINTFMAGTQFFPNPRS
VIMSS10097508 1 228 0.387536842105263 PF07716.15:bZIP_2:93:145,PF00170.21:bZIP_1:98:143 basic leucine zipper 24 228 53 11 228 0 Arabidopsis thaliana NP_190764.2 1 RefSeq MFCCCKDCRGNQRVSNFDSLTGVFFGDLEFGPQNQRYIKMNEEEDKDQDRVTRGCSHTHSCNPPGPEDASHSHTCFHAHTHLIISQDQQENDHSDSSNKKRLCGNREAVRKYREKKKARTAYLEDEVMRLQSLNEQFLRKLQSQEMVETELIRLRALLVEMQGKIEVELCSFSFQKQCNGSGFVFKEDGCNLATSNMMCEAARVECEEGQTLHDPIQSFVPQPPPFSR
VIMSS10098532 1 290 0.15349 PF14299.6:PP2:121:279 F-box protein PP2-A13; Protein PHLOEM PROTEIN 2-LIKE A13; AtPP2-A13; SKP1-interacting partner 9 290 159 11 290 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LEX0 1 SwissProt MGANISGGSPEFDRNDDVYSRKLRLVDLPENCVALIMTRLDPPEICRLARLNRMFRRASSADFIWESKLPANYRVIAHKVFDEITLTKLIKKDLYAKLSQPNLFDDGTKELWIDKNTGRLCLSISSKALRITGIDDRRYWSHIPTDESRFQSAAYVQQIWWFEVGGEFEIQFPSGTYSLFFRIQLGKTSKRLGRRICNSEHIHGWDIKPVRFQLATSDNQQAVSLCYLNNNPGSWSHYHVGDFKVTNPDVSTGIKFSMTQIDCTHTKGGLCIDSVLILPKECAKEVIGSQ
VIMSS10098636 1 296 0.569955743243243 PF02701.15:zf-Dof:72:128 DAG1 (dof affecting germination 1); DNA binding / transcription factor (RefSeq) 296 57 11 296 0 Arabidopsis thaliana VIMSS10098636 1 MicrobesOnline MDATKWTQGFQEMINVKPMEQMISSTNNNTPQQQPTFIATNTRPNATASNGGSGGNTNNTATMETRKARPQEKVNCPRCNSTNTKFCYYNNYSLTQPRYFCKGCRRYWTEGGSLRNVPVGGSSRKNKRSSTPLASPSNPKLPDLNPPILFSSQIPNKSNKDLNLLSFPVMQDHHHHALELLRSNGVSSRGMNTFLPGQMMDSNSVLYSSLGFPTMPDYKQSNNNLSFSIDHHQGIGHNTINSNQRAQDNNDDMNGASRVLFPFSDMKELSSTTQEKSHGNNTYWNGMFSNTGGSSW
VIMSS10098895 1 2253 0.281266355969817 PF09497.10:Med12:157:216 CRP (CRYPTIC PRECOCIOUS) (RefSeq) 2253 60 11 2189 3 Arabidopsis thaliana VIMSS10098895 1 MicrobesOnline MQRYHAANCTSAVNNSAIGGASARDSGRADSSSIGNYSLNSRRPPPLTPYKLKCEKDGLNSRLGPPDFHPPTSNSPEENLTKEYVQFGYKETVDGLKESEEIILSQVHTFSKPVVHKCKEAVRKCLRAINESRALKRKAGQVYGVPLSGSLLCKPGFPEQRSCGEETKKRWIESLSQQHKRLRSLADNIPGYRRKTLFEVLIRNNVPLLRATWFIKVTYLNQVHCWAINWCDLVLLLFLQEHLTRHKLLGVSNGQKMLLNICNTSWMNFCHGIAHFLLSKLEIGHHRCFIQDQCKRIVQHQQAFTARKHLYILNGGIWCVFYSGTMLKGFFFLISLLIGFSSSYSFVNFHFALLQEKEIFEILQLLLPIVYGVLESIVLSQTYVQSLVAIAVRFIQEPAPGGSDLVDNSRRAYTLSALIEMVRYLVLAAPDTFVASDFFPLPPSVAACGPNDVSYTSKAYENLEKLRSNSAEISAQFQGRGVLSRFEFLSFDYTISTIQRSADDLAKIASAGYPQHNVAKAVQALDKALSDGDIRAAYSYLFEDLCNGAVDEAWITDVSPCLRSSLRWIGAISTSFVCSVFFLIEWATCDFRDFRAGVPKDIKFSGRKDCSQVYLVIQLLKQKILGGEFTARKGKNCRNNFLGVSKPSGSMDAFESPGPLHDIIVCWIDQHEVHKGGAKRLQLLVFELIRSGIFNPIAYVRQLIVSGMIDVIQPAVDPERRMRHHRILKQLPGCFVHETLEEAQLFGGDKLSEAVRTYSNERRLLLRELLVEKGKYWNNLVLSDQKSKKISTSLSSVIFPRACNAKSNSKGPRKHTKSSVDIRELKERISALLQFPGMSCGVETPVRDEFQNSVKRSSGSVYSKMDQPEATPGCEDCRRAKRPKMNDEKSSCYQGNSPIASDEEDNWWIKKGSKTVESSLKVDPQIEITKQVPRGRQKMARKTQSLAQLQAARIEGSQGASTSHVCDNKVSCPHHGPGVEGENQKVVDVFRTSTPVDMVSVGNSLKQLQFVDKRSIAVWLTTAVRQLVEEPQKSSVRVGQFNRGAPVEEKNTIRWKLGADELYSILFLLDISLDLVSAVKFLLWLLPKANSTPSFAVQGGRNLVTVPRNVENNMCEIGEAILVSSLRRYENILLSADLVPEAMTALMNRAASLMSSNGKISGSAALVYTRYILKRYGSLPSVVEWHNNFKATSEKKLLSELDHTRSGNGEYGNPLGVPAGVDNPDDYLRKKISIGGARPSRVGLSMRDVLQRHVEEATHYLKKLIGTGTMKASLAEKNDDGYQVAQQIVVGLMDCIRQTGGAAQEGDPSLVSSAVSAIINSVGLSVARITDFSLGNIYQNHPSGVDSSNIARYILRIHITCLCLLKEALGERQSRVFEIALATESSTALTGVFAPVKGSRGQHQLSPESYDSNANNSTIDMSNGTGKMALSRATKITAAVSALVIGSITHGVITLERIVGLLRLKDYLDFVQFVRRTKSSSNGSARSMGASKVESPIEVYVHWFRLLVGNCKTVSEGLVLELVGESSVVAISRMQRMLPLKLVFPPAYSIIAFVLWRPFVSNSNSNSSVHEDTHRLYQSLTMAFHDVIKHLPFRDVCFRDTQGLYELIVADSTDAEFASVFESHGLDMHLKSVAFAPLRARLFLNSLIDCKVPSSGYSHEGVSEAKNRHQGNGTKLVDKLVSVLDCLQPAKFHWQWVELRLLLNEQALAEKLENHDMPLTDAIRSSCPTSEKPDASENEKNFIQILLTRLLVRPDAVPLFSEVVHLFGRSVEDSMLKQAEWFLAGQDVLFGRKTIRQKLIIVGESKGLPTKPQFWKPWGWCNSSSSDHITANKAGKKRKFEITSIEEGEVIEEGSGSRKVLLPRVLDENSPSVGYGITTERAFVQLVLPCIDQSSDESRSTFVNELVRQFSNIEQQLSSVTNRSTTSNKQMGTASSGSEISSNKGSTRKGLRGGSPSLARRSSANTTDTSPPPSPAALRASMSLRLQFLLRLLPVICGEPSFKNTRHALASTIVRLLGSRVVYEDYAVCSPRSELSKAETESTIDPSSMADLSSEVLFDRLLFVLHGLLSNHQPKWLKPRPSSNESSKDFTLFDRDAAESLQNELSRMQLPDTIRWRIQAAMPILLPSLRCSLSCQPHSVPPTALTLVQPSGSTAAAGTNQRNSPAISKSGTAAAQGKLKPTMLAPHQQQEADNTDVVDPWTLLEDGTSSGLSSSNASNSSDMANLRATCWLKGAVRVRRTDLTYVGSVDDDS
VIMSS10098939 1 223 0.694005829596412 PF05030.12:SSXT:20:75 GRF1-interacting factor 3; AtGIF3; Transcription coactivator GIF3 223 56 11 223 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93VH6 1 SwissProt MQQSPQMIPMVLPSFPPTNNITTEQIQKYLDENKKLIMAILENQNLGKLAECAQYQALLQKNLMYLAAIADAQPQPPAATLTSGAMTPQAMAPNPSSMQPPPSYFMQQHQAVGMAQQIPPGIFPPRGPLQFGSPHQFLDPQQQLHQQAMQGHMGIRPMGLNNNNGLQHQMHHHETALAANNAGPNDASGGGKPDGTNMSQSGADGQGGSAARHGGGDAKTEGK
VIMSS10098988 1 360 0.174358611111111 PF03407.16:Nucleotid_trans:121:339 UDP-D-xylose:L-fucose alpha-1,3-D-xylosyltransferase MGP4; Protein MALE GAMETOPHYTE DEFECTIVE 4; Rhamnogalacturonan xylosyltransferase MGP4; EC 2.4.2.- 360 219 11 340 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M146 1 SwissProt MAQQKFLHQRPIQNPFTNPFSSSPLSTSSISNRPISLLSRNGLLLLLALLVILGVFLPWAGSPLFPSPNKLSPSQSKWRDYSLPQAVKFVAKNGTVIVCAVSYPYLPFLNNWLISVSRQKHQDQVLVIAEDYATLYKVNEKWPGHAVLIPPALDSQTAHKFGSQGFFNFTARRPQHLLEILELGYNVMYNDVDMVWLQDPFQYLEGKHDAYFMDDMTAIKPLDHSHDLPPPGKKGRTYICSCMIFLRPTNGAKLLMKKWIEELETQPWSRAKKANDQPGFNWALNKTANQVDMYLLSQAAFPTGGLYFKNKTWVKETKGKHAIIHNNYIVGFEKKIKRFRDFNLWLVDDHASESPLGKLE
VIMSS10099032 136 457 0.546144409937888 NAC domain-containing protein 69; ANAC069; Protein NAC WITH TRANSMEMBRANE MOTIF 2; Protein NTM1-like 13 457 0 11 299 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M126 1 SwissProt TCLPQDQRNYVICQVMYKGEDGDVPSGGNNSSEPSQSLVSDSNTVRATSPTALEFEKPGQENFFGMSVDDLGTPKNEQEDFSLWDVLDPDMLFSDNNNPTVHPQAPHLTPNDDEFLGGLRHVNREQVEYLFANEDFISRPTLSMTENRNDHRPKKALSGIIVDYSSDSNSDAESISATSYQGTSSPGDDSVGSSNRQFLQTGGDEILSSCNDLQTYGEPSISSSTRQSQLTRSIIRPKQEVKQDTSRAVDSDTSIDKESSMVKTEKKSWFITEEAMERNRNNPRYIYLMRMIIGFILLLALISNIISVLQNLNPAMKFDRER
VIMSS10099167 1 310 0.622835483870968 PF00010.26:HLH:157:201 Transcription factor UNE12; Basic helix-loop-helix protein 59; AtbHLH59; bHLH 59; Protein UNFERTILIZED EMBRYO SAC 12; Transcription factor EN 93; bHLH transcription factor bHLH059 310 45 11 310 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22768 1 SwissProt MASNNPHDNLSDQTPSDDFFEQILGLPNFSASSAAGLSGVDGGLGGGAPPMMLQLGSGEEGSHMGGLGGSGPTGFHNQMFPLGLSLDQGKGPGFLRPEGGHGSGKRFSDDVVDNRCSSMKPVFHGQPMQQPPPSAPHQPTSIRPRVRARRGQATDPHSIAERLRRERIAERIRALQELVPTVNKTDRAAMIDEIVDYVKFLRLQVKVLSMSRLGGAGAVAPLVTDMPLSSSVEDETGEGGRTPQPAWEKWSNDGTERQVAKLMEENVGAAMQLLQSKALCMMPISLAMAIYHSQPPDTSSVVKPENNPPQ
VIMSS10100036 1 699 0.128534048640916 PF13632.6:Glyco_trans_2_3:335:537,PF13641.6:Glyco_tranf_2_3:242:474,PF00535.26:Glycos_transf_2:246:421,PF13506.6:Glyco_transf_21:306:474 ATCSLC12 (CELLULOSE-SYNTHASE LIKE C12); cellulose synthase/ transferase, transferring glycosyl groups (RefSeq) 699 296 11 564 6 Arabidopsis thaliana VIMSS10100036 1 MicrobesOnline MAPKFEWWAKGNNNNTRKGTPVVVKMENPNNWSMVELESPSHDDFLVRTHEKSRNKNARQLTWVLLLKAHRAAGCLTSLGSALFALGTAVRRRIAAGRTDIEISSSGVGSLQKQNHTKKSKLFYSCLKVFLWLSLILLGFEIAAYFKGWSFGTSKLQLQFIFNKGFFDWVYTRWVLLRVEYLAPPLQFLANGCIVLFLVQSLDRLILCLGCFWIRFKKIKPVPKPDSISDLESGDNGAFLPMVLVQIPMCNEKEVYQQSIAAVCNLDWPKGKILIQILDDSDDPITQSLIKEEVHKWQKLGARIVYRHRVNREGYKAGNLKSAMNCSYVKDYEFVAIFDADFQPLPDFLKKTIPHFKDNEEIGLVQARWSFVNKEENLLTRLQNINLAFHFEVEQQVNSVFLNFFGFNGTAGVWRIKALEDSGGWLERTTVEDMDIAVRAHLHGWKFVFLNDVECQCELPESYEAYRKQQHRWHSGPMQLFRLCLPAVIKSKISIGKKFNLIFLFFLLRKLILPFYSFTLFCIILPMTMFVPEAELPAWVVCYIPATMSFLNILPAPKSFPFIVPYLLFENTMSVTKFNAMVSGLFQLGSAYEWVVTKKSGRSSEGDLAALVEKDEKTTKHQRGVSAPETEAEKKAEKTKRKKKKHNRIYMKELSLAFLLLTAATRSLLSAQGIHFYFLLFQGISFLLVGLDLIGEQVE
VIMSS10100384 1 50 0.443892 CNX7; catalytic (RefSeq) 96 0 11 50 0 Arabidopsis thaliana VIMSS10100384 1 MicrobesOnline MDKEVTKIESDDTSSVEIKVLLFARARELTGVPDLTLKMPSGSTTQKCLD
VIMSS10100512 182 1095 0.14219113785558 PF00931.22:NB-ARC:9:242,PF07725.12:LRR_3:428:447,PF13401.6:AAA_22:26:126,PF00004.29:AAA:29:135 disease resistance protein (TIR-NBS-LRR class), putative (RefSeq) 1095 254 11 914 0 Arabidopsis thaliana VIMSS10100512 1 MicrobesOnline NDLVGMEAHIAKMESLLCLESQGVRIVGIWGPAGVGKTTIARALYNQYHENFNLSIFMENVRESYGEAGLDDYGLKLHLQQRFLSKLLDQKDLRVRHLGAIEERLKSQKVLIILDDVDNIEQLKALAKENQWFGNKSRIVVTTQNKQLLVSHDINHMYQVAYPSKQEALTIFCQHAFKQSSPSDDLKHLAIEFTTLAGHLPLALRVLGSFMRGKGKEEWEFSLPTLKSRLDGEVEKVLKVGYDGLHDHEKDLFLHIACIFSGQHENYLKQMIIANNDTYVSFGLQVLADKSLIQKFENGRIEMHSLLRQLGKEVVRKQSIYEPGKRQFLMNAKETCGVLSNNTGTGTVLGISLDMCEIKEELYISEKTFEEMRNLVYLKFYMSSPIDDKMKVKLQLPEEGLSYLPQLRLLHWDAYPLEFFPSSFRPECLVELNMSHSKLKKLWSGVQPLRNLRTMNLNSSRNLEILPNLMEATKLNRLDLGWCESLVELPSSIKNLQHLILLEMSCCKKLEIIPTNINLPSLEVLHFRYCTRLQTFPEISTNIRLLNLIGTAITEVPPSVKYWSKIDEICMERAKVKRLVHVPYVLEKLCLRENKELETIPRYLKYLPRLQMIDISYCINIISLPKLPGSVSALTAVNCESLQILHGHFRNKSIHLNFINCLKLGQRAQEKIHRSVYIHQSSYIADVLPGEHVPAYFSYRSTGSSIMIHSNKVDLSKFNRFKVCLVLGAGKRFEGCDIKFYKQFFCKPREYYVPKHLDSPLLKSDHLCMCEFELMPPHPPTEWELLHPNEFLEVSFESRGGLYKCEVKECGLQFLEPHETSEFRYLSPHLYLGGSWIGNSSSSIEEIIHVDQEESSSDSEEIIYADQEESSSGIEEIIHAEREGTNRRKSVMRWIKVGARKMGLSLECLKPWTR
VIMSS10100831 94 292 0.391224623115578 PF14379.6:Myb_CC_LHEQLE:42:88 Protein PHR1-LIKE 3; Myb family transcription factor PHL3; Protein UNFERTILIZED EMBRYO SAC 16 292 47 11 199 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LAJ7 1 SwissProt QSCKESIDNSKDVSCVAESQDTGSSSTSSLRLAAQEQNESYQVTEALRAQMEVQRRLHEQLEVQRRLQLRIEAQGKYLQSILEKACKAIEEQAVAFAGLEAAREELSELAIKASITNGCQGTTSTFDTTKMMIPSLSELAVAIEHKNNCSAESSLTSSTVGSPVSAALMKKRQRGVFGNGDSVVVGHDAGWVMPSSSIG
VIMSS10101281 179 796 0.264189644012945 PF08381.11:BRX:256:307,PF08381.11:BRX:359:415,PF08381.11:BRX:542:593,PF00931.22:NB-ARC:7:229 Disease resistance protein RLM3; Protein RESISTANCE TO LEPTOSPHAERIA MACULANS 3 796 384 11 618 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FT77 1 SwissProt SDFVGIEAHIEALISMLRFDSKKARMIGICGPSETGKTTIGRALYSRLKSDFHHRAFVAYKRKIRSDYDQKLYWEEQFLSEILCQKDIKIEECGAVEQRLKHTKVLIVLDDVDDIELLKTLVGRIRWFGSESKIVVITQKRELLKAHNIAHVYEVGFPSEELAHQMFCRYAFGKNSPPHGFNELADEAAKIAGNRPKALKYVGSSFRRLDKEQWVKMLSEFRSNGNKLKISYDELDGKGQDYVACLTNGSNSQVKAEWIHLALGVSILLNIRSDGTTILKHLSYNRSMAQQAKIWWYENLERVCKKYNICGIDSSTDGGGSTYGQCSNSQFQRNMDASPGGNKTSNQSTKDSPRASQVEKEKIEYCEPHVYITPAIFSDGTRAPKYVESSSRRVTQVHHAKTWWPENCEKVYENHNNIYGIDRSIDGGDKFEGKSKVSDGGLDGKDQGSMYGQSSNSELQINMDADNRRCEPVSEMLFKNYNVCSPNGLTDVNCSNPQSQRKLDASLKKDKIVHEWIRTGSGFFFDFQGPKSIVSAAQVDEKNFEYCEQGVYITLGILSGGIIVLKHLEFSRRMAQQAKVWWSENWIKVYQEHNICGIDKSFDGRFDDRRVIRQLRPN
VIMSS10101367 1 173 0.516665895953757 PF14523.6:Syntaxin_2:34:133 SYP23 (SYNTAXIN OF PLANTS 23); SNAP receptor (RefSeq) 262 100 11 173 0 Arabidopsis thaliana VIMSS10101367 1 MicrobesOnline MSFQDLEAGRGRSLASSRNINGGGSRQDTTQDVASGIFQINTSVSTFHRLVNTLGTPKDTPELREKLHKTRLYIGQLVKDTSAKLKEASETDHQRGVNQKKKIVDAKLAKDFQAVLKEFQKAQRLAAERETVYAPLVHKPSLPSSYTSSEIDVNGDKHPEQRALLVESKRQEL
VIMSS10101533 1 1201 0.185083180682764 PF00931.22:NB-ARC:10:284,PF04665.12:Pox_A32:28:138 NB-ARC domain-containing disease resistance protein 1201 275 11 1201 0 Arabidopsis thaliana NP_193640.4 1 RefSeq MEKQDQTSREEILKKIMDSLGQDGVPSKTVLVGEAGIGKTWLAKEVSQRVTQEKYNVLWLHLNKKIEDEKSLYEILAAQLSIIYEFEEGEEPDELDYPLESLKEKIKEEMIKHKKDNLLLILDDEGSMTTEEDVMQELNLQDFLKEYSAVKILVTRRDEREEKESTTIKVGPLTEKESLDLLHDAEDLLTSFTSEDWPVLLKRLCDNKEIKEPTLMSCILSKSKGLPAAIVVLIKSLNSIKSMSAKQRKIFKELILSSKSLDEAAASKNAIDRSRYNPVLQLSYELLKPDETVKRPVIACFWHILDFYKYSGCAYYRDLIVHWMLEGYFDPVKSVDKAYQEGHSILMDFMNRGILKIQEDNMVVPEFSMSNLLDLQDCGFFGRSSLGFDRVYGGDKRKGLGKIILIDDMIQTIQSKKKNITTIIASGNRLRREVHGKFFEKPEMQDLEVVVLFEPTFHELVLSLSKLKKLRVLVIRDCDLIDNIDKLSGLQGLHVLEVSGASSLVNIPDDFFKNMTQLQSLNLSGLAIKSSPSTIEKLSMLRCFILRHCSELQDLPNFIVETRKLEVIDIHGARKLESYFDRVKDWKDYKGKNKNFAQLQLLEHLDFSETKIIRLPIFHLKDSTNDFSTMPILTRLLLRNCTRLKRLPQLRPLTNLQILDACGATDLVEMLEVCLEEKKELRILDMSKTSLPELADTIADVVNLNKLLLRNCSLIEELPSIEKLTHLEVFDVSGCIKLKNINGSFGEMSYLHEVNLSETNLSELPDKISELSNLKELIIRKCSKLKTLPNLEKLTNLEIFDVSGCTELETIEGSFENLSCLHKVNLSETNLGELPNKISELSNLKELILRNCSKLKALPNLEKLTHLVIFDVSGCTNLDKIEESFESMSYLCEVNLSGTNLKTFPELPKQSILCSSKRIVLADSSCIERDQWSQIKECLTSKSEGSSFSNVGEKTREKLLYHGNRYRVIDPEVPLNIDIVDIKRSTDLKTEYIAKAEYVSIAENGSKSVSSLFDELQMASVKGCWVERCKNMDVLFESDEQLEKEKSSSPSLQTLWISNLPLLTSLYSSKGGFIFKNLKKLSVDCCPSIKWLFPEIPDNLEILRVKFCDKLERLFEVKAGELSKLRKLHLLDLPVLSVLGANFPNLEKCTIEKCPKLKAREDEPRIGARITDEISEDQPHKNTIGPETQTPTQPTKATDTV
VIMSS10101592 139 1129 0.125412310797175 PF00931.22:NB-ARC:44:262,PF07725.12:LRR_3:443:462 disease resistance protein (TIR-NBS-LRR class), putative (RefSeq) 1129 239 11 991 0 Arabidopsis thaliana VIMSS10101592 1 MicrobesOnline GHVSSHKRSDSELVEKIVADVRQKLDRRGRIGVYSRLTKIEYLLCKQPGCIIRSLGIWGMAGIGKTTLARAAYDQLSRDFEASCFIEDFDREFQEKGFFGLLEKQLGVNPQVTRLSILLKTLRSKRILLVLDDVRKPLGATSFLCEFDWLGPGSLIIVTSQDKQVLVQCQVNEIYKVQGLNKHESLQLFSRCAFGKDVPDQNLLELSMKFVDYANGNPLALSICGKNLKGKTPLDMKSVVLELKRHLSDKIFVKLKSSYDALSVSEKEIFLDIVFTFRGANVDNVMQSLAGCGFFPRVGIEALVDKSFVTVSENRVQVNNLIYDVGLKIINDQSDEIGMCYRFVDASNSQSLIEHKEIRESEQGYEDVKAINLDTSNLPFKGHIAFQHMYNLRYLTIYSSINPTKDPDLFLPGDPQFLPPELRLLHWTCYPLHSFPQNFGFQYLVELNMPCSKLKKLWGGTKNLEVLKRITLSCSVQLLNVDELQYSPNIEKIDLKGCLELQSFPDTGQLQHLRIVDLSTCKKIKSFPKVPPSIRKLHLQGTGIRDLSSLNHSSESQRLTRKLENVSSSNQDHRKQVLKLKDSSHLGSLPDIVIFESLEVLDFSGCSELEDIQGFPQNLKRLYLAKTAIKEVPSSLCHHISKLVKLDMENCERLRDLPMGMSNMKYLAVLKLSGCSNLENIKELPRNLKELYLAGTAVKEFPSTLLETLSEVVLLDLENCKKLQGLPTGMSKLEFLVMLKLSGCSKLEIIVDLPLNLIELYLAGTAIRELPPSIGDLALLDTLDLKNCNRLRHLPMEMHNLNPLKVLDLSNCSELEVFTSSLPKVRELRPAPTVMLLRSKLPFCFFIFYEHRVTLSLYKARLQYIPEEIRWMPSLKTLDLSRNGFTEVPVSIKDFSKLLSLRLRYCENLRSLPQLPRSLQLLNAHGCSSLQLITPDFKQLPRYYTFSNCFGLPSHMVSEVLANAPAIVECRKPQQVFLFLSIYISYIRTVQ
VIMSS10102209 1 756 0.200573677248677 PF06148.11:COG2:31:163,PF12022.8:DUF3510:591:718 Conserved oligomeric Golgi complex subunit 2; COG complex subunit 2; Component of oligomeric Golgi complex 2 756 261 11 756 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4JRR1 1 SwissProt MSDLVATSPSPSSAPRSATDFFSDPYDSHPLWFKPSLFLSPNFDSESYISELRTFVPFDTLRSELRSHLASLNRELVDLINRDYADFVNLSTKLVDIDAAVVRMRAPLLELREKITGFRGSVEAALFALRNGLQQRSDAAAAREVLELLLDTFHVVSKVEKLIKVLPSTPSDWQNEDANSMGRSSMNDENSTQQDGTTMRETQSMLLERIASEMNRLKFYMAHAQNLPFIENMEKRIQSASVLLDASLGHCFIDGLNNSDTSVLYNCLRAYAAIDNTNAAEEIFRTTIVAPFIQKIITHETTTNAAGTSEDELENDYKQIKHFIAKDCKMLLEISSTDKSGLHVFDFLANSILKEVLWAIQKVKPGAFSPGRPTEFLKNYKASLDFLAYLEGYCPSRSAVTKFRAEAICVEFMKQWNVGVYFSLRFQEIAGALDSALTSPSLVFIQDSDKESSLNLILRQSDTLLECLRSCWKEDVLVFSAADKFLRLTLQLLSRYSFWVSSALNNRKSNASPSPGCEWAVSATAEDFVYVIHDVNCLVSEVCGDYLGHISQYLSSSSTEVLDVVRISIEQGGVSLEKVLPLLTKTIIDVIVDKSVEDLRQLRGITATFRMTNKPLPVRHSPYVVGLLRPVKAFLEGDKARNYLTQKTKEELLHGSVSEITRRYYELAADVVSVARKTQSSLQKLRQNAQRRGGAASGVSDQNVSETDKMCMQLFLDIQEYGRNVSALGLKPADIPEYCSFWQCVAPADRQNSISV
VIMSS10102607 49 193 0.402813103448276 E3 ubiquitin-protein ligase RMA2; Protein RING membrane-anchor 2; RING-type E3 ubiquitin transferase RMA2; EC 2.3.2.27 193 0 11 127 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P93030 1 SwissProt TYASNNSRQRVDQYDHKREPPKCPVCKSDVSEATLVPIYGRGQKAPQSGSNVPSRPTGPVYDLRGVGQRLGEGESQRYMYRMPDPVMGVVCEMVYRRLFGESSSNMAPYRDMNVRSRRRAMQAEESLSRVYLFLLCFMFMCLFLF
VIMSS10102916 1 310 0.621617096774193 PF00010.26:HLH:141:186 Transcription factor bHLH69; Basic helix-loop-helix protein 69; AtbHLH69; bHLH 69; Transcription factor EN 94; bHLH transcription factor bHLH069 310 46 11 310 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S3D5 1 SwissProt MNSSSLLTPSSSPSPHLQSPATFDHDDFLHHIFSSTPWPSSVLDDTPPPTSDCAPVTGFHHHDADSRNQITMIPLSHNHPNDALFNGFSTGSLPFHLPQGSGGQTQTQSQATASATTGGATAQPQTKPKVRARRGQATDPHSIAERLRRERIAERMKSLQELVPNGNKTDKASMLDEIIDYVKFLQLQVKVLSMSRLGGAASASSQISEDAGGSHENTSSSGEAKMTEHQVAKLMEEDMGSAMQYLQGKGLCLMPISLATTISTATCPSRSPFVKDTGVPLSPNLSTTIVANGNGSSLVTVKDAPSVSKP
VIMSS10102967 1 184 0.359933695652174 PF13878.6:zf-C2H2_3:83:121 Protein CHROMOSOME TRANSMISSION FIDELITY 7; Cohesion establishment factor CTF7; Protein ESTABLISHMENT OF COHESION 1; EC 2.3.1.- 345 39 11 184 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A7UL74 1 SwissProt MQAKINSFFKPSSSSSIAASVTTDTDDGLAVWENNRNAIVNTYQRRSAITERSEVLKGCIEKTLKKGSSSVPKNHKKKRNYTQFHLELGQSDFLLRHCAECGAKYAPGDELDEKNHQSFHKDYMYGLPFKGWQNEKAFTSPLFIKNRIVMVSENDSPAHRNKVQEVVKMMEVELGEDWILHQHC
VIMSS10102986 1 137 0.349393430656934 high chlorophyll fluorescence 153 137 0 11 137 0 Arabidopsis thaliana NP_194884.1 1 RefSeq MARLFVSIPMQPTQISFPASSSQPLLSPPANNFTDGGAGGLCLTRRIRDSSVVTRAGPSTSSYLLAFAIPATLIAATVFTSIKIADKLDEDFLEDIALNQAIKAAEKGENGEGDISLDDVIQEPVLQRTRNRPKREV
VIMSS10103346 1 368 0.449611684782608 PF14604.6:SH3_9:306:354,PF00018.28:SH3_1:306:349 SH3 domain-containing protein 2 368 49 11 368 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8VWF1 1 SwissProt MDAIRKQASRLREQVARQQQAVFKQFGGGGYGSGLADEAELNQHQKLEKLYISTRAAKHYQRDIVRGVEGYIVTGSKQVEIGTKLSEDSRKYGSENTCTNGNVLTRAALNYGRARAQMEKERGNMLKALGTQVAEPLRAMVLGAPLEDARHLAQRYDRMRQEAEAQATEVARRQAKARESQGNPDILMKLESAEAKLHDLKSNMTILGKEAASALASVEDQQQKLTLERLLSMVESERAYHQRVLQILDQLEGEMVSERQRIEAPSTPSSADSMPPPPSYEEANGVFASQMHDTSTDSMGYFLGEVLFPYHGVTDVELSLSTGEYVVVRKVTGSGWAEGECKGKAGWFPYGYIERRERVLASKVSEVF
VIMSS10103811 1 553 0.663491139240506 PF00170.21:bZIP_1:406:459,PF07716.15:bZIP_2:406:451 bZIP protein (RefSeq) 553 54 11 553 0 Arabidopsis thaliana VIMSS10103811 1 MicrobesOnline MGDTEKCNSDMIQRLHSSFGTTSSSIPKNPISQLDLNPNFIRSSAPQFSKPFSDSGKRIGVPPSHPNLIPPTSPFSQIPTTRQPGSHNFNPGGANHSRSMSQPNSFFSFDSLPPLSPSPFRDHDVSMEDRDSGVFNSNHSLPPSPFTRCNSTSSSSLRVGESLPPRKSHRRSNSDIPSGFNSMPLIPPRPLERSFSGGECADWSKSNPFVKKESSCEREGVGEREAMDDLFSAYMNLENIDVLNSSEADDSKNGNENRDDMESSRASGTKTNGSDTEGESSSVNESANNNMNSSGEKRESVKRRAAGGDIAPTTRHYRSVSVDSCFMEKLSFGDESLKPPPSPGSMSRKVSPTNSVDGNSGAAFSIEFNNGEFTAAEMKKIMANDKLAEMAMSDPKRVKRNDPLFRILANRQSAARSKERKMRYIVELEHKVQTLQTEATTLSAQLTLLQRDMMGLTNQNNELKFRLQAMEQQARLRDALNEALNGEVQRLKLAIGESSQNESERSKMQSLNAEMFQQLNISQLRQQPQQMQQQSHQQNHQNGTMATKSESNE
VIMSS10104002 1 323 0.584040557275542 PF13837.6:Myb_DNA-bind_4:51:135 Trihelix transcription factor GT-3a; Trihelix DNA-binding protein GT-3a 323 85 11 323 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SDW0 1 SwissProt MDRRNPFQHHHHHHQLHHHLIQQQQLPPPPLSTTATMDPGGGGGGGERIPQWSIEETKELLAIREELDQTFMETKRNKLLWEVVAAKMADKGFVRSAEQCKSKWKNLVTRYKACETTEPDAIRQQFPFYNEIQSIFEARMQRMLWSEATEPSTSSKRKHHQFSSDDEEEEVDEPNQDINEELLSLVETQKRETEVITTSTSTNPRKRAKKGKGVASGTKAETAGNTLKDILEEFMRQTVKMEKEWRDAWEMKEIEREKREKEWRRRMAELEEERAATERRWMEREEERRLREEARAQKRDSLIDALLNRLNRDHNDDHHNQGF
VIMSS10104229 188 385 0.617252525252525 PF08781.10:DP:3:120 Transcription factor-like protein DPB; DP-like protein B; AtDPbB; E2F dimerization partner protein B 385 118 11 198 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FNY2 1 SwissProt LSDIEELKNERLSLRNRIEKKTAYSQELEEQYVGLQNLIQRNEHLYSSGNAPSGGVALPFILVQTRPHATVEVEISEDMQLVHFDFNSTPFELHDDNFVLKTMKFCDQPPQQPNGRNNSQLVCHNFTPENPNKGPSTGPTPQLDMYETHLQSQQHQQHSQLQIIPMPETNNVTSSADTAPVKSPSLPGIMNSSMKPEN
VIMSS10104499 96 277 0.399462087912088 PF00249.31:Myb_DNA-binding:37:81,PF13921.6:Myb_DNA-bind_6:38:75 myb family transcription factor (RefSeq) 277 45 11 182 0 Arabidopsis thaliana VIMSS10104499 1 MicrobesOnline VTPCGFDQVVSPRDFDAYRKLPNGARGFDQDRRKGVPWTEEEHRRFLLGLLKYGKGDWRNISRNFVGSKTPTQVASHAQKYYQRQLSGAKDKRRPSIHDITTVNLLNANLSRPSSDHGCLVSKQAEPKLGFTDRDNAEEGVMFLGQNLSSVFSSYDPAIKFSGANVYGEGGYCISQDLETRK
VIMSS10105213 1 556 0.0621638489208633 PF00939.19:Na_sulph_symp:94:555,PF03600.16:CitMHS:130:451 DiT1 (dicarboxylate transporter 1); oxoglutarate:malate antiporter (RefSeq) 556 462 11 266 13 Arabidopsis thaliana VIMSS10105213 1 MicrobesOnline MASLALSGSCSLAFPLKSRSLSLPRPPSSSLNLTKPLRSLDSRFSLLKSPLPVSLRRRSSTLVKASSTVASASSSPTPPLVPAPVPWQGAAIKPLLASIATGLILWFVPVPEGVTRNAWQLLAIFLATIVGIITQPLPLGAVALMGLGASVLTKTLTFAAAFSAFGDPIPWLIALAFFFARGFIKTGLGNRVAYQFVRLFGSSSLGLGYSLVFSEALLAPAIPSVSARAGGIFLPLVKSLCVACGSNVGDGTEHRLGSWLMLTCFQTSVISSSMFLTAMAANPLSANLAFNTIKQTIGWTDWAKAAIVPGLVSLIVVPFLLYLIYPPTVKSSPDAPKLAQEKLDKMGPMSKNELIMAATLFLTVGLWIFGAKLGVDAVTAAILGLSVLLVTGVVTWKECLAESVAWDTLTWFAALIAMAGYLNKYGLIEWFSQTVVKFVGGLGLSWQLSFGILVLLYFYTHYFFASGAAHIGAMFTAFLSVSTALGTPPYFAALVLAFLSNLMGGLTHYGIGSAPIFYGANYVPLAKWWGYGFLISIVNILIWLGVGGAWWKFIGL
VIMSS10105345 1 140 0.135712857142857 PF00462.24:Glutaredoxin:43:113 Glutaredoxin-C8; AtGrxC8; Protein ROXY 2 140 71 11 140 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LF89 1 SwissProt MQYKTETRGSLSYNNNSKVMNNMNVFPSETLAKIESMAAENAVVIFSVSTCCMCHAIKRLFRGMGVSPAVHELDLLPYGVEIHRALLRLLGCSSGGATSPGALPVVFIGGKMVGAMERVMASHINGSLVPLLKDAGALWL
VIMSS10105412 1 368 0.194937771739131 PF01370.21:Epimerase:55:260,PF01073.19:3Beta_HSD:57:250,PF13460.6:NAD_binding_10:63:245 cinnamoyl-CoA reductase-related (RefSeq) 368 206 11 368 0 Arabidopsis thaliana VIMSS10105412 1 MicrobesOnline MRIVRATETFSAELKEFMCAAVQRRKDDDGFRGSRGGGKSRNAMDLDSDAGNRLVCVTGGVSYLGRAIVKRLLVHGYSVRIVVDCPEDKEKVSEMEADAETASFSNMITSVVSRLTEIDSLIKAFDGCAGVFHTSAFVDPAGVSGYSKSMAELEAKVSESVIEACTRTASVRKCVFTSSLLACAWQKNPCNSLDHSVINEESWSDEQLCIDNKLWYALGKLKAEKAAWRIADSKGLKLATICPALITGPDFFNRNSTSTLAYLKGAKEMYSNGLLATMDVNRLAKAHVCLWEGLGNKTAFGRYICFDTILSRDGAEKLAKDIDVQIEKICGNSNDSDANTETEASLQISDKKLLDLMSRTLRSCYHES
VIMSS10105478 117 326 0.582109047619048 Transcription factor MYB16; Myb-related protein 16; AtMYB16; Protein MIXTA homolog; AtMIXTA 326 0 11 210 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXF1 1 SwissProt VKMGIDPVTHKPKNETPLSSLGLSKNAAILSHTAQWESARLEAEARLARESKLLHLQHYQTKTSSQPHHHHGFTHKSLLPNWTTKPHEDQQQLESPTSTVSFSEMKESIPAKIEFVGSSTGVTLMKEPEHDWINSTMHEFETTQMGEGIEEGFTGLLLGGDSIDRSFSGDKNETAGESSGGDCNYYEDNKNYLDSIFNFVDPSPSDSPMF
VIMSS10105783 1 90 0.167068888888889 PF02519.14:Auxin_inducible:16:88 Auxin-responsive protein SAUR22; Protein SMALL AUXIN UP RNA 22 90 73 11 90 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJF7 1 SwissProt MALVRSLLGAKKILSRSTAAVSAAPKGFLAVYVGESQKKRYLVPLSYLNQPSFQALLSKSEDEFGFDHPMGGLTIPCHEDTFINVTSRLQ
VIMSS10106095 1 127 0.34095905511811 PF02519.14:Auxin_inducible:16:105 Auxin-responsive protein SAUR76; Protein SMALL AUXIN UP RNA 76 127 90 11 127 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q29PU2 1 SwissProt MAKGGNKLMKLKSVLKKLNSFNTKPNQPPAQTNHSRSSAVSAFPSEDLQTVYVGRTRRTYHVSSDVVSHPLFQQLAAVDGGCGSEDGSISVSCEVVLFEHLLWMLENADADESRPESVYELVEFYAC
VIMSS10106692 1 598 0.0529892976588628 PF06963.12:FPN1:148:569 Solute carrier family 40 member 3, chloroplastic; Ferroportin-3; Iron-regulated transporter 3; AtIREG3; Protein MULTIPLE ANTIBIOTIC RESISTANCE 1 598 422 11 353 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W4E7 1 SwissProt MVVSMALVRHSPSFDFLFHFPVDRSRFLSPVAFSSVRYHRFHSCRWLSLRSSPSCSRRLNSFSSRCSITNTDVCHEFVTTDDEIHEDLLTPIEDHSIPIVHLDTNISVTESLTLLTECTYVDTVLTALPVLSEEEQTVIAATPAHPEGLYVLYASCLVGNLVEQLWNFAWPSAIAMLYPSLLPVAVMGFVTKLAIIAGGPVVGKFMDYSPRVPTYISLNVIQAAAQVLSAGMIIHAYTVPSTSASSILLQPWFFALLFAGAIDSLCGIASGVAIERDWVVLLAGINRPIALAQANAVLHRIDLLCEIAGTMLFGILLSKYDPVTCLKFAATLMVGSLPTMTALIWLTNKFSSGVLDRPKCSLNSCSAEGSRTNTDSIFDIGMETIKLGWKEYIQQPVLPASLAYVLLYFNIVLTPGSLMTAFLTQRCVNPSVIGGFSGLCAVMGVAATFLSANLVKRVGILKAGAVGLFFQASLLAVAVAVYCSSSLSHKSPLFFFLSMIVLSRLGHMSYGVVGAQILQTGIPSSKANLIGATEISVASLAESLMLGVAIAANDASHFGFLAVLSLLSVVAASLIFCRLLRNPTDEQRRLFSFDPLSN
VIMSS10108676 1 492 0.0659233739837398 PF13347.6:MFS_2:39:291 ATSUC6 (Sucrose-proton symporter 6); carbohydrate transmembrane transporter/ sucrose:hydrogen symporter/ sugar:hydrogen symporter (RefSeq) 492 253 11 225 12 Arabidopsis thaliana VIMSS10108676 0 MicrobesOnline MSDLQANKDAAAVNRQSSSSSADLNGPSPMRKMISVASIAAGIQFGWALQLSLLTPYVQLLGVPHKWSSFIWLCGPVSGLLVQPSVGYFSDRCKSRFGRRRPFIAMGALLVAVAVVLIGYAADFGHSMGDKVDEPVKMRAVVIFALGFWILDVANNTLQGPCRAFLGDLAAGDAKKTRTANAFFSFFMAVGNVLGYAAGSYTNLYKIFPFTMTKACDIYCANLKSCFFLSITLLLVVTIIALWYVEDKQWSPKADSDNEKTPFFGEIFGAFKVMKRPMWMLLIVTALNWIAWFPFLLYDTDWMGREVYGGDSKGDDKMKKLYNQGIHVGGLGLMLNSIVLGFMSLGIEGISRKMGGAKRLWGAVNIILAVCLAMTVLVTKKAEEHRRIAGPMALPTDGIRAGALTLFALLGIPLAITFSIPFALASIISSSSGAGQGLSLGVLNMTIVIPQMVVSFGVGPIDALFGGGNLPGFVVGAIAAAISSVVAFSVLP
VIMSS10109264 132 263 0.134750757575758 PF01657.17:Stress-antifung:18:106 33 kDa secretory protein-related (RefSeq) 263 89 11 132 0 Arabidopsis thaliana VIMSS10109264 1 MicrobesOnline TGAGLIYFNVANVTEIDPKKFDNELGALFDKIRSEAVLPKNKGLGKGKTKLTPFVTLNGLVQCTRDLSELDCAQCFATAVGSFMTTCHNKKGCRVLYSSCYVRYEFYPFYFPLDPAKTGPSVGRISSVHLSP
VIMSS10109268 1 498 0.642611646586345 PF00010.26:HLH:311:358 Transcription factor bHLH78; Basic helix-loop-helix protein 78; AtbHLH78; bHLH 78; Transcription factor EN 86; bHLH transcription factor bHLH078 498 48 11 498 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJL4 1 SwissProt MDNELFMNTEFPPPPEMATHFEHQQSSSSAMMLNWALMDPNPHQDSSFLWEKSTEQQQQQSIFDSALSSLVSSPTPSNSNFSGGGGDGFLIRELIGKLGNIGNNNNNSGEIYGTPMSRSASCYATPMSSPPPPTNSNSQMMMNRTTPLTEFSADPGFAERAARFSCFGSRSFNGRTNTNLPINNGNNMVNNSGKLTRVSSTPALKALVSPEVTPGGEFSRKRKSVPKGKSKENPISTASPSPSFSKTAEKNGGKGGSKSSEEKGGKRRREEEDDEEEEGEGEGNKSNNTKPPEPPKDYIHVRARRGQATDSHSLAERVRREKIGERMKLLQDLVPGCNKVTGKALMLDEIINYVQSLQRQVEFLSMKLSSVNDTRLDFNVDALVSKDVMIPSSNNRLHEEGLQSKSSSHHHQQQLNIYNNNSQLLPNISSNNMMLQSPMNSLETSTLARSFTHLPTLTQFTDSISQYQMFSEEDLQSIVGMGVAENPNNESQHMKIEL
VIMSS10109595 1 639 0.462380125195618 Protein DYAD; Protein SWITCH 1 639 0 11 639 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FGN8 1 SwissProt MSSTMFVKRNPIRETTAGKISSPSSPTLNVAVAHIRAGSYYEIDASILPQRSPENLKSIRVVMVSKITASDVSLRYPSMFSLRSHFDYSRMNRNKPMKKRSGGGLLPVFDESHVMASELAGDLLYRRIAPHELSMNRNSWGFWVSSSSRRNKFPRREVVSQPAYNTRLCRAASPEGKCSSELKSGGMIKWGRRLRVQYQSRHIDTRKNKEGEESSRVKDEVYKEEEMEKEEDDDDGNEIGGTKQEAKEITNGNRKRKLIESSTERLAQKAKVYDQKKETQIVVYKRKSERKFIDRWSVERYKLAERNMLKVMKEKNAVFGNSILRPELRSEARKLIGDTGLLDHLLKHMAGKVAPGGQDRFMRKHNADGAMEYWLESSDLIHIRKEAGVKDPYWTPPPGWKLGDNPSQDPVCAGEIRDIREELASLKRELKKLASKKEEEELVIMTTPNSCVTSQNDNLMTPAKEIYADLLKKKYKIEDQLVIIGETLRKMEEDMGWLKKTVDENYPKKPDSTETPLLLEDSPPIQTLEGEVKVVNKGNQITESPQNREKGRKHDQQERSPLSLISNTGFRICRPVGMFAWPQLPALAAATDTNASSPSHRQAYPSPFPVKPLAAKRPLGLTFPFTIIPEEAPKNLFNV
VIMSS10109755 1 126 0.314780158730159 heavy-metal-associated domain-containing protein (RefSeq) 126 0 11 126 0 Arabidopsis thaliana VIMSS10109755 1 MicrobesOnline MTAKNAVLQLSIHEERTRKKALVTVSRFSGVTSITMDKSGKMTIVGEVDVPAVVMKLRKLCNTEIVSVDDVKPPVKKPEPEKPAESIAYPVPMNYAYQFNPAYANSYYHQPYGNCRVVDEPNCVIM
VIMSS10109793 1 652 0.464369018404907 PF00013.29:KH_1:69:118,PF00013.29:KH_1:178:245,PF00013.29:KH_1:578:641 RNA-binding KH domain-containing protein RCF3; Protein ENHANCED STRESS RESPONSE 1; Protein HIGH OSMOTIC STRESS GENE EXPRESSION 5; Protein REGULATOR OF CBF GENE EXPRESSION 3; Protein SHINY 1 652 182 11 652 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W4B1 1 SwissProt MERSRSKRNYHYDQDYDGDSMPRSKPRYNNNYHFGGGGGGNNRYRGGGGGGGGNGRPSKSHPETMATTTYRILCHDAKAGGVIGKSGTIIKSIRQHTGAWINVHELVPGDAERIIEISDNRRRDPDGRMPSFSPAQEALFSVHDRILESEAQFGYGGPPPEEEEDYGGVRPGGGRVVTRLVVSRMHVGCLLGKGGKIIEQMRIETKTHIRILPRESNLPRCVSLSEEIVQIVGELNAVKNALAIVSSRLRESQHRDRSNFQGRSHSPERSFAAAGDDYMPQLRRQSSDRFPRGNFRNNNFSSRQSNYAEEAPAVPVGENVYSEELVFQILCPADKIVRVVGESQGIIDLLQNEIGVDVRVSDPVAGSDEQIITISSEEAPDDPFFPAQEALLHIQTQIIDLIPDKDNLITTRLLVPSRDSICLEGKAGSVSEISRLTGTSVQILAREEIPRCASINDVVIQITGEIRAAREALVELTLLLRSHMFKELSQKETPPASTSTTGPLEGVAGVMEVASSNNTIQSREGPTSSNLNLQQVSTILPQFKEGFGSVAKAGESEHREEVPVTTSRMAVPLVTRSTLEVVLPEAVVPKLVTKSRNKLAQISEWSGASVTIVEDRPEETQNIIRISGTPEQAERAQSLLQGFILSIQEDGP
VIMSS10110301 1 171 0.46343216374269 PF02309.16:AUX_IAA:53:158 Auxin-responsive protein IAA33; Indoleacetic acid-induced protein 33 171 106 11 171 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKM7 1 SwissProt MNSFEPQSQDSLQRRFHQDNSTTQQPRDTTTPFIPKPASKNHNNSNSSSGAAGRSFQGFGLNVEDDLVSSVVPPVTVVLEGRSICQRISLDKHGSYQSLASALRQMFVDGADSTDDLDLSNAIPGHLIAYEDMENDLLLAGDLTWKDFVRVAKRIRILPVKGNTRQVKRNE
VIMSS10111042 1 616 0.576617532467532 Protein LNK1; Night light-inducible and clock-regulated 1 616 0 11 616 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A8MQN2 1 SwissProt MSDLYIHELGDYLSDEFHGNDDGIVPDSAYEDGGQFPILVSNRKKRRNDDMGSGTNHLKSNTFIKREANMLGKNPWPEKDSGGSSVSRDTGTGKDVQDMTLEDTNTSDHGFNGGHVDVVENFSTGDPMLCDTSAATNDGVYNYSLNSIPDAENDLSFFDNGDKEKNDLFYGWGDIGNFEDVDNMLRSCDSTFGLDSLNNEGDLGWFSSAQPNEETAGAMTDDLKPDKMLENQRTAMLQVEDFLNNSEPNHAVEDEYGYTIEDDSAQGKSSQNVFDTSLQKKDILMLDVEANLEKKQTDHLHHLDGKSDGFSENSFTLQHSGISREIMDTNQYYPPSAFQQRDVPYSHFNCEQPSVQVSACESKSGIKSENKPSPSSASNESYTSNHAQSIESLQGPTVDDRFRKVFETRANLLPGQDMPPSFAANTKKSSKTDSMVFPDAAPIQKIGLENDHRKAATELETSNMQGSSCVSSVVDDISLEATSFRQLQQVIEQLDVRTKLCIRDSLYRLAKSAEQRHHGGNRPEKGAGSHLVTGEADKYAGFMDIETDTNPIDRSIAHLLFHRPSDSSLSSDNNVLSYKSHPMIPQPNSSPSLRIEKQEETTELRPEAEVVTSDNN
VIMSS10111190 1 181 0.681014917127072 PF04770.12:ZF-HD_dimer:73:124 Zinc-finger homeodomain protein 1; AtZHD1; Homeobox protein 25; AtHB-25; Zinc finger homeodomain transcription factor 2 279 52 11 181 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKP8 1 SwissProt MEFEDNNNNNDEEQEEDMNLHEEEEDDDAVYDSPPLSRVLPKASTESHETTGTTSTGGGGGFMVVHGGGGSRFRFRECLKNQAVNIGGHAVDGCGEFMPAGIEGTIDALKCAACGCHRNFHRKELPYFHHAPPQHQPPPPPPGFYRLPAPVSYRPPPSQAPPLQLALPPPQRERSEDPMET
VIMSS10111388 1 190 0.480711578947368 PF00010.26:HLH:137:177 Transcription factor HEC1; Basic helix-loop-helix protein 88; AtbHLH88; bHLH 88; Protein HECATE 1; Transcription factor EN 118; bHLH transcription factor bHLH088 241 41 11 190 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FHA7 1 SwissProt MDSDIMNMMMHQMEKLPEFCNPNSSFFSPDHNNTYPFLFNSTHYQSDHSMTNEPGFRYGSGLLTNPSSISPNTAYSSVFLDKRNNSNNNNNGTNMAAMREMIFRIAVMQPIHIDPEAVKPPKRRNVRISKDPQSVAARHRRERISERIRILQRLVPGGTKMDTASMLDEAIHYVKFLKKQVQSLEEQAVV
VIMSS101608 1 217 0.456937788018433 PF00746.21:Gram_pos_anchor:177:217 protein GRAB (protein G-related alpha 2M-binding protein) 217 41 11 217 0 Streptococcus pyogenes M1 GAS NP_269464.1 1 RefSeq MGKEIKVKCFLRRSAFGLVAVSASVLVGSTVSAVDSPIEQPRIIPNGGTLTNLLGNAPEKLALRNEERAIDELKKQAIEDKEATTAIEAASSDALEALADQTDALQSEEAAVVKADNAASDALEALADQTDALQSEEAEVVQSDNAASDAWEKAATPIALDVKKTKDTKPVVKKEERQNVNTLPTTGEESNPFFTAAALAIMVSTGVLVVSSKCKEN
VIMSS102118 1 529 0.0802143667296787 PF08270.11:PRD_Mga:180:395,PF05043.13:Mga:76:162,PF08280.11:HTH_Mga:8:66 M protein trans-acting positive regulator 529 362 11 529 0 Streptococcus pyogenes M1 GAS NP_269974.1 1 RefSeq MYVSKLFTSQQWRELKLISYVTENADAIGVKDKELSKALNISMLTLQTCLTNMQFMKEVGGITYKNGYITIWYHQHCGLQEVYQKALRHSQSFKLLETLFFRDFNSLEELAEELFVSLSTLKRLIKKTNAYLMHTFGITILTSPVQVSGDEHQIRLFYLKYFSEAYKISEWPFGEILNLKNCERLLSLMIKEVDVRVNFTLFQHLKILSSVNLIRYYKGHSAVYDNKKTSQRFSQLIQSSLEFQDLSRLFHLKFGLYLDETTIAEMFSNHVNDQLEIGYAFDSIKQDSPTGCRKVTNWVHLLDELEIRLNLSVTNKYEVAVILHNTTVLKEEDITANYLFFDYKKSYLNFYKQEHPHLYKAFVAGVEKLMRSEKEPISTELTNQLIYAFFITWENSFLKVNQKDEKIRLLVIERSFNSVGNFLKKYVGEFFSITNFNELDALTIDLEEIEKQYDVIVTDVMVGKSEELEIFFFHKMIPEAIIDKLNAFLNISFADSLPLDKPINPLDFHRKEVILPTPPNKLHAPPSTT
VIMSS102397 1 241 0.586890456431535 PF00746.21:Gram_pos_anchor:199:240 hypothetical protein (NCBI) 241 42 11 218 1 Staphylococcus aureus subsp. aureus N315 VIMSS102397 1 MicrobesOnline MKKLATVGSLIVTSTLVFSSMPFQNAHADTTSMNVPNKQSQNVQNHRPYGGVVPQGMTQAQYTELEKTLPQLSAGSNMQDYNMKLYDATQNIADKYNVIITTNVGVFKPHAVRDMNGHALPLTKDGNFYQTNVDANGVNHGGSEMVQNKTGHMSQQDHMNQNTHMNQQPQIQQGHMQSSNHQMMSPKANMHSSNHQMNQSNKKVLPAAGESMTSSILTASIAALLLVSGLFLAFRRRSTNK
VIMSS104035 1 512 0.018098828125 PF00474.17:SSF:47:447 Sodium/proline symporter; Proline permease 512 401 11 226 13 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2FWY7 0 SwissProt MLTMGTALSQQVDANWQTYIMIAVYFLILIVIGFYGYKQATGNLSEYMLGGRSIGPYITALSAGASDMSGWMIMGLPGSVYSTGLSAMWITIGLTLGAYINYFVVAPRLRVYTELAGDAITLPDFFKNRLNDKNNVLKIISGLIIVVFFTLYTHSGFVSGGKLFESAFGLDYHFGLILVAFIVIFYTFFGGYLAVSITDFFQGVIMLIAMVMVPIVAMMNLNGWGTFHDVAAMKPTNLNLFKGLSFIGIISLFSWGLGYFGQPHIIVRFMSIKSHKMLPKARRLGISWMAVGLLGAVAVGLTGIAFVPAYHIKLEDPETLFIVMSQVLFHPLVGGFLLAAILAAIMSTISSQLLVTSSSLTEDFYKLIRGEEKAKTHQKEFVMIGRLSVLVVAIVAIAIAWNPNDTILNLVGNAWAGFGASFSPLVLFALYWKGLTRAGAVSGMVSGALVVIVWIAWIKPLAHINEIFGLYEIIPGFIVSVIVTYVVSKLTKKPGAFVETDLNKVRDIVREK
VIMSS10448 1 179 0.328139664804469 PF00430.18:ATP-synt_B:27:156 ATP synthase subunit b (NCBI ptt file) 179 130 11 179 0 Synechocystis sp. PCC 6803 VIMSS10448 1 MicrobesOnline MLNTLFILAAEAHEAGEGGFGINLDFLEANLFNLAILLGIIIYYAPKTLGKILGDRRQKIADAIEEAETRQRKSAQILAEEEKKLAQAKAEAARIVQEAGQRAEVAKQEIATQTEADLRRMQEAAAQDLGAEQERVIAELKRRIAEQAVAKAEADLRDRLNEDTQDRLIERSIAQLGGR
VIMSS104776 1 234 0.0780500000000001 PF13545.6:HTH_Crp_2:160:218,PF00027.29:cNMP_binding:41:125 hypothetical protein (NCBI) 234 144 11 234 0 Staphylococcus aureus subsp. aureus N315 VIMSS104776 1 MicrobesOnline MTENFILGRNNKLEHELKALADYINIPYSILQPYQSECFVRHYTKGQVIYFSPQESSNIYFLIEGNIIREHYNQNGDVYRYFNKEQVLFPISNLFHPKEVNELCTALTDCTVLGLPRELMAFLCKANDDIFLTLFALINDNEQQHMNYNMALTSKFAKDRIIKLLCHLCQTVGYDQDEFYEIKQFLTIQLMSDMAGISRETAGHIIHELKDEKLVVKDHKNWLVSKHLFNDVCV
VIMSS105659 1 221 0.293667873303167 Conserved hypothetical protein (NCBI ptt file) 221 0 11 221 0 Sulfolobus solfataricus P2 VIMSS105659 1 MicrobesOnline MASSKVEDFVKNWGGKQEPSIGERIKNAFKPQQPLRYRLVMANYRLRTMVSRLDVYISRLQERDRTLFEKVVESQMSKDTARAAMYANEIAEIRKISRQLITTQIALEQVQLRLETITELGDVFNSLIPVLGVIKELRNAMKGVMPEISLELAELEEGLQEVVIEAGDFTGAPANYGASSPEARKILEEASVVAEQRMKEKFPELPSFVTSTQKVSNQEQK
VIMSS10920 1 70 0.12124 PF00504.21:Chloroa_b-bind:29:60 high light-inducible protein (NCBI ptt file) 70 32 11 47 1 Synechocystis sp. PCC 6803 VIMSS10920 0 MicrobesOnline MTTRGFRLDQDNRLNNFAIEPEVYVDSSVQAGWTKYAEKMNGRFAMIGFASLLIMEVVTGHGVIGWLNSL
VIMSS10974 1 158 0.369317088607595 PF07963.12:N_methyl:15:39 unknown protein (NCBI ptt file) 158 25 11 135 1 Synechocystis sp. PCC 6803 VIMSS10974 1 MicrobesOnline MSAKQLWKIFNPRPMKGGFTLTENLVSLIVLSITLTAMLPAFMNFGLQNAKNRQLTGATSVANSVMNDLRSQSMTELDAQLGKTVLTNLPEQNGNKYQADQYICTRSSILNPDNPNGTCSTTVGENDFARQILIEVKAPHNPNETIYRVQTVFSRLRS
VIMSS111304 1 416 0.156031971153846 PF00487.24:FA_desaturase:138:369 Probable transmembrane alkane 1-monooxygenase AlkB (Alkane 1-hydroxylase) (Lauric acid omega-hydroxylase) (Omega-hydroxylase) (Fatty acid omega-hydroxylase) (Alkane hydroxylase-rubredoxin) 416 232 11 278 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O05895 1 SwissProt/TReMBL MTTQIGSGGPEAPRPPEVEEWRDKKRYLWLMGLIAPTALVVMLPLIWGMNQLGWHAAAQVPLWIGPILLYVLLPLLDLRFGPDGQNPPDEVTDRLENDKYYRYCTYIYIPFQYLSVVLGAYLFTAANLSWLGFDGALSWAGKLGVALSVGVLGGVGINTAHEMGHKKDSLERWLSKITLAQTCYGHFYIEHNRGHHVRVSTPEDPASARFGETLWEFLPRSVIGGLRSAVHLEAQRLRRLGVSPWNPMTYLRNDVLNAWLMSVVLWGGLIAVFGPALIPFVIIQAVFGFSLLEAVNYLEHYGLLRQKSANGRYERCAPVHSWNSDHIVTNLFLYHLQRHSDHHANPTRRYQTLRSMAGAPNLPSGYASMISLTYFPPLWRKVMDHRVLEHYGGDITRVNLHPRVREKALARYGASA
VIMSS11202 1 275 0.0410854545454545 PF00528.22:BPD_transp_1:100:265 nitrate transport protein; NrtB (NCBI ptt file) 275 166 11 120 7 Synechocystis sp. PCC 6803 VIMSS11202 0 MicrobesOnline MASSTAGLRPRRKKNPLSFIYSPKVIRPAVAIAVLLVVWQILCSGEGSNLPSPVQVLEQTYPLILNPFFDNGGTDKGLGIQIFASLTRVAVGFSAAAVVGIALGILIGSSKFMYDALDPIFQVLRTIPPLAWLPIALAALQEAEPSAIFVIFITAIWPIVINTTVGAQQVPQDYRNVSRVLKLSKSQYFFNILFPAAVPYIFTGLRIGIGLSWLAIVAAEMLIGGVGIGFFIWDAYNSSLISEIIIALIYVGIVGLLLDRFIAFLESLVVPAEQK
VIMSS114316 1 214 0.141147663551402 PF01774.17:UreD:2:187 urease accessory protein (NCBI) 214 186 11 214 0 Staphylococcus aureus subsp. aureus Mu50 VIMSS114316 1 MicrobesOnline MNVNVEDNAKVTLTSQGATKIYKTPSNHVEQYQTFNLKDNAYLEYVADPIIAYENAKFYQHNTFNLNNSSSLFYTDILTPGYSKTGEAFKYQYMHLINEIYIEDELVTYDNLLLNPNKQSINEIGYMEHYSHYGSAYFIHEDVNQKLIDSVYETISSYSNTFDCRVAISQLPTHGFAVRIFAYRTQIIEKILGTIQSYIAENIYDRKLDFLRKY 1
VIMSS11636 1 527 0.0523948766603415 PF00999.21:Na_H_Exchanger:21:405 Low-affinity Na(+)/H(+) antiporter NhaS1; Sodium/proton antiporter NhaS1 527 385 11 277 11 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P73863 1 SwissProt MDTAVNESLSISYNLEQFLIVLSVSLSIATLSKTVPILRKIPYTLLLVIVGMALAFVDVKLINLSPELIMEIFLPPLLFEAAWNLQWRNLKENWFPITLFATLGVVICVVGIAFPLSYWGGMELAIAFLAAAALSATDPVSVIALFKELGASKKLNTLMEGESLFNDGVAVVVFLILVGIPLGTSTFDLSVTLARFVTVIGIGVGCGLVIGFSLSLLTQRFDLPFVEQSLTLVSAYGAYILAENLGGSGVIGVVVVGMVLGNYGSRIGMNPRTRLIVSIFWEFVAFFVNSIIFLLIGDQIGLSSLSDHLNLILIAIAAVVVTRLVSVFGLSLISNKVSDQISSTHITLQEQTVLWWGGLRGSVAIAVALSVPQAIAERQAIIDIVFGVVLFTLLVQGLTTQFVLKGLDLIGDQPQRLEYAELVSRQIALRRVLAELEKTDEFPDINPERLRYKQELVQGQLQSVTDKLKLLLQEYPLLQEVANKKFDQTVLDIEAETYADLIRMGRLEENIMPLLVTLEGENVAEPS
VIMSS11726 1 251 0.14501235059761 PF13439.6:Glyco_transf_4:17:182,PF13579.6:Glyco_trans_4_4:17:177 digalactosyldiacylglycerol synthase (EC 2.4.1.241) 389 166 11 251 0 Synechocystis sp. (strain PCC 6803 / Kazusa) metacyc::MONOMER-19316 1 metacyc MHIAWLGKKTPFCGNVTYGREVTNALLDRGHQVSFLHFAQEEEGEPLAENGCAEVALPFLFKSTVYTIPTPRSSRVLTDALAKLKPDLVHASLTLSPLDFRLPEICEELGLPLIATFHPPFDSKLRNFSSSTQFLTYQLYAPSLAQYDKVIIFSRLQRNLLLKLGVPRQRLAIIPNGVAVERYCPGQNDLKKEYQAERLFIYLGRIAPEKNVEALLKGWKFSDMGPHCKLLMVGDGILKSTLQTHYGPEMG
VIMSS11965 159 479 0.143838940809969 PF13641.6:Glyco_tranf_2_3:3:175,PF13632.6:Glyco_trans_2_3:38:224,PF13506.6:Glyco_transf_21:16:175,PF00535.26:Glycos_transf_2:2:116 Beta-monoglucosyldiacylglycerol synthase; Beta-MGS; MGlcDAG synthase; UDP-glucose:1,2-diacylglycerol 3-beta-D-glucosyltransferase; EC 2.4.1.336 479 223 11 252 3 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P74165 1 SwissProt QLRQQYPQLKVVRRGAGASGGKSGALNEVLAQTQGDIVGVFDADANVPKDLLRRVVPYFASPTFGALQVRKAIANEAVNFWTRGQGAEMALDAYFQQQRIVTGGIGELRGNGQFVARQALDAVGGWNEQTITDDLDLTIRLHLHQWKVGILVNPPVEEEGVTTAIALWHQRNRWAEGGYQRYLDYWRWICTQPMGWKKKLDLFSFLLMQYLLPTAAVPDLLMALWQRRFPLLTPLSYLAIGFSCWGMYYGLKRLTPSEGESPWQQMPALLARTIGGTIYMFHWLIIMPAVTARMAFRPKRLKWVKTVHGAATEDALELKQS
VIMSS11982 1 526 0.359738022813688 PF02321.18:OEP:103:306,PF02321.18:OEP:330:512 unknown protein (NCBI ptt file) 526 387 11 526 0 Synechocystis sp. PCC 6803 VIMSS11982 1 MicrobesOnline MKSIHPLKFWSSSTLLLLLSTSVGVFLPGFSGGQGAIAVAQSVSPPDNAPSAETGNNADDSGFPLPQLPDTAPNERLNPSGNPLMFPTKPDEVDTTVRQAITLDEAIDLALRNNEQLQQAKLSLEQQEAGLMAARAALFPSLDTDFTFSRDSSAAAEATNALIANQNQTTTVNPELRSETSTNAVGNINLTYSIYAGGERSAQIAKAEQLVQNSRLQVEVVAEQTRFEATDRYYALQGADAQVAIAQASVEDASQSLRDARLLEQAGLGTRFDVLRAEGDLATANEALTRSIADQRNARRRLAQLLSVGQRVELTAADEIVEAGDWSLPLDESIVQAYKNRAELEQQLVQIEVSEQDRYIALAAIKPRVDFLANYTYQNNFDSSAGLVDGYAFAARVRWNFFDGGRAFAEARRADRQMDIAKTAFSEQRNQIRLEVEESYYTLISNKENIGSTRTNVIRFEEALRLARLRFQAGVGTQTDVINAQRDLANARGRFLQAIIGYNQSLNQLQRSISNLPNNHLFDIQP
VIMSS12050 1 407 0.225258722358723 PF01494.19:FAD_binding_3:4:35,PF01494.19:FAD_binding_3:84:304 geranylgeranyl-bacteriochlorophyllide a reductase (EC 1.3.1.111); geranylgeranyl diphosphate reductase (EC 1.3.1.83) 407 253 11 407 0 Synechocystis sp. BRENDA::Q55087 1 BRENDA MVLRVAVVGGGPAGSSAAEILVKAGIETYLFERKLDNAKPCGGAIPLCMVDEFDLPPEIIDRRVRKMKMISPSNIEVNIGQTLKDDEYIGMCRREVLDGFLRERAEKLGTKVINGTVYKLDIPSKDSDPYTLHYADHSVGGTTGEMKILKVDVVIGADGANSRIAKAIDAGDYNYAIAFQERIRLPEDKMAYYDELAEMYVGDDVSPDFYAWVFPKYDHVAVGTGTMKVNKARIKDLQAGIRTRAAKKLEGGEIIKVEAHPIPEHPRPRRVVGRVALVGDAAGTVTKSSGEGIYFAAKSARMCAETIVATSNNGQRVPTEADLKQYIKQWDKRYGATYLVLDILQRVFYRTDATREAFVEMCSDIDVQKLTFDSYLYKTVVPANPLVQMKITAKTIGSLLRGNALAP
VIMSS12239 1 155 0.434021290322581 PF14250.6:AbrB-like:76:143 unknown protein (NCBI ptt file) 155 68 11 155 0 Synechocystis sp. PCC 6803 VIMSS12239 1 MicrobesOnline MLYFILCRLLKKTSPRNQASFNGKNNPMPNASTALTGKALLNKVKELSHLPRRETAKACGYYSTSKEGQVRVNLTDFYDAVLAAKGVPLDPSGTKDGRGREPTFRVSVHKNGQIVIGSTYTQEMGLKSGDEFEIKLGYKHIHLKQITDSDDEEEV
VIMSS12242 1 326 0.143901226993865 PF13460.6:NAD_binding_10:7:191,PF05368.13:NmrA:3:225,PF01370.21:Epimerase:3:146,PF01073.19:3Beta_HSD:4:114 ycf39 gene product (NCBI ptt file) 326 223 11 326 0 Synechocystis sp. PCC 6803 VIMSS12242 1 MicrobesOnline MRVLVVGGTGTLGRQIVRQAIDQGHTVVCLVRSLRKAAFLKEWGATIVGGNICKPETLSPALENIDAVIDASTARATDSLTIRQVDWEGKLNLIRAVQKAGIKKFVFFSILRAAEYPKVPLMDIKNCTEKFLAQTNLDYTILQLAGFMQGLIGQYAIPILDNQSVWQTGENTPIAYMNTQDVAKFAVRAVELDSVARKTYPVVGSRAWGATEIIQLCERMSGNNARISQVPMAVLRFMRSFTRFFQWTYNASDRLAFSEVLASGKALTADMAPVYEQFGLDPKETTTLESYLQEYFGRIIKKLKELDYEVNPTQTEGKKKKNNFFF
VIMSS12862 1 240 0.252814166666667 PF13412.6:HTH_24:33:77,PF01022.20:HTH_5:33:77,PF03551.14:PadR:66:102 unknown protein (NCBI ptt file) 240 70 11 240 0 Synechocystis sp. PCC 6803 VIMSS12862 1 MicrobesOnline MGVVLSVYWTATHRFQNFLLLLLTMTLSSSHSTKEDILCYLLKEGQGSAIAMAEELGISPQAMRKHLKDLENDGLIEHQQERQSMGRPQFLYQLSKQGREQFPQRYGEFALSFIDSLVETVGEEQLGAVLKKQWQRKAEAYRQQIGQGPLSKRVHKLVELRRQEGYMAEIHPLSVEQAEKFILSEHHCAIADVAESYPTVCGHELEMFAAILPDCAIERTHWLNDGEHTCGYLIQSKTPN
VIMSS1288636 1 416 0.0143444711538462 PF07690.16:MFS_1:13:369 MFS transporter 416 357 11 154 12 Staphylococcus aureus subsp. aureus USA300_FPR3757 WP_000826862.1 0 RefSeq MKRLSTTLKVRLISNFLQLIITTAFIPFIALYLTDMLSQSIVGIYLVGLVVLKFPLSIISGYLIEIFPKKLLVLIYQATMVIMLVFMGVFGSHQLWQIIGFCVAYAIFTIVWGLQFPVMDTLIMDAITEDVEHYIYKISYWMTNLSVAIGALLGGLMYGYSMLLLFLIAACIFLIVLFILYIWLPQDRNQVKQSDDKRHASRYQKLQIMNIFRSYKLVLKDRNYMLLISGFSIIMMGEFSISSYIAIRLKDQFETISIGSYDITGAKMLAILLMINTVVVILLTYSISKVVLKIDFKKALITGLLIYIVGYSGLTYLNQFGLLVVFMIIATVGEIIYSPIVSEQRFKIIPKAKRGTYSAVNALGIHFSETLARLGIVLGVFLTSLQMGLYMFIVLTIGASMLVAGVFGGQKQVNTN
VIMSS1288881 1 502 0.449021513944223 hypothetical protein (NCBI) 502 0 11 502 0 Staphylococcus aureus subsp. aureus NCTC 8325 VIMSS1288881 1 MicrobesOnline MRENFKLRKMKVGLVSVAITMLYIMTNGQAEASENQNALISNINVDNQEKQNNVNQAVQPQNNTNETSKVPANFVKLNDIKPGDTSIQGTTLPNQFILLTIDKKDVSSVEDSDSSFVMSDKDGNFKYDLNGRKIVHNQEIEVSSSDPYLGDDEEDEEVEETSTEEVGAEEESTEAKATYTTPRYEKAYEIPKEQLKEKDGHHQVFIEPITEGSGIIKGHTSVKGKVALSINNKFINFETNANGGPNKEEAKSGSEGIWMPIDDKGYFNFDFKTKRFDDLELKKNDEISLTFAPDDEDEALKSLIFKTKVTSLEDIDKAETKYDHTKVEKVKVLKDVKEDLHVDEIYGSLYHTEKGKGILDKEGTKVIKGKTKFANAVVKVDSELGEGQEFPDLQVDEKGEFSFDVDHAGFRLQNGETLNFTVVDPITGELLSGNFVSKNIDIYESPEEKADREFDERMENTPAYHKLHGDKIVGYDTNGFPITWFYPLGEKKVERKAPKLEK
VIMSS1291173 1 231 0.0858398268398269 PF00528.22:BPD_transp_1:42:215 amino acid ABC transporter, permease protein, putative (NCBI) 231 174 11 116 5 Staphylococcus aureus subsp. aureus NCTC 8325 VIMSS1291173 0 MicrobesOnline MEGNLLQQLFNYYVTNFGYLWDLFFKHLLMSVYGVLFAALIGIPLGILLARYTKLSGFVITIANIIQTVPVIAMLAILMLVMGLGSETVVLTVFLYALLPIIKNTYTGIASVDANIKDAGKGMGMTRNQVLRMIELPLSVSVIIGGIRIALVVAIGVVAVGSFIGAPTLGDIVIRGTNATDGTTFILAGAIPIAIIAIVIDVLLRFLEKRLDPTTRHRKNQSNHRPQSINM
VIMSS1292073 1 466 0.0511444206008584 PF07690.16:MFS_1:65:359,PF00083.24:Sugar_tr:24:435,PF13347.6:MFS_2:65:354 putative proline/betaine transporter (NCBI) 466 412 11 201 12 Staphylococcus aureus subsp. aureus USA300_FPR3757 VIMSS1292073 0 MicrobesOnline MDFNKENINMVDAKKAKKTVVATGIGNAMEWFDFGVYAYTTAYIGANFFSPVENADIRQMLTFAALAIAFLLRPIGGVVFGIIGDKYGRKVVLTSTIILMAFSTLTIGLLPSYDQIGLWAPILLLLARVLQGFSTGGEYAGAMTYVAESSPDKRRNSLGSGLEIGTLSGYIAASIMIAVLTFFLTDEQMASFGWRIPFLLGLFLGLFGLYLRRKLEESPVFENDVATQPERDNINFLQIIRFYYKDIFVCFVAVVFFNVTNYMVTAYLPTYLEQVIKLDATTTSVLITCVMAIMIPLALMFGKLADKIGEKKVFLIGTGGLTLFSIIAFMLLHSQSFVVIVIGIFILGFFLSTYEATMPGSLPTMFYSHIRYRTLSVTFNISVSIFGGTTPLVATWLVTKTGDPLAPAYYLTAISVIGFLVITFLHLSTAGKSLKGSYPNVDNEQDRAYYAEHPKEALWWVKERKN
VIMSS1293200 1 163 0.315506134969325 PF06103.11:DUF948:7:95 UPF0478 protein SA1560 163 89 11 140 1 Staphylococcus aureus (strain N315) Q7A531 1 SwissProt/TReMBL MDWILPIAGIIAAIAFLILCIGIVAVLNSVKKNLDYVAKTLDGVEGQVQGITRETTDLLHKVNRLTEDIQGKVDRLNSVVDAVKGIGDSVQTLNSSVDRVTNSITHNISQNEDKISQVVQWSNVAMEIADKWQNRHYRRGSANYKANNVATDANHSYTSRVDK
VIMSS1293658 1 79 0.0697227848101266 PF10031.9:DUF2273:19:66 hypothetical protein (NCBI) 79 48 11 39 2 Staphylococcus aureus subsp. aureus USA300_FPR3757 VIMSS1293658 0 MicrobesOnline MANNHNQNGQDSTQQVINFLKVFKWRIVGFLAFLLIAILFLTLGFWKTVLIIVLCLIGVGIGYMKDRKQDFMNFLNRWS
VIMSS13575 262 759 0.644278915662651 contains j-domain of DnaJ; and a domain common to cytadherence proteins (NCBI ptt file) 910 0 11 498 0 Mycoplasma pneumoniae M129 VIMSS13575 1 MicrobesOnline PSSVSNDETTTDSDAVTAATTVEETDQDSWTANSAPEPVDVETPVELQPETEPEPIITLSSEPVEAPASVVIEPTPEIEETTSAVEMDASVKADVSDEADATNEPTEQDTISEPEQETDAAALEEINHTTADLEPAEVSATNDLEQDVVEKVNFSEPESTVDTAATDPVVEQATETSTNGFKFFNFSSFVLSDQNPNPQTPTHHEEDAAAPEPTVDETSGESTAPEVTIAESTVELETAAEINNPATFVEEYLQPTKTTVVDKLDEPTVAKPTVSDSENSVAPEPEFVAGPEQTFSWKPAISETEEIPLTAVEPASETQTLIAEDVTSPVTPTATAIPAPSINAVPTAPVAETFEAAVDFLKEAAKIEAQLPLVPTVPEQIDGTDPSLLTQWDEYLEKTRKLFHKLFLTEQLPFIVKTDQFEIVDPNLDEHNVNLIYTEHVPQICFLNEQLKEIRYTRKLVDPQTQVTTTESITLEVQLSHKSQTEAIAIFKGFGHDY 2
VIMSS136209 1 249 0.218963855421687 PF13505.6:OMP_b-brl:40:247 adhesin 249 208 11 249 0 Rickettsia conorii str. Malish 7 WP_010977843.1 1 RefSeq MKKLLLIAAASTALLTSGLSFADCDMNSSVDSSTNSSMSSSVENQWYLKLNAGGVIFNKTKPKGADFKLNNIKSNIKSNTGFTGEIGAGYYIMDNLRTDLTIGTVASSHLKKSKTYPDGNSFSVKNKPTIVSVLLNGYVDFVDLSMFKVFAGAGVGAAFVKEKIHSKDIKGGVTDTFNGTTKNKTNFAYQLSLGTSFEVAQGVKAELVYSWRDYGKTKNTTKTINGDKVKFGGTHYKGHNLMAGLRFDM
VIMSS13912 1 1005 0.381178407960199 PF17374.2:DUF5396:148:540,PF17374.2:DUF5396:562:1000 conserved hypothetical protein (NCBI ptt file) 1005 832 11 1005 0 Mycoplasma pneumoniae M129 VIMSS13912 1 MicrobesOnline MKFQRKYWGLLSTLGVSSAVALSACAAQARDVYVTSSASDLLKNNSVPMSMFNVSPTSSFFGSKYAGLTTYIATGSNKDDGVNVATQTQEKLVLELATSVKGYKKKDKATSSQKTSTNSSCTTTSSGTSTSGEDDWECIGEIKRQSSSNGQNNQQSKSITEEEKFQEISQKATRYEFAIDTGIKWVDNNGKPVKDASGNDVKLSSKDFERGFEAYILSSELRFNRNGYFIDLMGLDVKKTVGMTKKNGAQVQMKVASSDEKDGEEKTVKITDDAYNPEDYQSTDDSKFNVYLTSPFPFLLSMMSKEFFFPIPHTHPKVKAIKVGKDSPLVYNEKNGSKILDQTKTNFDGIYGGGVNAWRDTWSVGPYYVESFNQSQIVFKRNSEYDTHITPNLPKTREDNEKPIPTMINYFQPGATPEVFYSNYIAGGLSSAEVPYSQQEDARSRFAGTGDLRWVKVQKTAQSAQITYSSRPYVVEGETVKTNSNITETEAKFLYNSESEEALTIRAGINGLINWQNLAIILLPNSGDLNYSIVPFGIFKEKGKNGASVQQKAVSTTEGSDLMNDYYYKIEKEQRLGLIPQREGNYEKNKNVLESATVKINYYSSKATSGQAGAAASAAFAKNNNTSDNTQQNQTSSVEAKSVNVTKHSFVQALKKVGFSGSNPLHFNMKLGNSSLSANGVDYYNAVKQALTELGTADNGEKLIVPEIILGDAQGPTRNEWYIGLSSVLGFSSWSPDYDGVGTWLDAATQLNDQGGGDVITYSSGAHIVRTLLLAASQKDVHSKFTQKIDQQNTASTTSDVTVKKADSSQDSSKSNTEEEKWDDVTSADLFKDDPYVLKNFGDAKAQAAQRSTGSTTSGNGTQASLEFTKKALSLLKFLVDNGILDKEKVKEAIKDPNKYLGKRDKIENGTNKPSKNEDFIGYELKDIYKKAAQLNRFNSIWAEKDTDNAKFLITVVDSYFPVLPVPAAGLNETSPTLLKPWFQFRSAPSGNGTIRDYGFIPENK
VIMSS13985 1 172 0.125194186046512 PF03838.14:RecU:6:165 Penicillin-binding protein-related factor A, putative recombinase (NCBI ptt file) 172 160 11 172 0 Mycoplasma pneumoniae M129 VIMSS13985 1 MicrobesOnline MISANRGMFLETIVNQTIVRLGDHPDIWLEKRYLPIQPTAFKETRVQGALTAQKKSKTDYYGVYKGEIYFDFETKQTNKSNFALAQLAEHQLKHLKRINEIGGISFLLIYFQVQDLLYALHTKDLLQMIEAQKGKSKTIKRDLIDQKAQKINLIYPGVIDLIDVIENFIKDS
VIMSS14024 1 629 0.392248012718601 PF16713.5:EAGR_box:173:204,PF16713.5:EAGR_box:230:262 Protein P200 1036 65 11 629 0 Mycoplasma pneumoniae (strain ATCC 29342 / M129) SwissProt::P75211 1 SwissProt MPKTIKKQNPSNTTLQYKKYLEQSKEKTAKAKNKDVSIDDLLKKPFLEEIKTNVLKKNKTTRASTATRGTSKVKKQIVESSIDFFDEKKRGVFIVPPAGTSVINDDRDDNKAVEETVSKTAISQNQLAHYANSELVETEQFELKPVALEHNQVLTSTRHSQERESIFEKAQLFWQIFVGDVRFGFWKNHTWIWLGFFDQHQNWYYFEVVETVELPQEHTAFIKRKQIDSCFWKPLVGNPNYGYIQNNIWVWKGFFDTKLNWIPDPVRFTLPMVEKATTTTPVVQIELPAPPTVTVVDQTSPPTAAVTVSTSQPVIEEQTTVFNQTTQLEQLSVSAPLLDQSEVETEMVEVPFVAPSTTTTQPQVVTVQAQPASSSIQFQEPIIKVEFVNESFDFKKPSQTAAAASQAPSQAINIALNEADLIDELVAVGTTATTALPQSELIQEVVVIDNGQPQQAGFHYVVDFLTSTAPLTVAEIELQEQELVNEFVTTTSRETTTFASTPVFEPVVIPTVESEEQLLENEFVESTVVSATSNEPNVASTPVVETVELTETPVSLEPLETVQLETAPVVTETVTVTEKAVEPEVLAVVEEAPLAVEPIVETSTTLAAETVEEAQVEQESTAVAVEPAI
VIMSS140841 323 1995 0.373611356843993 PF04830.13:DUF637:1151:1316,PF04829.13:PT-VENN:1333:1379 hemagglutinin/hemolysin-related protein (NCBI) 1995 213 11 1673 0 Neisseria meningitidis MC58 VIMSS140841 1 MicrobesOnline ENSGRIATTADGTEASPTYLSIETTEKGAAGTFISNGGRIESKGLLVIETGEDISLRNGAVVQNNGSRPATTVLNAGHNLVIESKTNVNNAKGPATLSADGRTVIKEASIQTGTTVYSSSKGNAELGNNTRITGADVTVLSNGTISSSAVIDAKDTAHIEAGKPLSLEASTVTSDIRLNGGSIKGGKQLALLADDNITAKTTNLNTPGNLYVHTGKDLNLNVDKDLSAASIHLKSDNAAHITGTSKTLTASKDMGVEAGSLNVTNTNLRTNSGNLHIQAAKGNIQLRNTKLNAAKALETTALQGNIVSDGLHAVSADGHVSLLANGNADFTGHNTLTAKADVNAGSVGKGRLKADNTNITSSSGDITLVAGNGIQLGDGKQRNSINGKHISIKNNGGNADLKNLNVHAKSGALNIHSDRALSIENTKLESTHNTHLNAQHERVTLNQVDAYAHRHLSITGSQIWQNDKLPSANKLVANGVLALNARYSQIADNTTLRAGAINLTAGTALVKRGNINWSTVSTKTLEDNAELKPLAGRLNIEAGSGTLTIEPANRISAHTDLSIKTGGKLLLSAKGGNAGAPSAQVSSLEAKGNIRLVTGETDLRGSKITAGKNLVVATTKGKLNIEAVNNSFSNYFPTQKAAELNQKSKELEQQIAQLKKSSPKSKLIPTLQEERDRLAFYIQAINKEVKGKKPKGKEYLQAKLSAQNIDLISAQGIEISGSDITASKKLNLHAAGVLPKAADSEAAAILIDGITDQYEIGKPTYKSHYDKAALNKPSRLTGRTGVSIHAAAALDDARIIIGASEIKAPSGSIDIKAHSDIVLEAGQNDAYTFLKTKGKSGKIIRKTKFTSTRDHLIMPAPVELTANGITLQAGGNIEANTTRFNAPAGKVTLVAGEELQLLAEEGIHKHELDVQKSRRFIGIKVGKSNYSKNELNETKLPVRVVAQTAATRSGWDTVLEGTEFKTTLAGADIQAGVGEKARADAKIILKGIVNRIQSEEKLETNSTVWQKQAGRGSTIETLKLPSFESPTPPKLTAPGGYIVDIPKGNLKTEIEKLAKQPEYAYLKQLQVAKNVNWNQVQLAYDKWDYKQEGLTRAGAAIVTIIVTALTYGYGATAAGGVAASGSSTAAAAGTAATTTAAATTVSTATAMQTAALASLYSQAAVSIINNKGDVGKALKDLGTSDTVKQIVTSALTAGALNQMGADIAQLNSKVRTELFSSTGNQTIANLGGRLATNLSNAGISAGINTAVNGGSLKDNLGNAALGALVNSFQGEAASKIKTTFSDDYVAKQFAHALAGCVSGLVQGKCKDGAIGAAVGEIVADSMLGGRNPATLSDAEKHKVISYSKIIAGSVAALNGGDVNTAANAAEVAVVNNALNFDSTPTNAKKHQPQKPDKTALEKIIQGIMPAHAAGAMTNPQDKDAAIWISNIRNGITGPIVITSYGVYAAGWTAPLIGTAGKLAISTCMANPSGCTVMVTQAAEAGAGIATGAVTVGNAWEAPVGALSKAKAAKQAAPKETINNLANLAKAEQQILFRIAQRDTQLDAWKTGFNNRVRKGAGLLDASNIPITINGKTIKPVQAISLKGAPVYSGVSEQEIFALYRQMTGQNPNFRVLPDGRLANGIISTGEWAGTKIALRNFSKTENSTQARWTLDLQNPPSFIKGTKLELKFQ
VIMSS14281 1 412 0.264102427184466 PF00419.20:Fimbrial:250:411 fimbrial tip-adhesin YadC 412 162 11 389 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11678-MONOMER 1 ecocyc MKTIFRYILFLALYSCCNTVSAYTSFIVGNNAGVDNYRGPSTAAQMTFNYTSTASNLVFYKPTQLGPTGVKMYWSYLDTGTGGGILYCNTSGRANPGPITIENAMVYSGKDYGGHKLFNTSVPGLYYTMLISRVWSAYDTITDIQSPGIYIGDPSNQEFFFSVTDSDLQTKGCNKADDYDKFWAIGGIVHNITVEFYTDTNFDPTLNQQVQLSSSSNYLYSFKAYSPGTKVVDHSNHIYVNFTLNNVKLTLPTCFTSILTGPSVNGSTVRMGEYSSGTIKNGASPVPFDISLQNCIRVRNIETKLVTGKVGTQNTQLLGNTLTGSTAAKGVGVLIEGLATSKNPLMTLKPNDTNSVYIDYETEDDTSDGVYPNQGNGTSQPLHFQATLKQDGNIAIEPGEFKATSTFQVTYP
VIMSS14351 1 340 0.329937941176471 PF01464.20:SLT:102:216 membrane-bound lytic murein transglycosylase D 452 115 11 340 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10246-MONOMER 1 ecocyc MKAKAILLASVLLVGCQSTGNVQQHAQSLSAAGQGEAAKFTSQARWMDDGTSIAPDGDLWAFIGDELKMGIPENDRIREQKQKYLRNKSYLHDVTLRAEPYMYWIAGQVKKRNMPMELVLLPIVESAFDPHATSGANAAGIWQIIPSTGRNYGLKQTRNYDARRDVVASTTAALNMMQRLNKMFDGDWLLTVAAYNSGEGRVMKAIKTNKARGKSTDFWSLPLPQETKQYVPKMLALSDILKNSKRYGVRLPTTDESRALARVHLSSPVEMAKVADMAGISVSKLKTFNAGVKGSTLGASGPQYVMVPKKHADQLRESLASGEIAAVQSTLVADNTPLNS
VIMSS14780 1 160 0.25307 PF07295.11:DUF1451:14:157 DUF1451 domain-containing protein YbeL 160 144 11 160 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12851-MONOMER 1 ecocyc MNKVAQYYRELVASLSERLRNGERDIDALVEQARERVIKTGELTRTEVDELTRAVRRDLEEFAMSYEESLKEESDSVFMRVIKESLWQELADITDKTQLEWREVFQDLNHHGVYHSGEVVGLGNLVCEKCHFHLPIYTPEVLTLCPKCGHDQFQRRPFEP
VIMSS147931 1 550 0.062546 putative inner membrane protein 550 0 11 352 9 Salmonella enterica subsp. enterica serovar Typhimurium str. LT2 NP_459550.1 1 RefSeq MRRKMVNNRLKMVIAILIVFSLVYSIGFITPMNSDDYTYALRELSLSSVKMHYLGWSGRVVSDTISTSLLKFFSPHIYNAINSAALTLMVLCWTMIPATLTKSSPSPYVMIFLFFLYFVANPALGQTNFWLVGSANYLWTNMFIAIYILISIYLSNGKKSNLILFVYAISSIFAGCSNENTSLVVVLISVAYFFIMNRNKYLLIGVFGSAIGAGVLLLAPGNLSRASTIQDWYNQPLAWRVLEHFSERLPSAMGAYWQVYIAFIILLISVVLSRNSSSKLMFGSFLFMLGAIAANVAFLASPAMPSRALNGALCFMILSISFVAHSAFTKFNKASIYLSVTTYAMAFLYFIPSYILYYSSIKSISKQTEIREEIIDRAKHNKQDQAIIPDYYFPPVLHAGPSLDTFNSEAMSRYYGIDLKITAPGFFDYSRAFNFKPLNINAKICNNVYIKSLWIYKQQMGIKTFVIFEFNKNPADSLDENTAMFISFKTKDGKIINADVDKKTFQIDGRWLSGRAINGIDSNELESITSGTWDVRTGARTNENITEIIK
VIMSS14914 1 413 0.208193946731235 PF13091.6:PLDc_2:26:142,PF13091.6:PLDc_2:214:336,PF00614.22:PLDc:110:131 cardiolipin synthase B 413 240 11 413 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6406-MONOMER 1 ecocyc MKCSWREGNKIQLLENGEQYYPAVFKAIGEAQERIILETFIWFEDDVGKQLHAALLAAAQRGVKAEVLLDGYGSPDLSDEFVNELTAAGVVFRYYDPRPRLFGMRTNVFRRMHRKIVVIDARIAFIGGLNYSAEHMSSYGPEAKQDYAVRLEGPIVEDILQFELENLPGQSAARRWWRRHHKAEENRQPGEAQVLLVWRDNEEHRDDIERHYLKMLTQARREVIIANAYFFPGYRFLHALRKAARRGVRIKLIIQGEPDMPIVRVGARLLYNYLVKGGVQVFEYRRRPLHGKVALMDDHWATVGSSNLDPLSLSLNLEANVIIHDRHFNQTLRDNLNGIIAADCQQVDETMLPKRTWWNLTKSVLAFHFLRHFPALVGWLPAHTPRLAQVDPPAQPTMETQDRVETENTGVKP
VIMSS14958 1 513 0.0817980506822612 PF17153.4:CHASE9:35:150 putative c-di-GMP phosphodiesterase PdeI 782 116 11 467 2 Escherichia coli K-12 substr. MG1655 ecocyc::G6433-MONOMER 1 ecocyc MLSLYEKIKIRLIILFLLAALSFIGLFFIINYQLVSERAVKRADSRFELIQKNVGYFFKDIERSALTLKDSLYLLKNTEEIQRAVILKMEMMPFLDSVGLVLDDNKYYLFSRRANDKIVVYHQEQVNGPLVDESGRVIFADFNPSKRPWSVASDDSNNSWNPAYNCFDRPGKKCISFTLHINGKDHDLLAVDKIHVDLNWRYLNEYLDQISANDEVLFLKQGHEIIAKNQLAREKLIIYNSEGNYNIIDSVDTEYIEKTSAVPNNALFEIYFYYPGGNLLNASDKLFYLPFAFIIIVLLVVYLMTTRVFRRQFSEMTELVNTLAFLPDSTDQIEALKIREGDAKEIISIKNSIAEMKDAEIERSNKLLSLISYDQESGFIKNMAIIESNNNQYLAVGIIKLCGLEAVEAVFGVDERNKIVRKLCQRIAEKYAQCCDIVTFNADLYLLLCRENVQTFTRKIAMVNDFDSSFGYRNLRIHKSAICEPLQGENAWSYAEKLKLAISSIRDHMFSEF
VIMSS15120 1 390 0.336058974358974 PF03264.14:Cytochrom_NNT:11:184 cytochrome c menaquinol dehydrogenase TorC 390 174 11 367 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11815-MONOMER 1 ecocyc MRKLWNALRRPSARWSVLALVAIGIVIGIALIVLPHVGIKVTSTTEFCVSCHSMQPVYEEYKQSVHFQNASGVRAECHDCHIPPDIPGMVKRKLEASNDIYQTFIAHSIDTPEKFEAKRAELAEREWARMKENNSATCRSCHNYDAMDHAKQHPEAARQMKVAAKDNQSCIDCHKGIAHQLPDMSSGFRKQFDELRASANDSGDTLYSIDIKPIYAAKGDKEASGSLLPASEVKVLKRDGDWLQIEITGWTESAGRQRVLTQFPGKRIFVASIRGDVQQQVKTLEKTTVADTNTEWSKLQATAWMKKGDMVNDIKPIWAYADSLYNGTCNQCHGAPEIAHFDANGWIGTLNGMIGFTSLDKREERTLLKYLQMNASDTAGKAHGDKKEEK
VIMSS15145 190 441 0.0385833333333334 PF13632.6:Glyco_trans_2_3:10:184,PF13641.6:Glyco_tranf_2_3:35:108,PF13506.6:Glyco_transf_21:36:109 poly-N-acetyl-D-glucosamine synthase subunit PgaC 441 175 11 183 3 Escherichia coli K-12 substr. MG1655 ecocyc::G6529-MONOMER 1 ecocyc TGNPRIRTRSTLVGKIQVGEYSSIIGLIKRTQRIYGNVFTVSGVIAAFRRSALAEVGYWSDDMITEDIDISWKLQLNQWTIFYEPRALCWILMPETLKGLWKQRLRWAQGGAEVFLKNMTRLWRKENFRMWPLFFEYCLTTIWAFTCLVGFIIYAVQLAGVPLNIELTHIAATHTAGILLCTLCLLQFIVSLMIENRYEHNLTSSLFWIIWFPVIFWMLSLATTLVSFTRVMLMPKKQRARWVSPDRGILRG
VIMSS15148 1 278 0.00580431654676259 PF17158.4:MASE4:41:274 probable diguanylate cyclase DgcT (EC 2.7.7.65) 452 234 11 106 8 Escherichia coli K-12 substr. MG1655 ecocyc::G6532-MONOMER 0 ecocyc MEKDYLRISSTVLVSLLFGLALVLVNSWFNQPGVEEVVPRSTYLMVMIALFFIDTVAFIFMQLYFIYDRRQFSNCVLSLAFLSCLIYFVITVIIIQQIIEERLTSSVVQNDIAIYYLFRQMSLCILIFLALVNKVSENTKQRNLFSKKMTLCISLFFVFGGPIVAHILSSHYESYNLHIAELTNENGQVVWKASYVTIMIFMWLTLLSVNLYFNGLRYDIWNGVTVIAFCAVLYNISLLFMSRYSVSTWYISRTIEVVSKLTVMVIFMCHIFSALRVT
VIMSS151561 1 178 0.137937640449438 putative AraC-type DNA-binding domain-containing protein (NCBI ptt file) 291 0 11 178 0 Salmonella typhimurium LT2 VIMSS151561 1 MicrobesOnline MLKVFNPSPVQVGSIECLQSAQNWQRKSLSLQGLNLLQSVLIKLTTGKISITTSSGEYITASGPMLIFLAKDQTIHITMEETHEQLNYNLIELDSASIKNAYNFFLYEHADFSAPLTKPTTKHLLAPIETGVARVFNLLHSSNKSQKLSQDKKEYLIRFLLSEFIYEPEAFALFRELS
VIMSS15196 1 134 0.342900746268657 PF06429.13:Flg_bbr_C:87:131,PF00460.20:Flg_bb_rod:7:37 flagellar basal-body rod protein FlgC 134 76 11 134 0 Escherichia coli K-12 substr. MG1655 ecocyc::FLGC-FLAGELLAR-MOTOR-ROD-PROTEIN 1 ecocyc MALLNIFDIAGSALTAQSQRLNVAASNLANADSVTGPDGQPYRAKQVVFQVNAAPGAATGGVKVADVIESQAPDKLVYEPGNPLADAKGYVKMPNVDVVGEMVNTMSASRSYQANVEVLNTVKSMMLKTLTLGQ
VIMSS15247 1 275 0.00652763636363636 PF00528.22:BPD_transp_1:73:272 spermidine preferential ABC transporter membrane subunit PotB (EC 7.6.2.11; EC 7.6.2.16) 275 200 11 137 6 Escherichia coli K-12 substr. MG1655 ecocyc::POTB-MONOMER 0 ecocyc MIVTIVGWLVLFVFLPNLMIIGTSFLTRDDASFVKMVFTLDNYTRLLDPLYFEVLLHSLNMALIATLACLVLGYPFAWFLAKLPHKVRPLLLFLLIVPFWTNSLIRIYGLKIFLSTKGYLNEFLLWLGVIDTPIRIMFTPSAVIIGLVYILLPFMVMPLYSSIEKLDKPLLEAARDLGASKLQTFIRIIIPLTMPGIIAGCLLVMLPAMGLFYVSDLMGGAKNLLIGNVIKVQFLNIRDWPFGAATSITLTIVMGLMLLVYWRASRLLNKKVELE
VIMSS15269 1 185 0.202108648648649 e14 prophage; uncharacterized protein YmfL 185 0 11 185 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6591-MONOMER 1 ecocyc MGKHHWKIEKQPEWYVKAVRKTIAALPSGYAEAADWLDVTENALFNRLRADGDQIFPLGWAMVLQRAGGTHFIADAVAQSANGVFVSLPDVEDVDNADINQRLLEVIEQIGSYSKQIRSAIEDGVVEPHEKTAINDELYLSISKLQEHAALVYKIFCISESNDARECAAPGVVASIASGCGETNA
VIMSS15354 1 301 0.201217940199336 PF01734.22:Patatin:8:165 putative patatin-like phospholipase RssA 301 158 11 301 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG12120-MONOMER 1 ecocyc MRKIKIGLALGSGAARGWSHIGVINALKKVGIEIDIVAGCSIGSLVGAAYACDRLSALEDWVTSFSYWDVLRLMDLSWQRGGLLRGERVFNQYREIMPETEIENCSRRFAAVATNLSTGRELWFTEGDLHLAIRASCSIPGLMAPVAHNGYWLVDGAVVNPIPISLTRALGADIVIAVDLQHDAHLMQQDLLSFNVSEENSENGDSLPWHARLKERLGSITTRRAVTAPTATEIMTTSIQVLENRLKRNRMAGDPPDILIQPVCPQISTLDFHRAHAAIAAGQLAVERKMDELLPLVRTNI
VIMSS15465 1 411 0.224895863746959 PF12167.8:Arm-DNA-bind_2:5:69,PF00589.22:Phage_integrase:203:391 Rac prophage; putative integrase 411 254 11 411 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6676-MONOMER 1 ecocyc MSKLPTGVEIRGRYIRIWFMFRGKRCRETLKGWEITNSNIKKAGNLRALIVHEINSGEFEYLRRFPQSSTGAKMVTTRVIKTFGELCDIWTKIKETELTTNTMKKTKSQLKTLRIIICESTPISHIRYSDILNYRNELLHGETLYLDNPRSNKKGRTVRTVDNYIALLCSLLRFAYQSGFISTKPFEGVKKLQRNRIKPDPLSKTEFNALMESEKGQSQNLWKFAVYSGLRHGELAALAWEDVDLEKGIVNVRRNLTILDMFGPPKTNAGIRTVTLLQPALEALKEQYKLTGHHRKSEITFYHREYGRTEKQKLHFVFMPRVCNGKQKPYYSVSSLGARWNAAVKRAGIRRRNPYHTRHTFACWLLTAGANPAFIASQMGHETAQMVYEIYGMWIDDMNDEQIAMLNARLS
VIMSS156 1 209 0.425777033492823 PF13091.6:PLDc_2:74:181 Phospholipase D Endonuclease Superfamily (NCBI ptt file) 383 108 11 209 0 Chlamydia trachomatis D/UW-3/CX VIMSS156 1 MicrobesOnline MLPHQQNSSSERARHHESRSHRHSSSSRHHVTRSQSSALPQLQERPVPHPLAERELIIFHSVHQQQNNNPLRMICDTIRQAQRGIFMRIYTISSDDIIQSLIQTSHHVPVEVKYHCGESLPVACQNSRVVLRLTNGRTLQHKKTMLADFQTVVTGSANYTDLSLNHDANVTACIESSELHDAVFSERPQLVHVGPQLLNYIPIQRLIPN
VIMSS156914 1 637 0.155105494505495 PF00535.26:Glycos_transf_2:6:128,PF13704.6:Glyco_tranf_2_4:12:92 similar to unknown protein (NCBI ptt file) 637 123 11 637 0 Listeria monocytogenes EGD-e VIMSS156914 1 MicrobesOnline MRPLISICMIVKNEAHILRQSLASFRKFTEEIIIVDTGSTDETKEIAQEFTDFVYDFEWTGNFSDARNFAAKHATGKWILAIDADECLEEESYRKLEKQLKSPTEPIQMAQIISFTGEKGRVTTTNQMARVYKNDGTICFRGVIHEQLEAIDKRPIAAGVAEVKIYHYGYMSEIVEKQDKSDRNLRLLEKEVKNNKNSGFVHFNIGQEMNRLGNKKEALKEFSEAFRLRDHNHYIWAKLSAYHIAELLEQEKRYDESLAIIEEARVIWPNVPEFPLKKANILYVNHQLEDAKEIYQSLLENTAIDYQPIVLYEATNFMPHKMLGTIYLEEKDYTRAMTHFSKAYAENSSDYGVMFQMIMLLSKFHQPKEIFAFMERHHFISSTETGLRLLSMTTQQGYAELSELIVQSLTDVYPPVAEATEVKIATIRNVFPVISESAILFGVKEELIDAADLCLWHYENPQLPIENVMKNSDVGDIYDFIFENGPRISKKRYLFVLERAIALGKGEFADYLLALRNVYHDSINSHIADLFFQYDFADIALDFYNIVDADEVTKQGYINLINYLVDADVLDEALAIAERGIDNFSTDFRFYLWAIKIDTENRANRISEAMDEFPNNRYLAKLLDEVTMLQDTVTNNR
VIMSS157067 1 1455 0.40231058419244 PF17210.3:SdrD_B:1070:1171,PF07523.12:Big_3:1422:1455 putative peptidoglycan bound protein (LPXTG motif) (NCBI ptt file) 2044 136 11 1432 1 Listeria monocytogenes EGD-e VIMSS157067 1 MicrobesOnline MIKATRARHYLMLLMAFFLVLGQLNLTALNVFAKENGNDELTYEVQSKLTEDKKSADLTIKVTPKSDQVKILTIETPDGEKREGQEVSYKAEKNGTTNFLINYQNTSEEKAETKTYTASYEVSDIVSEDGVNKDSDTEKATEKEDANIQPPSTTNNTKNIKSLKSGQTTVELKIPDYDQTAWANGDIKEVTATVNFGDSTSTGKKVNFTLPDGMRFVSLPVPSNYQAGTNEDTGVLSYLGASDPLGIAITSVEVPNKETAYSQATFGTVSYELSPGTEKASFKFSVRVDAAKYYGPTDLADPIKTEVYKGEASTPVASADQAIRAEGNKVVGYADQNHVKTMFRTWYNDQRLSEVLASTDTTDSYNYTKSYSVVNGSNSLDSRGAAVYIAKNIEVTLYYPEGMEFVNVVNNAGTVLKENSNVTITNYPSENKVVINNKHLNNSATSNSIYGVKYKVPKGTPAGTYSTAKAPHAVITTYDGEVFESDALTTNPNDLTTIAPLDTCKVVDTTENKMTLTTANGQLNPNNETWAGSIQINNKKSAGVKKNQMYQIKFDPNWEAYMVNIPFDSTISGNKISEVQYKTNLNDAFRTFDGALIKNNNQMYRLDAKAVGLEEGEYFTEVKANVGDFAPGYQSTEASATYRWNSTASYGKIKPGVTSVQYEGAIWDADDESNTKVSGISTYKVSSAESTVANGTAAFYNKAGTKVKTASAGETINTKATLVLHDYPYGTRTVLNDPEVYLHALEGTTIQPSSIKLTDQGGKDVNFTVEQETANNGDKVYALKTTDVSVGVYVGYPTKHKYLNISYDTTFDVTLNKSINMDAQQVIAWGANVTPATGTNTFSDLGLDVNKNGRDNDKLLSVNSSTLSIPKQDTVTVETFLSVAGEGDKAAYMEGEDSTVSYFSPGTDADYTVKITNTSSGNASTFELYIPIPKTGQDFGSKFQSEPFKWDMKLNGALPVSAEQQDQFDVSYATTATGDNYDSTDIYSNTVADYEKVNMVRIKVKTQINAGETQTFKVPLKVDETFDSATEGNKIGERDIYNPYYRVITNTFAGSLSGTKVGAELVIGEVAGMLFNDKDVNGSYEKDKGDEPLANETVELYKWNVSTSEYEPAKVGDKNITATTDSNGKYSFDYSDGVGYGNYAVKFPDKAGYQYTLKNIGKDTSLNSDVPYSGADRGWAKQINPTLPSSQYTNAGYYAYNPTQDLKVNLDEKQVQMGRSLEITLPKVASTTGQAAEDTIEPSFFKNIKATTNGYKWTVADTKVATVQTLADGSAAVVGVSTNNKTIDVTDLTITIQDIFGTEKSSKAPVYVTGTDGTVAQQDGYTMGATDFSLEYKEATDLTKAQALTLAKTAAFEEVKDGVNSSAEDRLDQVQVNQTQLDAIKNGSNQGGVYPLTYTITKDSKTVSVTIQVTVAKDLTAVNAHDSTIYLGDTWDAEDNFDSAANKEGDTNVAF 3
VIMSS15717 1 417 0.0582901678657074 PF07690.16:MFS_1:41:260,PF07690.16:MFS_1:249:407,PF00083.24:Sugar_tr:72:217 putative transporter YnfM 417 367 11 148 12 Escherichia coli K-12 substr. MG1655 ecocyc::B1596-MONOMER 0 ecocyc MSRTTTVDGAPASDTDKQSISQPNQFIKRGTPQFMRVTLALFSAGLATFALLYCVQPILPVLSQEFGLTPANSSISLSISTAMLAIGLLFTGPLSDAIGRKPVMVTALLLASICTLLSTMMTSWHGILIMRALIGLSLSGVAAVGMTYLSEEIHPSFVAFSMGLYISGNSIGGMSGRLISGVFTDFFNWRIALAAIGCFALASALMFWKILPESRHFRPTSLRPKTLFINFRLHWRDRGLPLLFAEGFLLMGSFVTLFNYIGYRLMLSPWHVSQAVVGLLSLAYLTGTWSSPKAGTMTTRYGRGPVMLFSTGVMLFGLLMTLFSSLWLIFAGMLLFSAGFFAAHSVASSWIGPRAKRAKGQASSLYLFSYYLGSSIAGTLGGVFWHNYGWNGVGAFIALMLVIALLVGTRLHRRLHA
VIMSS158190 1 399 0.386461654135339 PF05816.11:TelA:69:396 similar to toxic ion resistance proteins (NCBI ptt file) 399 328 11 399 0 Listeria monocytogenes EGD-e VIMSS158190 1 MicrobesOnline MTENKPSEQTNELKDLVVEKEFNQTLDDLLANPFGSDGESAASIVNNETDAAPRLVDMLTETNKKQALELSKQIEPGNQAAILGYGAPAQAKLHDFSHSMLAHVQKQDVGPIGDIISDLMYRLQEADPDELAARNKNVFTKMFHRVKQSINEITSKYQKIGTQIDRIALKLEHSKKRLMEDNSFLEQLYDKNKDYFQALNIYIAAGELKLEEINTKMLPELRKKAEQTGDQMDYQEVNDLTQFADRLDKRVYDLRLSRQITIQQAPQIRLIQNTNQALAEKIQSSIMTAIPLWKNQVAIALTLLRQQQAVAAQRQVSETTNELLKRNADMLKTNAIETARENERGIVDIETLKETQSSLIETLQETLKIQQEGRAKRAVAEKELVTMEQELKERLLEMK
VIMSS16113 1 124 0.231583064516129 PF06755.12:CbtA_toxin:1:112 CP4-44 prophage; cytoskeleton-binding toxin CbtA 124 112 11 124 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7085-MONOMER 1 ecocyc MKTLPVLPGQAASSRPSPVEIWQILLSRLLDQHYGLTLNDTPFADERVIEQHIEAGISLCDAVNFLVEKYALVRTDQPGFSACTRSQLINSIDILRARRATGLMTRDNYRTVNNITLGKYPEAK
VIMSS165 1 548 0.104404562043796 hypothetical protein (NCBI ptt file) 548 0 11 525 1 Chlamydia trachomatis D/UW-3/CX VIMSS165 1 MicrobesOnline MFVSFDKSRCRADVPDFFERTGNFLLHCVARGINVLYRVKQISNYPSCYFSHKEISCCRRIANIVICILTGPLMLLATVLGLLAYRFSSTYQTSLQERFRYKYEQKQALDEYRDREEKVITLQKFCRGFLVRNHLLNQETLTTCKQWGQKLLEGEKFPRVPEGRSLVYISKQFPSLVAKHVGAQDARSRWHHIFSMRKALAYLDIKRIRAPRARVYQNFIFEEKLPVSRISVDSMCLYKENPQAFDEAIKELLFLFKEVHFRDFVVETESPTDDFPLAVKVHNYWVCPRYDNLPLFIQEGKDGSPEGRIGLVDLETFSWSPHPYPVEELAVMFPMHKELLMTEAKKLQIPFSTKEVERSVEKGLAFFEHMLGHQDFCSQKSVTPLRNCAPYIHLEVWRFSLKIFDILKAAIQLNGALNVLLSPDIRERLSAISDKQWLAISSQVTSSLLEQVSTNIYQSHTEEAKRVNSSGTFIMCRSPIFRKSIFIKNLPQFLNKKLQLLPEEKAISEALASLCLRAVMEELVATGNIYSYDSMDDFFEGQYCRIRY
VIMSS16756 1 52 0.00207115384615385 PF01679.17:Pmp3:3:48 Pmp3 family protein YqaE 52 46 11 10 2 Escherichia coli K-12 substr. MG1655 ecocyc::G7396-MONOMER 0 ecocyc MGFWRIVITIILPPLGVLLGKGFGWAFIINILLTLLGYIPGLIHAFWVQTRD
VIMSS168219 1 246 0.265121138211382 PF00484.19:Pro_CA:92:241 carbonic anhydrase 246 150 11 223 1 Salmonella enterica subsp. enterica serovar Typhimurium str. 14028S WP_001541566.1 1 RefSeq MEQNQPAQPSRRAILKQTLAVSALSVTGLAALSVPTISFAASLSKEERDGMTPDAVIEHFKQGNLRFRENRPAKHDYLAQKRNSIAGQYPAAVILSCIDSRAPAEIVLDAGIGETFNSRVAGNISNRDMLGSMEFACAVAGAKVVLVIGHTRCGAVRCAIDNAELGNLTGLLDEIKPAIAKTEYSGERKGSNYDFVDAVARKNVELTIENIRKNSPVLKQLEDEKKIKIVGSMYHLTGGKVEFFEV
VIMSS17050 1 269 0.0264200743494424 PF06750.13:DiS_P_DiS:20:118,PF01478.18:Peptidase_A24:130:239 prepilin peptidase 269 209 11 123 7 Escherichia coli K-12 substr. MG1655 ecocyc::G7539-MONOMER 1 ecocyc MLFDVFQQYPTAMPVLATVGGLIIGSFLNVVIWRYPIMLRQQMAEFHGEMSSAQSKISLALPRSHCPHCQQTIRIRDNIPLFSWLMLKGRCRDCQAKISKRYPLVELLTALAFLLASLVWPESGWGLAVMILSAWLIAASVIDLDHQWLPDVFTQGVLWTGLIAAWAQQSPLTLQDAVTGVLVGFITFYSLRWIAGIVLRKEALGMGDVLLFAALGGWVGALSLPNVALIASCCGLIYAVITKRGSTTLPFGPCLSLGGIATLYLQALF
VIMSS17139 1 487 0.0193363449691992 PF00939.19:Na_sulph_symp:5:486,PF03600.16:CitMHS:45:414 L-tartrate:succinate antiporter 487 482 11 221 12 Escherichia coli K-12 substr. MG1655 ecocyc::YGJE-MONOMER 1 ecocyc MKPSTEWWRYLAPLAVIAIIALLPVPAGLENHTWLYFAVFTGVIVGLILEPVPGAVVAMVGISIIAILSPWLLFSPEQLAQPGFKFTAKSLSWAVSGFSNSVIWLIFAAFMFGTGYEKTGLGRRIALILVKKMGHRTLFLGYAVMFSELILAPVTPSNSARGAGIIYPIIRNLPPLYQSQPNDSSSRSIGSYIMWMGIVADCVTSAIFLTAMAPNLLLIGLMKSASHATLSWGDWFLGMLPLSILLVLLVPWLAYVLYPPVLKSGDQVPRWAETELQAMGPLCSREKRMLGLMVGALVLWIFGGDYIDAAMVGYSVVALMLLLRIISWDDIVSNKAAWNVFFWLASLITLATGLNNTGFISWFGKLLAGSLSGYSPTMVMVALIVVFYLLRYFFASATAYTSALAPMMIAAALAMPEIPLPVFCLMVGAAIGLGSILTPYATGPSPIYYGSGYLPTADYWRLGAIFGLIFLVLLVITGLLWMPVVLL
VIMSS17234 1 414 0.0147367149758454 PF03222.13:Trp_Tyr_perm:10:402 tryptophan:H+ symporter Mtr 414 393 11 161 11 Escherichia coli K-12 substr. MG1655 ecocyc::MTR-MONOMER 0 ecocyc MATLTTTQTSPSLLGGVVIIGGTIIGAGMFSLPVVMSGAWFFWSMAALIFTWFCMLHSGLMILEANLNYRIGSSFDTITKDLLGKGWNVVNGISIAFVLYILTYAYISASGSILHHTFAEMSLNVPARAAGFGFALLVAFVVWLSTKAVSRMTAIVLGAKVITFFLTFGSLLGHVQPATLFNVAESNASYAPYLLMTLPFCLASFGYHGNVPSLMKYYGKDPKTIVKCLVYGTLMALALYTIWLLATMGNIPRPEFIGIAEKGGNIDVLVQALSGVLNSRSLDLLLVVFSNFAVASSFLGVTLGLFDYLADLFGFDDSAVGRLKTALLTFAPPVVGGLLFPNGFLYAIGYAGLAATIWAAIVPALLARASRKRFGSPKFRVWGGKPMIALILVFGVGNALVHILSSFNLLPVYQ
VIMSS1748827 1 440 0.0678918181818182 PF09594.10:GT87:82:333 Polyprenol-phosphate-mannose-dependent alpha-(1-2)-phosphatidylinositol mannoside mannosyltransferase; Alpha-D-mannose-alpha-(1-2)-mannosyltransferase; Alpha-mannosyltransferase; Alpha-ManT; PPM-dependent mannosyltransferase; Polyprenol-phosphate-mannose alpha-mannosyltransferase; PPM alpha-mannosyltransferase; EC 2.4.1.- 440 252 11 244 9 Mycolicibacterium smegmatis (strain ATCC 700084 / mc(2)155) (Mycobacterium smegmatis) SwissProt::A0R036 1 SwissProt MLEMSKRQSPRGAGLAPTIAWRVFQLLTLAGVLWVGWRLLGRVPYRIDIDVYRMGGRAWLDGRPLYADGAIFHTQGGLDLPFTYPPLAAIAFAPFAWLSLPLASSAITATTLVLLIVATTIVLTRLDVWPHTTVTSEPAWMRRAWLAAAMVAPAVIYLEPIRSNFEFGQINVVLMTLVIADCVPRRTPWPRGLLLGLAIALKLTPAVFLLYFLLRRDIHTLLRTAATAVVASLAGFALAWSDSVEYWTETVRNTDRIGTATLNTNQNIAGALARLGLGESPRFILWVLACFAVLALTVWAARRALRGDTADQTTEAPVLALVCVALFGLVVSPVSWSHHWVWMLPVLVVTAVLAYRRRSVWFTALTAAGLALTVWTPITLLPEHRETTASLWRQLAGGSYVWWAFAVIVVIGLVSSSRTHTGDAHETDEPLVPLARGEAG
VIMSS17532 1 221 0.00822533936651584 PF02592.15:Vut_1:43:199 putative queuosine precursor transporter 221 157 11 86 6 Escherichia coli K-12 substr. MG1655 ecocyc::EG12217-MONOMER 0 ecocyc MNVFSQTQRYKALFWLSLFHLLVITSSNYLVQLPVSILGFHTTWGAFSFPFIFLATDLTVRIFGAPLARRIIFAVMIPALLISYVISSLFYMGSWQGFGALAHFNLFVARIATASFMAYALGQILDVHVFNRLRQSRRWWLAPTASTLFGNVSDTLAFFFIAFWRSPDAFMAEHWMEIALVDYCFKVLISIVFFLPMYGVLLNMLLKRLADKSEINALQAS
VIMSS17665 73 258 0.336769892473118 PF07729.12:FCD:28:150 DNA-binding transcriptional dual regulator LldR 258 123 11 186 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11962-MONOMER 1 ecocyc WRHDTWSEQNIVQPLKTLMADDPDYSFDILEARYAIEASTAWHAAMRATPGDKEKIQLCFEATLSEDPDIASQADVRFHLAIAEASHNIVLLQTMRGFFDVLQSSVKHSRQRMYLVPPVFSQLTEQHQAVIDAIFAGDADGARKAMMAHLSFVHTTMKRFDEDQARHARITRLPGEHNEHSREKNA
VIMSS17684 1 357 0.0790397759103642 PF01075.17:Glyco_transf_9:274:331 putative ADP-heptose:LPS heptosyltransferase 4 357 58 11 357 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11423-MONOMER 1 ecocyc MRLGTFHKKKRFYINKIKINFLSFLFRNKINNQITDPAQVKSCLIIHDNNKLGDLIVLSSIYRELYSKGVKITLLTNRKGGEFLSNNKNIFEFCIKESTGFLEMLTLCKHLRDLQFDIVLDPFETMPSFKHSLILSSLKDSYILGFDHWYKRYYSFYHPHDECLKEHMSTRAIEILKHIYGEGKFSTNYDLHLPVDVEDKIKEFIGDTRIVIINPLGAKKICRLTFEQIKVIYQEVKTHFENYRIIFTGLPQDLLTIPILEIETLPFDEFIYTVALTKYSDFVISVDTALVHIAAAYHKPTLAFYPNSRTPEYPSHLIWSPNHHKSIQIVSPTYTVKDIDTETLTNSVKRLSCIDKK
VIMSS17708 191 560 0.228245135135135 PF03120.16:DNA_ligase_OB:122:195,PF01653.18:DNA_ligase_aden:7:116 DNA ligase B (EC 6.5.1.6; EC 6.5.1.2) 560 184 11 370 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11334-MONOMER 1 ecocyc QQMGGINARAKVAGLMMRQDDSDTLNSLGVFVWAWPDGPQLMSDRLKELATAGFTLTQTYTRAVKNADEVARVRNEWWKAELPFVTDGVVVRAAKEPESRHWLPGQAEWLVAWKYQPVAQVAEVKAIQFAVGKSGKISVVASLAPVMLDDKKVQRVNIGSVRRWQEWDIAPGDQILVSLAGQGIPRIDDVVWRGAERTKPTPPENRFNSLTCYFASDVCQEQFISRLVWLGAKQVLGLDGIGEAGWRALHQTHRFEHIFSWLLLTPEQLQNTPGIAKSKSAQLWHQFNLARKQPFTRWVMAMGIPLTRAALNASDERSWSQLLFSTEQFWQQLPGTGSGRARQVIEWKENAQIKKLGSWLAAQQITGFEP
VIMSS17880 1 475 0.365666526315789 PF02646.16:RmuC:136:432 putative recombination limiting protein RmuC 475 297 11 455 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG11472-MONOMER 1 ecocyc MDFSIMVYAVIALVGVAIGWLFASYQHAQQKAEQLAEREEMVAELSAAKQQITQSEHWRAECELLNNEVRSLQSINTSLEADLREVTTRMEAAQQHADDKIRQMINSEQRLSEQFENLANRIFEHSNRRVDEQNRQSLNSLLSPLREQLDGFRRQVQDSFGKEAQERHTLTHEIRNLQQLNAQMAQEAINLTRALKGDNKTQGNWGEVVLTRVLEASGLREGYEYETQVSIENDARSRMQPDVIVRLPQGKDVVIDAKMTLVAYERYFNAEDDYTRESALQEHIASVRNHIRLLGRKDYQQLPGLRTLDYVLMFIPVEPAFLLALDRQPELITEALKNNIMLVSPTTLLVALRTIANLWRYEHQSRNAQQIADRASKLYDKMRLFIDDMSAIGQSLDKAQDNYRQAMKKLSSGRGNVLAQAEAFRGLGVEIKREINPDLAEQAVSQDEEYRLRSVPEQPNDEAYQRDDEYNQQSR
VIMSS178930 1 177 0.502949717514124 PF02974.14:Inh:88:177 AprI/Inh family metalloprotease inhibitor 177 90 11 177 0 Brucella melitensis bv. 1 str. 16M WP_002964998.1 1 RefSeq MGISKASLLSLAAAGIVLAGCQSSRLGNLDNVSPPPPPAPVNAVPAGTVQKGNLDSPTQFPNAPSTDMSAQSGTQVASLPPASAPDLTPGAVAGVWNASLGGQSCKIATPQTKYGQGYRAGPLRCPGELANLASWAVNGKQLVLYDANGGTVASLYSSGQGRFDGQTTGGQAVTLSR
VIMSS17969 1 308 0.0587376623376623 PF01040.18:UbiA:26:273 1,4-dihydroxy-2-naphthoate octaprenyltransferase (EC 2.5.1.74) 308 248 11 121 9 Escherichia coli K-12 substr. MG1655 ecocyc::DMK-MONOMER 0 ecocyc MTEQQISRTQAWLESLRPKTLPLAFAAIIVGTALAWWQGHFDPLVALLALITAGLLQILSNLANDYGDAVKGSDKPDRIGPLRGMQKGVITQQEMKRALIITVVLICLSGLALVAVACHTLADFVGFLILGGLSIIAAITYTVGNRPYGYIGLGDISVLVFFGWLSVMGSWYLQAHTLIPALILPATACGLLATAVLNINNLRDINSDRENGKNTLVVRLGEVNARRYHACLLMGSLVCLALFNLFSLHSLWGWLFLLAAPLLVKQARYVMREMDPVAMRPMLERTVKGALLTNLLFVLGIFLSQWAA
VIMSS18249 1 83 0.315532530120482 PF04014.18:MazE_antitoxin:6:49 ChpS antitoxin of the ChpB-ChpS toxin-antitoxin system 83 44 11 83 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11250-MONOMER 1 ecocyc MRITIKRWGNSAGMVIPNIVMKELNLQPGQSVEAQVSNNQLILTPISRRYSLDELLAQCDMNAAELSEQDVWGKSTPAGDEIW
VIMSS18416 106 215 0.244294545454545 PF00300.22:His_Phos_1:8:89 putative phosphatase 215 82 11 87 1 Escherichia coli K-12 substr. MG1655 ecocyc::PGAM2-MONOMER 0 ecocyc QLVNGTVDGRIPEGESMQELSDRVNAALESCRDLPQGSRPLLVSHGIALGCLVSTILGLPAWAERRLRLRNCSISRVDYQESLWLASGWVVETAGDISHLDAPALDELQR
VIMSS18625 1 127 0.165270078740157 hypothetical protein (NCBI ptt file) 127 0 11 127 0 Helicobacter pylori 26695 VIMSS18625 1 MicrobesOnline MFKKMCLSLLMISGVCVGAKDLDFKLDYRATGGKLMGKMTDSSLLSITSMNDEPVVIKNLIVNRGNSCEATKKVEPKLGDKFKKEKLFDHELKYSQQIFYRLDCKPNQLLEVKIITDKGEYYHKFSK
VIMSS18746 65 517 0.153118543046358 PF02348.19:CTP_transf_3:1:214 Pseudaminic acid cytidylyltransferase and UDP-2,4-diacetamido-2,4,6-trideoxy-beta-L-altropyranose hydrolase; EC 2.7.7.81; EC 3.6.1.57 517 214 11 453 0 Helicobacter pylori (strain ATCC 700392 / 26695) (Campylobacter pylori) SwissProt::O25093 1 SwissProt SFLNLRPKILADDRATTLEVMAYHMEELELKDEDIACCLYGASALLQEKHLKNAFETLNKNQNTDYVFTCSPFSASPYRSFSLENGVQMAFKEHSNTRTQDLKTLYHDAGLLYMGKAQAFKEMRPIFSQNSIALELSPLEVQDIAHFRRFRISQAQIQPFEKRMPVKILCDCFLTSGLGHVRRCEKILSFIEKLGVEASLYLHKQNNISAFLEGVGGNDFLITDSYCLNSKDFYLLKEKAKSLMVIEDTEHAKGFYPKNTKILNFTLNALKHYHHLSKDYQYYLGVGFYPVDARFIYDRPINTENKEVLITLGGSEQKTLKEIVKILENKNVNLHIISPYTPKNPPKNTHYYSPLNPLEFSSLMKSCACAISAAGQTLYELALSQTPSLILPIASNQIIQSKEFESLGIFKQTSLKTLAKDFENLQIQKNQAWAKNLVFGDKLEGALREFLEI
VIMSS18847 1 111 0.22907027027027 hypothetical protein (NCBI ptt file) 111 0 11 88 1 Helicobacter pylori 26695 VIMSS18847 1 MicrobesOnline MSSFFQKRGRNGIQPFNHSTIQPSNHPIIQSFNHPIIQAIKQSSNQAIKQSSNQAIKQSSNQAIKQRYHTFITFTKIRLKIPYFLLFPLLFLFSFPLSLTHTAIFLKNLIR
VIMSS19026 1 1238 0.271072697899838 PF03077.14:VacA2:138:197,PF03077.14:VacA2:555:614,PF03077.14:VacA2:974:1033 Uncharacterized protein 1238 180 11 1238 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25330 1 SwissProt/TReMBL MTYRSSKTDLKNERFSKNRSFKGIKKKIAKKYTIKNSPLTIYSLKTHSNPSLSINKKIFLGLGFVSALSAEDYNSSVYWLNSVNENNNNKSYYISPLRTWAGGNRNFTQNYNNSQLYIGTKNASSTPNHSSVWFGEKGYVGFITGVFKAKDIFITGAVGSGNEWKTGGGAILVFESSNELSANGAYFQNNRAGTQTSWINLISNNSVNLTNTDFGNQTPNGGFNAMGRKITYNGGIVNGGNFGFDNVDSNGATTISGVTFNNNGALTYKGGNGIGGSITFTNSNINHYKLNLNANSVTFNNSALGSMPNGNANTIGNAYILNASNITFNNLTFNGGWFVFNIPDAHVNFQGTTTINNPTSPFVNMTGKVTINPNAIFNIQNYTPSIGSAYTLFSMKNGSITYNDVNNLWNIIRLKNTQATKDADKNHTSSNNNTHTYYVTYNLGGTLYNFRQIFSPDSIVLQSVYYGANNLYYTNSVNIHDNVFNLKNINDDKADTIFYLNGLNTWNYTNARFTQTYGGKNSALVFNATTPWANGSIPKSNSTVRFGGYEGVNWGKTGYITGTFTADRVYITGNMMTGNGAQTGGGATLNFVGATEINIAGATFKNLKTTSQNSYMTFMALGDSSGSAKINVSQSDFYDWTGGGYDFTGNGVFDSVNFNKAYYKFQGTENSYNFKNTNFLAGNFKFQGKTTIEKSVLSDASYTFDGTNNTFTEDKFNNGSFNFSHAEQTDAFNNNSFNGGSFSFNAKQVNFSGNSFNGGVFNFNNTPKVSFTDDTFNVNNQFKINGTQTTFTFNKGVVFNMQGLLSSLSVGTTYQLLNAKSVDYKDNNALYQMLRWISGENPSGTLVNKDQSAPNSAKIYNVHFTDNGLTYYIKENFNNGITLTRLCTLGYTHCVNIDNDAFNLKNVNNNASNTVFYLNGMTTWKIAGTGVFTQDYSGANSVLVFNQTTPFLAGANPTSNSVVSFGKTSGAEWGLVGYIQGVFKANQIDITGTIRSGNGAKTGGGATLVFNAQKRLNIANAHLNNDKAGLQNSWMNFIVNNGNLNVTNAKFSNQTPHGGFNLKANNITWDKGSVNGGGNFGVDNADSNGATTISGVTFNNNGTLIYKGGENSAGNSLTLENNTFNSYNINAKAQNLIFNNNSFNGGSYSFNDTKNTTFKGTNTLINSDPFSRLKGSVSIENNSVFNIERDLTDKTTYTLLSGNSIKYNNQALAGQCFFKKFMEFNPLWWRTRDSIKSG 3
VIMSS19061 1 356 0.175833707865169 peptidoglycan lytic transglycosylase (Slt;HP0645) (EC 4.2.2.n1) 560 0 11 356 0 Helicobacter pylori (strain ATCC 700392 / 26695) CAZy::AAD07706.1 1 CAZy MRFFTLFFIGMLGVGFSQTELNLKDLEKKPAGIVRDYYLWRYISDKKTSLENAKKAYELTQNKNNALQKAMQEKGSDNAEKNPDVKLPEDIYCKQTALESMLETTDTFQASCIAIALKSKIRDFDKIPIETLKPLQIKIKEAYPVLYEELEILQSKHVSASLFKANAQVFSALFNHLSYEKKLQIFEKHIPIKELNRLLDENYPAFNRLIYQVILDPKLDHFKDALTKSNATHSNAQTFFILGINEILRKKPSKALKYFERSEAVVKDDDFSKDRAIFWQYLVSKKKKTLERLSQSPALNLYSLYASRKLKTTPSYRIISRIQNLSQEDPPFNTYDPFSWQIFKEKTLSLKDEGAF
VIMSS19309 1 125 0.4303792 hypothetical protein (NCBI ptt file) 125 0 11 125 0 Helicobacter pylori 26695 VIMSS19309 1 MicrobesOnline MPNTTAKKDYTKYSKKQLFNLIHQLERKIKKMQNDRISFKEKMAKELEKRDQNFKDKIDALNELLQKISQAFDDKRDCCLGHEIPNIETQQAMRDVGNKETDLIVEDFSSYSNERKRALGVEAQS
VIMSS193181 1 1361 0.339041734019103 PF03797.19:Autotransporter:1112:1342 Fusobacterium outer membrane protein family (NCBI ptt file) 1361 231 11 1361 0 Fusobacterium nucleatum subsp. nucleatum ATCC 25586 VIMSS193181 1 MicrobesOnline MDFTASNVTINENISVTGSKAGKVIIAQANYNGATNSSTIKVTNKGKIDYSGNKSTALATDFGQVTNETSGTIRMSGDNSIGLYGAANSIVTNKGTIEMGKAGVGIWGANNLSNKYANRNINIVNSGTIRGISGKEGVFGIYAKNSHAGATSNISHSGNIDLSQAKKSTGIFMTKGTLNSSGNISVNEGSVGVNAEDSTVNVNGGTHTIGANSIGFNLKGNSSLLANSGNISITGKGSVAYLFEGVNLTSGTNFKDNLTLTATNGYTYINLTNSTLNYKNQKTINNDETIFVNSKNSTVNLLEGNDISSTKNKVVGVYSEGGVVSNAGKMTLMGDGSSALYSKGAATVNGAPGKITIGANGSGIYVVNAGSTGSNYGEITIGAGSVGMRAENGKIKNNSTGKISSTAEKATGMSQSGNENLENEGTITLTGNQSVGMHSEGVTAAGHQMINKGIVTVGHSATATSPSIGMYAANTDKTTIVNNGKVIAGNKSTGIYGGNITLNNNSETSAGNGGIGVYSKGGTVDIKENAKISVGDTLGDKQEGVGVYLAGNNQTLNSDTDNLTIGKGSFGYVMTGQGNTVRTGKAGTTRMINLTHSSIFMYSADRTGTAVNYNNLRSTGDLNYGIYASGRVDNYGTIDFSQGIGNIGAYSYTKGATTTPNSIRNYGTINVSKSDLQTNPDDRKYGIGMAAGYSEESPAGSGRKVTRGIGSIENHGLIRVTTPDSIGMYATGKGSRIYNGPTGRIELSGRKRNIGIFAENGAEVVNEGTITTVGSGNVGQIGIGITSGATLINRGNIHVNAARGYGLFVAGGIVKNYGNITVAGGAQKTKEVSASDTSKALGDEGLDRVGIKSPAGASKGTITSNGKVKKPTIVQAIPNRKPSEIPKSSIGMYLDTSGINYTKPINNVGALAGLKQGDLIVGTEAADYTNSKYIQLGQDIIKPYNEMIRKSGIEKWSIYSASLTWMASITQLPDYTIRNAYLVKIPYTVFAGDKNTTRDTYNFTDGLEQRYGVEGLNSREKELFKKLNKIGNNERILLQQAFDEMMGHQYANVQQRIYATGQILDKEFDYLRNEWKTVSKDSNKIKIFGIKGEYKTDTAGVIDYKNEAYGMAYVHENEDIKLGKGIGWYTGIVDNTFKFKDIGKSKEEQIQAKVGLLKSIPFDDNNSLNWTISGDIFVGYNKMHRKYLVVNEIFNAKSKYYTYGIGIKNKISKDFRLSEDFSLVPYGSLNLEYGRVNKIKEKVGEIRLEVKENYYVSVNPEIGAELTYKHLLASRKTFRMGLGIAYENELGKVANGKNKARVAYTNADWFNIRGEKEDRKGNIKFDLNIGLDNQRVGVTANAGYDTKGHNVRGGLGLRVIF 1
VIMSS1935765 1 303 0.304331683168317 PF00756.20:Esterase:87:260 hypothetical protein 303 174 11 280 1 Mycobacterium tuberculosis H37Rv NP_215288.2 1 RefSeq MMARMPELSRRAVLGLGAGTVLGATSAYAIDMLLQPRTSHAAPAAAIGTNVPLAPTPALDPAPPAQAAPTMSTGSFVSAARAGKMTNWAIARPPGQTQALRPVIALHGLGGSASAVMDGGVEQGLAQAVNAGLPPFAVVSVDGGSSYWHQRASGEDAGAMVLNELIPLLDTQRLDTSRVAFLGWSMGGYGALLLGSRLGPARTAAICAVSPALWLSAGSVAPGSFDGPDDWSANSVFGLPALGSIPIRVDCGNSDPFYAATKQFVAQLPHPPAGGFSPGGHNGGFWSAQLPAELTWFAPLLTG
VIMSS1935805 195 391 0.216967005076142 PF12484.8:PE_PPE_C:116:193 PPE family protein PPE15; Mycobacterial perilipin-1; MPER1 391 78 11 197 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WI31 1 SwissProt VNQVSVADLISSLPNAVSGLASPVTSVLDSTGLSGIIADIDALLATPFVANIINSAVNTAAWYVNAAIPTAIFLANALNSGAPVAIAEGAIEAAEGAASAAAAGLADSVTPAGLGASLGEATLVGRLSVPAAWSTAAPATTAGATALEGSGWTVAAEEAGPVTGMMPGMASAAKGTGAYAGPRYGFKPTVMPKQVVV
VIMSS1936064 1 358 0.127922625698324 PF00823.19:PPE:4:87 PPE FAMILY PROTEIN (NCBI) 358 84 11 289 3 Mycobacterium tuberculosis H37Rv VIMSS1936064 1 MicrobesOnline MVGAASADSAAAAGEHEAAAAGYVCALAEMPTLPELAANHLTHAVLVATNFFGINTIPIALNEADYVRMWVQAATVMSAYEAVVGAALVATPHTGPAPVIVKPGANEASNAVAAATITPFPFGELAKFLEMAAQAFTEVGELIMKSAEAWAVGFVELITGLVNFEPWLVLTGMIDMFFATVGFALGVFVLVPLLEFAVVLELAILSIGWIISNIFGAIPVLGGPLLGALAAAVVPGVAGLAGVAGLAALPAVGAAAGAPAALVGSVAPVSGGVVSPQARLVSAVEPAPASTSVSVLASDRGAGALGFVGTAGKESVGQPAGLTVLADEFGDGAPVPMLPGSWGPDLVGVAGDGGLVSV
VIMSS1936076 1 83 0.300887951807229 PF02597.20:ThiS:6:83 Molybdopterin synthase sulfur carrier subunit 83 78 11 83 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::L7N6B4 1 SwissProt MIKVNVLYFGAVREACDETPREEVEVQNGTDVGNLVDQLQQKYPRLRDHCQRVQMAVNQFIAPLSTVLGDGDEVAFIPQVAGG
VIMSS1936098 1 385 0.0325267532467532 PF00999.21:Na_H_Exchanger:13:367 PROBABLE CONSERVED INTEGRAL MEMBRANE TRANSPORT PROTEIN (NCBI) 385 355 11 155 10 Mycobacterium tuberculosis H37Rv VIMSS1936098 1 MicrobesOnline MEVSRALLFELGVLLAVLAVLGAVARRFALSPIPVYLLAGLSLGNGGILGVAAAGEFIATGAPIGVVLLLLALGLEFSATEFASSLRHHLPSAGVDIVLNATPGAVAGWLLGLDGVAILGLAGVTYISSSGVIARLLEDLRRLGNRETPAVLSVLVLEDFAMAAYLPLFAVLATDGSWLEAVVGMTVAIAALLGAFAASYRWGHHVGRLVTHPDSEQLLLRVLGITLIVAAVAESLHASAAVGAFLVGLTLTGETADRARMVLTPLRDLFATIFFLGIGLSVDPGKLVSMLPVALALAAVTAATKVATGMFAARREGVARRGQLRAGTALVARGEFSLIIIGLAGASIPGVAALATAYVFVMAIVGPILARYTGGGLPAAAVASN
VIMSS1936141 162 582 0.495664133016628 PF01469.18:Pentapeptide_2:40:79,PF01469.18:Pentapeptide_2:130:169,PF01469.18:Pentapeptide_2:174:210,PF01469.18:Pentapeptide_2:231:268,PF01469.18:Pentapeptide_2:251:288,PF01469.18:Pentapeptide_2:261:298,PF01469.18:Pentapeptide_2:271:308,PF01469.18:Pentapeptide_2:290:328,PF01469.18:Pentapeptide_2:334:370 PPE family protein PPE62 582 252 11 421 0 Mycobacterium tuberculosis H37Rv YP_177985.1 1 RefSeq AEQLVPFQQALQQLPNLGIGNIGNANLGGGNTGDLNTGNGNIGNTNLGSGNRGDANLGSGNIGNSNVGGGNVGNGNFGSGNGRAGLPGSGNVGNGNLGNSNLGSGNTGNSNVGFGNTGNNNVGTGNAGSGNIGAGNTGSSNWGFGNNGIGNIGFGNTGNGNIGFGLTGNNQVGIGGLNSGSGNIGLFNSGTNNVGFFNSGNGNLGIGNSSDANVGIGNSGATVGPFVAGHNTGFGNSGSLNTGMGNAGGVNTGFGNGGAINLGFGNSGQLNAGSFNAGSINTGNFNSGQGNTGDFNAGVRNTGWSNSGLTNTGAFNAGSLNTGFGAVGTGSGPNSGFGNAGTNNSGFFNTGVGSSGFQNGGSNNSGLQNAVGTVIAAGFGNTGAQTVGIANSGVLNSGFFNSGVHNSGGFNSENQRSGFGN 1
VIMSS19366 1 188 0.0794707446808511 hypothetical protein (NCBI ptt file) 188 0 11 188 0 Helicobacter pylori 26695 VIMSS19366 1 MicrobesOnline MFKRMVLIALLGVFSSVSLSAKSLLRDDGILVSDLKGMKSELSDAPAWVFEDAKAPYEEMGVAYIPVNNKYLGIEQATLNAKLSLIVVFHEIMMKYKKRFMEQFHESEQTTTNISYAIYNYLATKIQVSNTYTNLKSEVAVVKIKLVGCQIEQIKRYLKASVENLNDNEIAYIAKVAQKEFGSVCALR
VIMSS1937137 142 411 0.146007037037037 PF13186.6:SPASM:142:202 putative anaerobic sulfatase maturation enzyme AslB 411 61 11 270 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10090-MONOMER 1 ecocyc VTKGGRPTHKLVMRALTLLQKHHVDYNVLVCVNRTSAQQPLQVYDFLCDAGVEFIQFIPVVERLADETTARDGLKLHAPGDIQGELTEWSVRPEEFGEFLVAIFDHWIKRDVGKIFVMNIEWAFANFVGAPGAVCHHQPTCGRSVIVEHNGDVYACDHYVYPQYRLGNMHQQTIAEMIDSPQQQAFGEDKFKQLPAQCRSCNVLKACWGGCPKHRFMLDASGKPGLNYLCAGYQRYFRHLPPYLKAMADLLAHGRPASDIMHAHLLVVSK
VIMSS1938128 105 229 0.211212 PF01895.19:PhoU:17:100 phosphate transport system regulator (NCBI) 229 84 11 125 0 Streptomyces coelicolor A3(2) VIMSS1938128 1 MicrobesOnline RLRYPERAVPHDLHATILEMGQLAQRLMAKAAEVIITKDVDLALQLEQDDDAMDLLHRTLFQHLMDDRWKHGIETAVDVTLLGRYYERFADHAVSVAKRVVYLVTGEHADDLQADIQPATQVEGA
VIMSS19383 1 413 0.132391283292978 PF02321.18:OEP:236:403 hypothetical protein (NCBI ptt file) 413 168 11 413 0 Helicobacter pylori 26695 VIMSS19383 1 MicrobesOnline MSMFSAGMLSAKIFTLQEFFKEVEINSMELIGKKADFKSRLNEQRSVNAWDFPYIENETSMVKNFQGIIEAQPRTLLVVRPKLPWVSSLLSKSLSIKTIQYDKSYQLNKNLAFIGAKRLYLTYVMTKEKYQVYVQREANFYSQLKIAKEKVKAGSMSEKDYINFNNSYLESKLAKTNVETKLIDLEKMLDTMLAIVEPVKEGAHFDTYLDHLHDVKVIGLDFEYVRLEPEALKFKLDRSLYVDILDLTAKDYQVNAKLANRDVFNAFEFGIGSESYNSSTNLSVEVRIPLPVTPKNIYQKRKFLDLQSGTLAQNEVMKRNIRINANSYLNQLKTKEAYIETQKEAIANKKRLMEMGRIAYEAQKIGLFEYLIYQNSYMDALITLAEAKIEYIDISALLEETLGESLTRLGELH
VIMSS194832 1 3165 0.372276018957347 Fusobacterium outer membrane protein family (NCBI ptt file) 3165 0 11 3165 0 Fusobacterium nucleatum subsp. nucleatum ATCC 25586 VIMSS194832 1 MicrobesOnline MTVKNRDLTYLKSHPSSTKLANTRDYTNYKVKTTSGGQIASRAQETNMSYIKNTGDININGDDSVGVGLLHNIQGVTIAGNINIGNVDPSSFSYSNKTGGNNGKVEGAVGVYSEVRTRPILNGDYDDHALQNTSGKTLGTETVEVSGKVELGSYAEKSSGLRIKDEGSITLKNGGEVLVGGKNNYGAVVNGKDYNYYRKTVANAYAESGTKYSDTGKINLDSGSKITVTGEESIGYALLAGKGINAGTITVTGHTNNNTTGAFHGSLGFYGEKGTFTNIGEISSSGNIAHAVALVGDATDGTIFKNNGKINVVGKGNIGVYADGKYTFEHSGSGAKISVGSDSLGIYAKNSNGNLDIKAPIELANSNTATTIGIYSDGDANVKFGTGSKLKIGEKAVGLYSADPTKFNNTFKIESGKTLDVELGKNSTFGLLNGNNTVTNSPLLSKYLNNNTSDKINIVSFGEGASLFYATSKAKAILDEDYKVTNGDAISTAVLVANNGANVEIASGKKLETNTNAGLIAINGTVGFTSVAKNNGTLISTRIDKGIGIYTSAANGENSGTITMQNKNAVGILGSKGSNLKNTGKIELEAVSSAGVYAEDSNMTNSGTTSEIIVNKEASVGIYAKETSISSVSKNVKNEGKIEIKADGDGKSAGIYSKKEGGAKLTIENTGNIEVAQKASAGIYTKNESTQANTQSEVTNSGLVKMSAENSIGIMGEKSKITNTGTGTKGIEIVEKKSAGILVTNESAVINSGRISLSNSSISSSSDGLVGISVDGSSTGENDASGEIKVDAAYSTGMLSSGGDVTNAGKIALEKKESVGMYATNANVTNSGAIPKGIFIKDEKSVGIYSKINSSSIANKTVTNSGTIDIAGTSKTGSAGIYSIIENGATKTLSAVNNGNITINQKKSVGIYAKNESSHANTESDVTNSGKIEVKNEGSAGVLAEKYKVTNTGSGTNGIIVSAKKSAGIIGKLGSEIINSGIIKTETATPTVATDGVVGISLNNSKATNTSGGVITLDTNYSTGMYGEANSQLTNEGNITGTNKEYIVGMAGDSSTVTNKNIITLNGKKATGIFGKNSSTLLNETTGKIPTKEEESVGMYSSSSLKATNKGTIITEKKTSAGMLGDKANIENDSSIPTKEEMSAGMYVKNGTSKATNKGTVTTEKKTSAGILAEIDEANGGTVSGLNETTGTITVSEETSAGMLGKVKSAVTASTAKLSLTNKKDININTKNSAGIMVVNESTAVGKENVLAENTGTINLTSSSATNEKNIGILANKATGINTGNININSKESIGMLGQNASSITNNKTITLSGEKGIGMLSKDTSSIADNNDIINVNGKESLGMLGEDSGTVKNNKTISVTAEKGVGIFVRDNGVGKGSGTGENTSTGTITLENKEAVGIFAKNNGTSDSAKNSGTINLGKADGSTIKESLIGMFAQAEAGKKANVKNTKDINVNTKKSVGIYAKNDASNITDVDLENTGDININSKESAGVYAPKANISKVGTITLKNSIDSNGSSAVYVSKGGKVANTAGVKINLGTVNQNRVAYYVNGKDSALAGADIGKITGYGVGVYLQGTSGDKATLDKNTSKLDYTLQGTGNGIIGLLLKGETNIQSYTKGIKVGNTVAATSPSDKAKYAIGIYADAQGTVGTPYNITTPITAGKSGVGIFADKDSNINYTGNMEIGDGTTAGTGIFITKKIGATGGKVTLGTNTIKLKGTKGVVAIASEGTTFNGGNATIELVGSNIQGVGVYAKKGSTVNIDHWTFNNNGNSAEEVRSEEGRVYINANKNLKPKMVLTHVINGETSIATGKTVTSVNDGSITAKENIGLMAEGIKNHSMTWQEGNFEAVNHGIIDFSAAEKSTAMFINSARAKNDGTIKVGKNSIGIYGFYNKDTRKYDGASTNPDPNKLELETTSNSKISLGDASTGMYLTNAEKIENKGGQITSESGATKNVGIYAVNGQDTKVSANNKILNMTTATNINLGNGSVGLYSKGQSNTVRNTVTNTGDITVGDKITGSPSVAMYAENTNLTTNSKIPVGKDGIAFYGKNSDITAKGSANFSNKGVLAYLENSKFVSHLGNLGSTQNTMLYLKNSIAQLDGAGTKVDMDVADGYTGAYIEGNSALTGVKTIKLGQDSTGLFLKDANFVSDAESITGTKDKARGILGVNSNFTNNSKISLSGAESIGIYSNAGSGKTVVNNGELTIAGKKTLGVFLKGSQSFENKANINIANSANSFEPAIGIYTAEGASTIKHTSGTIEVGEKSIGIYSKTPSNVEINSGKVHVKDQGIGIYKESGKLTIKGELDIDTHIATAKDSEPTGVYAVNGAQVDDQASKISIGAKSYGFILNNTDPNKTNIYTNTDAGTVSLGNDSVFLYSNGKANIINNRTINANGASHLIAFYIKNGGNFTNNGTIDFSTGKGNIGIYAPGGKATNKGRVYVGKTDDIDPMTGKVYSDVSKIVYGIGMAADNGGYIKNDGEIRIYNNKSIGMYGKGIGTTVENTGKIYLDGSRATATDKIQSMTGVYVDDGAKFINRGEIRTTDSYAGRDGKVNENVTGLVGVAVMNGSTLENHGKILIDADNSYGVIIRGKRDSKGNVERYAVIKNYGEIKVRGKGTWGISWKDVSQAYIDELQKQINDKISSDPEGQALRAATGTNKDYEGVTITVKNGKPTFLRNGVPISDSEVEQIGKLIGKESNLGLSDIGFYVDTLGRTKPIDIDGATPPINSQLIIGTEYSEKTNKKQWFVKGDVIKPFLDQIQGRNFKLTSIAGSLTWIATPVLDNYGQITGVAMAKLPYTSFVNKTDNAWNFADGLEQRYDMNALDSVEKRIFNKLNSIGKNEQTLLTQAYDEMMGHQYANVQQRVQATGIVLDKEFSHLRNSWSNPSKDSNKVKTFGMKGEYKTDTAGVIDYKYNAYGVAYVHENEDIKLGKGTGWYTGIVHNTFKFKDIGNSKEKQLQAKVGLFKSVPFDENNSLNWTISGDIFIGHNKLERKFLVVDEIFHAKSKYYTYGIGIKNEIGKEFRLSEDFSIRPYGALKVEYGRVSKIKEKSGEMKLEVKENDYLSIRPEIGTELAYRHYFGTKTLRTSVGVAYENELGRVANGKNKARVAGTTADWFNIRGEKEDRKGNVKVDLNVGIDNQRLGVTGNVGYDTKGHNVRGGVGLRVIF 1
VIMSS19488 1 331 0.0237746223564955 PF03824.16:NicO:31:319 nickel transport protein (nixA) (NCBI ptt file) 331 289 11 173 7 Helicobacter pylori 26695 VIMSS19488 0 MicrobesOnline MKLWFPYFLAIVFLHALGLALLFMANNASFYAAASMAYMLGAKHAFDADHIACIDNTIRKLTQQGKNAYGVGFYFSMGHSSVVILMTIISAFAIAWAKEHTPMLEEIGGVVGTLVSGLFLLIIGLLNAIILLDLLKIFKKSHSNESLSQQQNEEIERLLTSRGLLNRFFKPLFNFVSKSWHIYPIGFLFGLGFDTASEIALLALSSSAIKVSMVGMLSLPILFAAGMSLFDTLDGAFMLKAYDWAFKTPLRKIYYNISITALSVFIALFIGLIELFQVVSEKLHLKFENRLLRALQSLEFTDLGYYLVGLFVIAFLGSFFLWKIKFSKLES
VIMSS19584 1 183 0.214450819672131 Uncharacterized protein 183 0 11 183 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25787 1 SwissProt/TReMBL MSYFYKHCLKFSLVGLLGLLSVQLDARSFVDGDLDIQKFSYEDSLLKKGDPNGVHKVQVRDYKGKMQEAEIHSEIRIALKPGVKKEVKKGKIYSAQINDGMCYAFRMLQTGDNTTGLDSKEFPKQSREKKGRVITLIGKGEVPYLILETDCQVGDIAKISLVGNFDGTGFLTEYKFKDAKPIY
VIMSS19598 1 385 0.482227012987013 PF05917.11:DUF874:1:385 Uncharacterized protein 385 385 11 385 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25799 1 SwissProt/TReMBL MESVKTGKTNKVGKNTEMANTKANKEAHFKQASTITNIIRSIRGIFTKIAKKVRGLVKKHPKKSSAALVVLTHIACKKAKELDDKVQDKSKQAEKENQINWWKYSGLTIATSLLLAACSTGDVSEQIELEQEKQKTSNIETNNQIKVEQEKQKTSNIETNNQIKVEQEQQKTEQERQKTEQERQKTEQEKQKTIKTQKDFIKYVEQNCQENHNQFFIEKGGIKAGIGIEVEAECKTPKPAKTNQTPIQPKHLPNSKQPRSQRGSKAQELIAYLQKELESLPYSQKAIAKQVDFYRPSSIAYLELDPRDFNVTEEWQKENLKIRSKAQAKMLEMRSLKPDSQAHLSTSQSLLFVQKIFADVNKEIKVVANTEKKAEKAGYGYSKRM
VIMSS19603 1 93 0.475463440860215 secreted protein involved in flagellar motility (NCBI ptt file) 93 0 11 75 1 Helicobacter pylori 26695 VIMSS19603 1 MicrobesOnline MKKQILTGVLLSVLAVSSAYAHKDKKDAKKPKFSTELVVAQNDKKDAKKPKFSTELVVAQNDKKDAKKPKFSTELVVAQNDKKDAKKPKNSVV
VIMSS19645 1 153 0.426504575163399 hypothetical protein (NCBI ptt file) 153 0 11 153 0 Helicobacter pylori 26695 VIMSS19645 1 MicrobesOnline MAVSSINQFDSNLYGLLNAKSAPKEDLAPIESTEKVEREKKDAPTENLPFDPDKRETYGFLVLELMSDREYEAFLRATAGMDESQKRLAAQSLYSLTDFYNGKFSKEVENAPKQPINGLHKKALQTFNATNHNAFLQRYQNAYNNPSTMDVIL
VIMSS198 1 363 0.0473096418732783 hypothetical protein (NCBI ptt file) 363 0 11 294 3 Chlamydia trachomatis D/UW-3/CX VIMSS198 1 MicrobesOnline MVSMSLNLPPAEVRLRPVTAKSFPNSSAWRATQKRITGCSLNASSYRPSSARVISFVVGVLVVLGWICFRIAYLANSRVLTFPKMFAIAILPFILFGGGLAILFRIAGKVDVLYGKKIQPFISRQWERVILCEKEGEFIRPIQEPDMYMDVSLLDRTGSGIAPVYTYPPMDARTVICVVTSILLPIFSIVRMLYNIFRFFIVPFYILFQMVRQNYQTDIPKEERFVCSDIVREMTRSLLQAVKAPFYGAVCYLANLYGLLNPLSGRVVLASLERDWNNDVIRSRGVWGIFCEKNYMFEGGGTRSGLGQNAWYLLGCFQPVQLFLLKDGVIISGARPSIQSFPESKEYLASFLYGAVPGRLAGF
VIMSS19851 1 259 0.13586332046332 hypothetical protein (NCBI ptt file) 259 0 11 259 0 Helicobacter pylori 26695 VIMSS19851 1 MicrobesOnline MAFWHKRLAVGCCIVLFSCMMNANSIQIVRDDPPLDPTLPAWVYSVALLKVYFSDGTYKEGYATLLKNGRYIASSETLYSNGLYPKTILAKMQDSSAKELICIASLRLEAMDRNQGLSLLKTADFRDDYCHKREESYYHARIYTKYAQTFHSNPYTNQKTPNSDLYYPALNEGNSFSIQIMGISVAELLKSKKFLSLDVSFKKGSVLWGGRPYFSEVGEFMGMASSTLENQESLVIIPKEKIVQFLNALKNQNIFPNIP
VIMSS19868 1 210 0.384933333333334 PF13036.6:LpoB:48:200 hypothetical protein (NCBI ptt file) 210 153 11 210 0 Helicobacter pylori 26695 VIMSS19868 1 MicrobesOnline MLLKTKLKIISSVILSALLWVGCSSEMATYQNVNDATKNTTASINSTDLLLTANAMLDSMFSDPNFEQLKGKHLIEVSDVINDTTQPNLDMNLLTTEIARQLRLRSNGRFNITRASGGSGIAADSRMVKQREKERESEEYNQDTTVEKGTLKAADLSLSGKVSSIAASISSSRQRLDYDFTLSLTNRKTGEEVWSDVKPIVKNASNKRMF
VIMSS19880 1 248 0.0675798387096775 PF01856.17:HP_OMP:59:248 outer membrane protein (omp31) (NCBI ptt file) 248 190 11 248 0 Helicobacter pylori 26695 VIMSS19880 1 MicrobesOnline MLKRMILLGALGVLASAEESAAFVGVNYQVSMIQNQTKMVNDNGLQKPLIKFPPYAGAGFEVGYKQFFGKKKWFGMRYYGFFDYAHNRFGVMKKGIPVGDSGFIYNSFSFGGNTLTERDSYQGQYYVNLFTYGVGLDTLWNFVNKENMVFGFVVGIQLAGDSWATSISKEIAHYAKHHSNSSYSPANFQFLWKFGVRTHIAKHNSLELGIKVPTITHQLFSLTNEKGYTLQADVRRVYAFQISYLRDF 3
VIMSS19912 1 388 0.251948453608248 PF01856.17:HP_OMP:157:388 outer membrane protein (omp32) (NCBI ptt file) 388 232 11 388 0 Helicobacter pylori 26695 VIMSS19912 1 MicrobesOnline MMLNFMTKKKNRMQDCKMVCKNFNRKESVLIAQSLDISKKGSVILGALLSSLWLTNPLNAHEKNGAFVGISLEVGRADQKTNAYKNGELFQVPFGDVSANDDGKVPDGQTGGCQPASGTPGTPGYTKANCVVNWTSRTMLSTNKNIPGRNQPMYGLGVMTGYKHFIGKKRWFGLRYYGFFDYGHTNFSNSRAANAISPFYLSDQKADMYTYGFGTDMLFNIIDKPKATAGFFLGVNFAGNTWTNNRVGYFKDGYVYGVNTDADAYMTNADGTITCGDTTPASCNVGINPNSVYTTGKLNAKVNHTIFQFLVNVGIRTNIFEHHGIEFGIKIPTLPNYFFKGSTTIRAKKQGPLENGQPTTITGAETNFSLTQTLRRQYSMYLRYVYTF 5
VIMSS1993390 929 3014 0.436976558005754 PF13332.6:Fil_haemagg_2:1093:1159,PF13332.6:Fil_haemagg_2:1152:1208,PF13332.6:Fil_haemagg_2:1218:1290,PF13332.6:Fil_haemagg_2:1300:1430,PF13332.6:Fil_haemagg_2:1529:1703,PF04829.13:PT-VENN:2044:2086 tRNA nuclease CdiA; tRNase CdiA; Anticodon nuclease CdiA; CdiA-EC536; Toxin CdiA; EC 3.1.-.- 3242 538 11 2086 0 Escherichia coli O6:K15:H31 (strain 536 / UPEC) SwissProt::Q0T963 1 SwissProt SALNLSNSGQWIAKNLTLKANSLTSAGDITGVDTLTLTVNQTLNNQANGKLLSAGVLTLKADSVTNDGQLQGNATTITAGQLTNGGHLQGETLTLAASGGVNNRFGGVLMSRNALNVSTATLSNQGTIQGGGGVSLNVTDRLQNDSKILSGSNLTLTAQVLANTGSGLVQAATLLLDVVNTVNGGRVLATGSADVKGTTLNNTGTLQGADLLVNYHTFSNSGTLLGTSGLGVKGSSLLQHGTGRLYSAGNLLLDAQDFSGQGQVVATGDVTLKLIAALTNHGTLAAGKTLSVTSQNAITNGGVMQGDAMVLGAGEAFTNNGMLTAGKGNSVFSAQRLFLNAPGSLQAGGDVSLNSRSDITISGFTGTAGSLTMNVAGTLLNSALIYAGNNLKLFTDRLHNQHGDILAGNSLWVQKDASGGANTEIINTSGNIETHQGDIVVRTGHLLNQREGFSATTTTRTNPSSIQGMGNALVDIPLSLLPDGSYGYFTREVENQHGTPCNGHGACNITMDTLYYYAPFADSATQRFLSSQNITTVTGADNPAGRIASGRNLSAEAERLENRASFILANGDIALSGRELSNQSWQTGTENEYLVYRYDPKTFYGSYATGSLDKLPLLSPEFENNTIRFSLDGREKDYTPGKTYYSVIQAGGDVKTRFTSSINNGTTTAHAGSVSPVVSAPVLNTLSQQTGGDSLTQTALQQYEPVVVGSPQWHDELAGALKNIAGGSPLTGQTGISDDWPLPSGNNGYLVPSTDPDSPYLITVNPKLDGLGQVDSHLFAGLYELLGAKPGQAPRETAPSYTDEKQFLGSSYFLDRLGLKPEKDYRFLGDAVFDTRYVSNAVLSRTGSRYLNGLGSDTEQMRYLMDNAARQQKGLGLEFGVALTAEQIAQLDGSILWWESATINGQTVMVPKLYLSPEDITLHNGSVISGNNVQLAGGNITNSGSSINAQNGLSLDSTGYIDNLNAGLISAGGSLDLSAIGDISNISSVISGKTVQLESVSGNISNITRRQQWNAGSDSRYGGVHLSGTDTGPVATIKGTDSLSLDAGKNIDITGATVSSGGTLGMSAGNDINIAANLISGSKSQSGFWHTDDNSASSTTSQGSSISAGGNLAMAAGHNLDVTASSVSAGHSALLSAGNDLSLNAVRESKNSRNGRSESHESHAAVSTVTAGDNLLLVAGRDVASQAAGVAAENNVVIRGGRDVNLVAESAGAGDSYTSKKKKEINETVRQQGTEIASGGDTTVNAGRDITAVASSVTATGNISVNAGRDVALTTATESDYHYLETKKKSGGFLSKKTTHTISEDSASREAGSLLSGNRVTVNAGDNLTVEGSDVVADQDVSLAAGNHVDVLAATSTDTSWRFKETKKSGLMGTGGIGFTIGSSKTTHDRREAGTTQSQSASTIGSTAGNVSITAGKQAHISGSDVIANRDISITGDSVVVDPGHDRRTVDEKFEQKKSGLTVALSGTVGSAINNAVTSAQETKESSDSRLKALQATKTALSGVQAGQAATMASATGDPNATGVSLSLTTQKSKSQQHSESDTVSGSTLNAGNNLSVVATGKNRGDNRGDIVIAGSQLKVGGNTSLDAANDILLSGAANTQKTTGRNSSSGGGVGVSIGAGGNGAGISVFAGVNAAKGSEKGNGTEWTETTTDSGKTVTINSGRDTVLNGAQVNGNRIIADVGHDLLISSQQDTSKYDSKQTSVAAGGSFTFGSMTGSGYIAASRDKMKSRFDSVAEQTGMFAGDGGFDITVGRHTQLDGAVIASTATPDKNHLDTGTLGFSDLHNEADYKVSHSGISLSGGGSFGDKFQGNMPGGMISAGGHSGHAEGTTQAAVAEGTITIRDRDNQKQNLANLSRDPAHTNDSISPIFDKEKEQRRLQTVGLISDIGSQVADIARTQGELNALKAAQDKYGPVPADATEEQRQAYLAKLRDTPEYKKEQEKYGTGSDMQRGIQAATAALQGLVGGNMAGALAGASAPELANIIGHHAGIDDNTAAKAIAHAILGGVTAALQGNSAAAGAIGAGTGEVIASAIAKSLYPGVDPSKLTEDQKQTVSTLATLSAGMAGGIASGDVAGAAAGAGAGKN
VIMSS2017505 1 1876 0.374681556503199 PF07580.14:Peptidase_M26_C:1136:1871,PF05342.14:Peptidase_M26_N:581:829,PF07501.12:G5:367:440,PF07501.12:G5:443:515,PF00746.21:Gram_pos_anchor:69:102 zinc metalloprotease 1876 1166 11 1810 3 Streptococcus pneumoniae R6 NP_358175.1 1 RefSeq MFKKDRFSIRKIKGVVGSVFLGSLLMAPSVVDAATYHYVNKEIISQEAKDLIQTGKPDRNEVVYGLVYQKDQLPQTGTEASVLTAFGLLTVGSLLLIYKRKKIASVFLVGTMGLVVLPSAGAVDPVATLALASREGVVEMEGYRYVGYLSGDILKTLGLDTVLEETSAKPGEVTVVEVETPQSTTNQEQARTENQVVETEEAPKEEAPKTEESPKEEPKSEVKPTDDTLPKVEEGKEDSAEPAPVEEVGGEVESKPEEKVAVKPESQPSDKPAEESKVEQAGEPVAPRKDEQAPVEPENQPEAPEEEKAVEETPKQEESTPDTKAEETVEPKEETKTAKGTQEEGKEGQAPVQEVNPEYKVTTGTVEKSTESELDFTTEVVPDDTKYVDEEVVERQGSKGVQVTKTTYETVEVVETDKVLSTTTEVKTPVVPKVVKKGTKPVETREEVIPFATKEQEDDTLKRGTRQVAQEGVNGKKQITETYKTIRGEKTNEAPTVEETVLQAPQDEIIKKGTKGLEKPTLQWANTEKDVLKKSATASYTLTKPAGVEIKSIKLALKDKDGQLVKEVTVAENNLNATLDKLKYYQGYTLSTTMVYDRGEGEETEKLEDKQIQLDLKKVEIKNIKETSLMNVDAEGNETDKSLLSEKPTDVSQLYLRVTTHDNKVTRLAVSSVEEVVVDGKTLYKVVAKAPDLVQRRADDTLSEEYVHYFEKQLPKVNNVYYNFNELVKDMQANPMGEFKLGADLNAVNVKPAGKAYVMAKFRGTLSSVENHQYTIHNLERPLFNEAEGATLKNFNLGNVNINMPWADKVAPIGNMFKKSTLENIKVVGSVTGNNDVTGAVNKLDEANMRNVAFIGKINSLGDKGWWSGGLVSESWRSNTDSVYFDGDIVGNNSKFGGLVAKVNHGSNQWDVKQKGRLTNSVVKGTMTLKNHGQSGGLVHENYDWGWVENNISMMKVNNGEIMYGSGSIDGDPYFGFDYFKNNYYVKDVATGESTYKRSKQIQSISQAEADAKIANMGITANTFAIQDPVVNKLNRIIDRDSEYKAIQDYQETRNLAYRNLEKLQPFYNKEWIVNQGNKLTDESNLVKKTVLSVTGMKSGQFVTDLSSVDKIMIHYADGTKEEFGVSAISDSRVKQVKEYNVDDLGVVYTPNMVDKNRDSLITKVKEKLSSVALDSAEVKSITNNPASLYLEESFAEVRETLDKLVKSLLENEDHQLNSDEVAEKALLKKVEDNKAKIILALTYLNRYYGIDYDGLNFKHLMMFKPDFYGKTPSILDFLIRIGSAEKNLKGDRSLEAYREVIGGTIGKGELNGLLGYNMRLFTKYTDLNDWFIHAAKNVYVSEPETTTEDFKDKRHRIYDGLNNDVHGRMILPLLNLKKAHIFVISTYNTIAFSSFEKYGKNTEEERNAYKAEIDRVAKAQQRYLDFWSRLALPKVRNQLLKSQNSVPTPVWDNQVYVGLGGANRMGYGDGGRVVTPVRELFGPTDRWHQINWNMGAMAKIYERPWKDDQVYFMVTNMMEPFGISAFTHETTHVNDRMAYYGGDWHREGTDLEAFAQGMLQTPDKSTTNGEYGALGINMAYERKNDGEQLYNYDPEKLDSREKIDSYMKNYNESMMMLDYLEASAVIRQNLSDNSKWFKKMDKEWRTNADRNRLIGEPHQWDKLRDLTEEEKKLPIDSIDKLVENNFVTLHGMPKNGRYRTEGFDSSYQPVNMMAGVFGGNTSKSTVGSISFKHNAFRMWGYYGYENGFIPYVSNKLKGAANKENKGLLGDDFIIKKVSKNQFQNLEEWKKHWYHEVYDKAQKGFVEIEVDGVKISTYAQLQSLFEEAVSKDLAGMDDKNIKNHYQYTENLKWKIYKQLLKNTDGFSSDLFTAPQA
VIMSS2073747 1 178 0.0461129213483146 hypothetical protein (NCBI) 178 0 11 109 3 Francisella tularensis subsp. holarctica OSU18 VIMSS2073747 0 MicrobesOnline MEKISHKDIQDKLWSNEDESNLSNEQYNSLLIEQYRIYVELTDRTSYRRIVINLFFLVFNLVLVGVVALAISNNINVENPPSSILVSIPYFAGLVFCYAWWKIIRFFRHHIQIKNSIVPSLERRLPSRVWLTEEHIAEEKGSFKPIRILEIYMPFIFMGIYTALFLFVEIAWLPHTLN
VIMSS208071 1 78 0.0324205128205128 PF04023.14:FeoA:5:72 ferrous iron transporter component feoA (Dmitry Rodionov) 78 68 11 78 0 Desulfovibrio vulgaris Hildenborough VIMSS208071 1 MicrobesOnline MRCCSLREAPAGSRVRVNRLCDCPRDRGRLCALGITPGSEIEVCSQAGGCCVRVRESSFVLGDSLACTVLCEPVAAKV
VIMSS2092480 1 111 0.160072972972973 hypothetical protein 111 0 11 111 0 Francisella tularensis subsp. holarctica FSC200 WP_003017929.1 1 RefSeq MANQYSGNFEQIVKNRFKCSAREILLKCQREGLSYQDAEKVLGFKHVTIRKWAKRFDIKLPPRFRSHDEHLEQKREIAYVNQCKLNKINKRNVFSRCWINMNLYSVIKAKS
VIMSS2093314 1 173 0.191339306358382 PF14107.6:DUF4280:58:167 DUF4280 domain-containing protein 173 110 11 173 0 Francisella tularensis subsp. novicida U112 WP_003040120.1 1 RefSeq MLNIINDSLKRLEEITTDDESISSSVSDLVADLNNIKILLAQSKLHLSSNASILTTSTGAQIKCSYSLGSGIYLSTRIKTLTNNLPASNITDSKLGANILPFAGCTNPANPTMNPFSFPWVCIPNLSAFIPTNPTTLLENAPITTINSKAMCMFAPGGIVDFISGGQINVKTS
VIMSS209470 1 461 0.211675704989154 PF13534.6:Fer4_17:63:136,PF02754.16:CCG:338:422,PF13183.6:Fer4_8:61:135 HmcF, 52.7 kd protein in hmc operon (voordouw) 461 161 11 461 0 Desulfovibrio vulgaris Hildenborough VIMSS209470 1 MicrobesOnline MPEGKFCNRKPVNTEEDLKALLGDKGGAQYYKEMEELEVDQEALWANIEKTCQSRTKTWLEICAHCGMCADSCFLYRVNDRDPKQVPAYKIQSTLGEIIRRKGKVDTQFMLHAMEVAWSQCTCCNRCGQYCPHGIDMGVMFSYLRGLLYSQGFVPWELKIGSGMHRVYGAQMDVTTEDWVETCEWMAEEQQEEWPGLEIPVDVENADIMYVLNAREPKHYPEDVAEAAILFHIAGENWTVPSEGWEQTSLAMFAGDWAACKMQVERVYAAIERLKPKCVVGTECGHAHRASAIEGPYWAGYEDGKTPAPWLHYVEWVAMALRTGKIKIDPEKRIKEPVTLQDSCNYIRNHGLAKCTREIMSYIADDFREMTPNREHNYCCGGGGGFNGIGKFRKQRNKALQTKRDQILATGAKLVVAPCHNCWDAIRDLEEEYRIGIRWSFLKPLIIKMAIIPEHLRPEEE
VIMSS2101195 1 352 0.347214488636364 PF05223.11:MecA_N:32:139 penicillin-binding protein 603 108 11 352 0 Mycobacterium tuberculosis variant africanum GM041182 WP_003414596.1 1 RefSeq MVTKTTLASATSGLLLLAVVAMSGCTPRPQGPGPAAEKFFAALAIGDTASAAQLSDNPNEAREALNAAWAGLQAAHLDAQVLSAKYAEDTGTVAYRFSWHLPKDRIWTYDGQLKMARDEGRWHVRWTTSGLHPKLGEHQTFALRADPPRRASVNEVGGTDVLVPGYLYHYSLDAGQAGRELFGTAHAVVGALHPFDDTLNDPQLLAEQASSSTQPLDLVTLHADDSNRVAAAIGQLPGVVITPQAELLPTDKHFAPAVLNDVKKAVVDELDGKAGWRVVSVNQNGVDVSVLHEVAPSPASSVSITLDRVVQNAAQHAVNTRGGKAMIVVIKPSTGEILAIAQNAGADADGPV
VIMSS2101607 95 359 0.245843396226415 PF00483.23:NTP_transferase:9:141,PF00132.24:Hexapep:161:192,PF00132.24:Hexapep:211:241 D-alpha-D-mannose-1-phosphate guanylyltransferase ManB 359 196 11 265 0 Mycobacterium tuberculosis H37Rv YP_177951.1 1 RefSeq ANVAGKLRNDTAMVFNGDVLSGADLAQLLDFHRSNRADVTLQLVRVGDPRAFGCVPTDEEDRVVAFLEKTEDPPTDQINAGCYVFERNVIDRIPQGREVSVEREVFPALLADGDCKIYGYVDASYWRDMGTPEDFVRGSADLVRGIAPSPALRGHRGEQLVHDGAAVSPGALLIGGTVVGRGAEIGPGTRLDGAVIFDGVRVEAGCVIERSIIGFGARIGPRALIRDGVIGDGADIGARCELLSGARVWPGVFLPDGGIRYSSDV
VIMSS2195213 1 114 0.343812280701755 PF10109.9:Phage_TAC_7:20:94 hypothetical protein (NCBI) 114 75 11 114 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2195213 1 MicrobesOnline MTQENRLPGWLTLDADAALVRLSRPAQCNGVSVDTLTLRAPTVRDIRLAGKVAGDDAEERELQLFASLAQVSRQDLEGLKLSDYQRLQGAYFRLVQDDTDDTFAYASTGEAPGH
VIMSS2195224 1 115 0.238154782608696 PF16459.5:Phage_TAC_13:4:107 hypothetical protein 115 104 11 115 0 Pseudomonas aeruginosa M18 WP_003113189.1 1 RefSeq MNLNELRAAGGFIESALVRKEITWTRVPAGRKKAVSDTFQVFVRRNSFGAVERLFSAEGDQQSRNARYLAECIRLGETGEESLTYEQAYDLDPALGFLLLQAVGEVNRVEDAEKN
VIMSS2209929 1 77 0.563801298701299 hypothetical protein (NCBI) 77 0 11 77 0 Coxiella burnetii RSA 493 VIMSS2209929 1 MicrobesOnline MRKSSAKTNEDVSPSERDHLSELNPDTLKKIIHNLSARDSYSLSLSNKSLRNKVGAEFEHRAKTLNSDVKKLHLTIK
VIMSS242306 1 328 0.310568292682927 PF10298.9:WhiA_N:18:102,PF14527.6:LAGLIDADG_WhiA:125:216,PF02650.14:HTH_WhiA:219:301 Probable cell division protein WhiA 328 260 11 328 0 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q9Z515 1 SwissProt MTAAVKSEISQLPVTRTCCRKAEVSAVLRFAGGLHLVSGRIVIEAELDTGNAARRLKRDILEIFGHSSELIVMAPGGLRRGSRFVVRVVAGGDQLARQTGLVDGRGRPIRGLPPQVVSGATCDAEAAWRGAFLAHGSLTEPGRSSSLEVTCPGPEAALALVGAARRLSIPAKAREVRGVDRVVVRDGDAIGALLTRLGAHDSVLAWEERRLRREVRATANRLANFDDANLRRSARAAVAAGARVQRALEILADDVPEHLAAAGRLRMEHKQASLEELGALADPPLTKDAVAGRIRRLLAMADKRASDLGIAGTDANLGEEELADNLVG
VIMSS243025 1 90 0.269966666666667 PF03777.13:ChpA-C:23:78 Chaplin-G; Chaplin-F 90 56 11 67 1 Streptomyces coelicolor (strain ATCC BAA-471 / A3(2) / M145) SwissProt::Q9KYH3 1 SwissProt MSRIAKAAGVALGTGAVVLSGTGMAMADAGAAGAAVGSPGVLSGNVVQVPVHVPVNLCGNTIDVIGLLNPAFGNACENGDDDDKSGGYGG
VIMSS243656 1 104 0.377356730769231 PF04542.14:Sigma70_r2:13:76 RNA polymerase sigma-E factor 177 64 11 104 0 Streptomyces lividans TK24 WP_003975479.1 1 RefSeq MGEVLEFEEYVRTRQDALLRSARRLVPDPVDAQDLLQTALARTYGRWETIEDKRLADAYLRRVMINTRTEWWRARKLEEVPTEQLPESPMDDATEQHADRALLM
VIMSS245941 1 98 0.516638775510204 PF04149.12:DUF397:30:80 regulator, BldB (NCBI) 98 51 11 98 0 Streptomyces coelicolor A3(2) VIMSS245941 1 MicrobesOnline MAQVPDEDVKARKERERDELYALDISGVEWHSAPGTEEHEERVEIAYLPDGAVAMRSSLDPETVLRYTEAEWRAFVLGARDGEFDLEPAPGDGGVVAE
VIMSS246478 1 276 0.3567 PF03704.17:BTAD:98:243,PF00486.28:Trans_reg_C:16:75 regulatory protein (NCBI) 276 206 11 276 0 Streptomyces coelicolor A3(2) VIMSS246478 1 MicrobesOnline MGPFEIVGDDGRVHLPTTPKVCQTLALLLTRPNEIVAADSVIQELWGDDPPRSAHATVQTYIHQARRMFRDRRLTDDERRPLATHAPGYLIRVADEEVDSTVFQRLVDRSRTELDQGRPQSAADSARTALALWRGPVLSNVPVGRALTARVVHLEEVRIRALELALEADRRLGRERGSIPELRSLVHDFPLNEWFHGRLIRALHRAGRRAEALEAYQCLYRILDTELGLEPSPEIQRLQSEVLDPPPGIGPSLLGSDDLLAPYDGHRDRVGAPLRA
VIMSS26673 1 325 0.192864615384615 PF03687.13:UPF0164:8:313 T. pallidum predicted coding region TP0856 (NCBI ptt file) 325 306 11 302 1 Treponema pallidum subsp. pallidum str. Nichols VIMSS26673 1 MicrobesOnline MVHYKSVFYKSAALVCGFVLAGASVAIASSEAAAKTRSKMSEFKRRAVSSPSGGRLSVLDGSFTALANDASFFEANPAGSANMTHSELTFAHTVGFNNSHAETLSYVGQSGNWGYGASMRMFFPESGFNFSPSTGPVCTPASNPIKKLGGLGIVNFSRRFGGLSIGANLKAGFRDAQGLTHLSLGTDVGLQWVGNVAKFFSSAEPNMYVGLSATNLGFTVKLPGSPFVLCRATGEQCCKTCSGRCTGVGTCCNGEKPCCKDCDCNCPCQDEATPGSPHATDTMLRAGFAYRPLSWFLFSVGVATRVNVSNLQVDHSGSALPMRLG
VIMSS27417 1 444 0.212257432432433 PF01755.17:Glyco_transf_25:15:364 putative lipopolysaccharide biosynthesis protein (NCBI ptt file) 444 350 11 444 0 Helicobacter pylori J99 VIMSS27417 1 MicrobesOnline MVECQRIPYLGVHLTQVYIISLKESQRRLDTEKLILESNEKFKGRCVFQIFDAISPKHQDFEKLLQELYDSSSLLKSDWFHSDYCYQELLPQEFGCYLSHYFLWKECVKSNQPVVILEDDVTLESHFMQALEDCLKSPFDFVRFYGHYWGGHKTNLRALPIYTEAEASMEKTPIENHEVTPPPNPTQDAQQNSIMETQQDPKELSESCKIAPQKTSFNPVVFKKIKRKLNLFIGNILARTEAYKNVVAKYDDLTGKYDDLNKNIAEKYDDLTGKYDDLNKNIAEKYDDLMGKYEALLAKETNIKETFWESRADNEKEALFLEHFYLTSVYVATTAGYYLTPKGAKTFIEATERFKIIEPVDMFMNNPTYHDVANFTYLPCPVSLNKHAFNSTIQNAKKPDISLKPPRKSYFDNLFYHKFNAQKCLKAFNKYSKRYAPLKTPKEV
VIMSS285802 1 126 0.699522222222222 PF13985.6:YbgS:3:126 PF13985 family protein YbgS 126 124 11 126 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6394-MONOMER 1 ecocyc MKMTKLATLFLTATLSLASGAALAADSGAQTNNGQANAAADAGQVAPDARENVAPNNVDNNGVNTGSGGTMLHSDGSSMNNDGMTKDEEHKNTMCKDGRCPDINKKVQTGDGINNDVDTKTDGTTQ
VIMSS289203 1 136 0.0052610294117647 PF06146.12:PsiE:63:123 putative phosphate starvation-inducible protein PsiE 136 61 11 52 4 Escherichia coli K-12 substr. MG1655 ecocyc::EG11209-MONOMER 0 ecocyc MTSLSRPRVEFISTILQTVLNLGLLCLGLILVVFLGKETVHLADVLFAPEQTSKYELVEGLVVYFLYFEFIALIVKYFQSGFHFPLRYFVYIGITAIVRLIIVDHKSPLDVLIYSAAILLLVITLWLCNSKRLKRE
VIMSS289676 1 195 0.458795897435897 PF00691.20:OmpA:106:182 OmpA family lipoprotein 195 77 11 195 0 Leptospira interrogans serovar Lai str. 56601 NP_710403.1 1 RefSeq MVKKILNLILLGAIAFSFTLCSSAEKKEESAAPEPSTQEQSAAANRNVDVNSPEAIADSLNEKLKDFRYPDGLTRPGFSYKKADVTPGDFSEWSKTNAPVIKEGLRKLPDSYALEITGHTDAIGPEQAEGAKKGNIFYSELRANAVKQALIKQGIPANRIVTKGAGSSEPVSGLDAKDAKNRRVTFRFATSAPQQ
VIMSS29027 1 389 0.167949357326478 PF01308.17:Chlam_OMP:1:389 Major outer membrane porin 389 389 11 389 0 Chlamydia pneumoniae P27455 1 SwissProt/TReMBL MKKLLKSALLSAAFAGSVGSLQALPVGNPSDPSLLIDGTIWEGAAGDPCDPCATWCDAISLRAGFYGDYVFDRILKVDAPKTFSMGAKPTGSAAANYTTAVDRPNPAYNKHLHDAEWFTNAGFIALNIWDRFDVFCTLGASNGYIRGNSTAFNLVGLFGVKGTTVNANELPNVSLSNGVVELYTDTSFSWSVGARGALWECGCATLGAEFQYAQSKPKVEELNVICNVSQFSVNKPKGYKGVAFPLPTDAGVATATGTKSATINYHEWQVGASLSYRLNSLVPYIGVQWSRATFDADNIRIAQPKLPTAVLNLTAWNPSLLGNATALSTTDSFSDFMQIVSCQINKFKSRKACGVTVGATLVDADKWSLTAEARLINERAAHVSGQFRF
VIMSS296826 1 434 0.49263801843318 PF01476.20:LysM:53:95 unknown (NCBI ptt file) 434 43 11 434 0 Streptococcus agalactiae NEM316 VIMSS296826 1 MicrobesOnline MKMNKKVLLTSTMAASLLSVASVQAQETDTTWTARTVSEVKADLVKQDNKSSYTVKYGDTLSVISEAMSIDMNVLAKINNIADINLIYPETTLTVTYDQKSHTATSMKIETPATNAAGQTTATVDLKTNQVSVADQKVSLNTISEGMTPEAATTIVSPMKTYSSAPALKSKEVLAQEQAVSQAAANEQVSPAPVKSITSEVPAAKEEVKPTQTSVSQSTTVSPASVAAETPAPVAKVAPVRTVAAPRVASVKVVTPKVETGASPEHVSAPAVPVTTTSPATDSKLQATEVKSVPVAQKAPTATPVAQPASTTNAVAAHPENAGLQPHVAAYKEKVASTYGVNEFSTYRAGDPGDHGKGLAVDFIVGTNQALGNKVAQYSTQNMAANNISYVIWQQKFYSNTNSIYGPANTWNAMPDRGGVTANHYDHVHVSFNK
VIMSS29739 1 203 0.327433004926108 PF03304.13:Mlp:30:183 Lipoprotein MlpJ 203 154 11 203 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::Q9RZZ0 1 SwissProt MKIINILFCISLLLLNSCNSNDNDTLKNNAQQTKSRKKRDLSQEELPQQEKITLTSDEEKMFTSLINVFKYTIEKLNNEIQGCMNGNKSKCNDFFDWLSEDIQKQKELAGAFTKVYNFLKSKAQNETFDTYIKGAIDCKKNTPQDCNKNNKYGDGDNLIEQYFRGVANDMSNRNSNEEIYQYLKDELLKEDNHYAGLTANWQN
VIMSS303135 1 138 0.485306521739131 PF00460.20:Flg_bb_rod:9:39 flagellar basal-body rod protein FlgB 138 31 11 138 0 Escherichia coli K-12 substr. MG1655 ecocyc::FLGB-FLAGELLAR-MOTOR-ROD-PROTEIN 1 ecocyc MLDKLDAALRFQQEALNLRAQRQEVLAANIANADTPGYQARDIDFASELKKVMQRGRDATSVVALTMTSTQHIPAQALTPPTAELQYRIPDQPSLDGNTVDMDRERTQFADNSLQYQMSLSALSGQIKGMMNVLQSGN
VIMSS31939 1 289 0.0301301038062284 PF02405.16:MlaE:70:275 CONSERVED HYPOTHETICAL INTEGRAL MEMBRANE PROTEIN YRBE1B (NCBI) 289 206 11 177 5 Mycobacterium tuberculosis H37Rv VIMSS31939 1 MicrobesOnline MSTAAVLRARFPRAVANLRQYGGAAARGLDEAGQLTWFALTSIGQIAHALRYYRKETLRLIAQIGMGTGAMAVVGGTVAIVGFVTLSGSSLVAIQGFASLGNIGVEAFTGFFAALINVRIAGPVVTGVALAATVGAGATAELGAMRISEEIDALEVMGIKSISFLASTRIMAGLVVIIPLYALAMIMSFLSPQITTTVLYGQSNGTYEHYFQTFLRPDDVFWSFLEALIITAIVMVSHCYYGYAAGGGPVGVGEAVGRSMRFSLVSVQVVVLFAALALYGVDPNFNLTV
VIMSS31944 1 390 0.279182564102564 PF02470.20:MlaD:51:124 POSSIBLE MCE-FAMILY LIPOPROTEIN LPRK (MCE-FAMILY LIPOPROTEIN MCE1E) (NCBI) 390 74 11 372 1 Mycobacterium tuberculosis H37Rv VIMSS31944 1 MicrobesOnline MMSVLARMRVMRHRAWQGLVLLVLALLLSSCGWRGISNVAIPGGPGTGPGSYTIYVQMPDTLAINGNSRVMVADVWVGSIRAIKLKNWVATLTLSLKKDVTLPKNATAKIGQTSLLGSQHVELAAPPDPSPVPLKDGDTIPLKRSSAYPTTEQTLASIATLLRGGGLVNLEGIQQEINAIVTGRADQIRAFLGKLDTFTDELNQQRDDITRAIDSTNRLLAYVGGRSEVLNRVLTDLPPLIKHFADKQELLINASDAVGRLSQSADQYLSAARGDLHQDLQALQCPLKELRRAAPYLVGALKLILTQPFDVDTVPQLVRGDYMNLSLTLDLTYSAIDNAFLTGTGFSGALRALEQSFGRDPETMIPDIRYTPNPNDAPGGPLVERGNRQC
VIMSS31949 1 244 0.400654098360656 PROBABLE CONSERVED MCE ASSOCIATED MEMBRANE PROTEIN (NCBI) 244 0 11 221 1 Mycobacterium tuberculosis H37Rv VIMSS31949 1 MicrobesOnline MEDQQSASGDLTQKSVANGESTDTASAATEGHRGEIDAAGEPDERGAAVADSQADEDDSAATAARGGKTRARRSRGRRLAITVGVAAALFVGSAAFAGATVEPYLSERAVVATKLMVARTAANAITTLWTYTPENMDTLADRAANYLSGDFAAQYRRFVDQIAAANKQAKITNDTEVTGAAVESLSGRDAVAIVYTNTTTTSPVTKNIPALKYLSYRLFMKRYDARWLVTRMTTITSLDLTPQV
VIMSS31970 1 219 0.415797260273973 PROBABLE CONSERVED MEMBRANE PROTEIN (NCBI) 219 0 11 196 1 Mycobacterium tuberculosis H37Rv VIMSS31970 1 MicrobesOnline MPDGEQSQPPAQEDAEDDSRPDAAEAAAAEPKSSAGPMFSTYGIASTLLGVLSVAAVVLGAMIWSAHRDDSGERTYLTRVMLTAAEWTAVLINMNADNIDASLQRLHDGTVGQLNTDFDAVVQPYRQVVEKLRTHSSGRIEAVAIDTVHRELDTQSGAARPVVTTKLPPFATRTDSVLLVATSVSENAGAKPQTVHWNLRLDVSDVDGKLMISRLESIR
VIMSS31998 1 421 0.465938242280285 PF11271.8:PorA:6:336 PROBABLE CONSERVED MEMBRANE PROTEIN (NCBI) 421 331 11 375 2 Mycobacterium tuberculosis H37Rv VIMSS31998 1 MicrobesOnline MLRFAACGAIGLGAALLIAALLLSTYTTSRIAEIPLDIDATLISDGTGTALDSASLATEHIVVNQDVPLVSQQQVTVESPANADVVTLQVGSSLRRTDKQKDSGLLLAIVDTVTLNRKTAMAVSDDTHTGGAVQKPRGLNDENPPTAIPLRHDGLSYRFPFHTEKKTYPYFDPIAQKAFDANYEGEEDVNGLTTYRFTQNVGYTPEGKLVAPLKYPSLYAGDEDGKVTTSAAMWGLPGDPNEQITMTRYYAAQRTFWVDPVSGTIVKETERANHYFARDPLKPEVTFADYQVTSTEETVESQVNAARDERDRLALWSRVLPITFTAAGLVALVGGGLFASFSLRTEGALMAASGDRDDHDYRRGGFEEPVPGAEAETEKLPTQRPDFPREPSGSDPPRLGSAQPPPPPDAGHPDPGPPERR
VIMSS32070 1 100 0.161592 Toxin Rv0299 100 0 11 100 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::O07226 1 SwissProt MIAPGDIAPRRDSEHELYVAVLSNALHRAADTGRVITCPFIPGRVPEDLLAMVVAVEQPNGTLLPELVQWLHVAALGAPLGNAGVAALREAASVVTALLC
VIMSS3215091 1 882 0.195325623582767 PF02689.14:Herpes_Helicase:33:882 DNA replication helicase; EC 3.6.4.- 882 850 11 882 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P10189 1 SwissProt MAAAGGERQLDGQKPGPPHLQQPGDRPAVPGRAEAFLNFTSMHGVQPILKRIRELSQQQLDGAQVPHLQWFRDVAALESPAGLPLREFPFAVYLITGNAGSGKSTCVQTINEVLDCVVTGATRIAAQNMYAKLSGAFLSRPINTIFHEFGFRGNHVQAQLGQYPYTLTSNPASLEDLQRRDLTYYWEVILDLTKRALAASGGEELRNEFRALAALERTLGLAEGALTRLAPATHGALPAFTRSNVIVIDEAGLLGRHLLTAVVYCWWMINALYHTPQYAARLRPVLVCVGSPTQTASLESTFEHQKLRCSVRQSENVLTYLICNRTLREYARLSYSWAIFINNKRCVEHEFGNLMKVLEYGLPITEEHMQFVDRFVVPENYITNPANLPGWTRLFSSHKEVSAYMAKLHAYLKVTREGEFVVFTLPVLTFVSVKEFDEYRRLTHQPGLTIEKWLTANASRITNYSQSQDQDAGHMRCEVHSKQQLVVARNDVTYVLNSQIAVTARLRKLVFGFSGTFRAFEAVLRDDSFVKTQGETSVEFAYRFLSRLIFSGLISFYNFLQRPGLDATQRTLAYARMGELTAEILSLRPKSSGVPTQASVMADAGAPGERAFDFKQLGPRDGGPDDFPDDDLDVIFAGLDEQQLDVFYCHYTPGEPETTAAVHTQFALLKRAFLGRFRILQELFGEAFEVAPFSTYVDNVIFRGCEMLTGSPRGGLMSVALQTDNYTLMGYTYARVFAFADELRRRHATANVAELLEEAPLPYVVLRDQHGFMSVVNTNISEFVESIDSTELAMAINADYGISSKLAMTITRSQGLSLDKVAICFTPGNLRLNSAYVAMSRTTSSEFLRMNLNPLRERHERDDVISEHILSALRDPNVVIVY
VIMSS3215099 1 518 0.280373166023166 Serine/threonine-protein kinase UL13; Virion protein VMW57; EC 2.7.11.1 518 0 11 518 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P04290 1 SwissProt MDESRRQRPAGHVAANLSPQGARQRSFKDWLASYVHSNPHGASGRPSGPSLQDAAVSRSSHGSRHRSGLRERLRAGLSRWRMSRSSHRRASPETPGTAAKLNRPPLRRSQAALTAPPSSPSHILTLTRIRKLCSPVFAINPALHYTTLEIPGARSFGGSGGYGDVQLIREHKLAVKTIKEKEWFAVELIATLLVGECVLRAGRTHNIRGFIAPLGFSLQQRQIVFPAYDMDLGKYIGQLASLRTTNPSVSTALHQCFTELARAVVFLNTTCGISHLDIKCANILVMLRSDAVSLRRAVLADFSLVTLNSNSTIARGQFCLQEPDLKSPRMFGMPTALTTANFHTLVGHGYNQPPELLVKYLNNERAEFTNHRLKHDVGLAVDLYALGQTLLELVVSVYVAPSLGVPVTRFPGYQYFNNQLSPDFALALLAYRCVLHPALFVNSAETNTHGLAYDVPEGIRRHLRNPKIRRAFTDRCINYQHTHKAILSSVALPPELKPLLVLVSRLCHTNPCARHALS
VIMSS32309 1 411 0.461761313868613 POSSIBLE CONSERVED MEMBRANE PROTEIN (NCBI) 548 0 11 388 1 Mycobacterium tuberculosis H37Rv VIMSS32309 1 MicrobesOnline MDVALGVAVTDRVARLALVDSAAPGTVIDQFVLDVAEHPVEVLTETVVGTDRSLAGENHRLVATRLCWPDQAKADELQHALQDSGVHDVAVISEAQAATALVGAAHAGSAVLLVGDETATLSVVGDPDAPPTMVAVAPVAGADATSTVDTLMARLGDQALAPGDVFLVGRSAEHTTVLADQLRAASTMRVQTPDDPTFALARGAAMAAGAATMAHPALVADATTSLPRAEAGQSGSEGEQLAYSQASDYELLPVDEYEEHDEYGAAADRSAPLSRRSLLIGNAVVAFAVIGFASLAVAVAVTIRPTAASKPVEGHQNAQPGKFMPLLPTQQQAPVPPPPPDDPTAGFQGGTIPAVQNVVPRPGTSPGVGGTPASPAPEAPAVPGVVPAPVPIPVPIIIPPFPGWQPGMPTI
VIMSS32701 1 324 0.0409037037037037 PF00528.22:BPD_transp_1:104:317 Phosphate transport system permease protein PstC 2 324 214 11 186 6 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WG05 0 SwissProt MVTEPLTKPALVAVDMRPARRGERLFKLAASAAGSTIVIAILLIAIFLLVRAVPSLRANHANFFTSTQFDTSDDEQLAFGVRDLFMVTALSSITALVLAVPVAVGIAVFLTHYAPRRLSRPFGAMVDLLAAVPSIIFGLWGIFVLAPKLEPIARFLNRNLGWLFLFKQGNVSLAGGGTIFTAGIVLSVMILPIVTSISREVFRQTPLIQIEAALALGATKWEVVRMTVLPYGRSGVVAASMLGLGRALGETVAVLVILRSAARPGTWSLFDGGYTFASKIASAASEFSEPLPTGAYISAGFALFVLTFLVNAAARAIAGGKVNG
VIMSS32763 1 110 0.470671818181818 PF09723.10:Zn-ribbon_8:1:40 CONSERVED HYPOTHETICAL SERINE RICH PROTEIN (NCBI) 110 40 11 110 0 Mycobacterium tuberculosis H37Rv VIMSS32763 1 MicrobesOnline MPTYSYECTQCANRFDVVQAFTDDALTTCERCSGRLRKLFNAVGVVFKGTGFYRTDSRESGKKSKSQTNGSSTSESTKSSGSSGSSGSSESKASGSTEKSTSSTTAAAAV
VIMSS32946 1 856 0.316617289719625 PF04055.21:Radical_SAM:92:275,PF04055.21:Radical_SAM:552:724 7,8-didemethyl-8-hydroxy-5-deazariboflavin synthase (EC 2.5.1.77) 856 357 11 856 0 Mycobacterium bovis BRENDA::Q7U0G9 1 BRENDA MPQPVGRKSTALPSPVVPPQANASALRRVLRRARDGVTLNVDEAAIAMTARGDELADLCASAARVRDAGLVSAGRHGPSGRLAISYSRKVFIPVTRLCRDNCHYCTFVTVPGKLRAQGSSTYMEPDEILDVARRGAEFGCKEALFTLGDRPEARWRQAREWLGERGYDSTLSYVRAMAIRVLEQTGLLPHLNPGVMSWSEMSRLKPVAPSMGMMLETTSRRLFETKGLAHYGSPDKDPAVRLRVLTDAGRLSIPFTTGLLVGIGETLSERADTLHAIRKSHKEFGHIQEVIVQNFRAKEHTAMAAFPDAGIEDYLATVAVARLVLGPGMRIQAPPNLVSGDECRALVGAGVDDWGGVSPLTPDHVNPERPWPALDELAAVTAEAGYDMVQRLTAQPKYVQAGAAWIDPRVRGHVVALADPATGLARDVNPVGMPWQEPDDVASWGRVDLGAAIDTQGRNTAVRSDLASAFGDWESIREQVHELAVRAPERIDTDVLAALRSAERAPAGCTDGEYLALATADGPALEAVAALADSLRRDVVGDEVTFVVNRNINFTNICYTGCRFCAFAQRKGDADAYSLSVGEVADRAWEAHVAGATEVCMQGGIDPELPVTGYADLVRAVKARVPSMHVHAFSPMEIANGVTKSGLSIREWLIGLREAGLDTIPGTAAEILDDEVRWVLTKGKLPTSLWIEIVTTAHEVGLRSSSTMMYGHVDSPRHWVAHLNVLRDIQDRTGGFTEFVPLPFVHQNSPLYLAGAARPGPSHRDNRAVHALARIMLHGRISHIQTSWVKLGVRRTQVMLEGGANDLGGTLMEETISRMAGSEHGSAKTVAELVAIAEGIGRPARQRTTTYALLAA
VIMSS32997 62 131 0.708252857142857 Sec-independent protein translocase protein TatB 131 0 11 70 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WG99 1 SwissProt LRGHLGELQKLRGMTPRAALTKHLLDGDDSLFTGDFDRPTPKKPDAAGSAGPDATEQIGAGPIPFDSDAT
VIMSS33003 1 411 0.384216788321168 PF13406.6:SLT_2:194:248 POSSIBLE MEMBRANE PROTEIN (NCBI) 411 55 11 365 2 Mycobacterium tuberculosis H37Rv VIMSS33003 1 MicrobesOnline MHIGGRWGARPAVAAVRRGACRLTRAPAFGVAAIAPLVFASAVGSAAPVFPGRTAPVHAVITPVAAVAASGIDLSGPVVIAMKRPPTSFRVAVATISAPPPPMIVNSPGALGIPAMALSAYRNAELKMAAAAPGCGVSWNLLAGIGRIESMHANGGATDARGTAIQPIYGPTLDGTLPGNEIIIQSSVGNRVTYARAMGPMQFLPGTWARYATDGDDDGVADPQNLFDSTLAAARYLCSGGLNLRDPAQVMAALLRYNNSMPYAQNVLGWAAGYATGVFPVDLPPITGPPPPLGDAHLENPEGLGPGLPINVNGLTADGPMAHLPLIDLTPRQAALNPPPMFPWMAPDPSAPMPGCTLICIGSHGPPVGAPPFPPTAPPPPFLPAAPPPPDPLAGPPGDAGLAPPAPAPAG
VIMSS33126 1 261 0.349540996168582 PF02909.17:TetR_C_1:83:222,PF00440.23:TetR_N:21:67 HTH-type transcriptional repressor Rv1353c 261 187 11 261 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WMD3 1 SwissProt MQTTPGKRQRRQRGSINPEDIISGAFELAQQVSIDNLSMPLLGKHLGVGVTSIYWYFRKKDDLLNAMTDRALSKYVFATPYIEAGDWRETLRNHARSMRKTFADNPVLCDLILIRAALSPKTARLGAQEMEKAIANLVTAGLSLEDAFDIYSAVSVHVRGSVVLDRLSRKSQSAGSGPSAIEHPVAIDPATTPLLAHATGRGHRIGAPDETNFEYGLECILDHAGRLIEQSSKAAGEVAVRRPTATADAPTPGARAKAVAR
VIMSS331638 1 419 0.572649642004773 PF00746.21:Gram_pos_anchor:374:410 cell surface protein precursor (NCBI ptt file) 419 37 11 401 1 Lactobacillus plantarum WCFS1 VIMSS331638 1 MicrobesOnline MSKALKIVMGITMLTGGIMAQKMTVHAAESNTRTGQAVRMNGTVSLASQVENNPAVKAAHYQVTQAVQALTMATTAVKTAMSDLQAAQTTLDAANKTLAKNQKIQTHMGVLKQAATDRHVKATKALDEQLATKKTSQTAVTTAQAAVTKSQAAVQVAQSNFDKDNSAANKVTLQTTQAKLKTVQETLTAAQANLDKTNEHVMMAEEELANAKIEVSGTSRDFQMAQRDYDIVQPQAAVNQAKAAVTAKLQRVAGTQDQVVTAQRELSQAQAGLTTVRARTLATLTAAAEKPMTEKPVGERPVVSHSTGTSTSTNQSAAPQATPAKPTLNQSSSASVPTAQRVVTTQPRQATTVLRTTTSPAMAKPVTQQTVPTTATKTATLPQTGEQTNRVLTVLGFVLLAATSLFGFSKQQRRHKTTD
VIMSS33176 1 274 0.241397080291971 PF13649.6:Methyltransf_25:53:145,PF08241.12:Methyltransf_11:54:147,PF01209.18:Ubie_methyltran:44:151,PF13847.6:Methyltransf_31:48:148 PUTATIVE METHYLTRANSFERASE (NCBI) 274 108 11 274 0 Mycobacterium tuberculosis H37Rv VIMSS33176 1 MicrobesOnline MTVYTPTSERQAPATTHRQMWALGDYAAIAEELLAPLGPILVSTSGIRRGDRVLDVAAGSGNVSIPAAMAGAHVTASDLTPELLRRAQARAAAAGLELGWREANAEALPFSAGEFDAVLSTIGVMFAPRHQRTADELARVCRRGGKISTLNWTPEGFYGKLLSTIRPYRPTLPAGAPHEVWWGSEDYVSGLFRDHVSDIRTRRGSLTVDRFGCPDECRDYFKNFYGPAINAYRSIADSPECVATLDAEITELCREYLCDGVMQWEYLIFTARKC
VIMSS33338 1 729 0.135851165980796 PF01757.22:Acyl_transf_3:32:373 hypothetical protein (NCBI) 729 342 11 515 10 Mycobacterium tuberculosis H37Rv VIMSS33338 1 MicrobesOnline MLTLSPPRPPALTPEPALPPVTMGTRTTGFYRHDLDGLRGVAIALVAVFHVWFGRVSGGVDVFLALSGFFFGGKILRAALNPDLSLSPIAEVIRLIRRLLPALVVVLAGCALLTIAIQPQTRWEAFANQSLASLGYYQNWELASTVSNYLRAGEAVSPLQHIWSMSVQGQFYLAFLLLVAGCAYLLRRLFRGPRAPYLRTMFVVLLSTLTLASFIYAIVAHHAYQATAYYNTFARAWELLAGALVGAVVPHVRWPMWLRTAVATAALAAILSCGALIDGVKEFPGPWALVPVGATMLMILAGANRQGHPGTRDRLPLPNRLLATAPLVALGAMAYSWYLWHWPLLIFWLSYTGHRHANFVEGAAVLLVSGLLAYLTTRLVEDPLRYRAPAGVRSPAAVPPIPWRLRLRRPTIVLGSVVALLGVALTATSFTWREHVIVQRAAGKELSGLSSRDYPGARALIDHVRVPKLRMRPTVLEVRHDLPTSTKDGCISDFVNPAIINCTYGDVDAPRTIALAGGSHAEHWLTALDLLGRMHHFKVVTYLKMGCPLSTEEVPLIMGNNAPYPQCHQWVQAAMAKLVADHPDYVFTTSTRPWNIKPGDVMPATYVGIWQTFADNNIPVLAMRDTPWLVKDGQPFIPADCLAKGGNPQSCGIARSKVLVDRNPTLDFVARFPLLKPLDMSDAICRTDTCRAVEGNVLVYRDSHHLTPTYMRTMTSELGRQIAANTDWW
VIMSS334410 1 125 0.3598928 PF04542.14:Sigma70_r2:31:89 RNA polymerase sigma factor HrpL 184 59 11 125 0 Pseudomonas syringae pv. tomato str. DC3000 NP_791231.1 1 RefSeq MFQKIVILDSTQPRQPSSSAGIRQMTADQIQMLRAFIQKRVMNPDDVDDILQCVFLEALRNEHKFQHASKPQTWLCGIALNLIRNHFRKMYRQPYQESWEDEVHSELEGHGDVSHQVEGHRQLAR
VIMSS33503 242 517 0.362059420289855 PF00144.24:Beta-lactamase:27:142 POSSIBLE PENICILLIN-BINDING PROTEIN (NCBI) 517 116 11 276 0 Mycobacterium tuberculosis H37Rv VIMSS33503 1 MicrobesOnline RYFSDQIIGLNVAASHSVVDGKPIAVTDFWTFPRSCNPTGGLMSTARDQLRYAQFHLGDGRAPNGEQILSRQSLKAMRSNPGAGGTLWVELTGMGVTWMLRPSAENVTIVEHGGTWKGQRSGFVMVPDRNFAMTVLTNSDGGFHMINDLFASDWALQRFAGLSNLPATPQRLGAVDLAPYEGRYIAKQVAQNGDLETTVIDFRARDGQLAGSMSTDDANPDGQNSANLGLAFYRPDYGLDLGPDNKPTGSRSNFVRGPDGNIAWFCSQHGRLFRRQ
VIMSS33542 1 414 0.26273768115942 PF01168.20:Ala_racemase_N:40:286 hypothetical protein (NCBI) 414 247 11 414 0 Mycobacterium tuberculosis H37Rv VIMSS33542 1 MicrobesOnline MHEVAAREQRSDGPMRLDAQGRLQRYEEAFADYDAPFAFVDLDAMWGNADQLLARAGDKPIRVASKSLRCRPLQREILDASERFDGLLTFTLTETLWLAGQGFSNLLLAYPPTDRAALRALGELTAKDPDGAPIVMVDSVEHLDLIERTTDKPVRLCLDFDAGYWRAGGRIKIGSKRSPLHTPEQARALAVEIARRPALTLAALMCYEAHIAGLGDNVAGKRVHNAIIRRMQRMSFEELRERRARAVELVREVADIKIVNAGGTGDLQLVAQEPLITEATAGSGFYAPTLFDSYSTFTLQPAAMFALPVCRRPGAKTVTALGGGYLASGVGAKDRMPTPYLPVGLKLNALEGTGEVQTPLSGDAARRLKLGDKVYFRHTKAGELCERFDHLHLVRGAEVVDTVPTYRGEGRTFL
VIMSS33583 1 118 0.19425593220339 PF05305.14:DUF732:19:113 hypothetical protein (NCBI) 118 95 11 98 1 Mycobacterium tuberculosis H37Rv VIMSS33583 1 MicrobesOnline MQLQRTMGQCRPMRMLVALLLSAATMIGLAAPGKADPTGDDAAFLAALDQAGITYADPGHAITAAKAMCGLCANGVTGLQLVADLRDYNPGLTMDSAAKFAAIASGAYCPEHLEHHPS
VIMSS33652 1 227 0.306037444933921 hypothetical protein (NCBI) 378 0 11 227 0 Mycobacterium tuberculosis H37Rv VIMSS33652 1 MicrobesOnline MADSAGSDLTRHTAEVPLIDQHVHGCWLTEGNRRRFENALNEANTEPLADFDSGFDSQLGFAVRNHCAPILGLPRHVDPQTYWDRRSQFSEAELARRFLQAAGVTDWLVETGIGYDVSGMASVAGLGELSGSHAHEVVRLEQVAEQAVQASGDYASAFNEILRRRAATAVATKSILAYRGGFDGDLTEPPAAQVAEAAKRWRDRGGVRLQDRVLLRFGLHQALRLGK
VIMSS33680 1 215 0.30439488372093 PF14081.6:DUF4262:84:197 hypothetical protein (NCBI) 215 114 11 215 0 Mycobacterium tuberculosis H37Rv VIMSS33680 1 MicrobesOnline MIGPARRSTTTRRSTPRADRLAGCWCLPGAICQTPRAWWSQARRDGDDETGMRRKGAEMCWMCDHPEATAEEYLDEVYGIMLMHGWAVQHVECERRPFAYTVGLTRRGLPELVVTGLSPRRGQRLLNIAARRALVGDLLTPGMQTTLPAGPLVETVQVTHPDAHLYCAIAIFGDKVTALQLVWADRRGRWPWAADFDEGRGTQPVLGMRATRRSA
VIMSS33766 1 90 0.307908888888889 PF07371.12:DUF1490:1:86 hypothetical protein (NCBI) 90 86 11 90 0 Mycobacterium tuberculosis H37Rv VIMSS33766 1 MicrobesOnline MVTHELLVKAAGAVLTGLVGVSAYETLRKALGTAPIRRASVTVMEWGLRGTRRAEAAAESARLTVADVVAEARGRIGEEAPLPAGARVDE
VIMSS33832 180 511 0.538578313253012 PF01297.17:ZnuA:16:162 hypothetical protein (NCBI) 511 147 11 332 0 Mycobacterium tuberculosis H37Rv VIMSS33832 1 MicrobesOnline DAYSLLGAVGDDDAPNEHVFYDPNVAKAVAATIADRLADLDPSNSGNYRANAAEFSRGADAIAISEHAIATTYPDAAVIATEPVVHYLLAAAGLKNRTPATFIAANENGNDPTPADMAAVLDMIAGREVAALLVNPQTPTAATDELQVAARRAGVPITELTETLPSGTDRDQFCAADRPDRRGRSLRADHADRGLSARGHRVGDLLPTALVCHRRSGGRGRPRRASARPGNCVRRTDGRGSRPGCPDRRGTPRDVFADHPRRGGRPGRGCPGRRDRDLGGLRRGFRRRRHPAVAGAWSPGVGVRGHHLVCDLPDLLVAPAAPLTSRSRFRPL
VIMSS33845 1 212 0.27457358490566 PF00590.20:TP_methylase:1:183 Probable precorrin-6y methyltransferase CobL (NCBI) 390 183 11 212 0 Mycobacterium tuberculosis H37Rv VIMSS33845 1 MicrobesOnline MIIVVGIGADGMTGLSEHSRSELRRATVIYGSKRQLALLDDTVTAERWEWPTPMLPAVQGLSPDGADLHVVASGDPLLHGIGSTLIRLFGHDNVTVLPHVSAVTLACARMGWNVYDTEVISLVTAQPHTAVRRGGRAIVLSGDRSTPQALAVLLTEHGRGDSKFSVLEQLGGPAERRRDGTARAWACDPPLDVDELNVIAVRYLLDERTSWA
VIMSS33910 1 137 0.335629927007299 hypothetical protein (NCBI) 137 0 11 137 0 Mycobacterium tuberculosis H37Rv VIMSS33910 1 MicrobesOnline MRNMKSTSHESESGKLLSISSCRPREMVLQRYSLGMTVTADRHLADKREEFAVEDISTGIFASGYGQVGDGRSFSFHIEHRSLVVEIYRPRVAGPVPQAEDVVAMAVRGLVDIDLTDERSLAAAVRDSVASAAPVSR
VIMSS340171 1 539 0.315953803339518 PF04792.12:LcrV:393:520 hypothetical protein 607 128 11 539 0 Vibrio parahaemolyticus RIMD 2210633 NP_798038.1 1 RefSeq MDMTDMTTTGSATEAATAAASSTPLPTFGQSLTEQLTPILGDAETQQLASLIAHLPTIKGQTDEQSIALYVDTLTQLKEKNSVFSGAALSESASIWMRSLQRVSSNGKMDSAELATQMNNALASQFQTWFADQLTDKVDSSLPTQFVSQFQLGTESTQAQQIAKLSAEELKSATGDIASFVDDLARQMSSSVVRESASSFLRNAFAHLPSMNLAQLKASDFLLTEANFVTNVSTQLQNVFKQIGITLTKDVADELAKRITWTPGISKQQLSEVLSEMATQVKGQFTAAYGETAGTENLRKALDAIIKSSDSLTLSSLFANFAVSLIHTEIDAFYNDKAIADIQKTQISADQAELIKNNTERDIRFQFEKMLKGESTGASFIERYETLRKNLGALKDRLLNITEQEKKDLEVRAEHSLTARDLLAVVESSIGDRFDEQVLFALNERRVNRLEKRNEQKEALQDLTVQLKIFGVVQSKIHSTQSVDGTYKPDDNAFSASDFNYNSVTDFQNSPEYKYLTDNGITTHTDFLKKQGVTVADGA
VIMSS340182 1 446 0.760223094170403 putative translocation protein in type III secretion (NCBI ptt file) 446 0 11 446 0 Vibrio parahaemolyticus RIMD 2210633 VIMSS340182 1 MicrobesOnline MRIKPSTDTPSTQPHSPQSPMPIDDHAMLQSRFERALKENPDQTKPQPNETNNQAALEAKKPFTENYSERSLASLHSTGSNQRKTAEKSAFAQNNDVVTENINNESDTSSPIALNTQDKMPSDMDANMKPDIRIPTTGDKKLPMEPSNKREKNADEQDAFERLVEDHDDSKTELAAAKTAESHESTQSPKDTKHIPTAGTKPNTVETVSDALASTLASTTVASATSASLASHPVTSTVHKTATKTEVNKHEGDSSLGFNSRNLKTPIPNDEHPKASQAERTLLDRAMLDSEKSNDKPMHQPVTTPITQGDVILKGISPPQPTQPTREVNQLIQQLVDKVYVALPTASNEKEVRLFLSEGQLKGGEIHIKLDSQGYSVTIRQEHALSIINQQARQDLAERLNRMGFEQPLRVSISEQTSQHGHTQQDQQQSRQQRSVYEEWQPEADQ
VIMSS34112 1 962 0.175396257796258 PF03176.15:MMPL:59:387,PF03176.15:MMPL:607:942 PROBABLE CONSERVED TRANSMEMBRANE TRANSPORT PROTEIN MMPL9 (NCBI) 962 665 11 713 11 Mycobacterium tuberculosis H37Rv VIMSS34112 1 MicrobesOnline MVPGEVHMSDTPSGPHPIIPRTIRLAAIPILLCWLGFTVFVSVAVPPLEAIGETRAVAVAPDDAQSMRAMRRAGKVFNEFDSNSIAMVVLESDQPLGEKAHRYYDHLVDTLVLDQSHIQHIQDFWRDPLTAAGAVSADGKAAYVQLYLAGNMGEALANESVEAVRKIVANSTPPEGIRTYVTGPAALFADQIAAGDRSMKLITGLTFAVITVLLLLVYRSIATTLLILPMVFIGLGATRGTIAFLGYHGMVGLSTFVVNILTALAIAAGTDYAIFLVGRYQEARHIGQNREASFYTMYRGTANVILGSGLTIAGATYCLSFARLTLFHTMGPPLAIGMLVSVAAALTLAPAIIAIAGRFGLLDPKRRLKTRGWRRVGTAVVRWPGPILATSVALALVGLLALPGYRPGYNDRYYLRAGTPVNRGYAAADRHFGPARMNPEMLLVESDQDMRNPAGMLVIDKIAKEVLHVSGVERVQAITRPQGVPLEHASIPFQISMMGATQTMSLPYMRERMADMLTMSDEMLVAINSMEQMLDLVQQLNDVTHEMAATTREIKATTSELRDHLADIDDFVRPLRSYFYWEHHCFDIPLCSATRSLFDTLDGVDTLTDQLRALTDDMNKMEALTPQFLALLPPMITTMKTMRTMMLTMRSTISGVQDQMADMQDHATAMGQAFDTAKSGDSFYLPPEAFDNAEFQQGMKLFLSPNGKAVRFVISHESDPASTEGIDRIEAIRAATKDAIKATPLQGAKIYIGGTAATYQDIRDGTKYDILIVGIAAVCLVFIVMLMITQSLIASLVIVGTVLLSLGTAFGLSVLIWQHFVGLQVHWTIVAMSVIVLLAVGSDYNLLLVSRFKEEVGAGLKTGIIRAMAGTGAVVTSAGLVFAFTMASMAVSELRVIGQVGTTIGLGLLFDTLVVRSFMTPSIAALLGRWFWWPNMIHSRPTVPEAHTRQGARRIQPHLHRG
VIMSS34229 1 418 0.0712837320574162 PF07690.16:MFS_1:26:279,PF07690.16:MFS_1:264:412,PF12832.7:MFS_1_like:30:372 MFS-type transporter 418 387 11 196 10 Mycobacterium tuberculosis H37Rv NP_216972.1 0 RefSeq MSGTVVAVPPRVARALDLLNFSLADVRDGLGPYLSIYLLLIHDWDQASIGFVMAVGGIAAIVAQTPIGALVDRTTAKRALVVAGAVLVTAAAVAMPLFAGLYSISVLQAVTGIASSVFAPALAAITLGAVGPQFFARRIGRNEAFNHAGNASAAGATGALAYFFGPVVVFWVLAGMALISVLATLRIPPDAVDHDLARGMDHAPGEPHPQPSRFTVLAHNRELVIFGAAVVAFHFANAAMLPLVGELLALHNRDEGTALMSSCIVAAQVVMVPVAYVVGTRADAWGRKPIFLVGFAVLTARGFLYTLSDNSYWLVGVQLLDGIGAGIFGALFPLVVQDVTHGTGHFNISLGAVTTATGIGAALSNLVAGWIVVVAGYDAAFMSLGALAGAGFLLYLVAMPETVDSDVRVRSRPTLGGK
VIMSS34430 1 86 0.414931395348837 PF12728.7:HTH_17:32:76 PROBABLE phiRv2 PROPHAGE PROTEIN (NCBI) 86 45 11 86 0 Mycobacterium tuberculosis H37Rv VIMSS34430 1 MicrobesOnline MCAFPSPSLGWTVSHETERPGMADAPPLSRRYITISEAAEYLAVTDRTVRQMIADGRLRGYRSGTRLVRLRRDEVDGAMHPFGGAA
VIMSS34603 1 71 0.301876056338028 PF02604.19:PhdYeFM_antitox:2:47 Antitoxin VapB22 71 46 11 71 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P71622 1 SwissProt MTATEVKAKILSLLDEVAQGEEIEITKHGRTVARLVAATGPHALKGRFSGVAMAAADDDELFTTGVSWNVS
VIMSS34609 1 439 0.0226580865603645 PF01554.18:MatE:19:178,PF01554.18:MatE:239:393 POSSIBLE DNA-DAMAGE-INDUCIBLE PROTEIN F DINF (NCBI) 439 315 11 163 12 Mycobacterium tuberculosis H37Rv VIMSS34609 0 MicrobesOnline MSQVGHRAGGRQIAQLALPALGVLAAEPLYLLFDIAVVGRLGAISLAGLAIGSLVLGLVGSQATFLSYGTTARAARRYGAGNRVAAVTEGVQATWLALGLGALVVVVVEATATPLVSAIASGDGITAAALPWLRIAILGTPAILVSLAGNGWLRGVQDTVRPLRYVVAGFGSSALLCPLLVYGWLGLPRWGLTGSAVANLVGQWLAALLFAGALLAERVSLRPDRAVLGAQLMMARDLIVRTLAFQVCYVSAAAVAARFGAAALAAHQVVLQLWGLLALVLDSLAIAAQSLVGAALGAGDAGHAKAVAWRVTAFSLLAAGILAAALGLGSSVLPGLFTDDRSVLAAIGVPWWFMVVQLPFAGIVFAVDGVLLGAGDAAFMRTATVASALVGFLPLVWLSLAYGWGLAGIWSGLGTFIVLRLIFVGWRAYSGRWAVTGAA
VIMSS34726 1 418 0.292737320574163 PF03435.18:Sacchrp_dh_NADP:10:135 Trans-acting enoyl reductase; EC 1.3.1.- 418 126 11 418 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WGV5 1 SwissProt MSPAEREFDIVLYGATGFSGKLTAEHLAHSGSTARIALAGRSSERLRGVRMMLGPNAADWPLILADASQPLTLEAMAARAQVVLTTVGPYTRYGLPLVAACAKAGTDYADLTGELMFCRNSIDLYHKQAADTGARIILACGFDSIPSDLNVYQLYRRSVEDGTGELCDTDLVLRSFSQRWVSGGSVATYSEAMRTASSDPEARRLVTDPYTLTTDRGAEPELGAQPDFLRRPGRDLAPELAGFWTGGFVQAPFNTRIVRRSNALQEWAYGRRFRYSETMSLGKSMAAPILAAAVTGTVAGTIGLGNKYFDRLPRRLVERVTPKPGTGPSRKTQERGHYTFETYTTTTTGARYRATFAHNVDAYKSTAVLLAQSGLALALDRDRLAELRGVLTPAAAMGDALLARLPGAGVVMGTTRLS
VIMSS34847 1 424 0.365932311320754 PF02720.17:DUF222:25:323 hypothetical protein (NCBI) 424 299 11 424 0 Mycobacterium tuberculosis H37Rv VIMSS34847 1 MicrobesOnline MFETLTAIDPDAEEAALIERIAELERLKSAAAAGQARAAAAVDAARRAAEGAAGVPAARRGRGLASEIALARRDSPARGSRHLGFAKALVYEMPHTLAALDCGALSEWRATLIVRESACLDVADRRALDAELCGDPGDLEGMGDARVVAAARAIAYRLDPQAVVDRAANAENDRTVTIRPAPDTMTYLTALLPVAQGVSVYAALTRAADTRCDGRSRGQVMADTLVERVTGRDAAVPTPIAVNLVMSDETLLGAANTPAQLCGYGPIPAAVARTMVASAVTDQRSRATLRRLYAHPQAGALVSMESRARLFPRGLAAFIELRDQRCRTPYCDAPIRHRDHAHPWADGGPTSAHNGLGTCERCNYAKQAPGWRVSTSVDENHTHTAEFITPTGSRHRSGAPPHLPAVTVSELEVRIGIALARYAA
VIMSS35007 1 196 0.207961224489796 PF06974.13:DUF1298:49:191 hypothetical protein (NCBI) 196 143 11 196 0 Mycobacterium tuberculosis H37Rv VIMSS35007 1 MicrobesOnline MIAGALGNWLMSRGEAVAPTATVRAMAPLSVYADDQLDSTGPGQAISQVTPFLVDLPVGEGNAVVRLSQIAHATESNPTAASLVDARTIVTLSGLAPATLHAMGVRVATSFSARLFNLLITNAPGTQSQMYIAGTKLLETYSVPPLLHNQALAISVTSYNGMLYFGINADRDAMSDVDLLPGLLSQALDELLEASR
VIMSS35035 155 331 0.159166666666667 PF01933.18:UPF0052:10:140 Phosphoenolpyruvate transferase; EPPG:FO PEP transferase; EC 2.7.8.28 331 131 11 177 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WP81 1 SwissProt VITDPVDESRKAIHFQEWWVRYRAQVPTHSFAFVGAEKSSAATEAIAALADADIIMLAPSNPVVSIGAILAVPGIRAALREATAPIVGYSPIIGEKPLRGMADTCLSVIGVDSTAAAVGRHYGARCATGILDCWLVHDGDHAEIDGVTVRSVPLLMTDPNATAEMVRAGCDLAGVVA
VIMSS35237 1 285 0.273228070175439 PF00296.20:Bac_luciferase:28:123 hypothetical protein (NCBI) 285 96 11 285 0 Mycobacterium tuberculosis H37Rv VIMSS35237 1 MicrobesOnline MTNCAAGKPSSGPNLGRFGSFGRGVTPQQATEIEALGYGAVWVGGSPPAALSWVEPILQATTTLCVATGIVNIWSAPAQRVAESFHRIEAAYPGRFLLGIGVGHAEMISEYRKPYNALVEYLDRLDDYGVPANRRVVAALGPRVLGLSARRSAGAHPYLTTPEHTARARELIGPSAFLAPEHKVVLTTDSARARTVGRQALDMYFNLANYRNNWKRLGFTDDEVSRPGSDRLVDAVVAYGTPDAIAARLNEHLLAGADHVPIQVLTEDDNLVSALTELAKPLRLT
VIMSS35271 1 357 0.234890756302521 PF02470.20:MlaD:44:118 MCE-FAMILY PROTEIN MCE4C (NCBI) 357 75 11 334 1 Mycobacterium tuberculosis H37Rv VIMSS35271 1 MicrobesOnline MLNRKPSSKHERDPLRTGIFGLVLVICVVLIAFGYSGLPFWPQGKTYDAYFTDAGGITPGNSVYVSGLKVGAVSAVSLAGNSAKVTFSVDRSIVVGDQSLAAIRTDTILGERSIAVSPAGSGKSTTIPLSRTTTPYTLNGVLQDLGRNANDLNRPQFEQALNVFTQALHDATPQVRGAVDGLTSLSRALNRRDEALQGLLAHAKSVTSVLSERAEQVNKLVEDGNQLFAALDARRAALSALISGIDDVAAQISGFVADNRKEFGPALSKLNLVLANLNERRDYITEALKRLPTYATTLGEVVGSGPGFNVNVYSVLPGPLVATVFDLVFQPGKLPDSLADYLRGFIQERWIIRPKSP
VIMSS35293 1 236 0.222906355932203 PF06314.11:ADC:14:228 hypothetical protein (NCBI) 236 215 11 236 0 Mycobacterium tuberculosis H37Rv VIMSS35293 1 MicrobesOnline MPVSQHTIAGTVLTMPVRIRTANLHSAMFSVPADPAQRLIDYSGLRVCEYLPGKAIVMQMLVRYVDGDLGRYHEYGTAIMVNPPGTQRRGPRALTRAAAFIHHLPVDQVFTLEAGRTIWGFPKIMADFNVTDGRRFGFDVSADGRLIAGIEFSTGLPVPTLGWQMLKTYSHHDGVTREIPWEMKVSGLRARLGGARLRLGDHPYAKELASLGLPKRALLSQSAANVEMTFGDGHPI
VIMSS35386 1 109 0.341133027522936 hypothetical protein (NCBI) 109 0 11 109 0 Mycobacterium tuberculosis H37Rv VIMSS35386 1 MicrobesOnline MVAVLTYARQLGFCRSTPPTIPHSRNQLVNKTAGQAAVAESWADRVSPGAVTHATGAMCPTLGAHQFEPNQVRCTACLTRTLSCRIFRRRRELPVVGLASGDPLHPALG
VIMSS35679 1 103 0.283554368932039 PF06013.12:WXG100:7:90 ESAT-6-like protein EsxF 103 84 11 103 0 Mycobacterium tuberculosis (strain CDC 1551 / Oshkosh) SwissProt::P9WNH6 1 SwissProt MGADDTLRVEPAVMQGFAASLDGAAEHLAVQLAELDAQVGQMLGGWRGASGSAYGSAWELWHRGAGEVQLGLSMLAAAIAHAGAGYQHNETASAQVLREVGGG
VIMSS3627462 1 970 0.16129618556701 PF03176.15:MMPL:194:497,PF03176.15:MMPL:667:888,PF10604.9:Polyketide_cyc2:6:81,PF12349.8:Sterol-sensing:346:482 RND superfamily-like exporters (RefSeq) 1156 602 11 727 11 Acinetobacter baumannii ATCC 17978 VIMSS3627462 1 MicrobesOnline MSFKGEITHLVPNELYAFKVVEGPFHYTGTYRFKPHPEGTWIEWVFEAEPGSFFGVLPPALLKKMVLAQFKKDVDNLQALAQKGEAYESVGNENKPTLETNKPPHKTQQMMERYARWILSHRRIVLTVVMLLTLALAYLASGVKIIIDPDALAPKGHPYITSTKLIEKKFGSKYMVVIGITPKKGDIYQPQVLEKVKRITEEVDNAPGVVRSTMMSLAARQAKGIEANAEGFDAKKLLPSSSVTQEDIDHLKKLLALNPTYMNSVVSKDQRTAAILLELEESPEGFQKMMGPINKIVESEQSKDMTISVGGNPVYLDKAEDYSKRINILFPIAVLVIGLLHFEAFRSKQGLILPLVTALLAVAWGMGMMGLFKQPMDIFNSPTPILILAIAAGHAVQLLKRYYEDFDRLIAQGMEPKAANSEAVVQSLVRVGPVMVLAGGIAAAGFFSLLTFNIPTIRSFGIFTGIGIISTLVIEMTFIPALRSMLPPPSVVKVKRKGLPIWDWIPNRIGDVILSVRPRMMLMTAIAAMGIFLAIGTSRIVVDNDSRNFFSRDLPMQQDDRFLNQSLGGTNSLYIMVDTKVRDGIENPEILKAIDNTEKFANSIPEVGKTISIVDYIKRMNQAMNADQPQAFQVPATKDIVAQYLLLYSMSGEPTDFESYIDTTQRYAKITILLKTGSNHRIKEILESLKTYMAGQLGDKAVVSFGGDVTQTIALTETMVHGKLMNILQISFAVFFISALVFRSISAGLIVLTPLLFSILAIFGVMGWLDIPLNIPNSLISAMAVGIGADYAIYFLYRLREILREEGGDIKDAIRKTLSTAGKASLFVATAVAGGYGVLSLSQGFHVHQWLAMFIVIAMLFSVFATLIMVPTMILILKPRFIFSSKKKSIPVAQTVVTSLLLGTALTMSMPKTSHADEVQDIVNRSDDASKFLSSTASAKFILTSKNGEQRVRLTKNMTKLAGNTQNNMR
VIMSS365 1 110 0.131001818181818 hypothetical protein (NCBI ptt file) 110 0 11 64 2 Chlamydia trachomatis D/UW-3/CX VIMSS365 0 MicrobesOnline MPVVQKPSVLEYAPVSPSTTSDSKIPNHRSGASCIKISMILACSLLAVGIILAIALLASPGSLAYVLVAGILALHAVLALALGLWISSSTKHALLSENSGTELITIKKQQ
VIMSS36724 1 55 0.339396363636364 Sporulation killing factor; SKF; Sporulation-killing factor SkfA 55 0 11 55 0 Bacillus subtilis (strain 168) SwissProt::O31422 1 SwissProt MKRNQKEWESVSKKGLMKPGGTSIVKAAGCMGCWASKSIAMTRVCALPHPAMRAI
VIMSS3689370 1 133 0.121748120300752 PF01850.21:PIN:5:122 Ribonuclease VapC1; RNase VapC1; Toxin VapC1; EC 3.1.-.- 133 118 11 133 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WFC1 1 SwissProt MDECVVDAAAVVDALAGKGASAIVLRGLLKESISNAPHLLDAEVGHALRRAVLSDEISEEQARAALDALPYLIDNRYPHSPRLIEYTWQLRHNVTFYDALYVALATALDVPLLTGDSRLAAAPGLPCEIKLVR
VIMSS3707484 1 381 0.28058188976378 PF05065.13:Phage_capsid:87:274 phage major capsid protein (RefSeq) 381 188 11 381 0 Staphylococcus aureus subsp. aureus str. Newman VIMSS3707484 1 MicrobesOnline MTINLSETFANAKNEFINAVNNGEPQERQNELYGDMINQLFEETKLQAKAEAERVSSLPKSAQSLSANQRSFFMDINKNVNYKEEKLLPEETIDRIFEDLTTNHPLLADLGIKNAGLRLKFLKSETSGVAVWGKIYGEIKGQLDAAFSEETAIQNKLTAFVVLPKDLNDFGPAWIERFVRVQIEEAFAVALETAFLKGTGKDQPIGLNRQVQKGVSVTEGAYPEKEEQGTLTFANPRATVNELTQVFKYHSTNEKGKSVAVKGNVTMVVNPSDAFEVQAQYTHLNANGVYVTALPFNLNVIESTVQEAGKVLTYVKGLYDGYLAGGINVQKFKETLALDDMDLYTAKQFAYGKAKDNKVAAVWKLDLKGHKPALEGTEETL
VIMSS370754 543 2839 0.183248454505878 PF11996.8:DUF3491:1629:2297,PF12919.7:TcdA_TcdB:7:190 adherence factor (NCBI ptt file) 3225 853 11 2297 0 Chlamydia muridarum Nigg VIMSS370754 1 MicrobesOnline MDVGGSRFFEHDKLRRTLSFAALKLGSGKQTTVSFEEAKKAMTLPTFTLQDKSQISEIFKYLETETQAKKSLFQPMDVTVVRDFMPILQRYHKWQTGWNVRGLNGLMMAHKDSAVVDAVIARQRAAYDEMRALRQNVVSGEFFRSLGDLEHVNREKNIGGYLAKNYLGGSLFFDFRQDSVIPGAISTLGISGPDIIMDTMSDYFTNLGPVGEDFLYEGKLGKAAFLGAYQAQKTPKGELTYDWLHPLSIGANDVTPADASTWCETRQHCAAELLLSDSISSDEHPKGIRRERVNPNDFSKLWSKEAQGILSSDFADLLPRFNLLIESSALDIHTLSALDRDIQHLFTKVQKDPVASVAVFSLQLQLAEMIRAIPFPIRNQVHILPEAQAHFEADWKKAIQLYLHSHPQTEVVIWYSSTHTQIVFGKDLLAVAERVAAAKSLMSDHDPSLITSYLKYKTQSHLGVLTEFDQEDFFELMVDIAEEPELHKQLLKIEEQVNSGLYSHVEHSLGEWLKLSKEERKSKFLKILKETFQEEEREDSQQQHKTWFEELYEKRHQERVKDPAKKIQELITVFQESQRVQAQDIDTYFAHKPFYQDLMKDGYAFEDISVITKYLLASDGVSGIITTDPIFPPPSKQLIDAMKQSLGEDFGELHYTLQMVYDWLSKETNSVTSEQAKQKLPQKLHEKLEGYTTHDLLIPPIDGSVSALGLRFSTEEGKVSDRVLTSIAPGVPNSASYAMTSYLYGLFLITKDIQSGRLTHEILKERLQTYGGAYFINESKIDVLLALSRKKAQISLIDAHKALTGFSSFSEASLALLTGRMPGTSRVLSREVEFGRPSAIVMEGATAIRAQSYDAVGLRKDFFLLPHTVPSIQSIVEQAKYTVLSWPEFYENHADKWNDLANRFGAEDLSVHPQTFLYDTEGRCMGLALLYMLADDSVSYRLLQQNLMTLASLFDEQNRRNIPLTPADQKFLNKGLSLIEWLQFKGNQQLQTEGFFHTLDWDIPQLMKHFASSTVKSWLITTPAHSLVLSLMGNFFRVTDPNYGHTDFPSLEAAITFLERMVQVSPAVLERYGFDKEKSVTSQLKVHSLETSELQNAVFASSDLGFTSRYFTTTLEEMTVRGPITMNQRHTDWATLYKIGGTVQGKRIDSRTRESDLNFLKINGDILEEFLTRTVLDSDLVELIQSLLKTHGLEPGTTLISPSSIVETAIDHVSLLQAVKTKTSRMHTILQSLGERIFKLFKNSGVQDSDKISIDRVQLVDESDSATIDFTVIKDKQRSQKKSITVGIESLAGSFRKFSASMHEVIGTGVLDLDLGMTVVSLVQYVRLVEAGQGKDALAVANLVMNLKIALEVSIGNVIQALGKKLLTQEGLNTFRLETELARQLHKVGARVGGTVGKTLTRVAHVLELPILESAIGTWNLYNSVNELLHADSWSDQVAARVQVAFDSISLGITIASVVSPALMMAAGPIAAIGMGAASIARNVARKEERHSQWLKYKSFLDNGSKHTVAAFPHKGLIDLSENLVLGNIVLNLRVYPPLLTGDRSYNANRWFGNKPGWSDWQVRERLGYAYRSSPSYALARGHANSFWPLSMPSIDKGVYRTVILGYGIQYKAVTEVVYLSNEMVWREAVMQFDSRYYVEPLTAEKECATVLAGDTPLSVIPVRLLEEESLEREKNAASYKNYQIIIEGGKGGLTVQIGGAGYYKLTAQPGKGNILSFRAIPGYLSVTFDLSRLEQEVPLTKQNGTALKILKVRQTGFDTIVGSSGGSDNLTGNHNTKFYLSTGGGHVTSGSGKNWYNIPSLTRSLGFDFTSNAIEHKASVEMLLADFSPANNLSLIVERFANVSIHVFNYSNKTAPYTVNLKDGVSLQASKQGFSGSLLEVASFDQTLWQKSYPEDRGFVEDILSWLLKLQWSLASRVRIFLQGGTAQYETTQKSLIYRPDPHSSISIQATDSYNTQVYGNVGCSYILFSSPGVTAKTLDILLFEDSGLPQIIDLSTLVPTSIQGFLYPGGFINFQVSSARYAFPFSVSWRRHHYTFPAQTIIQVLPRLRFELGDWFTILQKSVGKWVTLYQHDMIIPERIEGVLSLNNTATLMSHHNQTTHMLGVENRGDLSLKVLGILQSGQIKGSKSENSASGHFSKLLSVPAHTIKNLSFKEEEGTKSKNILFYSVLEETFLKATDKPLTIIKRDKWSLYDEIQVFATTLNLQNFLRYHISEETPMLSRLLMYAQKRVSIQNRDLALKFFYVRERSGIGAIRLVFKNFFEESLQGILHGTLEREVKPMLAENPNTLIHSSYR
VIMSS3773633 1 418 0.0370153110047847 PF07690.16:MFS_1:14:243,PF07690.16:MFS_1:225:392,PF00083.24:Sugar_tr:47:184,PF00083.24:Sugar_tr:245:393,PF12832.7:MFS_1_like:19:99 Staphyloferrin B transporter 418 380 11 199 10 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2G1N0 1 SwissProt MINQSIWRSNFRILWLSQFIAIAGLTVLVPLLPIYMASLQNLSVVEIQLWSGIAIAAPAVTTMIASPIWGKLGDKISRKWMVLRALLGLAVCLFLMALCTTPLQFVLVRLLQGLFGGVVDASSAFASAEAPAEDRGKVLGRLQSSVSAGSLVGPLIGGVTASILGFSALLMSIAVITFIVCIFGALKLIETTHMPKSQTPNINKGIRRSFQCLLCTQQTCRFIIVGVLANFAMYGMLTALSPLASSVNHTAIDDRSVIGFLQSAFWTASILSAPLWGRFNDKSYVKSVYIFATIACGCSAILQGLATNIEFLMAARILQGLTYSALIQSVMFVVVNACHQQLKGTFVGTTNSMLVVGQIIGSLSGAAITSYTTPATTFIVMGVVFAVSSLFLICSTITNQINDHTLMKLWELKQKSAK
VIMSS38050 1 117 0.278327350427351 Cell division protein FtsL 117 0 11 94 1 Bacillus subtilis (strain 168) SwissProt::Q07867 1 SwissProt MSNLAYQPEKQQRHAISPEKKVIVKKRASITLGEKVLLVLFAAAVLSVSLLIVSKAYAAYQTNIEVQKLEEQISSENKQIGDLEKSVADLSKPQRIMDIAKKNGLNLKDKKVKNIQE
VIMSS4041820 1 145 0.00530689655172414 PF04138.14:GtrA:24:141 wall teichoic acid glycosylation protein GtcA 145 118 11 61 4 Listeria monocytogenes EGD-e NP_466072.1 0 RefSeq MNKIRKWLDKIPWYTDEIHSILMYLIMGGFTTLINIVTFWLCTYVLNWDYRIANTIAWVASVLFAYFSNKKYVFESYTPTWKERAREVTSFFGFRFLTYLVDILVMILLIEVLSINELWAKIWTNVIVLVLNYVFSKWIIFKVKK
VIMSS43475 181 462 0.233917730496454 PF08450.12:SGL:19:223 Cu/Zn family superoxide dismutase 462 205 11 282 0 Deinococcus radiodurans R1 NP_285525.1 1 RefSeq VRDGLSVRDYALPGPVDHPEGVAYDAKKGLIYTGSAQNGTIYAINAQSGAVTKFQEGGAYGRQVALGLKVDPQGRLWIAGGAQGTVSILTPDGMTLAVLETPKSPRPYINDLVLAPDGNFYVTDSSRPVIFRVDKALKLTAWLDLAGTPIKYGPGVNLNGIAATPDGKYLLAVQLNTGELWRIDLKTKAVKKVMDGLVNGDGLLLDGRTLYVARNKDQVVAKVSLSADYGSGQLVAQEPLNGLRFPATLAKVGNDLVVTQAQLDRIGGTPETPFKLTRFAKF
VIMSS43784 1 440 0.0899306818181819 PF02321.18:OEP:45:215,PF02321.18:OEP:251:437 B. burgdorferi predicted coding region BB0142 (NCBI ptt file) 440 358 11 422 1 Borrelia burgdorferi B31 VIMSS43784 1 MicrobesOnline MKILIKFYNFKVLIFIIRGLQIKKIFLIFITVSFSFAEIIQISPKQAVNMALENSLDSENALYKENIKKLYKNNAWNVFVPNVNLSSTLSRNPSALSELERDYWGFGFGVGINLSLSPSVLKRMQLVMLEYESAKIERESAVRNIKLNVLKSYNQLIALKSTLKVFESQIQNSKLKFEQARIAYNNGLISEIDFLDAQLKYKKSQPDLDGHIINFEKSKEIFKLLIGLDHDQDFEIIGELPDETIDFSLFNEALNFNESLEIKDLNMRLKMTEQLIDSLWLDTYLPSLSLSFSYSPYKSFHENSKGFSTGFLASFSLNYGLTEIFPFSKSFTKIQDNNYQLKILQNNVEGKIRNLKSSIVQKRKDIRRYKAILDASKINVELANKNYQMAFNAFNSGVMDLSKLNDIELVYKQSDLKFIEDKLNYANSILEYKNLINSLD
VIMSS44060 1 342 0.0730801169590644 hypothetical protein 342 0 11 342 0 Borreliella burgdorferi B31 NP_212552.1 1 RefSeq MLIKKICFCLLLLQAKIILSQDQQNLEFNNEYFYFNFQSAYYPPHELGTNGSGFSQSFKHPNFQNIDPSLKIPVNYWGGIKLISYLGYYKNFKALNNPNSIFFKNNGIDIDLNIGLSPVIVSFKSTVSFTPIAFLNLYASNELGIGWQAFGFKGVGVHIENGKYSNAVEFYSEITAGGRFQFDLNAIFQGEWTHIITVIGNDFTYLINPHAKKDQLWKYKADDGKNINGMLIKPYALLAYKMPIPLNTIGLLYEGKTQIGKERNISSWKNKGWGSDIFYHNISIVANFEIIKPLTLGLQFKLSTNPTYTSNTAGLADISKRIATGNSYFYYDSIGMSITYKY 4
VIMSS44220 184 389 0.0644902912621359 methyl-accepting chemotaxis protein (mcp-1) (NCBI ptt file) 389 0 11 206 0 Borrelia burgdorferi B31 VIMSS44220 1 MicrobesOnline LISHNLKDLQIDIDKFFAEILEQLNYLESIFKRFSRSQEEFSSLIESLESIDANMAYYSRNCDSLIGSDTFMLSNDEFLKELEFIISDQFSWINNLRLLVEGQRTIFIQTDASKHGFGLFYKGLSPKNDAIRQLWEEVYIPYLNINKFAAEILIIFRVENRSDSGLRQAKDFLSQAESLSEEIVRKLEHIKKMVIELDNQGISIFS
VIMSS44310 1 344 0.166139244186047 PF04620.12:FlaA:49:279 flagellar filament outer layer protein (flaA) (NCBI ptt file) 344 231 11 344 0 Borrelia burgdorferi B31 VIMSS44310 1 MicrobesOnline MVYMKRKAKSILFFLLSTVLFAQETDGLAEGSKRAEPGELVLDFAELARDPSSTRLDLTNYVDYVYSGASGIVKPEDMVVDLGINNWSVLLTPSARLQAYVKNSVVAPAVVKSESKRYAGDTILGVRVLFPSYSQSSAMIMPPFKIPFYSGESGNQFLGKGLIDNIKTMKEIKVSVYSLGYEIDLEVLFEDMNGMEYAYSMGTLKFKGWADLIWSNPNYIPNISSRIIKDDVPNYPLASSKMRFKAFRVSKSHSSKEQNFIFYVKDLRVLYDKLSVSIDSDIDSESVFKVYETSGTESLRKLKAHETFKRVLKLREKISMPEGSFQNFVEKIESEKPEESSPKN
VIMSS44375 1 276 0.0723829710144927 B. burgdorferi predicted coding region BB0733 (NCBI ptt file) 276 0 11 276 0 Borrelia burgdorferi B31 VIMSS44375 1 MicrobesOnline MFSIFIFKKRRKERFMLLSRKIRDYGAKYRGKEIKMSTEINSFLNLRNTIEMRIGSYTAFGVIYSISMDSLKLIFQEDTVLPALAKNKNLGSIQLKKNSDSKSSAAFFPFLSVKLLSASAYSSLNKEYNLLTLEFLSPAPEEIAIKVGKLLDLKLGQNQRIHERIIIDKDSIRKLKIDSDKAFIKFNGAKHKCLIKDLSYGGALVISSFDYGDVEEDAIDLIFSFEFIDGEIFIEGKSKSLSVIQTPSGKVFALGIAFDEDKIPLEYTMLIHDYFN
VIMSS44676 1 371 0.325463881401617 B. burgdorferi predicted coding region BBH18 (NCBI ptt file) 371 0 11 352 1 Borrelia burgdorferi B31 VIMSS44676 1 MicrobesOnline MKMKEKRVGNKIFYISVVLILIVGCDWGTIKDKSTEISKLLRTDKDKTKNQDRIELGEDNFVSKNNMSTTDTGITSLGSLNNLDLINRSQRVSEPPIISNEKAIATQAKVDLMNNINVTIINPKPAQNLGNSLNNTTTEDSVKFLSIENQEWLISKKILPSKLENLESFLKTQHEKEAFKTAKTIQSLISNSNMGKEIIKFKEEYYKLYNLFEGIQQKFHSQRNSFIKDTKFGENRQKNAVIFKSFSSIEKEIRDLNYKLXEIQSNFQIADVSWNNANSLLKESIEKLIQAIEKRYDNESRKQGQIGGPANRWDKNQADNFAKDAKYKAEHSANDLENAANYFRYSCSNEKEAKKLLEEIKKRFVRIGISL
VIMSS44692 1 312 0.354404807692308 B. burgdorferi predicted coding region BBH37 (NCBI ptt file) 312 0 11 312 0 Borrelia burgdorferi B31 VIMSS44692 1 MicrobesOnline MIKGKESIFMKKKMFLYTLLTIGLMSCNLNSKLSGNKEEQKNNNDIKEALNGVQENAINNLYGNKKEKKDFIKNSEKLKDKGLDVTTLPLEPVVAPSVESAVSLGESNNRIGIPTISIEHNQKKEIKEEDFFPSTEEEKQADKAIKDIENLIGESGFPELIENVCSLKHEYTLIRSDFYDVITKIQNKKISLMKNSHNNRNKIRELVQLQNNLKIGDELDKIMGCIDTAEQEIRSAAFFFDEAKESLKEGIIKRLEKSKNRAASQLSKKALNRAEDALRCLENYSSKKGEAIGRRSFIKEVVEQAKNALSKS
VIMSS44823 1 356 0.350251123595506 B. burgdorferi predicted coding region BBJ34 (NCBI ptt file) 356 0 11 356 0 Borrelia burgdorferi B31 VIMSS44823 1 MicrobesOnline MIKGNTFILILVTTMFVSCKFYGSDDTNKKNTSLNGDTREIDNIGSVILEQDGNKKGDTTASKVALDQVTEHANSELMLNDDPDSSISKYNQENTTGKLTEEDMDKLKAFFVKTITYQGILNSIYNKYTRSYNTIATYSGCANYNSIGCFSEGPSARRSQALNDLEKNKLDEEYTKLNQMLKETTQDYCPKALDNAIEEYKRAITIAKEAEDKIKKITSFTIDEGNNNEERKENVDNLKKVNNILSISEKTIETASVAYANAFAVIVSRLSSAEFITAVNEFKDATEKYANGNKGDHAVDVVVGAIAGIAFDNENRFERAKMFANKEKGAEVDKMIAAIEKLRATYTAVKPKNKDK
VIMSS45130 1 345 0.131989275362319 B. burgdorferi predicted coding region BBB09 (NCBI ptt file) 345 0 11 345 0 Borrelia burgdorferi B31 VIMSS45130 1 MicrobesOnline MKYLKNISLFLLILGCKSIPNGNFNLHDTNHKLGKLKFQEDSIISRNYDNKISIVGVYNPLTEKENFKVNIFIKKKGLQIDPENILINEEKINYSKYKAELKVKSSFNKSIISISLTNSRDLLTYIYDKSTGKYINIDFKDNWNVSHSIKFNKEYILAYITDFDKEIKISKNILQKRIDNRKIEIEKTELKTEYNEIEDYYIYSMKIPKLFEKSDAPSETYETFVIANYYPCENLNILFLNLSLYSDKLRFLNSIYDENDRKLKMEPPVRALKNSKTIKETLNIVLSPQKIIELAKNIEKDITLKLKSYGEKGEFTFEIYKPLLLKFLKEVDHCIKNLQSSRHKF
VIMSS45144 1 463 0.00901814254859611 PF00860.20:Xan_ur_permease:33:419 conserved hypothetical protein (NCBI ptt file) 463 387 11 185 12 Borrelia burgdorferi B31 VIMSS45144 0 MicrobesOnline MKIFLNNKKESFMGKYVKGLFFQFKNSDINYKKEILAGITTFLSMSYIIAVNPAILSNTGMPIGALVTATCLTAAFSTILMGLYTNTPLALASGMSLNAFFAFSVVIGMNIPWQVALAAVFIEGLIFILLSFLRVREQIINSIPINLKYSISVGIGLFIAFIGFVSGGIIIKNDATLVGIGSFVDLKVLFTFLGLFFIVIFEQLNVRGSILWAICSVTAIAWIYAIFNLEGAQAIGIQLPSRILKFESIGPIFNQLDFSYVLNEHFWTFISIVFILLFNDLFDTVGILISVTTKGGMLDKNGKIPNAKKILLVDGIATTFGAIMGVSTVTTYIESFTGIAEGGKTGLTSIVTGTLFLFAVFFAPLFIAVPASATAAALIYVGFSMCREIIKIDFFNIRENISSFLIFFLIPLAYSISSGFFVGAAFYILVNVSFNFFSKEKIKISPVLLILCLIFIIKFIYGY
VIMSS45991 1 107 0.211042990654206 hypothetical protein Cj0040 (NCBI ptt file) 107 0 11 107 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS45991 1 MicrobesOnline MSKPLNEEIFVEFKSDLAERKNEVLLQVLELLETFRSDENEKMARISSELTEILENEENLEKILNAKNLEELLNILTALNEDKMIKVYEDSYLKEKFPNVMVDKFLK
VIMSS461 1 110 0.05153 hypothetical protein (NCBI ptt file) 110 0 11 67 2 Chlamydia trachomatis D/UW-3/CX VIMSS461 0 MicrobesOnline MKLPGVSFSLPTAVWASSTKRLSQEKEFSSLDRVQSMNWVQRIVPSLIVLLGISVLGCSVLAFCTCLTVLPGLGLVLLGSLLLYWAYHQIANMRVRMALSFEASSEAPIQ
VIMSS46344 1 138 0.0946144927536231 putative periplasmic protein (NCBI ptt file) 138 0 11 138 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46344 1 MicrobesOnline MFKKVILFFLMGFALLQANSLDKCDNTAVVSNLKEKIPSEIFKNLYELSGLKAQGIDYEDYAKGLKEIAKHDGMVNYTDMIEVNSISNFDLNFDSCMATINAALKGEQRKGLWSVVYKVSNINQVKITDITYINGDFQ
VIMSS46529 1 203 0.0535704433497537 PF11144.8:DUF2920:6:184 hypothetical protein Cj0617 (617 family) (NCBI ptt file) 203 179 11 203 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46529 1 MicrobesOnline MIINQIYSIDSCDDVELNIKRGSKLEFRLTYDDSKEIEAIVCIIPGGAEDMNSYIYIDDYLTRNYKVAVININYHCIGNRPHLGSSFYLDDIDKFILDTSLKAINLKCINVYGINSYENLNNAFIRIDQEIQKLKLNQQLHQNYKLKTHVSFLPFKNEYQNFGIMQAMDILNAIFYIKENSPFKLMRGGVLERFCLEIHMADI
VIMSS46586 1 450 0.0618055555555555 PF11186.8:DUF2972:268:441 possible sugar transferase (NCBI ptt file) 450 174 11 450 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46586 1 MicrobesOnline MNYIYKLNTIKRGYMQNLLFYIKNNLTPTLAQILLQALKNSNNEKFFTFVLENIETICTWLNSNKFRDRYLSTKHPYPPLINPNFIEIDSSRHCAELAWDLNLPLPKHYKFIYISPHGVGAAAFLRYLNQCCDVTCFASWVLPPDSKERYCINYMCLNDNTIAQYAINISEINLPYFDKYLSLLDFNSKIICGVRDPIGLLKHSWGRDWSKVLRNYPPEFNLTYDWRYYINYLTHQNHKIKIDINELQQGVFIISYLLKYFNKDNVYYLDMEEIRQSKAFDTMNLLAINFNFTPPHKDKLDLFKIKEFRGYIRYLFPITLYANSKDINNTFYLNTPKNNKNFNIDRTSSIPIILDRKHINHEKIDVIQEIIKNDLCNDMGVYIDKNDFKQLEQNNLLFSTIKHYLYDFLYQIKITIDETESKMMKEKDVIDYFIKNKSLIYTFLIYLKMN
VIMSS47065 70 165 0.183997916666667 putative signal-transduction sensor protein (NCBI ptt file) 165 0 11 96 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47065 1 MicrobesOnline KEIFAYVKNKTKDNNYYWVFANVTPSIDVNNNIIGYYSVRRMPNKSAISTIESLYSDLLRAEQQQGLNKGVEMLKNFCKDADKTYNELIFSLQEAK
VIMSS47100 26 199 0.198422413793103 PF01814.23:Hemerythrin:15:98 putative iron-binding protein (NCBI ptt file) 199 84 11 174 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47100 1 MicrobesOnline LAAKVEVVSDRSVSKNEVKELLAEFFNYMKDHFNDEEKYMQLIGYPNLEEHRKIHKEIIQTMINLIKDIKSTNDLKEKLYIVAKKWLLEHILYEDMKVEKWRSSSLSTDDGGDVSFEAAEDEDNEHPQFYLYTCNCPGKIHDVPYSIHQKIELQGRKFTCKTCKQAIKFYKKYS
VIMSS47185 1 404 0.0961804455445545 PF11144.8:DUF2920:5:402 hypothetical protein Cj1310c (617 family) (NCBI ptt file) 404 398 11 404 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47185 1 MicrobesOnline MLINQTFEIDSCDDVELGIKRTSKLEYRISYDDEKDIKAIVFIVGGFGANANISFLDFDREYIAKNFDVVVVHVFYHCFCARQSIDQKYNPKLIPNQDDLERVNGILKNINLGHLSVNKDNFEQIIPLIEQKVNKMKQAGLVDESQKIELSCDFIPPNGDYQNYGIMAAIDHINALKDLVKRFPKFADLPKIYGGGSYGGYLSLLIAKIAPWYVDGVIDNSGVCLPFLACILGREMNQGEFYFEGSGYRLYCFVYKYWNRNMNSSYYFGDENYLIRAVLNSNHLQIQSNLNKNTIFVSYHSIQDMGAPVQNKIELYKCYQELGYDATLHLIKDENDIDGRFVKSLEHGLRMTDRALFRKELPLMLEKLQGRKSFMQENSISYPCGNKVFVFKDLEDKFELEMIN
VIMSS47191 1 378 0.155423015873016 hypothetical protein Cj1316c (NCBI ptt file) 378 0 11 378 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47191 1 MicrobesOnline MKFCKKCVMPDTKPDLHFDEEGVCDACRSQEAKNQNINWQEREKEFFELIKKYKKHPVYDCVIGVSGGKDSTFQVVKMLELGLNPLCVCFEPSVPTKIGRKNLDNLNHLGVDLIHIKRDPKVYQKLAREAFIRTGDNEWQNHLGIFTSVPRIAVNFGVPLIIWGESPQIEYGGPASSKNKNILGREWLEEFGGLLGNRASDMLGVNGITEKDLFLYTYPSDEELQRVGVTGLFLGYYFKWDYKKILEISKKYGFLTLDHPVETTYENFENLDCYSNHVHDYLKYCKYGFGRATDNACLDIRLGYISREEGVRLAQKYDGKPPKKAIKKYLEFSGFSEEEFQKIVDSFTNKKIFKRDENGKFIRDYDGSLVRKDECVLK
VIMSS47206 91 235 0.168039310344828 CMP-N,N'-diacetyllegionaminic acid synthase; EC 2.7.7.82 235 0 11 145 0 Campylobacter jejuni subsp. jejuni serotype O:2 (strain ATCC 700819 / NCTC 11168) SwissProt::Q0P8S7 1 SwissProt LRSEEHFKTCFETLIDLDASAPLRSSLDIKKAYESFVENDNSNLITAVPARRNPYFNLVEIQNNKVVKSKEGNFTTRQSAPKCYDMNASIYIFKRDYLLENDSVFGKNTGLFVMDESTAFDIDSELDFKIVEFLISLKNLSPKDF
VIMSS47330 1 227 0.162683700440529 PF03602.15:Cons_hypoth95:46:225,PF04378.13:RsmJ:76:181 RsmD family RNA methyltransferase 227 180 11 227 0 Campylobacter jejuni subsp. jejuni IA3902 WP_002851338.1 1 RefSeq MNDEFISVKDFFNHNEKRKKFLQKQEIKPPKEKTKKTKETKLYTNIESGKYKGKKLLLPSLTTTRSTKSIVKSCVFNVIREDLRSKIFIEAFGGSALIAAEALSNYALKAYAIELDIKAYKIALENAKNIDPNLEVIHANTFEILPKLIENSKNEIILYLDPPFDIREGFSDIYEKIYHFLENLDLKTLNLIIFEYHSTIKTPEKIQNFQKVKEKKFGSTSLSFYSS
VIMSS47334 1 144 0.255418055555556 PF05130.12:FlgN:2:135 hypothetical protein Cj1465 (NCBI ptt file) 144 134 11 144 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47334 1 MicrobesOnline MLKQRLDEVNAILAKLIALTEEDIENIKVAKHESVTPSVEEKNKLIAEFITAKKQLDVALVELNNSSTKGLSELLDDEDKQKLDLLKKNLQNLHSKNKEYAKFVLIVKDFLDGLVNKMFDINDGTNNAYGDKKTNPESIFKINV
VIMSS48404 1 510 0.293769411764706 type III secretion system translocator CopB 510 0 11 487 1 Chlamydia pneumoniae CWL029 NP_225214.1 1 RefSeq MSSWLSQASEVLLNQDPYIPDAPRSQESSVPKISYSITVAPQEAQKSLPKFFTQKFQSQCKSEPPITHHKTFIIATPRERILRFGSSFESQLHNTSQAQTSSPWNLFSQKNSTEASKALMQELTMPKSPEKTSEKALDKNLSSKQEGSCKNFDTLHLQQHLKLFGTVDSLYSQSLDSEQQELLQSRREERSETYANQQSSEKKIETKVQIKDLCKDLFSQDQDSNQKQKKSPFQQDTSRKNRIAKAAQAVPVIPPPSIGVFTLSYLLTKQGILSDFSSYGCHKDSVESTQRELDALHEKRIETIKVSIEKEKRERLWGSLSDIIGWLAPFVSIGIGIVAILSGGGIFAFAGFFAGLISLVIKCLEKLKFWDWLEKHLPIKNEELRRKIITIIQWVVYLTPVILSICTLKVENLGFSPIIEGAIKGIQPAIESTMAALRCAILFSQAEIYKLKGKLTKIQLDIELKSFDRDDHYERSQELLDNMESSFEALSRILNYMRELDQVYLHSLRG
VIMSS497 1 332 0.054758734939759 hypothetical protein (NCBI ptt file) 332 0 11 286 2 Chlamydia trachomatis D/UW-3/CX VIMSS497 1 MicrobesOnline MKSRNAQSILESLCKKTHRLLLRYLLKQTLLVALGMTLMVAELGIFLYFFLFSGKTLLPAFCLACFVLTIFICLVIRLYILSKKTEFFDKLLADFVHQAQVIFKKKNMMEEQPEIAAAVTQLSLVMQNQEYFVFCNLLKIVPPYDSIKKFSCFCFWKDYFSFREMLLQKAIDLYLLVVQAIPTDLGAHVSLADAYVSLSGLYADPRKYTEFDTKYWVPPGRYGEDIQEKFFATAHRATEEFKILNEYAPGNVWVHTQLAYSYHDLQMPKKEIREYEIVLKLKPHDANTIMKLGILYFQQGMNAKGLQVYEQLRKVDLKKSKKLIKFYGVVAK
VIMSS514665 1 149 0.362440268456376 phage-related conserved hypothetical protein (NCBI) 149 0 11 149 0 Bordetella pertussis Tohama I VIMSS514665 1 MicrobesOnline MARPSKYQPAFAEQAAKLCRLGATDKDLADFFHVTERTLNTWKKQIPGFLQALNGGKVMADAEVADRLYQRALGYTHVEDDIRVCDGVIITTPTTRHYPPDTTACIFWLKNRRPDLWRDKPDPTNDDNAPPPVKVVIEVVNTSIPDADA
VIMSS514774 1 590 0.470049830508474 BrkA autotransporter 1010 0 11 590 0 Bordetella pertussis (strain Tohama I / ATCC BAA-589 / NCTC 13251) SwissProt::Q45340 1 SwissProt MYLDRFRQCPSSLQIPRSAWRLHALAAALALAGMARLAPAAAQAPQPPVAGAPHAQDAGQEGEFDHRDNTLIAVFDDGVGINLDDDPDELGETAPPTLKDIHISVEHKNPMSKPAIGVRVSGAGRALTLAGSTIDATEGGIPAVVRRGGTLELDGVTVAGGEGMEPMTVSDAGSRLSVRGGVLGGEAPGVGLVRAAQGGQASIIDATLQSILGPALIADGGSISVAGGSIDMDMGPGFPPPPPPLPGAPLAAHPPLDRVAAVHAGQDGKVTLREVALRAHGPQATGVYAYMPGSEITLQGGTVSVQGDDGAGVVAGAGLLDALPPGGTVRLDGTTVSTDGANTDAVLVRGDAARAEVVNTVLRTAKSLAAGVSAQHGGRVTLRQTRIETAGAGAEGISVLGFEPQSGSGPASVDMQGGSITTTGNRAAGIALTHGSARLEGVAVRAEGSGSSAAQLANGTLVVSAGSLASAQSGAISVTDTPLKLMPGALASSTVSVRLTDGATAQGGNGVFLQQHSTIPVAVALESGALARGDIVADGNKPLDAGISLSVASGAAWHGATQVLQSATLGKGGTWVVNADSRVQDMSMRG
VIMSS535970 1 406 0.228985960591133 PF01548.17:DEDD_Tnp_IS110:14:170,PF02371.16:Transposase_20:277:362 hypothetical protein (NCBI) 406 243 11 406 0 Mycobacterium avium subsp. paratuberculosis str. k10 VIMSS535970 1 MicrobesOnline MTVTEVVVAQPVWAGVDAGKADHYCMVINDDAQRLLSQRVANDEAALLELIAAVTTLADGGEVTWAIDLNAGGAALLIALLIAAGQRLLYIPGRTVHHAAGSYRGEGKTDAKDAAIIADQARMRHDLQPLRAGDDIAVELRILTSRRSDLVADRTRAINRMRAQLLEYFPALERAFDYNKSRAALILLTGYQTPDALRSAGGARVAAFLRKRKARNADTVAATALQAANAQHSIVPGQQLAATVVARLAKEVMALDTEIGDTDAMIEERFRRHRHAEIILSMPGFGVILGAEFLAATGGDMAAFASADRLAGVAGLAPVPRDSGRISGNLKRPRRYDRRLLRACYLSALVSIRTDPSSRTYYDRKRTEGKRHTQAVLALARRRLNVLWAMLRDHAVYHPATTTAAA
VIMSS538327 1 441 0.0308154195011338 PF07690.16:MFS_1:65:383 PROBABLE CONSERVED INTEGRAL MEMBRANE PROTEIN (NCBI) 441 319 11 198 11 Mycobacterium bovis AF2122/97 VIMSS538327 1 MicrobesOnline MPRVEVGLVIHSRMHARAPVDVWRSVRSLPDFWRLLQVRVASQFGDGLFQAGLAGALLFNPDRAADPMAIAGAFAVLFLPYSLLGPFAGALMDRWDRRWVLVGANTGRLALIAGVGTILAVGAGDVPLLVGALVANGLARFVASGLSAALPHVVPREQVVTMNSVAIASGAVSAFLGANFMLLPRWLLGSGDEGASAIVFLVAIPVSIALLWSLRFGPRVLGPDDTERAIHGSAVYAVVTGWLHGARTVVQLPTVAAGLSGLAAHRMVVGINSLLILLLVRHVTARAVGGLGTALLFFAATGLGAFLANVLTPTAIRRWGRYATANGALAAAATIQVAAAGLLVPVMVVCGFLLGVAGQVVKLCADSAMQMDVDDALRGHVFAVQDALFWVSYILSITVAAALIPEHGHAPVFVLFGSAIYLAGLVVHTIVGRRGQPVIGR
VIMSS540677 1 322 0.361450000000001 HYPOTHETICAL PROTEIN (NCBI) 322 0 11 322 0 Mycobacterium bovis AF2122/97 VIMSS540677 1 MicrobesOnline MDVPHEQPALSSSKSNRFTSQRQTTGVGTTTVERLEPRLSPASRHITEAKAFGTECHVSSFTREQDPDRAVRVEQIHGEAYVAAGHVYESALDELGRLDNSNAEFILDKARGSTRETEVIYLHAVPAEPLSGSQGEGGLRIVGISAVGSIDDLSAFKAAKPSMGLAHQRKLYDAIEDLGHGGVKEIAALSVTADAPPTVSYSLIREVLRLYHRTGEKLIITFAMPAYAKMVMNFGRFAMPQVGEPFYAHRNNDPRTSNDLLLVPSIVEPSNFLENISRGVVTADDGPTARRRFATLCYMTDGLDDYFMPLTRQVLSEGIQDI
VIMSS55467 137 589 0.135818101545254 PF05947.12:T6SS_TssF:7:448 type VI secretion system baseplate subunit TssF 589 442 11 453 0 Vibrio cholerae PS15 WP_000189792.1 1 RefSeq HAEHTREATTIQISLRMLGDMTVGDAKLDTVRFYLGGDKYSSQTLYLWLHHYLQKMTIEVQGVEFALPADAFSTVGFSSDQALLPYPKNVYDGYRILQEYLSFPEAFHFFDVKGFAKALPKAVSGDFTLRIHFSKTLPADTRVRQDNFQLYCTPVINLFEHDADPIDLTGRRSEYRIVPSSRYPAHYEIFSVDQVVGWQDTQSEGKRIRGEKRIYSSFESFQHEVERVRHRQALYYRTRVKESIRGDGFDSFISFVRGDETLSMGVDEAVSIKLTCTNRLLPLELGVGDICVATDSSPPFATFKNITVPSQSLRPVLDGSLLWTLISNLSLNYLSLLSKDALSCVLRAYDFRALVDRQAERVARMRLDGIVKIESKPVDKILRGLPVRGLQSTLYVDQAGFGSEGDLFLFGTVLSHFFALYASINSFHELVVVNISNQEKYSWGTQSGMQPLI
VIMSS55469 1 495 0.388751313131313 PF00498.26:FHA:35:102 hypothetical protein (NCBI ptt file) 495 68 11 495 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55469 1 MicrobesOnline MNSVTLPSLTLLVTNAQHLESGLSAQHTWTSSGGVIGSSPNAQWRLVDAQGSVKPMHCEVMMVDGAYCLKDSCGSTYVNGADMPLGVGQMARLTHKDEVSVGPYRLRVLFGNAIEVEEQYGSLDALFASKDEDLLADLTLDIEPEKHELPTNTDPLQALDNLMGAAGSSTSLLDDPKSEQPLSAQPSLVPQDNLVLQNADFTPQADSEYEMTSSIRLKKILGFAKATFAKQTKSEAPNVTSATPTISQYKTSESNVSEGFTMDEKVLDLLEEEVAKSFQPQLEKSAYSTMHTSSTSQANHLVTGPILEGLGVDLSDENDMNRMHLLSQELGESLQACVRGLLDLHQQVSESRFGTLNRNLQPIEDNPLRLGLSYEETIRTLYDADKSVVHLSAPAAIAESLKTVRDHNEAMQFATSEALSQILNAFSPQVMLRRFHHYKRNSDTTQTSTDAWAWNMYCSYYQELTSNRQRGFEKLFWEIFEQAYDRKIREKQLEL
VIMSS55565 1 278 0.205605395683453 PF11849.8:DUF3369:157:277 3'3'-cGAMP-specific phosphodiesterase 2; 3'3'-cGAMP PDE 2; V-cGAP2; EC 3.1.4.- 522 121 11 278 0 Vibrio cholerae serotype O1 (strain ATCC 39315 / El Tor Inaba N16961) SwissProt::Q9KMV8 1 SwissProt MKWFKYGDGMDLFADMRQEAAGEKERVVMHSQEPWCVLLVDDDEQMHQITRLALTGFKFQNRPLELISVLSGLEARKVMAERSDIALALVDVVMETEHAGLDLVRYIREELQNRQVRLVLRTGQAGQAPEDRVIKEYEIDDYKEKTELTTQKLRTLLYSMLRAYRDLCLIEDQKLGLSHVIEASANVQNTKSLQSYATAVLNQLTSLLKLHASAFYCVATPCPDSEKCNALTVATTAERVELYVESPFKGLPEDVQRRCKEVLSQRTTRDYGDAYVFF
VIMSS55922 177 698 0.29043908045977 PF00593.24:TonB_dep_Rec:52:520 heme transport protein HutA (NCBI ptt file) 698 469 11 522 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55922 1 MicrobesOnline AKLNYSSSDKTFSESIALANKSGDLESLVAYTRRDGQEIQNFGSPDQQDNNANNLLVKLQYQLNPKHRLEFSGNYIRNKNDLENLEFSGYKNASGTDETTQYQLGIKHIWDAEFSLADRITWQFDVVGKEETGITDRTSKSNGNIQKKDYLYSDKGFSFDSQLDKSFMVSNTEHYIVYGFSLSDKDIENTNQEFNSIGKNNVIFYIPNASEKRYGFFIQDEIAFDNLIVTPGIRFDSFETKPGDTSANPSLNDASEYKKYSDSALTARLGTVYKLNQENRLFAQISQGFRAPDFQELYYSFGNPAHGYVFKPNPNLEAEDSVSYELGWRYNADSVSNELSIFYSDYDNFIDSQIVSGSFKTRDAVHQSINIDKATIKGIELSNQFFWDRFMPIVGFSSRIAAAYTEGKDGNGKPLNSVSPWNAVTGINYDSENNWGTAVNLTYTAKKKASEINGDYQPISSATVIDVTAYYKPIKDLTLRAGVFNLTDEEYYNWNDVRGLPSEDKDKTQAKRNFGITAKYEF 4
VIMSS5606908 1 163 0.294793865030675 PF03938.14:OmpH:20:160 hypothetical protein (RefSeq) 163 141 11 163 0 Porphyromonas gingivalis ATCC 33277 VIMSS5606908 1 MicrobesOnline MKKFFLMLLMALPLSLLAQKVAVVNTEEIISKMPEQVAATKQLNELAEKYRLDLKSMDDEFAKKTEEFVKEKDSLLENIRNRRQQELQDIQTRYQQSYQTMQEDLQKRQQQLFAPIQQKVADAIKKVGDEENCAYIMEAGMMLYTGATAIDLTAKVKAKLGIK
VIMSS561928 1 940 0.268042659574468 T9SS type A sorting domain-containing protein 940 0 11 917 1 Porphyromonas gingivalis W83 WP_010956068.1 1 RefSeq MNKFYKSLLQSGLAAFVSMATALTASAQISFGGEPLSFSSRSAGTHSFDDAMTIRLTPDFNPEDLIAQSRWQSQRDGRPVRIGQVIPVDVDFASKASHISSIGDVDVYRLQFKLEGAKAITLYYDAFNIPEGGRLYIYTPDHEIVLGAYTNATHRRNGAFATEPVPGSELIMDYEVSRGGTLPDIKISGAGYIFDKVGGRPVTDNHYGIGEDDSDSDCEININCPEGADWQAEKNGVVQMIMVKGQYISMCSGNLLNNTKGDFTPLIISAGHCASITTNFGVTQSELDKWIFTFHYEKRGCSNGTLAIFRGNSIIGASMKAFLPIKGKSDGLLLQLNDEVPLRYRVYYNGWDSTPDIPSSGAGIHHPAGDAMKISILKKTPALNTWISSSGSGGTDDHFYFKYDQGGTEGGSSGSSLFNQNKHVVGTLTGGAGNCGGTEFYGRLNSHWNEYASDGNTSRMDIYLDPQNNGQTTILNGTYRDGYKPLPSVPRLLLQSTGDQVELNWTAVPADQYPSSYQVEYHIFRNGKEIATTKELSYSDAIDESIIGSGIIRYEVSARFIYPSPLDGVESYKDTDKTSADLAIGDIQTKLKPDVTPLPGGGVSLSWKVPFLSQLVSRFGESPNPVFKTFEVPYVSAAAAQTPNPPVGVVIADKFMAGTYPEKAAIAAVYVMPSAPDSTFHLFLKSNTNRRLQKVTTPSDWQAGTWLRINLDKPFPVNNDHMLFAGIRMPNKYKLNRAIRYVRNPDNLFSITGKKISYNNGVSFEGYGIPSLLGYMAIKYLVVNTDAPKIDMSLVQEPYAKGTNVAPFPELVGIYVYKNGTFIGTQDPSVTTYSVSDGTESDEYEIKLVYKGSGISNGVAQIENNNAVVAYPSVVTDRFSIKNAHMVHAAALYSLDGKQVRSWNNLRNGVTFSVQGLTAGTYMLVMQTANGPVSQKIVKQ 1
VIMSS56520 1 304 0.464412828947368 PF13488.6:Gly-zipper_Omp:71:116 hypothetical protein (NCBI) 304 46 11 304 0 Pseudomonas aeruginosa PAO1 VIMSS56520 1 MicrobesOnline MSQPSENRLITSARYALCLLTASGVLLSGCASSGVGSVAQTTRAEYYPSCYEPVSHLRSTDNAVRNSAITGAITGGLLGGLAGGLASDENRGRNAALAAAGGALAGGAAGYYMEKQKQISDDRARIGSYGTDVDRSTVEINRSVAYAKSAQSCYQSQFKALLDGRKNKSINEAEGRKRLAEIVSGLQETNALLVAANGRAGENISNYTQAYEKDLQQVGVPRAEVTKVAEAENRASTTKGGSKPKTGSNPKVPKEAVATEQTIRKAQDAQSEGNKVASQGQGMIREVCNSPDMGDWAPPSCAKA
VIMSS56599 1 181 0.375868508287293 PF08281.12:Sigma70_r4_2:113:164,PF04542.14:Sigma70_r2:21:81,PF04545.16:Sigma70_r4:117:165 probable sigma-70 factor, ECF subfamily (NCBI) 181 114 11 181 0 Pseudomonas aeruginosa PAO1 VIMSS56599 1 MicrobesOnline MPADGEAARRADLLKAFLAQRQRMESLVSRWVGCRATAADLVQELFLRFWRRPAAPVEELGSYLLRSARNLAIDHLRGEGSRQRQLDDWLPEQRQGEPVGPEQALEAGDQWRRVEAALRGLPERTRRIFLLNRIHGRTYAEIAQAMQLSQSAVEKHMMRALDACKASLAGPAGEQARSAQP
VIMSS57062 1 256 0.386004296875 PF01381.22:HTH_3:16:69,PF00717.23:Peptidase_S24:159:224,PF12844.7:HTH_19:14:74,PF13560.6:HTH_31:12:66,PF13443.6:HTH_26:15:66 transcriptional regulator PrtR (NCBI) 256 129 11 256 0 Pseudomonas aeruginosa PAO1 VIMSS57062 1 MicrobesOnline MDKSTQIPPDSFAARLKQAMAMRNLKQETLAEAAGVSQNTIHKLTSGKAQSTRKLIEIAAALGVSPVWLQTGEGAPAARSAVSVADGSPLVLEPLHPWDSDTPLDEDEVELPLYKEVEMSAGAGRTAVREIEGRKLRFSYATLRASGVDPSAAICAQLTGNSMEPLIMDGSTIGVDTATTHITDGEIYALEHDGMLRVKFVYRLPGGGIRLRSFNREEYPDEEYSPEDMRSRQISMIGWVFWWSTVRHRRGPSLVR
VIMSS57070 1 177 0.313069491525424 PF09684.10:Tail_P2_I:6:141 probable bacteriophage protein (NCBI) 177 136 11 177 0 Pseudomonas aeruginosa PAO1 VIMSS57070 1 MicrobesOnline MSSRLLPPNRSSLERSLGDVLPAELPVPLRELHDPARCEAALLPYLAWTRSVDRWDPDWSDEAKRNAVATSFVLHQRKGTLTALRQVVEPIGALSEVTEWWQRSPTGVPGTFEITVDVSDRGIDEGTVLELERLLDDVRPVSRHLTRLDLRITPVIRSRHGLAVTDGDTLEIFPWKQ
VIMSS57090 1 256 0.2218625 PF00877.19:NLPC_P60:119:250,PF14464.6:Prok-JAB:5:100 hypothetical protein (NCBI) 256 228 11 256 0 Pseudomonas aeruginosa PAO1 VIMSS57090 1 MicrobesOnline MELSRSLQRAIAAHAAREHPRECCGLIVRGVRQRRYVACRNAAGSPSEHFVIDHQDWCAAEDQGEVLAIVHSHPDVPATPSMADRVSCELHGLPWVILSWPEGDVAHLAPEGYRAPLLGREFAHGVLDCWSLCRDWYRREAGLELPDYPRRDGWWETGESLYEQHYAAAGFRPVPLAGIRRGDMLVMQVGRALHPNHAGIYLGNDWRLDSEPVQALGGDGPFLLHHLYGRLSTRDVFGGPWIERTRLVLRHTRMPQ
VIMSS57141 1 4180 0.336597870813398 PF12545.8:DUF3739:3971:4080,PF05860.13:Haemagg_act:133:248 hypothetical protein (NCBI) 4180 226 11 4180 0 Pseudomonas aeruginosa PAO1 VIMSS57141 1 MicrobesOnline MPSRSPSSARSSRALYAPRLKPLAQAIALLLVAGGAQAAGQPFSAAWFAAKGAAQGGAAGAPRPGAQLPGAPPPLAQQQRVNQQLQRSMANLNNTVAAIAAQQAAQAAGRQAALNLPQDVPDGLGEGGLKVDASLPFEQAWQNAKGPVQTQAAGKTTVSIEQTADKAVLNWETFNVGRNTTVDFQQHADWALLNRVNDPSARPSQIQGQIKADGTVMLVNRNGVVFSGSSQVDVRNLTVAAANISDEQFRQRGLYYDNAGSRPTFTDAAGAVRVEQGAQLRTAAPSGSTRGGGYVLLLGSEVDNAGSIVTPKGQTVLSAGDSFVIRRGQGTDGNLTSTTRGNEVLPGFAADSSAGRVRNSGLVQAATGDISLSGREVEQAGVLLSSSSVDSRGTLHLKASERITLAEGATSAILVDSSGSAALDSQREALLKPLNGSSAAVSRGDDDRRDLSRVEIDSAGSVDFRDGSITLASGGQVAVNAGQRALLRDGAVIDVSGAQGVQVAMETNSIKVNVRGNEQRDASVNREGGGLNSQDVWVDVRDLVRVPAGTNGYASDRWYTAGGLLEVGGYLGTQGHSAGEWMAQGGIVSFTGNDVVTQAGSQINLSGGTLDVQGGYIRQTWLKGSDGRLYELGSAPGDLLYDGIYRGYEAHSERWDQTRYFYNPLIAPTQRYENGYSVGRDAGSLVIGSANARLDGQVVGDTYRGERQTEAPQAGLDGYNQSQNAVARGAQLVVGRYTPYYVKSSGLLEYALGADAGSLKQVVIGTGEVAAEEPTLDAPVAAERQGRLSLDSELLNGFQLGGLKVAAGESIRVDSALTLANGGEAILFANDVAIDADITAHGGSLQAGNVLAQISPNGTIDGFVDAGREAGILRVGDGVRLDASGLWSNLLLAPEDNDTLAYRDGGRISLRSGGDLSLGQGSLLDVSSGAALLADGKRLGGRGGDIALHASAGLAQASDGQLQLGGTLNGLGTSGAGTLSLQSGKVRIGGDDLGDGSLQLAEDFFQQGFASYRVVGRSGLTVAEDAQVRVARPVYRFASGAGEVAAGEAPREALEAWIPPLYLEDALAGRLVQREGADLYLQAGGDGNILGQLDPASQTLELGRGSLVEVDPGRAIVLRGPGQITLDGILNAWGGRIDVRQQQFGALDVTQDNQPKAQGQPHARSIWIGEQALLDVAGRAVTALDGRGRRYGEVQSGGSIVIGGEIDPGKAIATSADAFVIVRPGARLEASGSQAQLDVPGLGRVLLAGDGGRIALSSYNGLYLDGSLRAAAGGSGAAGGSLEIIADAPLYQGFTVVDDRVLAMRELILTAGHADSGLPTLLQPGMDDSALRYGQSRVGTQSLTGGGFDQLSLFSNGPLSFEGNIDLAMGRSLNLYAGTIAATGGGPSEVKLQAPYVRLSGIGMYGQQASGEFRPRLTYGPTATAEQVRLQVSAGRLLDIAGRLSFGSDGVINGVNAEAVRYQRPGFEKVTLRSEGDLRFAGDYPENGDPSGRLITHGDLQLTAAQLYPVTGASSTLYAGYGLDEGGQAVFDAERHLAIERSGESLPDTPLSVFGSLAFMASNIEQGGVVRAPLGLIQFGSNLDRAPGTVRLLPGSLTSVSGAELVMPYGGTTDGINYLVNQVPIQLTGAGGALAAGTLVAGVGLYASEVDVQQGARLDLSGGGELAGAGFISGRGGSTDARFHPLVQQDNDGFRLPELSSNPVYAIVPGHQAVSAPLGGEAGAIQPLVGQQVTIGDGVPGLAAGTYTLLPSTYALLPGAFRVEINGLAGQGAPMATQGLRNGSWATSGQLSIAGTSIRDSLSRQVILSSADTLRRYSQYNEMSYADFIRADAARKNIPRAMLPVDARSLYLGLRADEELRENALSFEGKVDFTPEESGYGGSLIVDAEAGIEILPEGGLPDSDFAGVSLVADDLNAIGASRIAIGTLPYVEYGEQGNFVQFGGSNRLFPVVLRKGAHLSAPEVIIGRDITLEGGSGISTLGKGKTAYDSSDGFIYQPGGRNLLLLSNGWLNLLAPAADSSLPVRLGGCAEGAGCADTELYSEGTLGIATNGTVTFGDNVRYGTRNLSLALSTINIGSSQSLADAAARGVLPNGLALDQTVLQRLLRGERGAGIPALENLILSARDAVNIYGSVSLDTYDPATGKSSLANLVLGTPAIYGHGTGEDVASIRTASLVWSGSSQPAAAPVAGGAGSGSGTLRVDAERITLGYGANTQPAGETDEARLALGFAEVQLNASERISANHKGSLRVYQRLDGYVAGEGLRYSGGDLRLSTPLLTGEAGSLSRISSGGSLSLAAPAGAAAVTFDSGTAGLGAELSLSAREIRLDSAVSLPSGKLSLSAEDDLELGDGARIDLAGRKASFNDVDKYSWGGDLLLSSRAGDIRQAAGSLIDLSARNNRGGTLSAVALAEDAGVVDLQGRILGGASGDYDAGGTRVPFLGGELEIRAQRLGDGGSLSEQFTALNQRLNQGEVFGARRFQLKQGDLQIGDGLKAHRIEVSLDNGQLGVSGTVDASGAQVGEIRLAGGRGLSLGGNALLDAHGSLLRRDSYGQIIDSPNRAMVELSSGSGTLVLAGGARIDLRHGTAAPAEQVDGVARGTLELNAPRLGGVSAGDIAIDASGALDIRGAGSIALNAMQRYDDAPWGNDPAAGGRSYQVIDQAYLDARHAESSAFIAAALANRELLDGKLAGLTNATYADAFHLRPGVEIVSATADGDLVVQGDLDLSGYRYASLNPNTPLTEVYGSGEVGALVLRAGGDLNLYGSINDGFAPPPDSPDDKGWILTPGVQPFGGDLVVPGPGVVLGDGTAFLGGRTLNYDLPIKGTTLAAGTRLATEAVLEQPYTLAAGSVLVADIHDAAGTLLYAAGSLLRDGVTLEVGSRLGAGTLLAAPASVQAMTWPAGVPLPSILREGPSRPNVLLLNGELALARGSLIPSQTEVVLAGDAPFIELRPSDGVRQGRNWALAEMLPAGSQSWSMRLVAGADLAAADNRLVRPDSSASLNLADTHYQAKIEQSSGGLVFTDQATDWGITPGTPVDESNEWICGLGPYCAEPPRWTWAPGNYLGMPAGTAIGEGDLWWCSVDPSLCIENLGKTVVTPQNQLFSVLRTGTGDLDLASAGNLTQWSPYGVYTAGTQAADVATGFNQPRGLFNGSVLGAGGADYEVLSTSQYQAWYPEHGGNLDIAVGGDVVGDQWAEKLTSSDPIRPLPPSAAVGNWLWRQGSADREGVPTAWWVNFGSYVRGAEGDAPYLVGFTGFGTLGGGNLSMRTGGDAGNIAPRGDGSIPSSGNLNPRSQGLVLAVAGTGRLTSDGALQLGGGGDLNVRIGGEVNPSREARATQTYSSSGFDGLYSGGTIHDLQGALINLRGSASLYSGALGGIDPRYDTLLRDPAEVRSRDAFSPTLASSTGGLTLVAGDTGMRLETRGDLVLGGVTDPGRVGVPNTVGFTAPDGSVYQGGGIAWFSLWTAHTSIDLFAAGGNLTPSTQLVEATNAIPMAGRNLSPSDGRFIYPSIVRAAAPEGSIYLGPSSGDMGGVSLNVSTTPYSLLLAPSLNGELELLAGDSIYAGGYSVQRSGADPANLPSIWTPAFAGYSDAALLNPIAGNGSPDGNPAVIGGLPLFYFGPDSAASLARDLQPARFYALTGDIVGLNSGAQIRFGEQAGNRAGQTWYEGAGPVWMRAGRDIVASGTPLGQRISAPSQISTDASFTGNLFVHDDPNDLSLVQAGRDILYGNFNVAGPGTLEISAGRNILMEDRAAITSLGAVVPGDSRPGADIVLQAGAAGADYQAFLERYLDPANLAQAGTPLAEQPGKVVRTYESELAKWLNERFGFAGDAEQAQAFFAGLPAEQQRIFARQVYFAELRAGGREYNEVGGVRQGSYLRGRNAIAALFPERDPAGNPISYEGDIVMYGGAGVHTDFGGDIQLLSPGGRQVFGIEGEAPPSTAGIVTQGQGDIQAYSRDSILLGQSRIMTTFGGSILAWSAEGDINAGRGSQTTVVYTPPRRIYDAWGNVSLSPQVPSTGAGIATLNPIPEVAPGDIDLIAPLGTIDAGEAGIRVSGNVNVAALQVVNAANIQTQGQSSGIPLVASVNTGALTSASAAASSATQAAEDVSRQQQAAARQRMPSVITVQVLGFGNERLEPSRDGASRSPGYNPDSAVQVLGAGALGEQARSQLTDEERGNLIL
VIMSS57167 1 441 0.116735827664399 PF13304.6:AAA_21:175:248,PF00005.27:ABC_tran:17:210,PF13476.6:AAA_23:5:46 hypothetical protein (NCBI) 441 244 11 441 0 Pseudomonas aeruginosa PAO1 VIMSS57167 1 MicrobesOnline MNFQIVINNIQHIGYAELDVDLNASGIICIVGKNGVGKTTLIKAILNLKSADTFSRTASPGIFKANSSMRCTYGENSYEFSFDSDINDLNSRSPIPEELKSVIDVELPMPFGQRFNNYQNIMSADMDIRTALILGEYEVPVELISFLSDIYKTNKFNGLVEVSAKGGSYYCIPLDDDRYVREDHLSSGEFFLISLYRKIKGRSKFIVIDEIDISLDAAAQAHLIGWLRRFCTTEQVKVVFTTHSLALMRTLKDGELFYMEESEGKVSVIPSSYNYIKSVLFGFKGWDRYILTEDAMLKSLLEYVLANYCSGLFFSYQIIHVGGGSNVVDLMRQNSTEGFFSTPENVISVLDGDQAEYRYARRPNVYCIPFLSVEKAIFAEYKAGRFLPELAPDPRFDTPKNFCSAIGRDRHATEADIIKYLCDSYPTEVRRFAQTLSDFLS
VIMSS57170 1 125 0.7059832 hypothetical protein of bacteriophage Pf1 (NCBI) 125 0 11 125 0 Pseudomonas aeruginosa PAO1 VIMSS57170 1 MicrobesOnline MLAKTLKALLLLCLIQAARTVADPVKGRAPGSSEQPHRSGERKHGRSAPLNASPLKQPPLGSVGQLLRPALPSPRRQERDDKGRALGVALRVPRGSVPLGRRRRRCDRDRSPNGPRRTPVVGLVR
VIMSS57232 178 565 0.406912628865979 PF00593.24:TonB_dep_Rec:50:374 hypothetical protein (NCBI) 687 325 11 388 0 Pseudomonas aeruginosa PAO1 VIMSS57232 1 MicrobesOnline LRYGGADTTRSRSGALEAGDGNFALHVDAASREFNDVRIPGYAHSSRQRQIDGDTGKHRVQNSDGRQDGGAVGGSYHWEHGYAGLSYSGYDSNYGSPAEDDVRLKMQQDRYAFASEIRDLEGPFTSLKLDAAYTKYEHKEIEDGETGTTFKNEGYEGRIEARHRPLGPLNGVVGAQFANSRFSALGEEAFVPHTETDSAALFALEEWKLSDRLDLSFGARLEHTRVDPDAKGNERFAENDGSQSFTTGSLSTGAVYKLTPIWSLAATLSYTERAPTFYELYANGPHAATGTYEVGDADADKEKAVSTDLALRFDNGVHKGSVGVFYSRFSNYIGLLASGRHRNEEGEVVAAGDDEALPEYLYSGVRADFYGVEAQDRIHLLESPYGNF
VIMSS577653 260 966 0.232462800565771 prophage LambdaW5, ankyrin repeat domain protein (NCBI) 966 0 11 707 0 Wolbachia endosymbiont of Drosophila melanogaster VIMSS577653 1 MicrobesOnline IIGCLLTNSQKKLREQLGKMLLATSSDEDWDNAYDPEVENLRKFLNQYENDNDLKIVLNVKDDSSVLLDSPSRRFPNVKALLLKAGAADFIGKKQDNYEKCDSFLSEIYQINYLAKRNEFLSKVVKAKSMIELQEVVNEIIASGMRLNFAKDKDYYFADHVLEKIAQLEGSYGIASDIVCTLISRGAKLKRSESLKVIDTIELKFKAHKANMISAHLEYVSNTEEFFRIAKAATSGQLYDGKIDNNVFYLEYSEDSIIDVARITDRTRNLELIQESYRRDIIKIGKSKMEIITENGIRYYTDLTEGSDIVLTFYTSLGNIDLRLYPDIQDKSKIIVEVSNREEILEKFKGREEELGNDCALGGYSVYNAIEQGYFERSRKLMRPEVISESNNKWTEREELRRDSMEEIARRHKLLQDLRNIESNIVQKEKNFDIKTYLIDIFKTLSRFYEEKGDISKTDLAKAAEKESKKLGLEGKYNWSKIFGLEEEIIEKVEKQGDKEQKSNIPDDFYLGHAINNGSCFFDSFRQSLEQQKGIKVTVEQLRNECKRFAQDNPPEWFISKIGNDFDEVESELVNRGITCNQYINSIGKNEFWGRSDIEGRVLCDKYGVKLHVAESNPLHTIDKQQDPFLHQLIDSSRSKAGKIDYSHNSALHMVNGGHDHFQPLLYRNKTLAKQTQEQKDSLCYSSLPSCSMDELKIEKANIRHCL
VIMSS5797258 1 491 0.0534199592668024 PF05231.14:MASE1:15:320 hypothetical protein (RefSeq) 737 306 11 293 9 Salmonella enterica subsp. enterica serovar Enteritidis str. P125109 VIMSS5797258 1 MicrobesOnline MKLNKTYINIRDKWWGLPLILPSILLPVLSSANTYALTSTGNVVLFYLPLAFMLSLMLFFGWAALPGIVLAIFWRRYPQTGLYETLSVTMHFIITIVLSWGGYRVFSPRRNNVSHGDAHLLFQRIFWQVFCSATLFLVIYQFAAFVGMYESKASLMGVMPFNINTLINYQALLVGNLVGVPLCYFIIRTLRNPLHLRGYYQQLKLQIDSKATKKEIVIWLAVLTTLMFILCMPLTDNSSIFSTNYTLSLLLPVMLWGAMRYGYKFISIIWAVVLITSIHYYQRYMPWYSGYDTQLAITSSSYLVFSFIVNYMSVLATRQRVVSGRARRLAYLDPVVHLPNLRALNRALQNAPWSTICFLHVPGLELLGKNYGVMLRIQYKQKLSHWITPMLASNECVYQMSGHDLVLRLNTEAHQQRIEALDKHIKQFRFIWDGLPLQPPVGVSYCCVRSPVSHLYLLLGELSTSSDLSLTTNAPEDLQRRGAMHLQRDLK
VIMSS58116 1 397 0.392994206549118 PF00498.26:FHA:30:96 hypothetical protein (NCBI) 397 67 11 397 0 Pseudomonas aeruginosa PAO1 VIMSS58116 1 MicrobesOnline MELVLEMLSAKQFVPTELCSKTFGRNGGLIGRGGECDWAIPDRKRHLSKQHARVSYRNGAFYLTDTSSNGIRAGNGSRLPHGEPQRIEQDSVFLLGDFEIRARLLREPDELAMDVGRPQPAGSIIPDDAFLALDPLQALDQDGADAYELDDLSAIAQPSHEAGARADYARIDMESLVVPELVPAPAAPQEPVESPPPERDEAFWQRFGQALGVDLEGLDGAAREALAIDAAGLLRQCIGGLQQSLRTRSELKNELRLSLSTLRDTGKNPLRFSADAGEALGHLLRDGKPGQLSGEQAVARSFRDLQAHQVALLGASRAAVRATLEHFSPQQLALRFERDGRRPLLATSGSRWRAYGRYHQSLCQDDDWSERLLARDFAQAYEEQVRLISTLYTEHQG
VIMSS58142 123 262 0.00429714285714286 PF01311.20:Bac_export_1:2:122 translocation protein in type III secretion (NCBI) 262 121 11 71 3 Pseudomonas aeruginosa PAO1 VIMSS58142 0 MicrobesOnline PTGLLLTQTLITLFFSGGAFLALVGSLFRSYASWPVSSFFPQLGSQWVAFFYAQFSQMLMLCALFAAPLLIAMFLAEFGLALVSRFAPSLNVFILAMPIKSLVASLLLVLYLGILMEHAYDALLLAVDPLRLLRPVLETP
VIMSS58145 1 220 0.174510909090909 type III secretion protein (NCBI) 309 0 11 220 0 Pseudomonas aeruginosa PAO1 VIMSS58145 1 MicrobesOnline MNGADLDLPLASRAELDLQRRLARCRRHYVGNALQARLDIAQAAPDVDLELSLAWDGLPLRFLCQAPALARWLAPNLQEAAFASLPAALQLALLEREGNVFPGLVWYGLSPAQPRAAMGLRLSLERDDQRLALWLDGDPATLLARLPPRPSAQRLAIPLRLSLQWPGLPLDASELRTLEPGDLLLLPAGHRPDAALLGVLEGRPWARCQLHSTQLELLDM
VIMSS58153 1 109 0.237167889908257 type III secretion system chaperone PscY 109 0 11 109 0 Pseudomonas aeruginosa UCBPP-PA14 WP_003113547.1 1 RefSeq MTLKPTQQRLLLMLGWLHLQCGQPRRAQVLLEALLSVAPERRDGRRALLLALLQQGLGEPAVRLCRQLQEDGEEEPGLWRCLSRAEQLAGRLDAARAAHARALELEARE
VIMSS58175 1 208 0.0836096153846153 PF06578.12:YscK:3:208 type III export protein PscK (NCBI) 208 206 11 208 0 Pseudomonas aeruginosa PAO1 VIMSS58175 1 MicrobesOnline MPLTAYQLRFCPARYIHESHLPAVLLRLLPALPDWRRQSVLNAWLLEQLELDCAFRMPAQLGGLALYPQAALERTLGWLGALLHGQALRQVLDGARVRRIRAQIGEQGQRFCLEQLDLLIGRWPPGWQRALPENPEEGYFRRCGLAFWLAACSDADCGFSRRLRLRLRLEAMPAPADWTFDEQRRSLARTLCLKVARQASDECFHLLN
VIMSS5820563 1 144 0.157008333333333 PF09621.10:LcrR:3:140 type III secretion system regulator LcrR 144 138 11 144 0 Pseudomonas aeruginosa UCBPP-PA14 WP_003100791.1 1 RefSeq MSADPLIPWFLARGLAVRPHCLRDTSIALGWQVLAHGCELAWRCDGERVWIVMLRRRQARSGLANPFAALYLLAEATLDTLGPRQRLYGKVLALAGSPLPGERMARFYRRWTGAAEPADGWFELEAGRVVTQRSLRKRQKPDRA
VIMSS58345 1 127 0.459349606299213 hypothetical protein (NCBI) 230 0 11 127 0 Pseudomonas aeruginosa PAO1 VIMSS58345 1 MicrobesOnline MPPTSPTPTNPHPRLPPDHTGNPMNTQIAQITQSLAANGCAYITPSDALYDEQDWELMNQVLANSTLPWEKILIGDADEENDLYVARFMTDRDRPTVVNHALSELIIPRVCNDNVMSLFRKLMGDDA
VIMSS58817 1 165 0.309644242424242 PF05638.12:T6SS_HCP:11:138 hypothetical protein (NCBI) 165 128 11 165 0 Pseudomonas aeruginosa PAO1 VIMSS58817 1 MicrobesOnline MDAIILDFGSDIKGDSLLVGYENKIEIMSYSHNVAMQVTNDVSNSERTSGKPHVGEFTLTKFIDTSTPTLNEYCCAGKPIAEATITIGRNAAEGNGQIMPFIVYTLNNVVLSNVSVSGGAGGKPVETLSLNFTKIKWELTAQKDDGTKEGTAASTWDLAANQLVK
VIMSS58837 1 159 0.319933962264151 PF08281.12:Sigma70_r4_2:97:149,PF04542.14:Sigma70_r2:2:65,PF04545.16:Sigma70_r4:102:150,PF07638.11:Sigma70_ECF:5:151 probable sigma-70 factor, ECF subfamily (NCBI) 159 150 11 159 0 Pseudomonas aeruginosa PAO1 VIMSS58837 1 MicrobesOnline MENHYRELLRFLSARLGDRHAAADVAHDAYLRVLERSSQAQIEHPKAFLYRTALNLAVDEHRRSRVRRAEPLEVLDDEEGLASPSPQTVVDQGQRLDNLQRALAELPAICRSSFLLRKLDGLSHSQIAEHLNISRSLVEKHIVNAMKHCRVRMREWEAD
VIMSS58936 1 64 0.336 hypothetical protein 64 0 11 64 0 Pseudomonas aeruginosa PAO1 NP_251176.1 1 RefSeq MLSARSRKAPTYGVTYVSLEDCTLHFETEYIIERRDGSLAHMPMRTPVSEREALQRLIESCIDD
VIMSS59016 111 395 0.256228771929825 hypothetical protein (NCBI) 395 0 11 285 0 Pseudomonas aeruginosa PAO1 VIMSS59016 1 MicrobesOnline CVPAPERIEGLKEAGDHFYQYQPARRLAERLASLESGRVFITVSFPRTPNVPHQCGIAPVETTLMLDDYLRRRGVRERVEIVYTYPTTAQLLRNCLFLQRPTCEILPSLFEQRGIRFQRGFTLARVDPERRIAYSEEGDEQPFDLLMATPPIHAVDAVRECGLPQAAADEGWLPTNHETLQVYGVDRVYTLGDTVDLPVSKAGGACHNQAPVVASNIAAEIRLGKPCAIYDGRVQAVAQMGLNAGMPLWYDYRHDVKPTPPTKLGGLLRQGFNRGLYWAVARGML
VIMSS59043 1 190 0.187733157894737 quorum threshold expression protein QteE 190 0 11 190 0 Pseudomonas aeruginosa PAO1 NP_251283.1 1 RefSeq MLIHFCPRLLTPAGFDLPCELIDIRIKEFDLHLLGGRDVVARHPLPDKRYHVACRKSGCKAVNGLLVDVEKHVPLFTVDTRWSIDAEVVLRHRVEYVVLDAEHDAVSDYMLLWCDEVPNYFLGQSTPAMQVPLMELMRGNALQTERQDVFRLPTLRSERLRQGNADANQHLPSREQAFHVKAEQISYGLA
VIMSS5918040 1 433 0.033843648960739 PF07690.16:MFS_1:66:370,PF00083.24:Sugar_tr:25:424 integral membrane transport protein 433 400 11 167 12 Corynebacterium glutamicum ATCC 13032 NP_602106.1 0 RefSeq MTTAVDQNSPPKQQLNKRVLLGSLSGSVIEWFDFLVYGTVAALVFNKMYFPSGNEFLSTILAYASFSLTFFFRPIGGVIFAHIGDRIGRKKTLFITLMLMGGGTVAIGLLPDYNAIGIWAPILLMFLRILQGIGIGGEWGGALLLAYEYAPKKQRGLYGAVPQMGISLGMLLAAGVISLLTLMPEDQFLTWGWRIPFVGSILLVFIGLFIRNGLDETPEFKRIRDSGQQVKMPLKEVLTKYWPAVLVSIGAKAAETGPFYIFGTYIVAYATNFLNIRDNIVLLAVACAALVATIWMPLFGSFSDRVNRAVLYRICASATIVLIVPYYLVLNTGEIWALFITTVIGFGILWGSVNAILGTVIAENFAPEVRYTGATLGYQVGAALFGGTAPIIAAWLFEISGGQWWPIAVYVAACCLLSVIASFFIQRVAHQEN
VIMSS5926083 1 129 0.253441085271318 lectin (RefSeq) 244 0 11 129 0 Burkholderia cenocepacia J2315 VIMSS5926083 1 MicrobesOnline MSQPFTHDDLYALLQLAGNDATAVQANGDQAVLDRMRQFMTAQLVEKLPQYDVFVDIATIPYSFDVGSWQNKVKTDAAGEVVACTVTWAGAPGVLPGAAAKFGVGAVVNYFSKATPQPVQPAPVPTGGG
VIMSS59769 1 381 0.353397112860892 PF04185.14:Phosphoesterase:48:371 phospholipase C; EC 3.1.4.3 692 324 11 381 0 Pseudomonas aeruginosa CharProtDB::CH_000953 1 CharProtDB MISKSRRSFIRLAAGTVGATVATSMLPSSIQAALAIPAHRRHGNLKDVEHVVILMQENRSFDHYFGTLKGVRGFGDRMAIPLPDGQRVWHQKGSKGEILPYHFDTSTTSAQRVDGTPHTWPDAQQAWNEGRMDKWLPAKTERSLGYYKEQDIAFQFAMANAFTICDAYHCSFQGGTNPNRLFLWTGTNDPLGQHGGPVTTNDHDSNGPVEQGYTWTTYPERLQAAGITWRVYQDMADNFSDNPLIGFRQYRAAAPDSPLIVNGLSTWKLDALKRDVLANSLPQVSWIVAPAKYSEHPGPSSPIWGAEYTSWVLDALTANPEVWSKTALLVMFDENDGFFDHVAPPAAPSLNKDGTLRGKTTADATLEWHTKGDIRYRNQPY
VIMSS59843 1 344 0.274063372093023 PF05048.13:NosD:144:343,PF13229.6:Beta_helix:88:241,PF13229.6:Beta_helix:213:325 NosD protein (NCBI) 428 256 11 344 0 Pseudomonas aeruginosa PAO1 VIMSS59843 1 MicrobesOnline MIHAARSLAGSRAGPLLALLLLGLATARAEPVDGLPLRADGDGRWSLAAGRYAGNFVIDRPLHLRCEAGAELDGGGHGSLLTLTSPGITVEGCRLRNWGRNLTELDAAIFVGKAASGAVIRGNDLRGAGFGVWLDATVGAQVLDNRIEGDESVRSQDRGNGIHLYAVKDALVRGNRVSHTRDGVYIDTSNDSSIEANRFEELRYGVHYMFTHNSRVTDNLTRRTRTGYALMQSRKLTVTGNRSIDDENYGILMNYITYSTLAGNRVEGVRSGSTGDAMISGAEGKALFIYNSLFNRIEGNSFADSALGIHLTAGSEDNRIAGNAFIGNRQQVKYVASREQEWSA
VIMSS59973 1 228 0.247443421052632 PF13533.6:Biotin_lipoyl_2:61:109,PF16576.5:HlyD_D23:62:218 resistance-nodulation-cell division (RND) efflux membrane fusion protein 385 158 11 228 0 Pseudomonas aeruginosa PAO1 NP_252213.1 1 RefSeq MNLRHFIRITATLGVAALIAGCGESAPPGAASAPPSVPVAEVVVRPVTPYAEFTGSLTAVEQVELRPRVAGYIQDVTVPEGRLVEKGQQLFLIDPRVFKAAQDAARARLREAEAAALLARTEHERAELLYARKVVARERLDSAIASRNASKAQVDAARAALDAAQLDLGFTRVTAPIGGRVGHIQVTEGNYVTNGVTALTSIVSVDPLYVYFDVDERTYLQALAPTRG
VIMSS60359 1 520 0.285750576923077 PF09423.10:PhoD:148:501,PF16655.5:PhoD_N:42:128 hypothetical protein (NCBI) 520 441 11 520 0 Pseudomonas aeruginosa PAO1 VIMSS60359 1 MicrobesOnline MSGMDLKRRRVVQGLGAGLLLPALGAPAVIASPRARPKLTDGVQSGDVQGDRALVWSRTDRPARMIVEWDTRSVFSEPRRLVSPVTDERLDYTARIDLRGLPADQSIFYRVRFEDARDGSLSKPWFGHLRSAPSEARNIRFVWSGDTCGQGFGINPDIGGMRIYEAMRRRQPDFFLHSGDTIYADGPIPERIETESGRIWRNRVTEAKSKVAETLDEFRGNYRYNLLDDNLRRFNAEVPQIWQWDDHETTNNWSSSKQLDERYQVKDIDVLAARARQAFLEYAPLRFQRQGRDGRIYRKVAYGPLLDVFVLDMRSYRGGNSANLQARRSAATDFLGREQLQWLKRELRGSRAQWKVIAADMPIGLCVPDGKDAQGRDRWEAIANGNDGAALGRELEIADLLRFVQRAGVRNTVWLTADVHYCAAHHYSPERAAFKDFAPFWEFVAGPLNAGSFGPNALDGTFGPQVMFQKAPLVQNSSPFAGYQFFGEVEIDAQSRALTVTLRDLDGEPVFSQELQPDGA
VIMSS60578 1 162 0.148895679012346 PF06073.12:DUF934:55:159 hypothetical protein (NCBI) 162 105 11 162 0 Pseudomonas aeruginosa PAO1 VIMSS60578 1 MicrobesOnline MNNLIRLRDGVAEVCRDDPWQLLRELPDALPPGPLLLPLAAWLERRGEAGAAAPWLAPDDEVEALLPHFAELPLIAVDFPSFRDGRGYSLAYLLRVRLGWSGELRAVGDVLRDQLSHMRQCGFDAFAVREDKNVEDALKGLAGLSVLYGRSAIEPRPLFRRR
VIMSS60591 1 418 0.319716746411483 PF13437.6:HlyD_3:261:389,PF16576.5:HlyD_D23:185:339,PF00529.20:HlyD:264:375 probable secretion protein (NCBI) 418 205 11 395 1 Pseudomonas aeruginosa PAO1 VIMSS60591 1 MicrobesOnline MFRQEALDAQHAGGLGEIVLIRPVSFTFLTLLAAAMALLVVGFFLFGSYTKRSTVSGQLVPASGQVKVHAPQAGIVLRKFVQEGQAVRRGERLMVLSSERYGSDAGPVQAGISRRLEQRRDSLRDELEKLRRLQDDERDSLTSKVASLQRELTTLAAQTDSQQRLLALASDAAARYQGLMDKGYISMDQLQQRQAELLGQRQTLQGLERERTSLRQQLTERRNELAGLSARQANQLAETRRQLSAVEQDLAESEAKRTLLVTAPESGIATAVLAEAGQTVDSSRPLLSIVPADTPLQAELYAPSKSIGFIRPGDAVLIRYQAYPYQKFGQYHGKVQSISRASVSYAELSSMVGGVPGLGQDGEQLYRLRVTLDDQAVTAYGQPRPLQSGMLLDADILQDTRRLYEWVLEPLYSLTGKL
VIMSS60754 1 304 0.234453947368421 PF13629.6:T2SS-T3SS_pil_N:39:108,PF00263.21:Secretin:209:296 probable type II secretion system protein (NCBI) 416 158 11 281 1 Pseudomonas aeruginosa PAO1 VIMSS60754 1 MicrobesOnline MHRSTGIGVSRWLGGLLGVALALPALALPQGCIELLAQAPRVDVVQGQQRDLRLAVPIERLAIGDPKIADVQLLDRRGFLVTGKEQGSTSLLIWTGCSPEPLRSLVEVEGRGSVDTRGAPAFTVGAAEELPNQVQTDIRFVEVSRSKLKQASTSFVRRGGNLWVLGAPGSLGDIKVNADGSGLGGTFGTGSSGFNLIFGGGKWLSFMNALEGSGFAYTLARPSLVAMSGQSASFLAGGEFPIPVPNGTNDNVTIEYKEFGIRLTLTPTVMNNRRIALKVAPEVSELDYSAGIQSGGVAVPALRV
VIMSS60782 1 299 0.224391973244147 hypothetical protein (NCBI) 487 0 11 192 5 Pseudomonas aeruginosa PAO1 VIMSS60782 1 MicrobesOnline MNCRASATLWIRSSCLITAMEHGPLQAGNSDAGQGHGAGRSRGARRSGTGAKKARQYSRSGETGARADDQTLVGQPAGRPINPRSASWQFVRLGNPQGAGYIAARCHYGTEPMRTDKPPLKQRALQKLLLRRFGMAAGTYLLGLVLLWLALLSGFYRAAPTTAAASTTLVVACQLAFLWLFASGRNLRYADPSLTEPQVLVAIAWLTYFLYHVDSLRGTFMVFYVLALLFGVFQLPPRVFARCAALAFIAFSGIYLVEALQQRLELPGRAALQVLVMFIVMVWLSLFASYIQAMRQRMR
VIMSS60996 1 411 0.19285401459854 PF00512.25:HisKA:310:373 histidine kinase (EC 2.7.13.3) 530 64 11 292 6 Pseudomonas aeruginosa BRENDA::P33639 1 BRENDA MRAERLRLSEEQGQRILRLYHLYRLTIGLVLVLLISSELEDQVLKLVHPELFHVGSWCYLVFNILVALFLPPSRQLLPIFILALTDVLMLCGLFYAGGGVPSGIGSLLVVAVAIANILLRGRIGLVIAAAASLGLLYLTFFLSLSSPDATNHYVQAGGLGTLCFAAALVIQALVRRQEQTETLAEERAETVANLEELNALILQRMRTGILVVDSRQAILLANQAALGLLRQDDVQGASLGRHSPMLMHCMKQWRLNPSLRPPTLKVVPDGPTVQPSFISLNREDDQHVLIFLEDISQIAQQAQQMKLAGLGRLTAGIAHEIRNPLGAISHAAQLLQESEELDAPDRRLTQIIQDQSKRMNLVIENVLQLSRRRQAEPQQLDLKEWLQRFVDEYPGRLRNDSQLHLQLGAGD
VIMSS61126 1 412 0.265873300970874 PF03577.15:Peptidase_C69:11:214 hypothetical protein (NCBI) 412 204 11 412 0 Pseudomonas aeruginosa PAO1 VIMSS61126 1 MicrobesOnline MCDTLILRHQGQTWFAKNSDREPAEPQRLLRLPAVRNDPEARLRTTYLDIPQTAQRHALVISQPSWIWGAEMGVNEHGVAIGNEAVFTKLTRQRGTALLGMDLLRLGLERGASAREALEVITGLLQRYGQGGPAGYRDKRIRYDNSFLIADPGEAWVLETAGNLWAAKKVERWAISNALTLGHEFDLCSRDLEDQARRQGCWDGRGDFHFARVFDTRLLPWVGGAHRRCRINQRFLDSLPGEPDWHALFAALRHHGPRGDHFRRHNNRQVCMHAGSFWRPSQTTASLVARLRDDGPLLAATGTSAPCLGLFQPLSFDPASGAAVLSQPREAVQESPWWRFEAVHRRALTDRAFRRLLRSGRDWLERELFADLEQPDWPRLAEDAAAWHACWHDQVAMQPLAQQRWWRSHAAL
VIMSS61328 1 113 0.499963716814159 hypothetical protein (NCBI) 113 0 11 113 0 Pseudomonas aeruginosa PAO1 VIMSS61328 1 MicrobesOnline MKASLILGLALATLTANAAFAADGSSRTIDRLHKDMSSVELRIADNGSEQTIDRLHKDMTKAEARLADNGSEQTVDRLHKDMTKAEARLADNGSEQTVDRLHRSMSRFDLRTA
VIMSS61343 1 178 0.180933146067416 PF08281.12:Sigma70_r4_2:119:170,PF04542.14:Sigma70_r2:18:88 probable sigma-70 factor, ECF subfamily (NCBI) 178 123 11 178 0 Pseudomonas aeruginosa PAO1 VIMSS61343 1 MicrobesOnline MNAPSSCLSADRDGVATLYRENHAWLRNWLAYRLRSWGRGVADDLAHDTFLRILASRDGGQREAIRQPRAYLTRIANCVLVSWRRRQSLELAWLEALATLPEPLQPSPEQQSVIVETLHEIDALLDTLRPRVKQAFLMATLDGMKQKDIAQALGVALPTVKKFIHQAYVTCLSLMPDE
VIMSS61446 1 401 0.0173728179551122 PF04932.15:Wzy_C:198:322 O-antigen ligase WaaL 401 125 11 156 11 Pseudomonas aeruginosa PAO1 NP_253686.1 1 RefSeq MFAATRLSRLRHDTSRILSHWILPLGWLALLTGMFWVGDRSDYHRLFYILLAAPTLLYVILQPRLLRPLTGSPLFIAFLAFSSYMMLSLSWSTPENSTGSLLKRPLYIALLFFCAAILALEAPLRLKTATWLAALGAVISAAATLLRYYWDANPLRLTGYGALYNPLLSAHVYGAFTALWLAYWMQSRPILAPLPLISLALLGGLLIATGSRTPLVGLTAALMWLVLAGDRKKALIALALALAGALLGYILYPEVITQRGASFRPEIWADALRQISEHPWLGHGYDHPMRIVLSNGMLLADPHNIELGVLFAGGIIGLLLWVAIYALAFGFSWKNRKSPAVLLASTWLVFGLAAGLTEGNAFLPRPKEHWFLIWIPMALLYALWIQQRFAASRRGEDIAAP
VIMSS61474 39 271 0.29214678111588 PF00582.26:Usp:19:86,PF00582.26:Usp:95:232 hypothetical protein (NCBI) 271 206 11 233 0 Pseudomonas aeruginosa PAO1 VIMSS61474 1 MicrobesOnline YDPGLSASAVKTVSAMLQLKRKEAGLDEDSAIHLFRGQPIDGILQQTRALEPDLLLMGAHHQKTFERFGNTTLDQVVRRSRVPVLLAVREADEPYRQALSALDFSQCACTALRQAYRLLPVEADLHALHVFESPDDGVLGLPRQNAAHLATQAGLIEQLLSDEQERLPGVGPQLSHEVVPGVLPYSLDAALKQRQPELLALGRHSRNALMQALLGNLAQRYLRQPSCDVLVTS
VIMSS6505 1 633 0.0197720379146919 PF06808.12:DctM:216:623,PF04290.12:DctQ:37:159 hypothetical protein 633 531 11 259 17 Haemophilus influenzae Rd KW20 NP_438316.1 0 RefSeq MCRNGPTFPYSSSNGVSMKYINKLEEWLGGALFIAIFGILIAQILSRQVFHSPLIWSEELAKLLFVYVGMLGISVAVRKQEHVFIDFLTNLMPEKIRKFTNTFVQLLVFICIFLFIHFGIRTFNGASFPIDALGGISEKWIFAALPVVAILMMFRFIQAQTLNFKTGKSYLPATFFIISAVILFAILFFAPDWFKVLRISNYIKLGSSSVYVALLVWLIIMFIGVPVGWSLFIATLLYFSMTRWNVVNAATEKLVYSLDSFPLLAVPFYILTGILMNTGGITERIFNFAKALLGHYTGGMGHVNIGASLLFSGMSGSALADAGGLGQLEIKAMRDAGYDDDICGGITAASCIIGPLVPPSIAMIIYGVIANESIAKLFIAGFIPGVLITLALMAMNYRIAKKRGYPRTPKATREQLCSSFKQSFWAILTPLLIIGGIFSGLFSPTESAIVAAAYSVIIGKFVYKELTLKSLFNSCIEAMAITGVVALMIMTVTFFGDMIAREQVAMRVADVFVAVADSPLTVLIMINALLLFLGMFIDALALQFLVLPMLIPIAMQFNIDLIFFGVMTTLNMMVGILTPPMGMALFVVARVGNMSVSTVTKGVLPFLIPVFVTLVLITIFPQIITFVPNLLIP
VIMSS6575095 1 1339 0.0770747572815535 PF11864.8:DUF3384:31:483,PF02145.15:Rap_GAP:1109:1301,PF03542.16:Tuberin:603:885 Tuberous sclerosis 2 protein homolog 1339 929 11 1339 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9UUG9 1 SwissProt MNNKSLLDLSSEPAIKDTDILSYFDANLPFKKRHQIVRSIYHGLKYYIYSSTSIIQVWQNIQDFISTKNDNAAFRELVYNLMMRYVSTQKHLSIIERHTFFQTIEKDPFQDIAELQLRLKSLSVLTDEGHKISGIENRVGPLLSAWFNQYLQWQSQATELQGKDADSKLVHLLFFKSLFKFSTNLVKFQWFLVPEPQMLQLVNSVVQICNHARLEDVVTEVLMFFDSMIRYSVIPKASLYDTVLILCSTYISTYSYSKLAQSVIFNLISSPVSNLAFENVFNILQYNRSNVNAVRGAVRLMRFLMLQEVKNDAIASITLSSSIEFTEFPLGFNENVDFEILGTVYLFLRTPSILNRLNFLDWHRILNILMYCSQYLPLKASTSKEAFSKTAAFANIYDRVLDFLDFEALIPLLQQFQVKLVFFLKDVLPVLKPKIRKKLLRLFETYNLIFPCNQYWVFNLEFLLGIYQCKTFDLEDRALLFKLVEDACSVADENSAPILCSKFLFPVIESFSKESDDCVVSPVYNMLFFLSVNFQNPGLKDCIDHIFQQLISDTSSVTVRRLATSTLIRLFYYYYDLRDAVPIQETLAKMLEILETPSFPFVSRMLCLQFFLRFRANGTSIYICENIDLNEPFKVLNVDSELIPAVYPISDSFVNSATVEKHIWERKENDLIKISNHSTEYGKDFVTFPTSSLLRFYRKSMATESNWTILMFMITHLADQISNRSMFIGALEEIYNLLDFMCDIVFERVSISAEIPSNIRKANIMIPILQNVQMLFVYHDQFSRAQEDELVSVFFAGLQKWNEACHVSIHSLMLCCYELPVSIRKQLPAILVTLSRLITKPDLSVHILEFLCSLARLPDLIANFTDADYRQIFAIALKYIQHRDFTKESKDSNDTESILKNSYSSYVLALAYSVLQIWFLSLRLTERKKFVPWILRGLKLASEGKPLEDLCLVQYDMMQQFCYSNSDINNQTSTFVDSDVESETWIRGNSLFTINVSVNSGFLEAVIRRPTGTTQYTFRNEASLQKFLWEENLTSSKALTRGLLCTPSSFVSHFLDPHGISLYNQPLLLPSNDDSVRRAISVFDRIPVIESLKAGLVYVGYQQRREADILANTNPSEDFLTFLNGLGTLFELKTDQKVFAGGLDRENDIDGAFAYCWKDKVTQMVFHCTTMMPTNIEHDPGCTLKKRHIGNDFVTIIFNESGLEYDFDTIPSQFNFVNIVITPESESIRRTGRQIKFYKVKALTKYDIDFSLFRRYKIVSSDALPAIVRDVTLNAAVFSHIYHRSAGDYVHIWAERLRQLKRLREKFQASVLPEDYNLDEQTKTKLQNGTNFSDFTSYL
VIMSS6575920 1 688 0.288272674418605 PF09402.10:MSC:327:667,PF12949.7:HeH:11:44 Lap-Emerin-Man domain protein 2; LEM domain protein 2 688 375 11 645 2 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10109 1 SwissProt MDNWEDPNFELRNLRVIDLKKILHESGVSFPVNARKIEYIRMVDRIRKNKLSSGPQHLLSHLQKEENSNTSKASSSEDEIAPKYLYPSSPSKSTKKPHNETEPLLSPQFIDKPSNIETPVKIESPHVSQNNTFQSYSELSPNVETSLTMKTPPAHASTPKFRSHKSHRVAVPMSFMDSSALHTSPAFSERLKLLSSSNNFSPQLRSPKISHRLQTSATSSPLQHKRPFTNVPERVSRDIEFAPLDSARPSESSSPYSEVDSAEEDDELFQNYVLQQTRKESKLWSFIKKVFHDIKYANYRLLHNLRAFPGISAISSSYLVHIFMILLGVVAAIFLALLREKMFTAGFCDSGASGSSASILGISFPSLCRTCPPNAICPSPNYVECKPGYVLYEPWYSSLGFWPSKYCVSDTSREESVNIFREECLSVLRSWNAILHCSNNSSDLLERNMSYNAHPYVADNLNISSDHISFPSKPFALGLLHDTLLERKSPTLGLEMFEDLFKASLAVLSETNEVVMDSKLICYDSWAGIPLRCRLKQQLIKFVWRNKVFLFGILALSGVIFKLINFFRTRSIVAKYLPSASRFCVESLKRQKANYQMSRSQEPVIPLIEMHDILFHGNGPLEQIHMTKATARTLWEAIVERVEQVGSVRTRESEVDGEWTRVWEWVGTNTLDFQTDRSFINTTSPLRE
VIMSS6576887 1 1325 0.412938716981132 PF16755.5:NUP214:62:422 Nucleoporin nup146; Nuclear pore protein nup146 1325 361 11 1325 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q09847 1 SwissProt MNAENTFSILNTNEPNAGGSQVTESEIEEEDIEFLSFAALKIGATSSLSNSSLGLPEYSNLFAINNLKSLFVAGVPNYLIIGSTLDLQKSLIDADENVDANVLKDSASIRHVSLPDAKFSMVSFSSNGEFVIAYDFVSFELSVYETDALLKNSATSIYKAVFPDLVQVLPNPEINNLVILRNLKLEVFLLSLSKFQVESPLAKDATCAAWSRRGKQCVIGFNNGTMSQYTPAGEIKLRIPRPPSLENYFVECISWIENREFVVFYSPLTSLSNESDEPPHESECFVISVGMNGHFNFGKAGDPTPPFGAVNRRDHHYIASLHAWKPDLRSLVVVANTASADLGVLALSMEKNQWSILNIVDETKRASLPYSNKKDSDSSPTALVMDFTATDRISKPLDPTEAPADCSPLPIVWVFNDEFQLVAYRIFYADAISKSIDYPEMNVIKDKNKDSTVRASNNENIPTPDKQASPFVKNLSSTSSPFSQSSAFGNFKFGQATSFDKGLSTDASSGAKSNTPVFGQPSTFGQAPVFGQPSAFGQAPVFGQPSAFGQSSFSFGTSNMNQKLDFGTFKSPLSGAATGEAKTNLEKAVTSASGKASFTGFAPSQSTTSGLNFDSIPKDNEAASIFGSSQVSTKNTSGFQFSNNTLLADNVDEDIESDHDTKIEELANSDVEPSTEQNIGGDVSWGASTFQSKPQPSFSFGLTLDDKSNTPGKNFSIFGKTAETQVEQKKPENNVLTKPFSFAPSDKSMFAANIPSAGEGLDQQKTSKALPSTGITKLSENDNEKAEESNETKGFNTTIAKQNDKSSKSEGKASVANMSALNKSTNNETSDSKPSLKSPLFNFSADAPTFTFNKPSETPPFSFNKPLVEKESKQDVSDTSDRSPFSFKAFGIDSKKSPTPEPTEMAESNISEESEGWKLIEQPNVESEIEDQDEESSDLNGKRRSTPPKIHEVGVNKMLDVVPKEKRDLNSFFPKQPLVVQSTDKNKKEPQESLEDEILSAEGFSEVEAANKISRFEPFSSPKLKLATSDNAPEKYVFEESESSDLEEGPVPLRNLESLADLPEAESNEKLPMVNTFERLYLQFKNELDLVWQNINIIAEYIEGQTSTPSAVSEQATMAMLSQNTEELEDLLDTVTSFSAFCSDYSKQIDYLEACLVRINAKRIQVTRLLKALTNPAFEKQMELRQLGPEALRRQKELRLKMEKVLKSLSTLEQQAVDARMSDTRRFKKPTLGSIEVAYSRIATLLGQRLKQLYKLEKDIKRMATKKKMITKKSMSSDMMTQFRALGISQSSTKQVSAHYLREFERQDAFRNGVFKRLTSLKKAD
VIMSS6577629 1 997 0.564469307923771 PF00653.21:BIR:25:98,PF00653.21:BIR:120:193 Protein bir1; Chromosome segregation protein cut17 997 148 11 997 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O14064 1 SwissProt MKPITSSSKRRWNRFRREMCNYSKRLDTFQKKKWPRAKPTPETLATVGFYYNPISESNSEERLDNVTCYMCTKSFYDWEDDDDPLKEHITHSPSCPWAYILSSKNNPNQNPQAAALTKCREQTFVDKVWPYTNRPDYHCEPSVMAASGFVYNPTADAKDAAHCLYCDINLHDWEPDDDPYTEHKRRRADCVFFTWKDPNSLSPTKLSFLSTSNIDPEDLTEDNSILPVSPTRDSTKSHKTLNFSPSRKNNLNARPLTMSLYTNTSEEKDSQPTRAPQSPTKPVLLTAPRRKNKSPKKSKPAVFKPVKPIFSDEDEDDDDLTASQPFSKGICNDSMQVAKKNFTEEIPLKEDEKDNELEHLVSPATSVHTTVSDITGHQSVTDESDEQNNCMSTPPKIEIESKIEEEISVVSKSKEISSSVSSVGKEQNHTEKQVAIETPEQQKVEKEDEHLNLQGSFIEESTKQPISSKPSTSSPDMTDAATGGRVSSSSFRDKILQTNFSPRSTIDSFSNISKKRNSEEANDENDETNLKIPIPEKKRKFQEVLQSKNILVSSTEDSHEPVKVTEDSQTAIHVSKFEDLENKSMESEQSLQLLSESENDDKPLIDLIPLLAIKRKDNLVSGVLEKGKSTSTSKTKFDTSIVDFIEKPKTEISEVLPEEKRKAICDESQTVRVSIDRGVTKTRDVSSPVSDEKSENVNHEEANSGHTVMNVHSSLDPQPIVQPNELESGSYLKDLPDRNVGNSEKVTFQEDDINSPKLQSKNNQTVEAVNTETSDKLQEKEANHELENIEKIEEKLTEVDKVSLSDAFPDQEIKNSRTSVQNGTRSVSKNTPEKETKVDKIDNVSKKDVETSPGSCETSSAFAKTYAEKEVTSINLPSVRKPLDESYYDHSISPFDPLCQSSFLAPQTPVKSKHALPLVEANAPPWEPIDFSSLLESPVPNPVEPNKLSEKELDMTVEQWIKFMYAKCAKEFEEACEEKIEWLLEEGKRAEEYIQNL
VIMSS6578056 1 1837 0.462175340228633 PF07926.12:TPR_MLP1_2:1043:1170 Nucleoporin nup211; Nuclear pore protein nup211 1837 128 11 1837 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O74424 1 SwissProt MHDSSWTEADILGVCSFLDIPKTKIDPLLQVDAFTSILIPLISKSKDYESIKNDRIVTEVNYEQQLRNSEKKLLQSNERYDLLEDERKLLENELSQIKEYLREKSSSYDTVLHDCSSLKSVNEALKQAQDQNLKQTAQLQNLLSDKEKEVEKKITIIKDLKDALASSTHQVLELQHTQQEKASLQTNYEFELQKLTQKNSILENNNTWLSRELQGVNDKLLSLHQEASLEKSQLSSQLSDAVLEKDALQRKVSSLSQQFTESNLRYQNIVAELSEMRKQYEFSQVSFEKEISSQKQISELWMEKCEDCSLRLKELQNSNGELEKLLEAAQSSFEEQLESHKEAEASLKSQINFLEKEVSSLESQLKLANERLRHYDEIEISDMSELKYSNLLNNSMKGFKGQSSVSDLYSERLYYKQKYEQTCQEVERLQRSYNHVMEEANLQHPLVKEQFKRFAHMQREIVAMSEQYQKSLEDCQKAKSRYEQLETLFKDKCTENKHYEQETKDLARQVQVLLHELDLCENGIVLGVDSRKKINSYVEKSLTEDETDTDQIISSRLVVFRNIRELQQQNQNLLSAVHELADRMEKDEKPDLDGAEIQEETLIKANETIDQLTKMLEEVSDQLRYSLKERDFFRSLVQENEKLLDMAPATPNSKLNTNLIEQTSYQRSLIRLEQLTNELESLKSISRNKEKKFEEAISSLQLEKSNIQLQLTSLTSERSLALEKLNDLEKSLVLSERSKDELDESYKSLQEQLASKKIEVQNVSSQLSICNSQLEQSNHIVDNLKSENLLLTSVKDKLKADLSNLESKLSSLQQDNFHMKAQIESSNQEYTATVDSMNSRILELSNDLRVANSKLSECSDDVRRLTLQNSFDLREHQTLVLQLQSNITELKQDITLQRTVRNQLEIQTTELKERLKFMEERQENLQSKLIAANKDTTQNPDNVEVEAISIELERTKEKLRMAELEKSNIQQKYLASEKTLEMMNETHEQFKHLVESEISTREEKITSLRSELLDLNKRVEVLKEEKESSSKELAKQLEDAVREKDSALSFKKDYEKIRSDADRVITSLKEDIEKERSLMKECHSNYESEIVSHGRTTQKLRDLRTEFDEVNTKYLKLKANFEQQHSGLSGAEKDWNIQRKAMEDEISSLKDYILGLENQNKLLHSQFDSLSQQITVLQQNSSENLNISANLEAVQDNDLRELVSYLRHEKEIMDNKYELTILDNRGLNQQVKSLQSTVDSLQLELNRLQSLPVSNDQTDTPIISGSQEVQLLYESNSVLRKDNDAKLGKIQELEKEVEKLNASLNPLQTEINELKAEIGAKTASLNLMKEYNSRWKLRFQSVLNKYERVDPTQLEELKKNCEALEKEKQELETKLQETAKETDTFKQQVNSLNEEVENLKKEVEQANTKNTRLAAAWNEKCENLKKSSLTRFAHLKQELTNKNKELTSKNAENEAMQKEIESLKDSNHQLQESASSDAEQITKEQFEQLKSEKERTEKELADSKNELEHLQSEAVDADGKTEISNLEKEIHELRSDKEGLVQQVQNLSAELAALREHSPTQGSLENADEIARLRSQLESTKQYYEKEKETEILAARSELVAEKEKTKEELENQLNEKSQRIKELEEQAQKNSSENTHDNIDDMIKQQVEEKLKENSANFDVKLKKVVAETEFRSKAKISVYEKKTRDLQNKITQLEETIENLNKQLSNPEKTDESTSSVTETKPVTSKPTASKADVGQNATEASSAKREPSGKSLSARLQGTGKQKGVQRPAVSRPVPMKPDSGKLSITGASKRIATSKNAAQNAKELSSTAKSGSLKRQRDDANKGGSSSNQKKAK
VIMSS6578850 279 1000 0.279180055401662 PF01593.24:Amino_oxidase:12:477 Lysine-specific histone demethylase 1; EC 1.-.-.- 1000 466 11 722 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9Y802 1 SwissProt LFAQYEQDFLSRGEKPPRIVIYEASERLGGHIYTHMVPLSDNEVSEKSSLATTVNATNECMVNLLTDSLIGMPTLDSDPLYIISSQQLSLDAVHTRNREFILHDIENGRIDTEHVQRIFRLFDALLFYFNASASKQPLHSLITPPEQEFIQKLDQIGWYISIEAFPLQIKDTLSEFLGNSANTLTSLLHLTVLDLKIFEWFKEYLSQSLSVSLENVYPGSIPNLNLLLGENVASYSFKHGMADMLNSLASTPSPLPILFDQCVHTVKLEDNTVNLSFVNETTVSVDKVVICIPMDKLNTHLITFEPPLEEKKLKAIDRCHFTNVKKVILIFKTQFWEPNISIFGSLPQDSGRNFIFNDCTRFYEHPTLSVFVKVEGIDFMKDDDIVNGIVSQLKKVYKPKSEAINPIRTIISNWENNSYTNHSSYQISNLFLEEDYAILSEPIDNTVFFASEAISQKNSGSIRGAFDSGILAARDVLASLIGNVVLPNTLVIEENLEQPRKTYGTKRNAQQALGKEGERENKEKRISYHTEYLRLRQKRLDKEQQECDLLIAELLGPSPVPPSRPSANPYLLYQKTQWHVCKTLADQDKQRVTGDPEARATKNEIRAKLGKTWRALDSLGKQPWVDEINARRANYSTRLEEYQRQINSYNVRVAQIKSEHQRRCESQPIPEDEAKLKLLAEQEDEHLHPEKEGMSVENSDDDYHDDLDYEDSISEVFPDNFS
VIMSS6578952 1 89 0.138773033707865 PF03073.15:TspO_MBR:19:78 tspO homolog (RefSeq) 162 60 11 70 1 Schizosaccharomyces pombe VIMSS6578952 1 MicrobesOnline MDLNYQVFTSISKNWWSASLVPVACGWFIGNSYKPRKDYENKKQPKFHPPASAFGPAWTLLYLTMGYASHLAYKADPLMITNASRNGSI
VIMSS6579188 1 219 0.390984474885845 transcriptional activator, MBF subunit Rep2 219 0 11 219 0 Schizosaccharomyces pombe CharProtDB::CH_125563 1 CharProtDB MHFADIPLSKPCLNNPPTYPWSSPILSSIANPSLCDIVSSPSSVSSFASSDDFAFMNAYCLPIQQNHQFGSPVAASPNQQPLVESQNRRNVTYASLVIGKLGIAQLIRQQTDPPQIIHRKQDKGLMARVLSRSKKQEERENHSSDARDAIKSALRRRMRRREGRVQKALRPTPNLICSKCNTTFNHSTALMMHEATCLNQVPFKLSDFFVEDVIDDWLF
VIMSS6579511 1 331 0.472702416918429 DNA repair protein rad60 406 0 11 331 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9USX3 1 SwissProt MDNLDEDDLAFFSKPIKKPPLNYAKQLIASSSDSEEESELDTNKQALEHINAQKNITHNENKSAEPLSRQSTILDADEGNQDVSDTTPNACLNEGRHSPKSAISCVTQPVSPVYNTRAAANLRNNSINSEAALSTTSSLLDDDFARRLEEIDRQVQEFEKSSSDMDVQIHTHKREIEEDDDNTSADVPLLKHSKSDHSTLYHSKSEFSTNEPVISVVLQLAVIGQRIPNSNISLPRDWEAPLFFKVKSNQQFRRVRIAYSERKKVDNVVLVFQNQRLWDYGTPKGAGMLKVDTRLVVHAYCHSDFISLKRIKELEVEKLSSVTEDSTAQTC
VIMSS6580717 1 179 0.104958100558659 PF00674.18:DUP:27:117 Putative integral membrane protein, member of DUP240 gene family (RefSeq) 179 91 11 156 1 Saccharomyces cerevisiae VIMSS6580717 1 MicrobesOnline MINFLLFVLTILATLTNIWVSGVLSPAMVIRICLGGSMVVLQIWSISRPISNETFRTKLLLEVITHRPSIAGKEWKTITYNMNQYLFKAGLWKTPYHFFCEHQCYEFFKDLIKGKYPDVQWDTANTQPFISVPENQAATQNSDVEPTVKWCLFKAAEIQAHAVREYWQSQYPDVGIPAI
VIMSS6580802 1 639 0.0987743348982786 PF02133.15:Transp_cyt_pur:119:575 uridine permease 639 457 11 414 10 Saccharomyces cerevisiae CharProtDB::CH_091151 1 CharProtDB MPVSDSGFDNSSKTMKDDTIPTEDYEEITKESEMGDATKITSKIDANVIEKKDTDSENNITIAQDDEKVSWLQRVVEFFEVKNDSTDLADHKPENPIRTFKDLQESLRSTYLYNTDLRPVEAKRRTWTWKQYIFFWISGSFNVNTWQISATGLQLGLNWWQTWICIWVGYTFVAFFLILGSKVGNNYHISFPISSRVSFGIYFSIWIVINRVVMACVWNSTLAYIGSQCVQLMLKAIFGTNLNTRIKDTIKNPNLTNFEFMCFMVFWVACLPFLWFPPDKLRHIFALKSAITPFAAFGFLIWTLCKAKGHLALGSLNDNGGAISKTVLAWSVIRAIMSALDNFSTLILNAPDFTRFGKTYKSSVYSQLIALPVCYAIISLIGILSVSAAYTLYGVNYWSPLDILNRYLDNYTSGNRAGVFLISFIFAFDQLGANLSGNSIPAGTDLTALLPKFINIRRGSYICALISLAICPWDLLSSSSKFTTALAAYAVFLSAIAGVISADYFIVRKGYVNIFHCYTDKPGSYYMYNKYGTNWRAVVAYIFGIAPNFAGFLGSVGVSVPIGAMKVYYLNYFVGYLLAALSYCILVYFYPIKGIPGDAKITDRKWLEEWVEVEEFGTEREAFEEYGGVSTGYEKIRYI
VIMSS6580814 1 338 0.673000591715977 PF17077.5:Msap1:35:328 Mitotic spindle-associated protein SHE1; Sensitive to high expression protein 1 338 294 11 338 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38200 1 SwissProt MNDKLQEEHNEKDTTSQINGFTPPHMSIDFHSNNNSNIIETIGVSKRLGNSVLSELDSRASSKFEFLKDQSEQQYNGDKNNEPKSGSYNINEFFQAKHDSQFGQMESLDTHYTLLHTPKRKSQHAIPQDRSDSMKRSRPSRSIPYTTPVVNDITRRIRRLKLRNSLVNGNDIVARARSMQANSNINSIKNTPLSKPKPFMHKPNFLMPTTNSLNKINSAHRNTSSSSTASSIPRSKVHRSISIRDLHAKTKPVERTPVAQGTNSQLKNSVSVFDRLYKQTTFSRSTSMNNLSSGTSAKSKEHTNVKTRLVKSKTSGSLSSNLKQSTATGTKSDRPIWR
VIMSS6580874 1 177 0.139356497175141 PF01661.21:Macro:22:163 ADP-ribose 1''-phosphate phosphatase; [Protein ADP-ribosylglutamate] hydrolase; EC 3.1.3.84; EC 3.2.2.- 177 142 11 177 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38218 1 SwissProt MSNITYVKGNILKPKSYARILIHSCNCNGSWGGGIAYQLALRYPKAEKDYVEVCEKYGSNLLGKCILLPSYENSDLLICCLFTSSFGGSSHGEKQSILNYTKLALDKLKTFREAKDKTRTSEDSIGDYLNGHIKYPIGEYKLEMPQINSGIFGVPWKETERVLEEFSGDMSFTVYQL
VIMSS6580900 1 175 0.189033714285714 PF17315.2:FMP23:28:175 Protein FMP23, mitochondrial 175 148 11 175 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38231 1 SwissProt MLINHLSKIRTVRHFSNIKPVLSKEVSRRVIVAPASHFKTSSPNVKSNIPIHEYKQLPEDSNYIEKHYKELQVFLNEFLIKKLNKTYADFEGDPDELVFQLEKFIELEVTPRYTNHSAPDGCEERFKSIGDRIVVDRYLDFVKDVRLTLLLNGGHSFIFDVMLQAKEVFDKMQKE
VIMSS6580917 1 126 0.48284126984127 Uncharacterized RING finger protein YBR062C 180 0 11 126 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38239 1 SwissProt MSTYEEEHGIQQNSRDYQEVGGTSQEEQRRQVRSQLQGLFQNFGNTSGEGDAHSDSTLLLRLLSQMLPESLQEEWLQEMDKGKSAGCPDTFAASLPRINKKKLKATDNCSICYTNYLEDEYPLVVE
VIMSS6580978 1 346 0.342593641618497 PF17682.1:Tau95_N:38:168,PF09734.9:Tau95:228:344 Transcription factor tau 95 kDa subunit; TFIIIC 95 kDa subunit; Transcription factor C subunit 1 649 248 11 346 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32367 1 SwissProt MPVEEPLATLSSIPDSSADQAPPLIADEFTLDLPRIPSLELPLNVSTKHSSIQKAIKMCGGIEKVKEAFKEHGPIESQHGLQLYLNDDTDSDGSKSYFNEHPVIGKRVPFRDESVILKVTMPKGTLSKNNNSVKDSIKSLKDSNKLRVTPVSIVDNTIKFREMSDFQIKLDNVPSAREFKSSFGSLEWNNFKSFVNSVPDNDSQPQENIGNLILDRSVKIPSTDFQLPPPPKLSMVGFPLLYKYKANPFAKKKKNGVTEVKGTYIKNYQLFVHDLSDKTVIPSQAHEQVLYDFEVAKKTKVYPGTKSDSKFYESLEECLKILRELFARRPIWVKRHLDGIVPKKIH
VIMSS6581009 1 255 0.51843137254902 Increased copper sensitivity protein 2 255 0 11 255 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38284 1 SwissProt MGKFEQKERERISTFSFPTTGSQSSTSIKSLGSPLYGRFSSLSSTESQFDSSKQPHEYEKSFYFEESQGEALFNKLKTYSFPGDKDGVKTRRNSSICPRKPNAVSPLRVESNELSSHSHSRSLSHELTKPSGRRKSYHRKSHAISFSRSCKPNFIDGYDSNSSIGVNSRKTSLASSFLDKEYHSSPDTSYTHQMSPKNTIMNTNEQLRRNASGRFGSLKEFAEKNQINIEGKIFAHKVETGDILQPLIDLDIDNK
VIMSS6581037 1 278 0.168511870503597 PF07961.11:MBA1:42:278 Inner membrane mitoribosome receptor MBA1, mitochondrial; Multi-copy bypass of AFG3 protein 278 237 11 278 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38300 1 SwissProt MSVLRSTCLFFPPRSLLISFNKRRLFSTSRLILNKESETTKKKDKSKQQDFNPRHLGVAAEIFIPSAYKNLPNVFAHPLIVANALIRRLYTFGLNSVQVALFRFQSGIKPSFLLWKNKAIETYINVNTSFAHKNLSDIKGLVSLWVQEALEARSRQLPGNATLDWQLIKFNAVPKLVSVQPIMIPGMPLEHLQLVYKFDTKQRLIKVNQQTKKTETLDRDVVDYIAFLCDATTNDMILMGSLFESKPNDKLPKSYEDDAKVAIHRMKVNGDIYRLPPS
VIMSS6581040 1 140 0.55619 Pre-mRNA-splicing factor NTC20; PRP19-associated complex protein 20; Synergistic to PRP19 mutation protein 384 140 0 11 140 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38302 1 SwissProt MPSLRDLSLERDQELNQLRARINQLGKTGKEEANDFVGLNISNEPVYDTVIQTGQSSNATNSFVQETIQKTKQKESGQPYIIPQKNEHQRYIDKVCETSDLKAKLAPIMEVLEKKTNEKIKGIIRKRVLQEPDRDNDDSG
VIMSS6581045 1 123 0.673524390243903 PF12622.7:NpwBP:73:109 Altered inheritance of mitochondria protein 4; Synthetic with old yellow enzyme protein 1 123 37 11 123 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38305 1 SwissProt MDQKKDPSNNLTERRVSKVQRPNKKKVRNQVESLSRNLERNKEGQLLQTVSKGHLEADSGHSLGREKENGELGIRSIFYDKDWNPRGTAPSHYRNIPYNPATFKRRTEVQARLGNLENIKIPK
VIMSS6581050 1 217 0.701217972350231 PF17242.2:DUF5315:99:166 Uncharacterized protein YBR197C 217 68 11 217 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38306 1 SwissProt MGVKQTPPVQVKVSDADSTNRRKSSSQEGNPQLVQLKAKSDKDKRKGSSDSTASIMGSSNALPTKNLTTPPALNPLTTSISRGNTAYERSVNGSRITMHSNLAPTETQDVSWSEIDTLDDVKKMAKEPIVNDGFPRDFESNLTQMRKSHAQLLRLMRERNQRLKYAKLRSPPHKDQHNSATNKDQEPDEVLHDPEIALDGEKYVSQVVDTIKDVHRC
VIMSS6581069 1 527 0.432433965844402 PF00571.28:CBS:202:251 Protein SDS24 527 50 11 527 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38314 1 SwissProt MASTSNTFPPSQSNSSNNLPTSRHASIVEMLSTPPLLPHVQVNDTDDKEQPEESTPPTATAAAPGPGCAATPAPLRDEKPQFKLSAVPMTQTPSQCLSCVHAQKWQHIPLSQLIEQNKLIFVPGSISVEEAFNTLIKYHLNSIPVESFPGDMNCFTFDYNDLNSYLLLVLNKITVSNKQLTADCQNGKPVPVGEMVKLTPKNPFYKLPENESLSTVMGILGSGVHRVAITNEEMTKVKGILSQRRLIKYLWDNARSFTSLEPLLNSSLQDLHIGVLNIQSKPTSRQSRVISIQGEEPLIMGLYKMHVERISSIAVIDKQGNLLGNISVTDVKHVTRTSQYPLLHKTCRHFISVILNSRGLETGKDSFPIFHVYPSSSLARTLAKLVATKSHRLWIVQPPESSTSASSTNLTAANTAANAVSATAQSSANGATPMSKSSSSTSLNSHSPLMTAMEDPPSPRSSAIAIPPPSPASSTNTPNLFEKEYRTGKLIGVVSLTDIINLLARKQTGNKEVDPQSARRQRGSIAM
VIMSS6581111 1 694 0.513671902017291 Maintenance of telomere capping protein 4 694 0 11 671 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38335 1 SwissProt MTHTNEHDHKAEQQQNGRGDTTTETVNPQKMKLVTKLLIDNKFGLMDDLNFSIPLTASSEGVPISAKTSELGTEYLKNQQENSVSPILPISRSTRIKADRVRIYLDYYYNILERCISIDSSQNHHEGVEGVYNPLQVIRNRKLKKKHHELPTREFYTTKHPIIAIKQFSKKPNKKMPWFVDINEKYMDLTWRTSHWEELVDPQGKLWFQSYSPSNESSGSSSSRRHHGHHIHPRRHLQHHSRVRTANSVHSNTQSLTPKRVMTNEEDNNNHNNNNMITKIATTPEAQISRNKKSDLNLSHIHLEVPITNTVTNTSSDQGSLIIEAKGSSYGGDRRGSSNTSGSGGKRNSKHYRSKSAGPPENEKSRMNGLEKIISKTSKGWSRSPKKNTPGLEKQVLLNPTISNGGTSRRSSNNGESISTNSSKSSMGITFGNTETYKTPVDNGKDAIIRQSLLSEVPVHTLRGKTSNRSLRAEGEQALESDKELPNGAGSIYEGAPREKTTSQGSEPVGLVSDSLQVDEQLQRYWHDTRYIMSTVAMMQHRRETHDIVKRREIARRNEIEITQDADTNIRKTADALTQYDNELNKVLKLGNDWTSKLLNDYSIRVETLISSSDRILSDINTTLTLKLKMFQENTERYVTVKVMRAQKMTKTIYRLLEFGIVLVLWTIWFLFSVLRSIRFTIFLVLKIIKALLW 2
VIMSS6581189 1 434 0.683772811059908 PF05383.17:La:265:323 RNA-binding protein SRO9; Suppressor of RHO3 protein 9 434 59 11 434 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25567 1 SwissProt MSAETAAANTATAPVPEVQEQESSKSKQVNLTPAPLPTSSPWKLAPTEIPVSTISIEDLDATRKKKNRTPTPKSSTATKWVPIKASITVSGTKRSGSKNGASNGNSNKSKNNKTAASSTSSSNANRKKKHHQHNAKKQQQMKKDGFESAVGEEDSKDATSQENGQSTQQQQPPHHRNHHHSHHHNSNGPQRRKFHNSNNAGMPQNQGFPPQFKPYQGRNARNNNNNRSKYHNHFHHNQQHPQQPMVKLQQQFYPVQPVLMAINNIARQIEYYFSEENLTVDNYLRSKLSKDGFAPLSLISKFYRVVNMSFGGDTNLILAALREIVANEAATVNVAEGTLAAKEGDNVTGEAKEPSPLDKYFVRSKSWSNWLPETFETEINIEKELVGDALDQFMISLPPVPQQEEESSTELASQEQETKEDSAPVAAGESESSL
VIMSS6581239 1 317 0.158539116719243 Cold tolerance protein 1 317 0 11 317 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25616 1 SwissProt MKTIIISDFDETITRVDTICTIAKLPYLLNPRLKPEWGHFTKTYMDGYHKYKYNGTRSLPLLSSGVPTIISQSNFNKLFADELKYQNHNRVVELNSVNEITKQQIFKSISLDQMKTFARDQNHEDCLLRDGFKTFCSSVVKNFESDFYVLSINWSKEFIHEVIGDRRLKNSHIFCNDLKKVSDKCSQSYNGEFDCRLLTGSDKVKILGEILDKIDSGCNKEGNSCSYWYIGDSETDLLSILHPSTNGVLLINPQENPSKFIKITEKIIGIPKDKISSFEADNGPAWLQFCEKEGGKGAYLVKSWDSLKDLIMQVTKM
VIMSS6581248 1 215 0.0854665116279069 PF03070.16:TENA_THI-4:11:214 Protein PET18 215 204 11 215 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25362 1 SwissProt MSCTTDKLIQKYDALVRKTTEHKFAKELCAGTLKDRSLYIYLSQDLQFFETSLRLICKTTSLAPTTHALITLAKKIGFFSNDENSYFHDCLELLAPSLTKEERDNFDNKAIPGVDAYINFLDELRKDASITWPSLVTSLWVAEELYWRWARDTPRAPGLHWKYQKWIDLHDGEHFQTWCEFLKAEVDKFPVEEVESIFVKVSQFEFEFFESCYNA
VIMSS6581271 1 642 0.103527725856698 PF00617.19:RasGEF:416:581 Bud site selection protein 5 642 166 11 642 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25300 1 SwissProt MSPKNKYVYICVEYIYIYFAKIHKQSTLSSDTTKMFVLIDNVLAYLLEQDDLFVTARFAIQGQIVSRRVNKIHISNITDVLLQQFISHTLPYNDNIVPKKILDSMRTAVRQLLEATACVSRECPLVKRSQDIKRARKRLLSDWYRLGADANMDAVLLVVNSAWRFLAVWRPFVNSIQHATQELYQNIAHYLLHGNVNIQRVTALIQLVMGQDDLLFSMDDVLQEVFRIQLYLNKMLPHNSHKWQKPSPFDSANLLLNFRDWTTDNALLQELLLSYPTINKNKHKNHSVPRLIQIWVESYWQDSETTLKDILNFWYSHLAEYYEYQELFADIVQLFINKKRTRQLKIHYIGLTDKEIEENKPPLDYENLFLQYEIDKTNANDELCGATDLSDLLFQWKQGELLEVEAFALNVSPWSLAKTLTLLESSLYLDIETIEFTRHFKHNDTTIDSVFTLSNQLSSYVLETTLQQTHTISYWLQVALSCLYLRNLNSLASIITSLQNHSIERLSLPIDVKSDHLFQRLKVVVHPNNNYNVYRRTIKHIFHSQLPCVPFTSLLIRDITFIRDGNDTFTKDGNNVNMQKFNQITKIVAFAQYLQQKQYEDIHCSNTTARSLLGAMIKVHTLYNDNKDRAYQVSIAKVPRLT
VIMSS6581328 1 368 0.207732336956522 PF00107.26:ADH_zinc_N:178:265 Putative protein of unknown function; involved in copper metabolism; similar to C.carbonum toxD gene; YCR102C is not an essential gene (RefSeq) 368 88 11 368 0 Saccharomyces cerevisiae VIMSS6581328 1 MicrobesOnline MKAVVIEDGKAVVKEGVPIPELEEGFVLIKTLAVAGNPTDWAHIDYKVGPQGSILGCDAAGQIVKLGPAVDPKDFSIGDYIYGFIHGSSVRFPSNGAFAEYSAISTVVAYKSPNELKFLGEDVLPAGPVRSLEGAATIPVSLTTAGLVLTYNLGLNLKWEPSTPQRNGPILLWGGATAVGQSLIQLANKLNGFTKIIVVASRKHEKLLKEYGADQLFDYHDIDVVEQIKHKYNNISYLVDCVANQNTLQQVYKCAADKQDATVVELTNLTEENVKKENRRQNVTIDRTRLYSIGGHEVPFGGITFPADPEARRAATEFVKFINPKISDGQIHHIPARVYKNGLYDVPRILEDIKIGKNSGEKLVAVLN
VIMSS6581365 1 365 0.44472301369863 Serine/threonine-protein kinase PRR2; Pheromone response regulator 2; EC 2.7.11.1 699 0 11 365 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12310 1 SwissProt MSLSRILRYNQRNNKTTASLTAEHAYSDNWAYSVSLGDPTSVGVNMAAKTGEALNKSYDSVFSSLPVADSVPRTDFTASSRDDENTDVQKLTTSWMEKIDTKMPENISKIDSNIISSPMVSKVEARFIVPKGRLRKNSTDFTSSFSNSLSLPKSYGKLIFFTSKKNSSSTKKNLANDISDNKHNNNSSNTIGHNIPVTTATATCDEIACTSTEHEYNVYEEERMFTTRVYSLEDSVSSLSTNPLDDTYSEAVQVNTRHIEDTESTAHIRKHSYTTSLSSIKRLFKITSFSNNNSNSCDHQESTVADDCAISSSLKETTSSPVSTGSFSLMIENEDSDRDQIIQALYSNIEASTDLVSRKYRDLDV
VIMSS6581377 1 623 0.339085232744783 PF08238.12:Sel1:319:361,PF08238.12:Sel1:362:399,PF08238.12:Sel1:408:444,PF08238.12:Sel1:460:487,PF08238.12:Sel1:496:528,PF08238.12:Sel1:536:569,PF08238.12:Sel1:580:610 Activator of C kinase protein 1 623 244 11 623 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07622 1 SwissProt MVNQGQPQPNLYDKHINMFPPARARESSHKLGNANSDRHGLPAQNIVPAPYPVDDSIVELTPAIPFTSPSSSSSLSLPLSALNFTDGNADGGQLGTPVTINSNNGMDIFNSKPTGEIGYANNGTNSTGSRYELPFNFSSTKESLGSPAVQDASISSGNRISESVRDNSAPPPYEESESRILQEKVYRTEEKAPIRPLNNNPVPPQKINQPPTGSAKTDDNGSSGGEDKLSSYSPEALAFYQVYKKTITDSSKFTPEIQMQWCETLLTYAFNEDFISQYNINAEKLKRSLKPEEMLKNQKVILEHSFKVLTKLITLKWPPAMYLMGTLYSHQPYLPIKNKNIVIKNDEKALEYYCKAAKLNNSDACYRAGVCFEYQRGTSSLDPSPTKEQCIKKAFQYYQHGAEVCSNSACMYKLGMSHLYGLNMQKTDVLLAIKWFDKAAQKGDSPQTLYELGKIYEFSVLPPEIQNLLFANGIRKDSQLAIKYYQQCAKDFEYPLAQWKLGNCYEFGDLGLPVVAKKSIYWYSKAAAAQPKGNPMAMLSLSGWYLTGAPNILKPNNKEAFNWALKSSKCSDGKLARTEFALGFYYEKGVGCEVDLDLAKQYYQRAARMGFRKAVDALRSLTN
VIMSS6581407 1 528 0.114291098484849 PF11951.8:Fungal_trans_2:121:528,PF00172.18:Zn_clus:15:53 Transcriptional activator protein UGA3 528 447 11 528 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P26370 1 SwissProt MNYGVEKLKLKYSKHGCITCKIRKKRCSEDKPVCRDCRRLSFPCIYISESVDKQSLKKIKADIQHQLISKKRKHAPDSAQKAAVATRTRRVGSDEQDNQVYLSKPLEDCISQKLDSMGLQLYNYYRSHLANIISIAPMNQNYYLNIFLPMAHENDGILFAILAWSANHLSISSSNELRKDEIFVNLANKYTYMSLSHLKTNEGSSACAKLGFLYSLAQILILCGSEICQGDVKFWKILLNIGKNLIENHVGKDVSRILTTTTEEPSLEERIIFPNFNSVVKYWLIVNFIYHDILNFNTTSFPIEQYEKFFQRDQNSLPSSANFIESIDSPIEEIDPLIGINKPILLLLGQVTNLTRFLQTMEQEEMLEHGDKILSLQVEIYKLQPSLMALEHLDDEKKFYYLELFEIMKISTLMFFQLTLLKIDKDSLELQILRNKLDSKLDKVIGTFLEGSLCFPLFIYGVCIQVEDMEKKIDLEAKFDDILKRYKCYNFQNARLLIRKIWQNEADGISEHDLVHMIDELDYNINFA
VIMSS6581504 1 203 0.153892610837438 PF05529.12:Bap31:1:138 Endoplasmic reticulum transmembrane protein 3 203 138 11 142 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07451 1 SwissProt MSLYYTLVFAILVVEIFMFSILALPIPSRYRRPLTLLLLKPFKSSTVQVAIKCVLGFILLLFIDCINRVYSIDKELQLSSASQNNGAIIAQDRIEVLSRKFFAQRNMYLTGITLFLTFVVVRTFGLVIELLTMKDIYRASPPVASSDVKKNDSVTAEAAAQSGASKDDHGDEKNFELLKKIQDIDDEIARLKEKSESLQEEIN
VIMSS6581832 1 494 0.18387044534413 PF00856.28:SET:38:265 Ribosomal lysine N-methyltransferase 4; SET domain-containing protein 7; EC 2.1.1.- 494 228 11 494 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12504 1 SwissProt MDDFSRDTENFVCWLKTTAEIEVSPKIEIKDLCCDNQGRAVVATQKIKKDETLFKIPRSSVLSVTTSQLIKDYPSLKDKFLNETGSWEGLIICILYEMEVLQERSRWAPYFKVWNKPSDMNALIFWDDNELQLLKPSLVLERIGKKEAKEMHERIIKSIKQIGGEFSRVATSFEFDNFAYIASIILSYSFDLEMQDSSVNENEEEETSEEELENERYLKSMIPLADMLNADTSKCNANLTYDSNCLKMVALRDIEKNEQVYNIYGEHPNSELLRRYGYVEWDGSKYDFGEVLLENIVEALKETFETNTEFLDRCIDILRNNANIQEFLEGEEIVLDSYDCYNNGELLPQLILLVQILTILCQIPGLCKLDIKAMERQVERIVKKCLQLIEGARATTNCSATWKRCIMKRLADYPIKKCVSIEKPSKGNSLTREELRDVMARRVLKSEIDSLQVCEETIDKNYKVIPDEKLLTNILKRKLTEEEKSSVKRPCVKK
VIMSS6581893 1 281 0.0918430604982207 PF06090.12:Ins_P5_2-kin:6:265 Inositol-pentakisphosphate 2-kinase; Inositol-1,3,4,5,6-pentakisphosphate 2-kinase; Ins(1,3,4,5,6)P5 2-kinase; InsP5 2-kinase; EC 2.7.1.158 281 260 11 281 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06667 1 SwissProt MQVIGRGGANILIDYGDPTWLWRCCIRWPDLLSSNNSYTIKNISYIKDYVEPLLHGLLCPMYLIDVDIEAIRPILSDFILNLDDKVVKVIKIKNLTNNTSNLILNNHFLKSYCSQNLQTVILELKPKWLYYDTDYCRNCTHNAFKGRGTKYCYNQLLMNPAHLELIFGECNIFPVKFKDAMHEYLRNDNNIFKILYDLQKKLTKNTTPISDIKSINDVNDEHLLLMTLRDVTCFIEWNSAENALHVNIIDVDLKPKEKWTHWTKTYSQLTSSQKIYHTSNK
VIMSS6581981 1 456 0.323220394736843 PF05546.11:She9_MDM33:147:343 Sensitive to high expression protein 9, mitochondrial; Mitochondrial distribution and morphology protein 33 456 197 11 433 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04172 1 SwissProt MLRYYGATRNLPLVFSINKLMLRASSFTRPFHYSSYSLQNGDTPDKGSTNKNEIRTPNNAVWKENIELQWQHLKKKLNELYSRFNFHRDQLSFQVNKAKKSIQEANRKLSEQENEINDSRLNYNKDELTSAKIEGLPSEREQHRKKWSRKLEFYFDSLQETLFTATRALNDVTGYSGIQKLKSSISLMEKKLEATKKEHKLFKAQYANAIDERAQSQREVNELLQRQSAWSSSDLERFTQLYKNDALNARQEQELKNKVKEIESKEEQLNDDLYRAILTRYHEEQIWSDKIRRTSTWGTFILMGMNIFLFIVLQLLLEPWKRKRLVGSFEDKVKSALNEYAKEQNMKMDKLLPGKSSEVTDQGNTENSIVEEHIEQRGECKINTAEIDRPEVATAETTTTEMKSFRDIWERIKALFVTLKSIQYRKLDAPLVFDTLEFYLYSISLVSMTILVSGLI
VIMSS6581998 1 235 0.527105957446809 PF09805.9:Nop25:19:161 Ribosomal RNA-processing protein 17 235 143 11 235 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04031 1 SwissProt MAVHTNRQILTRGKNYATKQSKKFGTDEVTFDKDSRLDYLTGFHKRKLQRQKKAQEFIKEQERLRKIEERQKIRQERKEVMEEQLKTFKESLNLEAEIEDAKNDKTEDLQVESDESWHGFDSDKDDGDNDNNESSVKPILKKGAITEIYDDSTTVELETLEPNDNFEYLAQLNNVKLEKAEKVLKQSINRATKYAKFLGVDEKQKKKPRVKKFRYLTKNERRINQRKANDNKRRR
VIMSS6582074 1 1011 0.200996043521266 Vacuolar protein sorting-associated protein 3; Vacuolar protein-targeting protein 17 1011 0 11 1011 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P23643 1 SwissProt MVKKKTNNDKGKEVKENEGKLDIDSESSPHERENDKKKTEDDSLRATESEETNTHNANPNETVRADKFSQEESRPIEDSPHTDKNTAQESCQPSSAEDNVINTDITSLNEKTSTNDEQEKGLPLKISEGPFTISTLLDNVPSDLIYTCCEAYENHIFLGTTTGDLLHYFELERGNYMLVSQTKFDAESNSKIDKILLLPKVEGALILCDNELVLFILPEFAPRPNTTRLKGISDVVICNFSRSSKAYRIYAFHAEGVRLLKISADSLVLTKAFNFKLIDKACAHEETLMVSKLNSYELINLKSSQVIPLFRISETDEDLEPIITSFNEQSEFLVCSGGGSYDSGAMALVVNHHGDIIKGTIVLKNYPRNVIVEFPYIIAESAFQSVDIYSALPSEKSQLLQSITTSGSDLKISKSDNVFTNTNNSEEFKEKIFNKLRLEPLTHSDNKFRIERERAFVEESYEEKTSLIVYNNLGIHLLVPTPMVLRFTSCEESEIDNIEDQLKKLAKKDLTKFEHIEAKYLMSLLLFLMTLHYDHIEDEVMKKWCDFSDKVDIRILFYMFGWKVYSEIWCFHGLINIVERLKSLKLTNKCENILKMLLMMKNELKKKNKTGLLTNDFDDIMKTIDITLFKLRLEKKETITVDMFERESYDEIIREINLHDDKLPRIELLIEIYKEKGEYLKALNLLREAGDYISLVSFIEENLKKLPEDYIKERIADDLLLTLKQGDENTEECAIKKVLKILDMACINKNDFLNKIPAEETSLKVSFIEQLGVQNSNDSKFLFNYYLAKLREIINQSNIWSILGDFIKEYKDDFAYDKTDITNFIHIKLKHSLQCENFSKYYEKCENLKSENEKDDEFINFTFDEISKIDKEHILTLLFFPNELTNWVSSEELLKIYLSFNDFRSVEKYIGKQNLVAVMKQYLDISSLNYSVELVTNLLQRNFELLDDTDIQLKILETIPSVFPVQTISELLLKVLIKYQEKKEESNLRKCLLKNQISISDELSRNFDSQG
VIMSS6582080 1 521 0.41929424184261 PF00498.26:FHA:104:149 Protein PLM2; Plasmid maintenance protein 2 521 46 11 521 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04383 1 SwissProt MSHLFPPSSPVAGKPLESPQKEPGKLANTSVLTLGRKRYNYELEEYPTPDPSSSIGRQSSPVKDITSRLNETKSALSSPSKQEKVLAGPIEIELDASDPSRLAIGRKKSVCNIILPCRKNISRQHAFISYAADRNEIKLECNGTNGLSVHLPYSMQLHLVKPFPTRNFYKLVAEEPLTSQNTKQSHGKTLQKNQNFISFVLAKGETVTFPYIQGSFINFTGVTVCLSLKKVAPYPGDGNNNFDEENSTETEDELCLLTTTSDDFSWQKETPSMKFVPVEHSPRTEQISKPLLIASPALVKNSPISYRTTPQTSFVINQPSTPKKLKRKSISLKNNTIQETPLPKDKIIGTLSASTRSGGINEEESFAAVAKKTKELSSTTAIVSPAQKRLKTSLNIIPEISRSLSERGIRFDDLVHVLCNHLAFSNLQQTPLSQLQNINSNTSQLSKDELKKVLETISCIGIIVREGKDASGKPLEDEYYYDVENDDSDERKILYNSLKGRSRLRSCRKKHKQYFWKRPTK
VIMSS6582216 1 254 0.460775196850394 PF00660.17:SRP1_TIP1:13:113,PF00399.19:PIR:211:227 Cold shock-induced protein TIR1; Serine-rich protein 1; TIP1-related protein 1 254 118 11 254 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P10863 1 SwissProt MAYTKIALFAAIAALASAQTQDQINELNVILNDVKSHLQEYISLASDSSSGFSLSSMPAGVLDIGMALASATDDSYTTLYSEVDFAGVSKMLTMVPWYSSRLEPALKSLNGDASSSAAPSSSAAPTSSAAPSSSAAPTSSAASSSSEAKSSSAAPSSSEAKSSSAAPSSSEAKSSSAAPSSSEAKSSSAAPSSTEAKITSAAPSSTGAKTSAISQITDGQIQATKAVSEQTENGAAKAFVGMGAGVVAAAAMLL
VIMSS6582280 1 505 0.410363762376237 PF04001.13:Vhr1:24:112 Transcription factor VHR2; VHT1 regulator 2 505 89 11 505 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40041 1 SwissProt MIDDTENSKIHLEGSHKTGKYTGYGTTHKIRAQLNFNDEKKWKKFSSRRLELIDSFGLSQHKASEQDDNIRQIATILRSEFEYPDTFSAEFEKLVTAAVQSVRRNRKRSKKKLLDSKKKIARGKVQKIPLSPPSSSNMGSCSASNASSSDEEASVKEEPAEHALPSLNTITSQKLLPYPNGRTLPPVPTQVRSLLKKNASLLRDPSAPYAHGGDEKLQKFDIEDQPLESEQEYDFIAKSIIVEIVNNAIPLPEQIQRDKFIRPNLTKKKGCQSKVVISNNLRKLILSKIHNSRTCLEMSKDERNLDSFANLETLGKNSLMASISLVVENSFSHLPSSTKQYLTERLSSIEFLTILSQRLFMPATRQLFADLSQEKIQVRVLNLILGSLVKDYGFDASLAPINEIIYHMTLHQYPLVCSNKQSNPMRPHSTSEVLSAHSSTKDASTPGKEEPRVTRSSTSADSTIITLPSIEVPNTYDDDRLKMLSAISLQIENSTFSKPFSTISK
VIMSS6582389 1 573 0.64247242582897 Uncharacterized protein YER158C 573 0 11 573 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40095 1 SwissProt MLQQGSSSRRSLHGNDFHTLTSPSRRDSLSIPRAVDARSASTIDLFYIPDATVSRRHSTLVANRSDNNGNGAPMRQYNKPNFASSSTSSLPSTRNRPSRYDNMNMNMNMNMNMNMNMNMNMNNHTTSDHNAHPQYRCRPNPSRRHSLMTIPEKYSGSRYSLRSSPPTYSNPRVRKELTPFQLQRKQMKSAFQFPNGENFTPRNQIARLPPSSTFPDSPSSSSLPLTQTGGPSSADNDSIATGTNNRSPQQTKAADANQKSESESPKAIRSNSKKISRFFRKIWSSKSSNSADSVEENSKTKQKRKNPERVVPEPITSLDQPVEIIKQSFSTVNNHETAVPSIKDSGIVQELTALGDNNRIPVLPPPRSPNRPTLSDKRTTKLYYCSQDSSNEDIAPEEKSTVFLKRLQDEWSTVYLNKLPLTASVPSSLSTTTDAANSSFINSSISSPAPSSSSSSSLVSRGPMQSISSSPTPAPSSGSSKSKNAVKSLRFADEIYVNDTWSAADYCRCDNTFLNNFFKGKSQDITNPSTFVGNNLSSTKNISNIEIKMEVNEFKRKEMRVHQDSAKYTHYYL
VIMSS6582482 1 148 0.385136486486486 Putative protein of unknown function; transcribed during sporulation; null mutant exhibits increased resistance to rapamycin (RefSeq) 148 0 11 148 0 Saccharomyces cerevisiae VIMSS6582482 1 MicrobesOnline MPKSRPKRTIASSSSVFYGSSPFQNDGYIKVMELVSHIVIEINHSPTATTDETRKQNNPELKVKEPVCNLKKWENNTNFILEDHTKNKTKLSSTDRIRKWFRRHILKEEIEILSHGKQLSSIDEDYCPSNVLVGCSRDLNKLRSFQNF
VIMSS6582628 1 235 0.121995319148936 PF04893.17:Yip1:53:211 Protein YIP4; YPT-interacting protein 4 235 159 11 149 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53093 1 SwissProt MSYGREDTTIEPDFIEPDAPLAASGGVADNIGGTMQNSGSRGTLDETVLQTLKRDVVEINSRLKQVVYPHFPSFFSPSDDGIGAADNDISANCDLWAPLAFIILYSLFVSHARSLFSSLFVSSWFILLVMALHLRLTKPHQRVSLISYISISGYCLFPQVLNALVSQILLPLAYHIGKQNRWIVRVLSLVKLVVMALCLMWSVAAVSWVTKSKTIIEIYPLALCLFGMAWLSTIL
VIMSS6582715 1 646 0.202059287925697 Protein RMD9, mitochondrial; Required for meiotic nuclear division protein 9 646 0 11 646 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53140 1 SwissProt MMLRRNAVRSLKTMEISVSNVVNSGSIAMLRGKLANVVLSDRTYHSSPIFHKNVPKGVLDKKNGREQRKTEQNVFNVDPASPWRHELLSFDECVSSALKYSTTPLQNTYKRIGNNQLNKNPSFAMFWDSMGRAMELYYSLRESPDFNAYRVSRLIHLLHNGLRSTRDQLVKLSRKPDYDSQSFHKEMMNFLCNSLKDISDDILIGKVSVSGYGATHLLTSFKELSFDDDCIRIWEASKNLSDETTSQAFQEPKVVGFMLPLLYAKTRSLTEPNELYNQIIQSKEFIHPNLYSGLIKVFIKAEDYEKALSLFGQLCEKAEVRNYGYLIETHLSFIGDSKNLTLAESFFDKIINDEMPYKIILQVSTVNSFLQNIWKAQNDFDHVYRIWEKAVKFYGNTVNPGILSSLNNTFFTIFFENYINDNINGFRKLQEIITFYSGVKKIDEPFFNVMLTRASIWHERSIIDFIDKNYTLYHIPRTIISYRILLKSLGSIDNTNNEEILDRWLELVKKLNELGQQYIANADLSALRDATVVWSQSKRDEKVFSAKAKGTPATTTTTEDDIKVPKPLENLKNEDSTSNSEDRIELYLKILKRYTPYFRATKQVYRYTTGCAESYPILNEYLSGYSDLSAEDIPVPQLHSFIAKEQ
VIMSS6582743 1 320 0.3318959375 PF00498.26:FHA:23:106 Putative protein of unknown function; non-essential gene; interacts genetically with CHS5, a gene involved in chitin biosynthesis (RefSeq) 320 84 11 320 0 Saccharomyces cerevisiae VIMSS6582743 1 MicrobesOnline MGDIRTFVFAIEDTETTQGLCKTIGRSSSFDQNSLCKPYNLYFDEPELSRQHAVLCIKTPIPKIEGVPSIEQLRICIRDLNNKTGTVNLVSDGPNDEIDLKNGDAFGLIAIDNHPFRDNHHLAAKLIFRIELEYFDEAREIVKCTITNVTFGKNNTVSSFPIHSATSTEDSDSSWYGLSEASTQTEVADECHETNTILTRGGRFSILSLRKRGSKQDQKICSNFDRKIHETSSFEEEIEVCTDTDTTEEKEEEEEKEEGDDEEGEIELEIIRVKRIKGRTKIKKTLTCFSKNKKIITPQHSNSMWLLLIVILIFDRLLSN
VIMSS6582791 1 87 0.180481609195402 PF17366.2:AGA2:27:87 A-agglutinin-binding subunit 87 61 11 87 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32781 1 SwissProt MQLLRCFSIFSVIASVLAQELTTICEQIPSPTLESTPYSLSTTTILANGKAMQGVFEYYKSVTFVSNCGSHPSTTSKGSPINTQYVF
VIMSS6582819 80 279 0.3768585 Conserved oligomeric Golgi complex subunit 7; COG complex subunit 7; Complexed with DOR1 protein 5; Component of oligomeric Golgi complex 7 279 0 11 200 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53195 1 SwissProt PRTWASSRYHKEAEQNDSSLYSTESLKSSKLEYYLDTLASAVRALETGMHNVTEKLSDLDNENNRNTNVRQQLQSLMLIKERIEKVVYYLEQVRTVTNISTVRENNTTSTGTDLSITDFRTSLKALEDTIDESLSSAIDNEAKDETNKDLIGRIDSLSELKCLFKGLDKFFAEYSNFSESIKSKAQSYLSTKNIDDGMIS
VIMSS6582827 1 462 0.222999134199134 PF06398.11:Pex24p:51:394 Peroxisomal membrane protein PEX31; Peroxin-31 462 344 11 370 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53203 1 SwissProt MSEINNENLEPTSSTVAESTESKNKHIRSALRKRRGKLSAQTYEEDQEAILSSPLLTSTPKTVSRSLVRLYPYLIVVDNFLSIITWSNDNVSANLLGIFLFTVCVLYFGFITRYFGHLMIVGIIWVYLLIDKHVQETMASCPSLDDIIHVMDRVSMKSSAVLSPITILSAQDVRRLLFTIAFLSPVYIFLTVFVLSPNYLMLIGGLYVLTYHSKLIRRMRRYLWKFRVVRLLVFFITGLDLGGPDNNRRLFASVNKKIRSFVWNEVGNTSNTKKTVLFKVALFENQRRWLGIGWTSTMLSYERASWTDEFLNTSPSPEVFTLPEEQSGMAWEWHDKDWMLDLTNDGIIQLPASAAKTKVKPGADEGFIYYDNTWNNPSATDTYKKYTRRRRWIRTATVTTTYDDEPTVEKATPNSHALKSEENNRVRKRKVSFSTANEVHIIPSSDSSKLIQISDVSMSPSL
VIMSS6582895 1 860 0.177416279069768 PF02892.15:zf-BED:88:132 Late endosome and vacuole interface protein 11 860 45 11 860 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53246 1 SwissProt MNTALDDLHGDLVTLEDNEIINNSDHSSSHSTSHEEEDEEEDDTEDIELIEKDGNKILSSRIHPEDEIINDGLNIWIPVQMLKKNIAKFWSHFLAIEKKLTKVKCKHCGEILTRSDASLTKTFRSHLKTKHNISANKNFYSMNFTVGDSNLKNNTSSTEITRRHGYDSLTFNSDQSFKCFDIGKLQSSNYLSISQLVAIVIASENLPLNFFENVSFKSLLSKFHRIPPLTTNIIEESIIGLSKSIDELIRRSISRNDTQLPFTIHLSDSKESNQPLYLKYSREIRAQLSNLDLSHLISVNFTELAGKRSLFSLQLFDNTNKVSKGLPLSIFVRKTTDIDISVWQEQLNNLYSKYPGLQKSVISITLPQSHYTMVLENRNSHNFTFHSGSVREIKYHTCIVSELLHCFLQPLFNVPTESMLSSFSVAKENHSGGSLLDSLIDFSHIDLSSTILGKICCLIEEVNLNDSLKSDFLLYCQNYTQPNCNELTSILSCNCDRFSALKSILEKFANLVPFFKSINSHLENESLSESDFRLINTVEETLRTFEQSIEYFASSAPLKFTHTLVFIIKFELYLTEIIRSFKFTKSKKPFEKILARLLKVKDLYLLDDVNLIGAFLYPSIFQSKSLLNEIFGTTSVNKIVHNMTKIVLRYLKNFINITNFRSSNSGGESGRNSGNNLLSDYEAIFMKESRDVELLCNTKLTAPLTEDSLLVQIIRDDLLRYVNRIAHELPNAYHDYLNDNDISFDGSHFTKHELSEENDSNSGEWCLNPMEETFDIHIPISDSIWNNYISSKNKIEVIDILLQLLSVNSTSSIRSELSSLTANQDFSTKLSEETIKIKLLNSQFNLEKINFHSGSIFDAC
VIMSS6582980 1 432 0.108944907407407 PF10998.8:DUF2838:112:222 glycerophosphocholine acyltransferase 432 111 11 282 7 Saccharomyces cerevisiae S288C NP_011665.1 1 RefSeq MYKLDNNDIDDETNNSVSLTSLLEFLDPIASKVVSKYYHGSHLSKAEQKLRNFEGFRRRKPHHEHDSHHPHHLNRSRSFLQLEDFKVRALQRIRNLDKPLDSIFFKNSSRLEKAFYPFTLFNIFFIGFLMGRFPEWFHVYYTILFFVLMPIRFYTYYKTKNHYFLADFCYFVNMLCLLFIWIFPYSYSLFQSCFAFTFGTLCFAVITWRNSLVIHSIDKTTSCFIHIIPPCVMYVIYHGLPLEYKIERFPGAIIQSELDIKKNILWTSLYYLVWQSLYHYFITLKKSSKIKSGERMTSFEYLTTHQFKNFWAVKLRSPWPMIIYTLSQYFYQLFTMLLCGIWIRYKLAAALFLTIVFLWASHNGATYYIDHYGKNFEKEVDRLRLEVENLQQKLQPDSDAVISDASVNDKDYLNVNRDEDFDDSSSVSSKSD
VIMSS6583012 1 170 0.324037647058824 PF07960.11:CBP4:26:153 Cbp4p 170 128 11 147 1 Saccharomyces cerevisiae S288C NP_011690.3 1 RefSeq MQCAITPREAVIAKQRQYKHYLGMERPLWVRWLKVYAIGGAIIGSGFLLFKYTTPTDQQLISQLSPELRLQYEREKKLRQSEQQALMKIVKETSQSDDPIWKTGPLQSPWERNGDNVQSRDHFAKVRAEEVQKEELARIRNELSQLRSETEEKTKEIVQDKQVKSWWRFW
VIMSS6583075 1 233 0.25370643776824 PF09769.9:ApoO:61:183 MICOS subunit MIC26; Mitochondrial contact site complex 29 kDa subunit; Mitochondrial inner membrane organization component of 27 kDa; Mitochondrial organizing structure protein 2; MitOS2 233 123 11 210 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50087 1 SwissProt MTKDFYRQLDPVEEKIVPPENAIVISSEAKEATVNEKEAKQGVLSQRVMKYIGENELVDGISVRDPDYLKRFFNERRKQFSAKWDKVTNKIDDIAGRYYAREESFTSTIASLHTDPNERLIPGLLSILVASMTGSVLARRRTWLLRATMPIILGSCCFAYAMPTTFRNTMGLIHNLEMNTFPHFTERQDRVWKETKRLSTASVQYYYDAKKWLNKDVEKTGNAIKNWTGVNVK
VIMSS6583089 95 456 0.593817403314917 Mga1p (RefSeq) 456 0 11 362 0 Saccharomyces cerevisiae VIMSS6583089 1 MicrobesOnline THPSGFFFKEANAGILNKIQRKSTGVGKDGKRKNILSPISVSYVDASRLNVLSQQSGPVSAREPSNMFMGSPVHYSTSQSPPHISIPQQQQSSGPYLISSLPPQQPTVNMMRRQSISARMMNSYDYPNQFSTQDSIVQPQQPQQVLSPQALSGPPMKKSGTLSSTDDLKTTSLPIVNYPMPYHPGAFAQQQQQQQQPLPTVPPYSSYSTPFPSMMNSLSNSASNSPALGVCNNNVTLPKKSNISERQALDNHIQTLKNSLSTITDLIEKHINSASQDENKTLTNDAMNKDLRTSLSLLQNSKEEIIQLESKWMSMQSVKTTALPLQETTNTSSTLTSLTSSIIPKSIPIITKGEVATKPASY
VIMSS6583107 1 198 0.529728282828283 Proline-rich protein HUA1 198 0 11 198 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40325 1 SwissProt MSKDTHDDELPSYEDVIKEEERLQSQPPRPPRPAANLAQGHQSRPHQRPSTMPATSSSQTYAHSHSYTPTSSQPRPPPRPQQNPSLPWTYPPRFYCSKCGNTGYKLKNGRSCKSCWRRFAPQNNVVSAPTYYTNYTMPVYTNAWQGNRPLYVQPGDPRLGGVLCGECRGSGRTRFLLDEDICPLCHGVGRIITQPQRY
VIMSS6583213 1 256 0.12461796875 PF00071.22:Ras:112:246 Putative protein of unknown function; YHR022C is not an essential gene. (RefSeq) 256 135 11 256 0 Saccharomyces cerevisiae VIMSS6583213 1 MicrobesOnline MSVRLSYGASLASIPRCFDLKSSKITVMGDDHSGKTSLVRSWLGSSFQISDANRYRVSDLYHKTIQFDTLVKYYRTFGVKGQLPNYAGFKAKNSGTIYESCGNFLEERLINANKSTAQRRTSIDVQVFDTNQMEVSYLSELTTLQIRQSDAIILCFDSTNDSSLASLESYICIIHHVRLECELDIPIIIACTKCDLDSERTITHEKVLTFIQELGFSPGNLDYFETSSKFNVNVEDLFLAVLLKIEKSKSDRRKLL
VIMSS6583224 289 581 0.0297433447098976 PF01554.18:MatE:1:47,PF01554.18:MatE:118:256 Ethionine resistance-conferring protein 1 581 186 11 201 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38767 1 SwissProt LRVTAFGVPGFILFECGKRFLQCQGIFHASTIVLFVCAPLNALMNYLLVWNDKIGIGYLGAPLSVVINYWLMTLGLLIYAMTTKHKERPLKCWNGIIPKEQAFKNWRKMINLAIPGVVMVEAEFLGFEVLTIFASHLGTDALGAQSIVATIASLAYQVPFSISVSTSTRVANFIGASLYDSCMITCRVSLLLSFVCSSMNMFVICRYKEQIASLFSTESAVVKMVVDTLPLLAFMQLFDAFNASTAGCLRGQGRQKNRWVHQPSRILLPRCAHGICVSIPVSSGCRRLMVGYN
VIMSS6583314 1 151 0.632482781456954 PF06747.13:CHCH:104:138 Cytochrome c oxidase-assembly factor COX23, mitochondrial 151 35 11 151 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38824 1 SwissProt MEKPSPTRRQTSSLSTISNGMTMTNDNRDTTNTNSGSTSSNNSQPSSSSTPPAASGPVTDRTKVNYVPKSDDPSSFQYYPDDPENPVNKYKFALKADSQYYDPCEESSKLSFQCLERNDYDRSKCQEYFDAYRECKKQWLTARRKNRQQWE
VIMSS6583325 1 243 0.501956790123457 Uncharacterized protein YHR127W 243 0 11 243 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38833 1 SwissProt MARNRTTSKKNVQSKRLIDRVVPMDKIKKVGVAKKKTVEHTKEGFSVVNGKLVSSNDVGVLLREAQGAIDKRTNVSQRNRKKGIKNNRPHKDINSSPDWGNAHRGTDWQSEKANGMNRAKNSRNFTTNIKLQRQHFGEEIQGGSQLVISTNSDASDKLLMLFNLTLGVNQENLKNVLENISQVQIAQIRVRDLPSGSATAKVRLAYPTTQSLEKVRKLFHGALVDGRRIQVVIASDESSHLSY
VIMSS6583355 1 1228 0.139888273615635 PF00169.29:PH:312:419,PF16016.5:VASt:778:943 Membrane-anchored lipid-binding protein LAM1; Lipid transfer protein anchored at membrane contact sites 1; Yeast suicide protein 1 1228 274 11 1182 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38851 1 SwissProt MHEHKAELRLITVALNEASTDSPSFRASVNYFHTRMESLSSWMHSTVDYVENTYKPSFQDFQRIKETLFSQLLPSPILLSNGFVSNQPYTPLLVRDFTRDVSDLSNTVMKIILGDENSQYTAALSALSSDAINPYFNKRKTFEYYQRKYDSFLTDFLAATNDGNTLIPQNLQNETFKLVDIKHKYIEASLDLTEAISLMKVNLDKFLIETIDIVRKNNVITTKDTKDVIDITPELTETLKDWTDWIESNLQTLQALSSKLSEAKYAILKLSLARMKPSRLIQDYDLKSIQNLKFNLPKSISNGNNSEEKGLSGWLYMKTTVGHDPKRVVWVRRWCFLQNNVFGVFSLSPSKTYVEETDKFGILWITVEYLPKEPRNFCFKLRIQNPNCKTEEENTYIDIILQAESIDELKSWINTLTSHKRIALSIKEENDPRYQLARKKIEPQFFEFASSSSTSTDKLLTSFSSKTLTLVEELKKNYMSEDDIYSIIDNKAYHLRVISTPIATQLTHLALFSTFLSVSNYYPCATQANTWGTANWNDLSYLVNPLKGSSVHKPATVSNSSRFSVSYPDYYPYSLKVDDIQFRSIFFSVNHDFLQVPKELVLLRYSSVWCPNNKQKFASMAFVTLNHIYVYLNISGFSYLRRIDLLDIDSIEYDKSPKHVSSRMLHMQRGDGLRFNMSVFFTDRRAVASKLQFLIENKAMHIPKGEKEVLEIFQELDEEIENEKKIIKDNLSESEHYSKDYDYLLKSTYDHHFENTNETPMELMSRKLRLEREAWCYFQDNFKVGSKTLFHVLFGDKSQVFPSSLFLCKKGSNLNNNSYWERIRRAKEDASCQFELCRKLQFQLNRTSNFIKDLLWLKDDNDNFKLVLQQRVTKIKQGYYFEVEEGPIIVKFPLCHPLLLRVRFIIAECITSQGESLKKCDLAILYDFNYVESIDKLNTKVEKLWLFERIHLNWALRYCKLEHSEINRKTREYLKKFNDREKMSDVIKLCGFLGVLPKERIENDEKAGDFMQPVYINYDFLSLSKIFTKLTVFYLSSVIIKTMKVLLAMVMVIFKCFSKVNKTLYYCLLISAVTNLFFVGKSIHSYFSVKSAETLFQNYANGDQRGLQIMHRSLTVPDLNLLTRKMMDNDQENPVFKRFDEDKNAYQYKGTRQEIAIKRNQVLTELKILQNTEKELVQGSYRKFIITERDKCITTQNEIFDLWINDTKLQDYCMACFAEYNRLSAIPV
VIMSS6583443 1 235 0.498705957446809 Uncharacterized protein YIL161W 235 0 11 235 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40449 1 SwissProt MDTKLSVTGAKKSQGKASGLGNEGTPIGNEESTNKAKNGNKKRNKNRNRNKKTETKEQNEPKPVTGGEEVRVEKSQAKNRRRKNNNGANKKNTLHYSKEINVEERKQIAKRQEEIEQCIHTLSDFKLFKKGKHVTSYGYRISPMTDSGKISLKILFNIPLDYPKAPIKLTMKSNEEVSSYMDTVIANFNWKARQLVKEDWRILSQINYLVSELEILKMENYKQIDKLRNSFYKTI
VIMSS6583486 1 563 0.117994138543517 PF07690.16:MFS_1:76:369,PF00083.24:Sugar_tr:29:154,PF00083.24:Sugar_tr:111:257 Quinidine resistance protein 1 563 341 11 316 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40475 1 SwissProt MTKQQTSVMRNASIAKEEREGSDNNNVDRSSSDAISDNDAERSNSHSEIDNESNFDMVPYSRFSHKQKMLLVVQCAFTGFFSTVAGSIYYPVLTIIERKFNITEELANVTIVVYFIFQGVAPSIMGGLADTFGRRPIVLWAILAYFCACIGLACAHNYAQILALRCLQAAGISPVIAINSGIMGDVTTKVERGGYVGLVAGFQVVGTAFGALIGAGLSSKWGWRAIFWFLAIGSGICLVFSTLLMPETKRTLVGNGSVTPRSFLNRSLILHVGSVKKTLHLDDPDPETLEPRTSVDFLAPLKILHIREIDILLSIAGLQFSTWTTHQTALTIVLSKKYNLSVAKIGLCFLPAGISTLTSIISAGRYLNWSYRTRKVKYNRWIKEQELQLMEKYKGDKNKVAELIHSNSHYAFNLVEARLHPAFVTLLLSSIGFTAFGWCISVKTPLAAVLCTSAFASLFSNCILTFSTTLIVDLFPSKASTATGCLNLFRCLLSAIFIAALTKMVEKMRYGGVFTFLSAITSSSSLLLFYLLKNGKQLSFDRIRANDKSAGRSVGKNSEKVST
VIMSS6583551 1 640 0.37696765625 PF04001.13:Vhr1:17:106 Transcription factor VHR1; VHT1 regulator 1 640 90 11 640 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40522 1 SwissProt MNGPPTFTQYRINKFSGNGATHKIRELLNFNDEKKWKQFSSRRLELIDKFQLSQYKASEQDQNIKQIATILRTEFGYPVSCSKEFEKLVTAAVQSVRRNRKRSKKRYALSIANGSGGNVNNSISSNSTSDDEISPSIYQRSNSDFLPSSNYAADFQFSNKFQPLMSHQSHNGTIFPTVGTQNDSSPSVTSTQQKYNDIVTMLVHDLVTNVVPLSEQALKDPYTGPNLSHFATSSLSQQPNITTNIPIDSTVPFFLREKLLLQIQRSRTCQDISQAAGSIDIYANLEILGEMSIRMSIAFVIERFFSNLVSSSMKYITAKTCSPENLALLSQRLFGAATRHNLSHFPAAQVQLRLLYLVIGGIVKDFGFDPTLYPLSEIIHHIVMVQYPLASSCASEPPSSSPNKRVKRSPPVVSSDVMLNNNNTLSNRATLLTTLPMKPQSANKDVNRRVIIRFNDREQAFTFHQLSNGPPTVSEVLENCKNLFNIINKNKNFGIFHNDNLLNDESLAKLFDSFSTSEIHLVIKDISTIPLQDAKIPVPITLPKMSCIGENPSMPSIPLVPQEKDDPKKSSLTAFDNILNRISKSPMNEENSNTTLNTGTSTSNTNNNDHNESVPAPYVTKNKNSFQNGNLPQPVFQPLL
VIMSS6583568 1 473 0.216105285412262 PF00149.28:Metallophos:81:382 Protein TED1; Trafficking of EMP24/ERV25-dependent cargo disrupted protein 1 473 302 11 454 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40533 1 SwissProt MLRCAVKKFAYFATFLTIVANIYIYTYPSFHPEQCSWNCSNKNAPLQKDLTFVDKVKNYFSDVREQWHGSHASAGNDEDIHILAFGDPQIKGIWPKTPYVSRLDTYGNDYYLGHIYDMMQQRLKPQVVTVMGDLFSSQWIGDSEFHNRTKRYISRIFKRDPTSIENIKQQNLDEKGQYKANWPEWGDRFNEILDNVKENEADNQELSFGFGYENIHSWNPDLEDFLIINITGNHDVGYSGDATYQHMTRFHDLFGKDNYWIEYETNTTHPWRIVVLNDLLLEGPALQPEFVEATWIFLNQLNERKFNGSTVLLTHVPFYKREGLCVDGPDTRYYPDAHAPESYKSGLLRSQNHLSESVSNQVLNMIFENGKPGIILTGHDHEGCETVYNKKSTSTWEATKNIESDVFVKEITVKSMMGEFNGNTGLVTGHFNTDSMTWEWTFSLCPFAIQHVWWFAKVSLLVTIFTWSSLLFV 1
VIMSS6583594 1 630 0.173922380952381 PF11051.8:Mannosyl_trans3:234:514 Alpha-1,3-mannosyltransferase MNT3; EC 2.4.1.- 630 281 11 610 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40549 1 SwissProt MLKSLKSRRLILKRLVTLLLSLFFSYLIFSASRNVTSSNKLNNHASERTAVESSAFNWIEKRQHQVRSENLMNRLSAYFLPFLSRSSHKERVLLRQLGNNEIAKSDKCRYIFEVLYKIDPDWDNAQTAKFYNVDGVDNTLASLLGERLRSYDYCFLSGQLDPTAIFANSTVNPHDLQNRMFPFLKKINEESKTVMWPIITDMTTGEAVPAPEVDMESSNFNGNFWSNWNRLSKGRGFVLTIAEKDVPLFLKQLKVMEFSKNELPFQIVSTGNELSAESIAKISETAKETEQRVYLVDCSTVLDTNFANTYISFFQNKWVATLFNTFEEYILLDADVVPFVGSDYFFDSPSYRESGILLFKDRVMENEQTFQYCIEMLNEVEPSAQERRFIGSRLVFDSSLPFSSETSEEASVYYNFFKKLRLHHVDSGLVVVNKLEKLNGLLMSFMLNLDGKLQRCVYGDKEIFWLGQLYAGQDYSINPVDGSIIGPVNEEPENDDGHKSGMYYICSTQIAHSDSKNRLLWVNGGLKTCKISNSAEDDFGREPEYFKSRYGDISKLKRIYDASLNVEGLIVPDVSVHPWMQIKECSNYMYCAYATGDGHTNSELDEGRLITFTEKELRYINDISRTWNAN
VIMSS6583596 1 269 0.369784386617101 PF00660.17:SRP1_TIP1:20:115 Cell wall protein TIR3; TIP1-related protein 3 269 96 11 269 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40552 1 SwissProt MSFTKIAALLAVAAASTQLVSAEVGQYEIVEFDAILADVKANLEQYMSLAMNNPDFTLPSGVLDVYQHMTTATDDSYTSYFTEMDFAQITTAMVQVPWYSSRLEPEIIAALQSAGISITSLGQTVSESGSESATASSDASSASESSSAASSSASESSSAASSSASESSSAASSSASESSSAASSSASEAAKSSSSAKSSGSSAASSAASSASSKASSAASSSAKASSSAEKSTNSSSSATSKNAGAAMDMGFFSAGVGAAIAGAAAMLL
VIMSS6583641 1 244 0.153634426229508 Protein DCG1 244 0 11 244 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32460 1 SwissProt METRILVVNPNSSKSMTVSLRETIEKTFSMESCKISYFTGPDTSPPQIDGQETSIKSMEACLPLLIDDQESVYYFQKFNGILIACFSDHPLVAKIKDRAAKEKADVSIVGLLDSSINYCNLVGKKFSIITSNKEWIPILNNSVESKFLTGNTVNKNLWKGTVSTDLQVLDLHSPENFQQIAEIIYRENIKKLDSDIVILGCAGFSGLQNKLAKTFQRDGTLFLDTIEIGLQILITMIRFVNSQK
VIMSS6583690 1 422 0.139978672985782 PF05637.12:Glyco_transf_34:157:409 Mnn11p (RefSeq) 422 253 11 402 1 Saccharomyces cerevisiae VIMSS6583690 1 MicrobesOnline MAIKPRTKGKTYSSRSVGSQWFNRLGFKQNKYGTCKFLSIITAFVFILYFFSNRFYPISRSAGASYSPSHGLYINEIPASSRLIYPHVEHVPVLKQMTVRGLYITRLEVDGSKRLILKPEENALTDEEKKKTTDQILLVKHSFLDHGKLVYRKSNDAPEVVVVTLIDFENYELETIIQIVQNRVDYAQKHQYGVYIRWIQEFLPVLENQNLAESYEFIKPLVIRAAMHAFPTAKYIHFVDQDALLMNLDLSLQKYLLDPKIMDLALLKNVPVVANSNIKTYNHFEYSSAKIIIPHDAGGNIDASSFVIANDFYGKALIDYLNDPLLRNFPWDNTGDKLSAAIGHILQWHPTLLGKTAIVIPKVLASQYDASLDQEGESGNGASNGDVYHYNEGDLAASFKGCRSRGTCASEIGHMYQKIKKS
VIMSS6583691 1 611 0.187765302782324 Putative protein of unknown function; expression is cell-cycle regulated as shown by microarray analysis (RefSeq) 611 0 11 611 0 Saccharomyces cerevisiae VIMSS6583691 1 MicrobesOnline MEIFKEEEEEAFSAIEGIIYACEVYDPVPRHLHKSKTKIINAAKLIIETHLSYYTILNNISDIQAYLSTWLRDLGTTGPYQTILSESISLMFDRTVSIFRKCTIEGGFPHLIARLYLRLKSYQKLLNDAGLKNFFSSYDYAFGVAYNLVNCSEYRYDEVHYISNGTYSLVASMKIDPAEVIKREHFRLTIPKFNISNILIEIFHLLDGLAFFKVNPDSLSISTASAETIFRSISEGNHQVLELGRSLMFPLLRTGDFEICRIDDAGAVITFTEAKDVKLEIISLDEVSWVMQWKSCLQNYERRAANDSSFIKTHLQFKKANNFNEDNNGLGLIVDRNIPTDDFTLASTNRQSPPPSNTGCSLHRSKPLHIPLSSVIREDFYDSSLNERISKDGDSSCESFSGAESILSDYDFHDNEFFNNQSPHYFSEHIDNNSREVVITDENTIISLENTQVSRWSNYSWQKISPHQLQVSIIQLRMGNFIVAYDSDYNLHQFKIRLCDDIKCIQSTEQDIQIRVPLGAIMCSVTGILNIRTKDADKLLRVLSFYTTDHTEAVSHSNNQDATASPLSSVSSAMDLKHSLQKCSSTIMPQELTQDVIGSKSDLISNIRQKI
VIMSS6583711 1 287 0.324430662020906 PF00399.19:PIR:63:79,PF00399.19:PIR:82:98,PF00399.19:PIR:105:121,PF00399.19:PIR:144:160 Cell wall protein PIR5; Protein with internal repeats 5 287 68 11 287 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46999 1 SwissProt MHYKKAFLASLLSSIALTAYAPPEPWATLTPSSKMDGGTTEYRTSFGLAVIPFTVTESKVKRNVISQINDGQVQVTTQKLPHPVSQIGDGQIQVTTQKVPPVVSHIVSQIGDGQLQITTAKNVVTKSTIAVPSKTVTATATSTATAVSQIHDGQVQVTISSASSSSVLSKSKLEPTKKPNNEKVIKVQACKSSGTLAITLQGGVLIDSSGRIGSIVANRQFQFDGPPPQAGAIYAGGWSITKHGTLAIGDNDVFYQCLSGTFYNLYDQSIGGQCNPVHLQTVGLVDC
VIMSS6583739 1 750 0.1334788 PF00882.18:Zn_dep_PLPC:31:232 Putative protein of unknown function; localizes to the membrane fraction; possible Zap1p-regulated target gene induced by zinc deficiency; YJL132W is a non-essential gene (RefSeq) 750 202 11 727 1 Saccharomyces cerevisiae VIMSS6583739 1 MicrobesOnline MSIISSWLLVSIICLTTSIVTKLQAAGVTTHLFYLTRGAPLSLKENYYPWLKAGSFFPDALYSCAPSNKDWSDFAEFTHWPNFLMIAVSYWQQKYGQNDRLRGTHGSLALKSFLIGVFTHQIVDVSWHSLVTDYRMHGLLRVLSETEFDGDIETAHTFLDVMGEFLTLNNVIRDSNNNENWDFLTRSDWKLPREEDLMEIIRNAGLSKEKLSYAELEFCVKRGMAAAISEGYLFRSQRNQLLTNIYSTSPRANDLILNHWLGGQSNLVAMLQRCVPFFETLFHDENTNEAQAEELRLCANLPPVSQKRINARPLVSSLKARKGNSHIVVSPMKSFSDFGTSLTMGKFREDNKDYLAVSAPLEDTVGAIYIVPWDILTVARKEDFSILQPITAMYGSKVGTYKASDVDYLLVSQPGTCTIDFYFKGVKILTIKDETTEEAHQLQFAVTGNFYDDKIPDLVVSSPSYGANETGIATFIPGSSIISYLTNSDKYQVVDISTFKGVINLDGYPMKIPFQHFGATIQISDTTDKQKLIYITCQSLGTVFVYSSNDLHDLSIPIYYITKNGVIPAKDSDHVEWHIIPSKEHGMFGAAIYSWNFEGMSFVAVSQPMFDTVFIYIEKSGQIEFFLKLVLKIKTKSDSIPDEFGSSLLFNDEEKKLYVSSPGSFDARGSIWKISMDELLKAGNDPKRKTLLINNLRHLMLINPDKSSKGVSNFGNSMILGPQNHLIVGIPQYGYGNFDHMQLTGRILVL
VIMSS6583770 1 618 0.33315355987055 PF00172.18:Zn_clus:18:57 Gsm1p (RefSeq) 618 40 11 618 0 Saccharomyces cerevisiae VIMSS6583770 1 MicrobesOnline MTKKLPSELKQTRKSIQTACEFCHTKHIQCDVGRPCQNCLKRNIGKFCRDKKRKSRKRIEKHGTQPYLNLGKRLVIHDVPSKTVSPSSVHLQRDFLSSDQEKPGKTPAHNTNIQYTYNINDNFQSAGSIPRITNFNTNNRQTVLENTSNNISASQAVHLMNDPIIPTVRKSTLNLKSHFLEQHKAMQQPLATNCLVATSNVPVHSGMDDSNKSDDDVDDETNIHFDSMWCNDEYMKLKDIVDISTPFLPNNSQIFSLQESEYPNPSASTRGNSSLHLTNLLNSTKSVNDQKDSSIGHSTSTFNTYDEVVSRPFISLDMLHLNRGANANTHPSHNAKLESECDSSSHSDADLEKHDTDFISPSKFRELVKTPQDLYDNKCLIKPHNYKLAYTKLLTTLRKKFLEGAEIDKSASVKDEHSTQKHNLRYDLEVIIRSILERYAPIFISLTSNMIEEDLLLQEVTLQRALLDLENMAKLVSCTPMCIWRRSGEICFVSNEFYSLTGFNKNLLLDRTSFIFEYLDHKSVSNYFQIFNELLAFGYNDINKRKKLLMLNACSSTSSKITEGFSFTTDGKAIFTKCNLLLSNGLYLKCACCWTVKRDSFNIPILVMGQFLPIFEMD
VIMSS6583777 1 217 0.00562995391705069 PF04387.14:PTPLA:54:206 Very-long-chain (3R)-3-hydroxyacyl-CoA dehydratase PHS1; 3-hydroxyacyl-CoA dehydratase PHS1; HACD; PTPLA homolog involved in sphingolipid biosynthesis protein 1; EC 4.2.1.134 217 153 11 82 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40857 0 SwissProt MSKKLASPLSFLPLYNLLSAVGWSYLLYLVISLYPKVGQPAFFYQTKNVATLVQCGAIIEIINSFLGVVRSPLLTTVAQVSSRLLVVLGIFQLLPNTSGVQSVVYISLLLAWSITEIVRYLYYFFMLVFKNGAPKILILLRYNLFWILYPTGVASELRIIYCALNAAESQYSLLYKRILIAAMLAYIPGFPMLFLHMVAQRKKVMKSLRSSFGKKLI
VIMSS6583806 1 252 0.342778968253968 PF17234.2:MPM1:71:236 Mitochondrial peculiar membrane protein 1 252 166 11 252 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40364 1 SwissProt MGFYEGDDNDANTKAFNDKYIKDQKFATAPFWNLFPKLRDIDEYDNPLLPLPFNFNFRDLGDSALAMASGIPTVKQFDKCEELKGQSAWTTQGIWKCLVPSKAIPPLPQLDFLLPLEEIKSDKSHSHGLFFNDFNLFLKWRSHMNRLQKQRIKTRSTAVEPLARTPEDLMLNWDDLHLGNDAEYASADGSKKIVGRAQSISTTKDSNDAKPSTVKTEKIYFDDGTVDITTTTTSKGSSPQVKHKVVSVDEDN
VIMSS6583880 1 519 0.155591136801542 MIOREX complex component 12; Mitochondrial organization of gene expression protein 12 519 0 11 519 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47084 1 SwissProt MLRSLHSAATLSNKRFYSLISHSNRKNIIKKLLRHPSFDPIRHHLPEDITTIDPYSLSQNVIESLNKLEVPKKDAAMVHNMMIENLSDLDYGVATIHSNNLRDLDLKPSLPAIKQIIRNNPGRVQSSWELFTQYKASMENVPDELMEVVLEKIIKFDKAEKVDGKKSLTYQDLVRCLYLINHFSSNYNLPSELVEPILIYIVDNGIPNVLGSVLKYKIPLSFFDKYVSEMTQYQICELYDFYSLDNIVADPLVLHKCLTVLGENEKIQQTEEEKEIISKLEEEIDIVKSQCHDNWSLEFPNWSVRKTATSFEELFLEIQKRNIDKKDFELAHKLLRLIGAFKGKVSLFFKLYDEYLLKFKNNEDDLMFEAFLTLCCQGYKSSNEKMLQYAEAFIKEDFDSKLESKIQSVLIVANAKANIDLSLKIYNSNISTAKREKDKYTDLAESDVLTESLILAFLSRDDADFARVIFDGALGEKLISGPTAAKKIKNLLAQYGEALETKTSKQVMQTKIEHYMESI
VIMSS6583894 1 510 0.120076078431373 PF12051.8:DUF3533:95:485 Uncharacterized endoplasmic reticulum membrane protein YJR015W 510 391 11 375 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47090 1 SwissProt MTSSLDDIEPTAYNNMEADEEYCRRNDIHDLSSVVGDAVSQGVPDMDGQTTDSSKDPEPNSEDKKAFPPSSGSFFSPNLQGQRKKVLLKFVFTNCLLAIICFTMFVLFWGALYDTSKYLHKVKLLVVIQEPPVVILDNNSSMVVPSISYALPTFINKIPCDWDIYNSPTFQAKFDVNTPQQVNDKVVDLVYDEKYWFAINIKPNATETLFESLINDTAPLFNSTLFNQVVYETGRDPTNLKSTILPVAQTIEEYYHTFYTLNYLPPLLTNITQVYRYALTNNARYIAAAGKYNYEYYDHRPFTDRILLAPTQIGVVYCLLLTFFQFLLYGPLHVEMAKVLRPANGLIYRIAMSWFTFFFASLFFCTTTAIFQVDFTKSFGRGGFVVYWMSTWLFMLAAGGANENAVMLVITLGPQYLGFWILSFVILNIAPSFFPLALNNNVYRYGYMMPVHNVIDIYRVIFFDVTRRKMGRNYGILVALIALNTALLPFVGKYASRKLKQKALVAAKQS 1
VIMSS6583906 1 745 0.234164697986577 Putative protein of unknown function; expression repressed in carbon limited vs carbon replete chemostat cultures; YJR030C is a non-essential gene (RefSeq) 745 0 11 745 0 Saccharomyces cerevisiae VIMSS6583906 1 MicrobesOnline MELFNKEEASFETLLKRLLVVCESHSRYHGSSLDPMVKVGHEMRKISGYLRCILRKHAANHDDMSLTQSIVNSYKSLFKDAQILDLYHNLLFGCMHLLLDANMSYFRMDSQKLFAVLLFKVYYKLRDIFYVTNEVRLGSLISAFVYKFKSCYDFISCNSLKYGSVRDVISGEVSLINLPPIDSNKVINRAYYRLDVKKLAINNKLVEILELDNGEIAIFEVLSEKMPYTLQTIDNLFQSLALGNHDLMNVGRSLLFRPFRSGDLDLIRLDDSGAKLKVPINNSIVLRLTCKDPIQWQEYWKHVIRKLFDSTATKEYKRSGSKISQQVYVRSNNPDYTSPKRNDDMPISSVKISDTIHNGRTLHRSIPLPGSLSSLIETSNEYPDEESLSIMSERATVSEDSDLDTSLKDIESLSCEKLIELDKSIQVPLSPKYMDTPTLKNIRTASQTFSLESVSPELIESVASEIDDSESIISEDGKDKRDKDLFDPDIDFYKPTLYRRKSSSLLSIFSKNKKNLTIDIPKNHSRSLFSLPGNQQSVTPVSATPHDDNVDETYVSFPLSINTSGGAVYFENDSVKVSLWNGKSWVPLSKDMLCLSLILSGDNETLLIVYKDFEKEKCKLVVKLEPTWKYNRSTAQDVQLRIPSSDFKASVFGTLHDLTLSIRCAQAAKLVNVLQYQLQSSQTSSLSPSTTTGTLSTVSSSSCFSRNVTRSSTENSELANMKDSSEYISSSLLLSSVKVRQLRNM
VIMSS6583913 1 1121 0.0820180196253346 Putative protein of unknown function; the authentic, non-tagged protein is detected in highly purified mitochondria in high-throughput studies (RefSeq) 1121 0 11 1121 0 Saccharomyces cerevisiae VIMSS6583913 1 MicrobesOnline MPAGRIRIAMTDNIIVSEVDGPPDVVKCFIREEDGLEGQSRELVVIGLEYIDVFEGVEQDEARKKLRLRTVGYTMAAFYFKDHTAPNRRFYILLKATGRLDFVNLDYKIVKSLETGIDQVRSEPKFMFQDPLRTALVFNLSCTEIYEISTEDIFCLEETDVKLSYVTSSPIVSIDACINFNDFLDKDVFTLSILTRAHNEVAYKLEACVCVFESKPAKGTKWQRTTNLTFVEEATVSQVLLKSVTNIGHFVFTPWKTYFIKHALSSKQTIDGKTVDKIYQGPGAFGSDNMERIELLQPILADTTLNHLTFTFMTSTAILITCRMNAILSSFEDDTYIWEKALFERLPINGGSSHDHYLAAFFNEKCWILVSPKGHLTVYSVRNEGHSNFVHLGSFLCKSTLYSDLIGNYTKSHLSCGSLHCGQGYLCLKFRSCGDIFASTCMKLLFKSKDSVPRQVYSTRKGIYWADVNNNVYRDSERIDFEINGSFIATKDGTLLKDNTIVTLVPIQRDNECNYAYVTKQGYLRWSFSKVYYRIQNTGVDLTIDNCFLSAISSKGSFLTVLVLNDEITVFDHCNRLKSQKVVFHRLSDLASIFLYEYESTVYIFMSDTEGNLCVMKLATFEIVEEVKICKKKLQFCEVPNSDYFFIYTADTIIFFKPSKIKGRFKIQEVYAPCPISCLIPGEKDGSVVMVTSQGQFYDVLVPGDAGRATLCSKFEKVLKTCLKFITLESSSRYVIVAALPVANPLQDKYSEIYVYDIKQFKNISAFNFSNLNNDIESIKYENAMISDIIAVPMLKRTETLGKRKTSELYKEVIFNSCILVSLNLDSIDGIDSKNMNNLLLFSFDEESGFIEFVFGINTGFSISGLHNYYNGCVLVYGEFVQAYQLNYSVHDNKFSIEQVSNRLNISGITITSSIFFDKRKAKMARKQQNIGTWVYLEEMILLDVRKGVMRFNVIHTTDGNIEKVQLQVQPLNLFERDLINSITDTGKMFTGAAAITFKNIRYLLISYGDQKLTLFSLKLDGEEEIDERVYHVAEQVTTINSVRTTDSRMSTFLGESTFMPLFLVSTLSNGCYVIGILHEESDISLHILSEKKAVFAKRSVQKFLGFLDPQMDDHTVISEI
VIMSS6583958 1 109 0.0213642201834862 Uncharacterized protein YJR079W 109 0 11 109 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47126 1 SwissProt MKIKIDIIHFINAPPFFFFFVDAEASQLKAFQLFLLGHIFYTYIHTYICDFDEFETKDLAEGKIGDLISRLEFCSNAIIESLPNTFQSFVPVKFSTDKLLEESKGLLDV
VIMSS6583969 1 1448 0.505979696132597 PF00169.29:PH:1305:1413 Bud4p (RefSeq) 1448 109 11 1448 0 Saccharomyces cerevisiae VIMSS6583969 1 MicrobesOnline MHDAESTVDSLLKEIDNEMEQTKSNITQNGSEDTPHNWKLPLQEIGDDTMEMLVKHNTRSNATENSRGRSPSKMSTISNESLNLGLLRVNSELEESPAAVHQERIKNSVANGALGHANSPKVLNNLKNMAQDIDKLARDEEKPVKLSSSPLKFTLKSTQPLLSYPESPIHRSSIEIETNYDDEDEEEEDAYTCLTQSPQILHSPSRIPITNAVSINKLNLDFTLNPNESDKSLVSDTSVDSTGRELDTKTIPELPFCMSSTPEMTPVDEKCNLPSKLLNTSNNSHSDSRSANSLCGGFKHFNESSRVLIPAKIIQSLLMRMRLLKTMLCRDLQQNMEHIDEAFDEKKVLDEGCSNEPVTFLGENDTRSIVYSNKGTNANVQEFSQEDSLAHSEPKFKDLNATSDDVWNEDKETDANISTSTKSEESYIADYKVTRQEDWDTKKLHQESEHANEQPAIIPQKDSSEETFTELNNESEFQRNFKDGEEYRIVQHEESLYGQRTKSPEENIINGSEIGVDHGEAAEVNEPLAKTSAEEHDLSSSCEDQSVSEARNKDRIEEKEVETKDENIETEKDESEYHKVEENEEPEHVPLLPPLPRWEEIQFNEPFIDENDTSNDSIDLTRSMKPSDYISIWHIQEEEIKSNSPESIANSQFSQQSSITTASTVDSKKDNGSTSFKFKPRIVSRSRIYNPKSRVSSLNYYDNEDYILSNSEWNALDPMRRNTLISKRIQDNIRTQKGHAPLIRPSIMKLNGEDSGFQNHFLEVEQPQEHENIPLSTHLSEQDITTNVGLDEQKLPTNTQDEAEISIREIESAGDITFNRGDLLSLSFDEELGQDFANFLDALDHDSTSFNHGPDDSSSFQRDSSKKSFNSLWESSYELKPPPSIRKQPIAPDVLQKLLESDTKDDADLEKIREERITEPRTGLGIGMLKTPVKDVSIALAASIKGYEASFSDTDSRPEGMNNSDAITLNMFDDFEEDKMTPSTPVRSISPIKRHVSSPFKVVKAGNKQENNEINIKAEEEIEPMTQQETDGLKQDIPPLLAQTKDNVEAKEETITQLEEPQDVEQEFPDMGTLYLSIKAISTLALYGTKSHRATYAIVFDNGENVVQTPWESLPYDGNIRINKEFELPIDFKGKAETSSASSERDSYKKCVITLKCKYEKPRHELVEIVDKVPVGKSFFGKTKYKFEKKYVQKKPKQDEWDYLFAQDGSFARCEIEINEEFLKNVAFNTSHMHYNMINKWSRIADKIHGSKRLYELPRKAPHKVASLDVEACFLERTSAFEQFPKQFSLVNKIVSKYKLQQNIYKEGYLLQDGGDLKGKIENRFFKLHGSQLSGYHEISRKAKIDINLLKVTKVLRNEDIQADNGGQRNFTDWVLFNECFQLVFDDGERITFNAECSNEEKSDWYNKLQEVVELNVFHQPWVKKYCEKLAEEEKTRTTGHNLKQDFN
VIMSS6584020 1 1648 0.172912621359223 Histone transcription regulator 3 1648 0 11 1648 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47171 1 SwissProt MSMFNALNSNIEGEQYEAEEHSRELQIEQSFNILQDALIDLKNKDFEKSDSKFQELFQIDVVKPDRWGMYRNSSPTLDNLRYLCYRNRGMYYHLYLENNYERLNSQELVNCILKAVENLVESIQHSDADFAVTDLLARIFKSFNSVKLERLISEYEFTKQENLSLLLGRHRKFLLNDLTLMMNNYVELTNKLLVPNLSDNTIFERYHLEKYKDIKPEPLAFGPILSRISEMKKQDEEIMKKLDVFNVTLNEESWDEVAKALKNLLPSVKTSSLIGRNMDPYNEIEEPIEAVKFELSEAINNTPSLDRESERQEEEQDNESVRADDKSGNLAPSDIQTNEEARPNKRTDEHIDSTKPLQRSSKRFKEREQENSKELVMDVHKRFFGEFNTLLSYIHILPFCDFDTFASKFIIGSSDKQPEKFIPYTDLYECLKSWSSRYTDIFNQNDYLSSGSNENEELFQLNALLKSNAFDDKESFPRYLNDLDSDHIRSFISEVNAGNLHFHQVRLKLLFKLLGTYDEGNGRRLIIDYLWESQLLKIVLWFVFGIESNIFALINKNKRQCKYLALSIYELLVNHLGNIVEEITNKRIQGHKSADLKSQRNKVEKRIRSWHTLLEQIADEKDKELYVHFQWTHYCFLQYTCDIVDSRLSETLTSLENTIKDSDSSLDIAYPNYRHIPALNLNTVQSQKRKIRIIQNITVEDISEDTNSDTHSENHLETLEKVLLHILHPSTNHSNIDEEMVSFIFNSPFLLKIRLWGVLFSSYVKKSSIQDVQRIYFHVLDFMKGALTSPVYKESNPHGRHQMLLTVLTAIGYLSSQLTAILNSNRWESSDFVLEDYMFEKLLQTFFFFYTVLFYESSAVNDVSNKSFFKRASKSSGKMKDIMIDLATLILYYYDLQAKLRTPAEQGIETTELIWSLHTLFGHFHFCDASNGKFLDLAEKLLCQFINNDSFLQLKQILWCRYHYAIASDNFSPDLHDTKAVEMEKIHSLPLGTYLIKLQYQNKNPYLSSSKTTLKQIMDNIIEKIGDPSTLDNHIISRNSFLLNEYLSRPITADLLKHTFSGATSLYLTSPNDELQQGMTAGLFYVSSLQSLGLYKMRKKSMQARPSELDSIIRMLKNDIIYNTNRFESWILLGKCYSYIVEDDLIWTSDKITVPEKKDVIALTQRKAILCYLMAISIYYSKLDRTIDDKKIILEALDDLGSMLISGYYNPMNKLCFSWKSSAENTMRLSETGEVVMEKTKKITTISDFNIEQSIFLCFNRACSLSGDIKSQDDVFVLNWSSFYNLAKFFFKTDGGNNCKLVAKYITQGCQIAYESSPAKDPIIEPHYLLVNACYKWVKRGVIGVNEALTLLSKDNQFFQEQEEFWVNDEGLAWDYQEKFFFDKIIRLLRHLLSVDKKKWQHRPRYRIARILFDDLGDVNGALEEMDSLISAKSINKNLVNIWKPDFERPGKHFIYTYQYLVLYLDLLFAIKDFNTTGLVIKKLRRFGSGTVNVNELLERAINVYTQSAKIKLQLQDKSYVEQILPTLNYQEFLKISEQLNQVFDQGKYPEEISSGLKLAFQLKKGHSGIAFDSVCLGIYFEYLYFPLARQDQSLTDVNDENNPALPSSGSVTSKSTPDPTSKPSAIKKRVTKKEVFDRVRLLVDKIT 1
VIMSS6584029 1 298 0.381005033557047 PF00660.17:SRP1_TIP1:25:114 Cell wall mannoprotein with similarity to Tir1p, Tir2p, Tir3p, and Tir4p; expressed under anaerobic conditions, completely repressed during aerobic growth (RefSeq) 298 90 11 275 1 Saccharomyces cerevisiae VIMSS6584029 1 MicrobesOnline MSRISILAVAAALVASATAASVTTTLSPYDERVNLIELAVYVSDIGAHLSEYYAFQALHKTETYPPEIAKAVFAGGDFTTMLTGISGDEVTRMITGVPWYSTRLMGAISEALANGGIATAVPASTTEASSTSTSEASSAATESSSSSESSAETSSNAASTQATVSSESSSAASTIASSAESSVASSVASSVASSASFANTTAPVSSTSSISVTPVVQNGTDSTVTKTQASTVETTITSCSNNVCSTVTKPVSSKAQSTATSVTSSASRVIDVTTNGANKFNNGVFGAAAIAGAAALLL
VIMSS6584073 1 534 0.204948501872659 PF01866.17:Diphthamide_syn:69:400 2-(3-amino-3-carboxypropyl)histidine synthase subunit 2; Diphthamide biosynthesis protein 2; Diphtheria toxin resistance protein 2; S-adenosyl-L-methionine:L-histidine 3-amino-3-carboxypropyltransferase 2; EC 2.5.1.108 534 332 11 534 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32461 1 SwissProt MEVAPALSTTQSDVAFQKVETHEIDRSSYLGPCYNSDELMQLISAYYNVEPLVGYLEQHPEYQNVTLQFPDDLIKDSSLIVRLLQSKFPHGKIKFWVLADTAYSACCVDEVAAEHVHAEVVVHFGDACLNAIQNLPVVYSFGTPFLDLALVVENFQRAFPDLSSKICLMANAPFSKHLSQLYNILKGDLHYTNIIYSQVNTSAVEEKFVTILDTFHVPEDVDQVGVFEKNSVLFGQHDKADNISPEDYHLFHLTTPQDPRLLYLSTVFQSVHIFDPALPGMVTGPFPSLMRRYKYMHVARTAGCIGILVNTLSLRNTRETINELVKLIKTREKKHYLFVVGKPNVAKLANFEDIDIWCILGCSQSGIIVDQFNEFYKPIITPYELNLALSEEVTWTGKWVVDFRDAIDEIEQNLGGQDTISASTTSDEPEFDVVRGRYTSTSRPLRALTHLELEAADDDDSKQLTTRHTASGAVIKGTVSTSASALQNRSWKGLGSDFDSTEVDNTGADIEEGISGVARGYGFDREDAMKKENK
VIMSS6584103 1 402 0.187169900497513 PF02636.17:Methyltransf_28:91:351 Protein arginine methyltransferase NDUFAF7 homolog, mitochondrial; NADH dehydrogenase [ubiquinone] complex I, assembly factor 7 homolog; Protein midA homolog; EC 2.1.1.320 402 261 11 402 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36052 1 SwissProt MMKRLHPLRIQVHLKSDYPLFTFEQLLSTNGIRRGQTARISLKDYIEWQNFPNIMKRENFFTQRKPVTTTAKEEPFSFDNILDCEPQFSKCLAKWLLVNYKLNDYPYYDLNIVNIYTDLPQAIQICKNLMSYLKSTLSDNMFQKIKYFMVPLYKCDKIPSKLLDGIPGSVSLVQDYPVSPYFLQKKFHIEDPIQILMLNDVIKYTTHDLVRYSSDDKGWQQCFVDINKNGQKSKSFDSAIDYSCELALEQMFNDRSHVSPGKELYIPTKLIEILMTIKNNIPEHRLFIVDTPQRSSPTIISLLKSLISPRPTGSSQIVQPYSDSIFSDKRSGRICFMTDFLQLQNIYNGINSSSSSCEVEDVADFVEKWISPSERSTLSSQNGNRPQLEDIKNSSLAVLHST
VIMSS6584143 1 215 0.106303255813953 PF11712.8:Vma12:64:197 Vacuolar ATPase assembly integral membrane protein VPH2; Protein VMA12 215 134 11 169 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32341 1 SwissProt MFEIKLNDRITEFLRKFKNSAKSNEGIDEDIDLFLKRHAIPMQSLLFYVKEYRKDSDLQCSIKELLKPLEFEFKPKAVRGLHYSEDFKKKLEFLKYQEQELEYQSMVKRSKSVFSLQEDDELTPSQINKQIKEQVTTVFNVLVSVISVVVAIWYWTGSSTNFPVHVRLLLCLFFGILVLVADVVVYNSYLKKLEEAKVKEKTKVEKKKVLSKITL
VIMSS6584226 1 118 0.0198576271186441 Altered inheritance of mitochondria protein 26, mitochondrial 118 0 11 52 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32858 0 SwissProt MQTMGGEHLLLSQLKGSFFLLLLAYFFRGRSPYYARCYRRLAVTPGAITIAIAIATDSIPALAKSKVLVSVCSHTDPCTASCNLIPFPRPFSNSLTRFLFCLGSARFCISFPCFGLSI
VIMSS6584254 1 1293 0.237530781129157 PF00632.25:HECT:1151:1290 Ubiquitin fusion degradation protein 4; UB fusion protein 4; HECT-type E3 ubiquitin transferase UFD4; EC 2.3.2.-; EC 2.3.2.26 1483 140 11 1293 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33202 1 SwissProt MSENNSHNLDEHESHSENSDYMMDTQVEDDYDEDGHVQGEYSYYPDEDEDEHMLSSVGSFEADDGEDDDNDYHHEDDSGLLYGYHRTQNGSDEDRNEEEDGLERSHDNNEFGSNPLHLPDILETFAQRLEQRRQTSEGLGQHPVGRTLPEILSMIGGRMERSAESSARNERISKLIENTGNASEDPYIAMESLKELSENILMMNQMVVDRIIPMETLIGNIAAILSDKILREELELQMQACRCMYNLFEVCPESISIAVDEHVIPILQGKLVEISYIDLAEQVLETVEYISRVHGRDILKTGQLSIYVQFFDFLTIHAQRKAIAIVSNACSSIRTDDFKTIVEVLPTLKPIFSNATDQPILTRLVNAMYGICGALHGVDKFETLFSLDLIERIVQLVSIQDTPLENKLKCLDILTVLAMSSDVLSRELREKTDIVDMATRSFQHYSKSPNAGLHETLIYVPNSLLISISRFIVVLFPPEDERILSADKYTGNSDRGVISNQEKFDSLVQCLIPILVEIYTNAADFDVRRYVLIALLRVVSCINNSTAKAINDQLIKLIGSILAQKETASNANGTYSSEAGTLLVGGLSLLDLICKKFSELFFPSIKREGIFDLVKDLSVDFNNIDLKEDGNENISLSDEEGDLHSSIEECDEGDEEYDYEFTDMEIPDSVKPKKISIHIFRTLSLAYIKNKGVNLVNRVLSQMNVEQEAITEELHQIEGVVSILENPSTPDKTEEDWKGIWSVLKKCIFHEDFDVSGFEFTSTGLASSITKRITSSTVSHFILAKSFLEVFEDCIDRFLEILQSALTRLENFSIVDCGLHDGGGVSSLAKEIKIKLVYDGDASKDNIGTDLSSTIVSVHCIASFTSLNEFLRHRMVRMRFLNSLIPNLTSSSTEADREEEENCLDHMRKKNFDFFYDNEKVDMESTVFGVIFNTFVRRNRDLKTLWDDTHTIKFCKSLEGNNRESEAAEEANEGKKLRDFYKKREFAQVDTGSSADILTLLDFLHSCGVKSDSFINSKLSAKLARQLDEPLVVASGALPDWSLFLTRRFPFLFPFDTRMLFLQCTSFGYGRLIQLWKNKSKGSKDLRNDEALQQLGRITRRKLRISRKTIFATGLKILSKYGSSPDVLEIEYQEEAGTGLGPTLEFYSVVSKYFARKSLNMWRCNSYSYRSEMDVDTTDDYITTLLFPEPLNPFSNNEKVIELFGYLGTFVARSLLDNRILDFRFSKVFFELLHRMSTPNVTTVPSDVETCLLMIELVDPLLAKSLKYIVANKDDNMTLESLSLTFTVPGNDD
VIMSS6584330 1 197 0.125475126903553 PF08566.10:Pam17:38:194 Presequence translocated-associated motor subunit PAM17, mitochondrial 197 157 11 151 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36147 1 SwissProt MFTSAIRLSSQRLFASQPSVTAAALRSTATTLPLRSYSQPASLQDSSILTWSDFFKLRKQQRRINVGSSLFTALLGCNVSWAYLSTMEIDPTQMLFGFDPLTVISAGIIASGALGYLLGPIVGSQVFKLSHNQQLAQFNNKNKEFLKHIINNRVDASSQSFSNPVPDYYGEKIGSLKEYKQWLRDCHAYAKKAKEFL
VIMSS6584355 1 706 0.55283328611898 PF00412.22:LIM:556:616 LIM domain-containing protein that localizes to sites of polarized growth, required for selection and/or maintenance of polarized growth sites, may modulate signaling by the GTPases Cdc42p and Rho1p; has similarity to metazoan paxillin (RefSeq) 706 61 11 706 0 Saccharomyces cerevisiae VIMSS6584355 1 MicrobesOnline MYNSIYGSPFPKINPKVRYKTALERAGFDTKPRNPFSSQRNASTGSLQASVKSPPITRQRNVSAAPSVPVTMKSAYTASSKSAYSSVKGESDIYPPPVLENSERRSVTPPKNSNFTSSRPSDISRSISRPSERASQEDPFRFERDLDRQAEQYAASRHTCKSPANKEFQAADNFPFNFEQEDAGNTEREQDLSPIERSFMMLTQNDTASVVNSMNQTDNRGVLDQKLGKEQQKEESSIEYESEGQQEDENDIESLNFEPDPKLQMNLENEPLQDDFPEAKQEEKNTEPKIPEINVTRESNTPSLTMNALDSKIYPDDNFSGLESSKEQKSPGVSSSSTKVEDLSLDGLNEKRLSITSSENVETPYTATNLQVEQLIAQLDDVSLSRNAKLDMNGNCLNAVDRKASRFKKSSAYLSGYPSMDIPVTQQTSIVQNSNTNLSRQTILVDKGDVDEDAPSESTTNGGTPIFYKFKQSNVEYSNNEGMGSQETFRTKLPTIEALQLQHKRNITDLREEIDNSKSNDSHVLPNGGTTRYSSDADYKETEPIEFKYPPGEGPCRACGLEVTGKRMFSKKENELSGQWHRECFKCIECGIKFNKHVPCYILGDEPYCQKHYHEENHSICKVCSNFIEGECLENDKVERFHVDCLNCFLCKTAITNDYYIFNGEIPLCGNHDMEALLKEGIDNATSTNDKNNTLSKRRTRLINFN
VIMSS6584390 1 179 0.0482860335195531 Protein LDB18; Low dye-binding protein 18 179 0 11 179 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07887 1 SwissProt MPGLKLVEALEYRCDRLERLIGAGYSANSDVSVQLDELYNQLHRLYFQGLKYSQDLLQLFNTFMAEDIENVGAPDDICIFASCFDDIYTLYSAFDELNSQYMEFCQISKSSLDQISFKDANIETKQLKKLPELVDNCNIMILRSIAILNRFIDWNIEVNGFFQFQKKRLLNLQKVIYST
VIMSS6584404 1 825 0.184770181818182 KH domain-containing protein YLL032C 825 0 11 825 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07834 1 SwissProt MDNFKIYSTVITTAFLQVPHLYTTNRLWKPIEAPFLVEFLQKRISSKELKNTKAICHIDPSWVNLNASFIRDDMISIKATTDDMDLDAICRISLPLPMNTNDLTAELEKMKRILLDLSEKFNLELIITKEPAYFTPEQTGESKELCIYVHALGFRSNLMECEPQLLAFVDLIKKNGMTLPPQHYIIEPMELNSYSVLPLYMGVDMENFKHISRAFKTSIYAPSLITLSRDLKANPQIFFSGAVHSLSLLARKTLRESISVNSKSFFYRRLTNITPGKLLFIRKYYQQKVNQLILKYQSLIRVTNEYIEFQSISTNLLEMVIKNFTIQVLHEIVEVQISLNENCAMSPELIIDSFFGHTGNQIVVITPKEDSFNQLIVVGNQSSTDEASDTSILHYLSDFIMGSNQVINPNLRQIKAIFEIHPDFEDFISGKKNGKLTRIMELSACLIQLEMEEEDDNLYLNLVSDSFPDFKESFKNVINEFPAEESFFIPEVCHRPIIGTGGSLIQATMRKHNVFIQFSNSFNLPQNKISMIRYDNVIIRCPRKNKANICLAKNDLKQIVQEYDSLQSKTLIRFSSGQYRHILHVNGQKNIIGQIEKNENVYIMIPLKEPLDGTSQLSIQGNDENASRAANELVNSAFGYEYEFKIDQEIDPNKEYEFYNLIVVPFLQIMNIIVTFEKDLITFTFEKDTNENTLTKAIELLSNYLETQKTKIIFKKIIKKFVLGSASSKSNTSNSNTNGNFRSMNNAKSRTTIDNTSQSGASPQRHKMPVITTVGGAQAIKGYIPNTYYNGYGYGYGYTYEYDYNYANSNKAQTNNRHKYQNGRK 1
VIMSS6584440 1 523 0.0789835564053537 PF07690.16:MFS_1:86:451 Thiamine pathway transporter THI73 523 366 11 264 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07904 1 SwissProt MKNMSQRSMDVEKKAANADSCSVSTSSINVDDADVALRFLKQNGLDESSTANEDDVVAGEEANFYGSHELSPKVLRKVDLFILPFLCCTYLLMFLDKALLNYAASMGIKDHLKGNEFSNLGTIFSAAYIFMEPVVTYLIQKFPISKILGTFITVWGIVLACHAACKTYASLMVVRTLLGLFESSSAVGCIAISGMYYTKSEQSARIGFWATQAGTGYIVGGLISFGFLHYHGTAFTSWQIMFLVVGLVTVAFGVLTFLYLPDNVTNAWFLNKEEKIQVVEHIRANQTGLETKKFKKQQVKELFLHDKFTWPMLLLTACSQISTGAIGTFSVTITGTFGFDKYETALLQLPIGAITAMIILITTQMLSRWGHITLITTSMYIPAIIGCIVLISLPLSHKIGNLFSLYLLYSGSCVITNIYIWNSCNTSGYTKRVFRNAITMIVYNVSCIIAPQMFRAYSAPRYIPAKIALLVTQCVCVPLQLYIGYICKKENEKRDKEQEGQERKKYQFLDLTDIENRNFRYIY
VIMSS6584506 1 151 0.611935761589405 PF08524.11:rRNA_processing:6:146 rRNA-processing protein FYV7; Function required for yeast viability protein 7 151 141 11 151 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12247 1 SwissProt MGTAKQNQNRKKFTREYKVKEIQRSITKKTRLRKEYLKALKDEGYAVPEKEPKTVAKESVRKIKEARAIEGKKKLDEKKEIKKQRKRMQKDELNKQRNEQLERIRVSKEKFQRREDRKKKLTQRTRTGQPLMGPKIEDLLDKIKTDDTYTS
VIMSS6584628 1 114 0.145316666666667 Putative protein of unknown function; YLR156W, YLR159W, and YLR161W are three identical open reading frames encoded near the ribosomal DNA region of chromosome 12 (RefSeq) 114 0 11 114 0 Saccharomyces cerevisiae VIMSS6584628 1 MicrobesOnline MKFQYALAKEQLGSNSRSGVKKLISKHHWLPEYYFSDLSFSVVQQWDSRAIEKTTIISCMRPANQEIYPLRHCETLRSQPCSLFSSLYARSFQSSCTLHVAEPSPGFHMYGCHT
VIMSS6584892 1 1932 0.169700776397515 PF06920.13:DHR-2:1478:1817 DOCK-like protein 1 1932 340 11 1932 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06409 1 SwissProt MSQQDSQRWLPTDRLIYGVLVKSFLPLQRYPELVYENSNYANVYVGAEVYVFEESVDKKWCRAYQCLRPFPEEFISNMNSANDVLPDVKPKVVIFPRKYVHFEAEKAVSTMPFFKAPSAEDFKPLISKECESRSFCDSLYVSSTDDISTGKPRKTPRPPFPFFRYQKRSFKDEMGPILSLISSHVYSMYSIGEFSIYRKMIKLYYDLDTIRFRLSMNLTTEAEKINLIRAATSLRTKIAKFLSSTYRKNKLIANSTPRNPDPYGFEGIFARDIDTGELLSYEIDKLRTLVSSSMLCGLTNNFPTVPVVESDDESSSNGLFGTVRSSILVNLKDLAWDPSISDPKYQDLSICVYLRTKDEVLTESFTMTKSSNMESALDEIPAMLFKNILETIVHKNKVYLVVVLKETIAITTETAPEISSYNISTEESSSHSPFSPFNSSTENKIDHVKKGLAAGVINISPVFKFYNGLSVANKAQRFNLYLYSSDSSDSQNFNSSKDADLGWGGLINKIIKDSSEGVSVNPRAVSLSVTVKEIIGKQEAEKVLSTSLVPIRSIPTYFYDTMFSQAERIYLNLGRVSLYGLPAADTNIENVTVQISCRNKAVKFCKNKLEERSGDWKFVSVRPNESIGESIRIEGVENMNEDETLRVLVYLNGFLMAKSNIHIKKKNEIIEYRKGTVFQIMSSKSVPLIHLELEASYFGRRYNINPAITNFLVLQTKNVEFDQQLKEHYSVTLKQLNNVSFKDLLKHFDTILAHYLLLLESVNEATDKKGPSSSLPNIVFSEFVKFLNLMLTHQENSRYWFNRLYKKVMSKELECPNVAPILIKHMTTIFDRSHSSWTRTGTAICRTILYIIVLAIGSSHSDEMPNFSHFFRSLHKFLMLADEPIMADQILLIESIPSMLETMTNHCKVEDLVRFAIGLFECCQEKEMNQKMYSRPLSVREEEYLNTKFNCLLKLINKKVLQNYLTNTESVDKLRLQFLSKTLEWLLTPYTPGDDKCFHVESLRLVNSVFITIIEDYKFDMLQRNLIRLLPYLCKSFVHLRRYCKKARLMRPRRVFTMLFPREIPCNYIPVDSIVNDEVVVEVLLELAIIICEITKIASSRFPSYQSFSEIINLCDKDTLFQSNFYSRQITNENVYTITKTVFLFFKQDWFPGMKWLGVSALLGRSSLILLSLCKDYIIENNSPSPSKESEKRVDMRLWAEYVKVILLVSNHKSASLTKLAITPRKAVYLISGDLKKISAYILNECWDALATGHYNITYAKKYGLGALSDCQFELFVHNQFLIREIFIFAFHRHIDATRICCKILWGLGLNFWRIFGSLQPAVNACIPELFSAYQIGKLRLNDYELERFVSCLFFMMHVPDSDTFFPACMDFLRDLLGFLHIVNEIYKIPNQEEFDDDRTARHIEMFEYLLEANRPELFHKMIYDLFIHFIQKKDFVQAALSLELLAGTYAWDSNDTLEAISFPPLPEQSSFERKEYLLKESARNFSRGQKPEKALAVYKDLIKAYDEINYDLNGLAFVHDQIAGIYTRLQSIDRLVPTYFKVSFMGFGFPKSLRNKSFVFEGLPFEHITSMHDRLLRSYHGSNIVHSQEEVDMLLMNPPMGKYIHVASVEPCLSISDNYNSSDKKSSINNKVRMYIENRDLRTFSNSRRLPGAKGVTDLWVEEYTYHTMNTFPTLMNRSEIVKVTKSKLSPLENAIRSLQVKIQELYGLENMCNKTLKDHGDVNDLFTELSTNITGTISAPVNGGISQYKAFLEPSTSKQFSTDDLGRLTLAFDELVAVLGRCLTLHAELLPSKDLKPSHDLLVRLFEENFAEEIERYSRTLSEANRSRNNMITARIISHKNPNKKASFSGRDHHTSGSNHSQFVLEHSDSFGPNSLLFGKYLTRTLSHSSTTSSLDKSGIVSGTSSTFLAGSQPNTNTDSQHKHDYSHSG
VIMSS6584988 198 423 0.292705309734513 PF01207.17:Dus:3:148 tRNA-dihydrouridine(16/17) synthase [NAD(P)(+)]; tRNA-dihydrouridine synthase 1; EC 1.3.1.88 423 146 11 226 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53759 1 SwissProt KTGLANWETIKYLRDNLPKETVFFANGNILYPEDISRCMEHIGADAVMSAEGNLYNPGVFNVGQTKNKEKIFPRVDKIIREYFQIVKECQESKASKTAMKSHFFKILRPFLPHHTDIRSTLATMNAKATWEEWEEQVVKPVEKVVQEIFEQPDIAIKDEITIGEKQSWGGSYRTVPYWRCQPYFRPVNGITGDKRVMQGLIDESVNKKRKADVPLESADKKKDVKA
VIMSS6585056 1 407 0.549284275184275 Protein PSP2; Mitochondrial regulator of splicing 15; Polymerase suppressor protein 2 593 0 11 407 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50109 1 SwissProt MGTNNTSNNNGTTKKMSLEEFLGNDTLGESVWDEEDINLDAISNTTNIDILKQTKAGEHQRDGHQQHPHGGHGPMNRSRFSNAGPFGGGSMGDFANHHHPLQHQQGPPYIVKFSDLPPRFSNFDIEDLFQAKFTKFIKFKLFWEINKNPSISTLKSGSIFDQNFKRDSKVAFVELYTSRDMDKILNYWTTPLKEIYHITTAPAEFEDFKDYSTKVKLLTDPKDDAGKPFITKTQRSKSNPFGSAKPVDTQSKILDIEEKMENLHVEDTTTLRASLIPSSDSMATTATGSKITILKKQTPTEEESHSATPTPKPLSYSEVVERSVVNETSKKGTPLSKLDSPALELQSKPDKSDEFKGGDEQGFEKGGDDKAQLDVSNDKDKGSETDVDKQFTFKNVEREHSMSRTKY
VIMSS6585336 1 458 0.179889737991266 PF03663.14:Glyco_hydro_76:36:402 Mannan endo-1,6-alpha-mannosidase DFG5; Endo-alpha-1->6-D-mannanase DFG5; EC 3.2.1.101 458 367 11 435 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05031 1 SwissProt MIVNISAKMILSICFTFLSFFKATHAMDLDTTSKTSICDATALIQGGMLDYYEGTRYGGTVGMFQSPYYWWHAGEAFGGMLENWFLCENDTYQELLYDALLAQTGSNYDYIPSNQTMVEGNDDQGIWGITVMGAVERNFTDPGDGKPGWLAMVQAVFNTMYSRWDSEHCGGGLRWQIFTWNSGYNYKNTVSNACLFQIAARLGRYTGNTTYLEVAEQVFDWLVDVGYVVLNDTANVFDGAEIDTNCTDITKIEWTYNHGIVLGGLAYMYNATNGTGEWETSLTKILNGAKSYFFKDSIMYESACQDYGTCNTDQRTFKSIFSRMLGLTSVMAPFTRDTIDDLIKTSAEAAAKSCNGGTDGHTCGLNWQKQTNDGYYGLGEQMSALEVIQNLLIHDRPAPYKEDNGGTSKGDANAGMNSSTTNVLQNNLNIKKGDRAGAAIITAVILSVLTGGAVWMLF 1
VIMSS6585399 1 197 0.543005583756346 PF10295.9:DUF2406:49:118 Uncharacterized protein YMR295C 197 70 11 197 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03559 1 SwissProt MMHFRKKSSISNTSDHDGANRASDVKISEDDKARLKMRTASVADPILDAVQEAQPFEQAADTFHDNMNRQSYFSNEEGHVLCDVFGQPITQADISNPTRARDERPLDTIRSFEYAVSGDPVWAQQLETPTYGFRVRPDFPVFGAAVTYDANGMPQQVGGASSQMYGEQAVYQPQQHVQTEEKQKKKKKGLFGRMKKK
VIMSS6585409 143 389 0.245461538461539 PF00332.18:Glyco_hydro_17:111:247 Probable family 17 glucosidase SCW10; Soluble cell wall protein 10; EC 3.2.1.- 389 137 11 247 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04951 1 SwissProt PYNDDGSCKSTAQVASDLEQLTGFDNIRLYGVDCSQVENVLQAKTSSQKLFLGIYYVDKIQDAVDTIKSAVESYGSWDDITTVSVGNELVNGGSATTTQVGEYVSTAKSALTSAGYTGSVVSVDTFIAVINNPDLCNYSDYMAVNAHAYFDENTAAQDAGPWVLEQIERVYTACGGKKDVVITETGWPSKGDTYGEAVPSKANQEAAISSIKSSCGSSAYLFTAFNDLWKDDGQYGVEKYWGILSSD
VIMSS6585444 1 513 0.355233528265107 PF03733.13:YccF:240:296 Low affinity vacuolar monovalent cation/H(+) antiporter; Vacuolar Na(+)/H(+) exchanger 908 57 11 449 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P42839 1 SwissProt MAKNNHISASGNSTSGDHRLKEEVLTPTTSASTPHRIFSVDDDPKEIQNDIRYLEGLHEGLKFALHANKSKRSVSSQSPIVHSSNNTLHHHEHQQHLPPTLESLSSKSHSVPDLNTATPSSPKRMHSSIRELPHDDNDDEDANDDSRFIIHDSHGHDLLIDEINCQSPSHLENNDQASNASSTESFTLRERQDAINETHPFGIRIWKPALYKKHRSVQRTAAQDIHETQLKTITWEVTCSNVLWFILFGFPIAILFYSAAIVVFLLGGGGLVTNSAKEYSKCLYKLANYFLWPFGKMVYLLQDEQYLQEDKDEGISMQQFYNWVTSYSNRLVFHQSQAKFQQREDHPAPATESSSLMPPANTTATPLNSNHPSYNSIRHEIPHAAAQRRYFGRGKWSWGRVLFYTIFHLVLQPILAVLSLCLWLLVFTIPMSNVLWQIMYHCRRHPLALGFKYVENSSQSHENEITQQQLNKNILLCTFRAAGWHYYKYTVDGTNVIVVNLISIVFFTIFDFY 2
VIMSS6585512 1 401 0.286344389027432 PF14474.6:RTC4:219:364 Restriction of telomere capping protein 4 401 146 11 401 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53850 1 SwissProt MVGPGLGINRVRRKGVYSTKKGSGDNLLLMKRQGKHDIHDRESDDLSGHDAFSPSKKRGKIDSITEDEIEVKKLSTVATFDKLSRSFPNSEVQAAKNAALRGKEKEEEKVVSIPLIQNLKNEDIESIKCRNNNLLDGKKLLLEAELSAVEDNQIFSSSFPEDKKLSLQSCLSSKEQIIKKLQVREEYMSKFKLPPMLFSDELLTEVEPFMPIVMDILEGKISSVYYFEAKNAFKNSQKAYLSVDEFRKLNLNKFTAGFYGLKRQLRVGEEIAKRYKRALTHNQPATLKWWGITDFCNYVLAPETLTSFCIYQLNLSNKSCSSKTPNKHPKQQLNEKEYYYDPELRMLAYDLLEDTVEYGIIVADSDPIEQWEAAIEEDRLRELKLDVHNYSSRRWRLDTHD
VIMSS6585563 1 534 0.587672471910113 Glycolytic genes transcriptional activator GCR2 534 0 11 534 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q01722 1 SwissProt MHHQTKLDVFIIRAYNLLSNESVISGASLQSVTNSPQTTTNTPSGMVNGAVGTGIANPTGLMGSDSTPNIDEIITSTGSNALTKTNSDSANGTPNGNSSSTSAISNASNPATTGNNASSSATSNGIYTQAQYSQLFAKISKLYNATLSSGSIDDRSTSPKSAIELYQRFQQMIKELELSFDASPYAKYFRRLDGRLWQIKTDSELENDELWRLVSMSIFTVFDPQTGQILTQGRRKGNSLNTSTKGSPSDLQGINNGNNNGNNGNIGNGSNIKNYGNKNMPNNRTKKRGTRVAKNAKNGKNNKNSNKERNGITDTSAFSNTTISNPGTNMLFDPSLSQQLQKRLQTLSQDVNSRSLTGYYTQPTSPGSGGFEFGLSHADLNPNASSNTMGYNTMSNNGSHSWKRRSLGSLDVNTLDDEAVEELLQLTNTSKRQRPMTTAAEGALINDGPDTNLNANNTQMKVDLNPSNSMGPIDTEAVIRPLKEAYDAIISEKGQRIVQLERELELQRQETQWLRKMLIEDMGCVRSMLRDLQR
VIMSS6585608 1 254 0.404900787401575 PF01302.25:CAP_GLY:164:228,PF14560.6:Ubiquitin_2:1:89 Tubulin-specific chaperone B; Alpha-tubulin formation protein 1; Tubulin-folding cofactor B 254 154 11 254 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53904 1 SwissProt MVRVVIESELVRTEKELPNSLKLRQFKDRLYHVTGVEPEDMEIVVKRQYDNKEIYSTKKGGAYSNEDEDANFLKGEEELIVVVTDSNAQSISNQLATQAEGIPSMEVISEEDYLRRDQSVLRWKMAHGYGRFNAAQQSQRAALAKQDEAYAREQLTAAIGRHCRVTVDGSAPREAILRYVGPLPLDVMGTWCGVEFPEAAGKNDGRINGVTLFGPVAPGHGSFVRPRAVEILSKDEESAEVEDVHDDVESDDEI
VIMSS6585802 1 605 0.284030082644628 Protein ZRG17; Zinc-regulated gene 17 protein 605 0 11 472 6 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53735 1 SwissProt METPQMNAIQEEDNLSPEVAFQTPKLNDSDASSFSLSNMNAVGNVDGIPSQNRTFFASPRPSSLFYSAKEGNNSSSSIIYNPSFTFGENASSNANINEAALMKGKGNEGRRQSLKYIPAPKLVPPPPRTRSPVRGISPDAGSSKRSSMTLDSPFNFTTSTLQPHQQTPPSSAASRTSFRKGHRYKHSSVSMNFFQEPEVKIPLNIAKSLPIPDFNDLLSNLPWPKAYIQLSIAALQIFACLITFQVGHLYSWSNFITLSHFITYDIIGSLVIIFVENLSQFQVWFTGTITFPFGLNRIDVLLSFALAVSLCFVGLDLLFHIIEEFIVLFVESGSSLTNNHDHDEINEQIPHSHIANANDSQNENITLWYSILMINLVLSTLSLYKTFYANKYSNLKTKNPIITITYTAYLFIYPLLLDLLSSISDYLATLVISSLILWHGLTIARWTSTVLLMGFSTTSLSNSALFNNNDSTDTTAHTQQVESKAAKEKPSVRPRSMSSLPIATKNTKIRKTGFLNSAGFTENPTTIKNMIKDQIERLSEFKSRYILNYDDIVISKVNFTLYVVLIKITMKGGSDDDELMLRLAIDKCIQTSIPTCETTIDIDRI
VIMSS6585828 129 290 0.0786000000000001 PF00561.20:Abhydrolase_1:5:146 Uncharacterized hydrolase YNR064C 290 142 11 162 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) P53750 1 SwissProt/TReMBL GNAYEEGLDDRFWGPLKEYWKSYQSDPVFVKSLIPYLEDPANVICQYHDGVPAIESVDPAAYTLDIALIQRTGQTDIQLRLFFDYQNNIKLYPAFQKFLRDSKIPVLVAWGANDTIFSVAGAEAYRKDVDNLKVVYYDTGHFALETHVVAIAEEIISMFAEN
VIMSS6586037 1 837 0.418143369175627 PF02752.22:Arrestin_C:232:395,PF00339.29:Arrestin_N:150:216 Protein ROD1; Resistance to o-dinitrobenzene protein 1 837 231 11 837 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02805 1 SwissProt MFSSSSRPSKEPLLFDIRLRNLDNDVLLIKGPPDEASSVLLSGTIVLSITEPIQIKSLALRLFGRLRLNIPTVLQTVHGPHKRYSKFERNIYSHFWDDFNIKSYFQNLYDNHNNGKITISSKSSTNLAALPKRKRALSTASLISSNGQTSASKNYHTLVKGNYEFPFSAIIPGSLVESVEGLPNAAVTYALEATIERPKQPDLICKKHLRVIRTLAIDAVELSETVSVDNSWPEKVDYTISIPTKAIAIGSSTMINILIVPILKGLKLGPVRISLVENSQYCGSYGGVINQERMVAKLKLKDPLKHVAQIKKKRSLNEAADEGVDTDTGEFQDKWEVRALLNIPASLTKCSQDCRILSNIKVRHKIKFTISLLNPDGHISELRAALPVQLFISPFVPVNVKTSDVIERTLKTFGPSYQVTSQHDNSFSSKNFVDDSEEDVIFQRSASALQLSSMPTIVSGSTLNINSTDAEATAVADTTMVTSLMVPPNYGNHVYDRVYGEVTNEDETSASASSSAVESQAIHNIQNLYISDSNNSNNPILAPNPQIKIEDDSLNNCDSRGDSVNNSNLNLVNSNLTISENWNNNSPSANRYNNIINAGLNSPSLTPSFAHLSRRNSYSRQTSSTSLKNDLELTDLSRVPSYDKAMKSDMIGEDLPPAYPEEELGVQENKKIELERPQILHHKSTSSLLPLPGSSKSSNNLKRSSSRTHLSHSPLPRNNSGSSVSLQQLARNNTDSSFNLNLSFTSAKSSTGSRHFPFNMTTSFTSNSSSKNNSHFDKTDSTSDANKPREEENYTSATHNRRSRSSSVRSNNSNSPLRQGTGSFANLMEMFTKRDRS
VIMSS6586038 1 730 0.372887534246576 Uncharacterized protein YOR019W 730 0 11 730 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99248 1 SwissProt MISVCPQNDLQKCYRSLTFDVPGQQFEERNEQNLKKRAKKKGSFQPSVAFDTVPSTAGYSSIDDSREGFKGVPVPNYYTMEECYDDETDSFSPNLQYYLRDTFQSSPFLNTRKENKSESSSFPMRSSKLLEKNSDIKKYFLVSKNGKIVRRDYPSTPVIVNETLMINRFEKNWIKLWRQRKLQINERLNDKKKWFTYPELIFSEERIKPLYRGDDSAPCTKEQKRKHKILQQKVGYPNNPKTIVCHINGKKHTWVALDWTVYKFARNLDHIVVITTLPKMISNRKKTAKDDTEWAPGYQKEVIDQKLNDIFDYILQLVKVVKISVKITLEIIVGKIKKSLVDVINVHTPDFLVLATLKHERNENLITYKSKKLTDVFPVSYPIPTFVVPSKRMYSFELNLQREVNEHYVSKNHMKHEHTDVESMSSSMFKKNTISDISSHISVDSYAEDFKRQGYIKKQFNTSNDSIPRKLTGLAQHSRRKITGDIEKLQDDEKDRECTKEKLLLKKIDIIIRESLKSSLAIETLPGKNVSQSSHGDQISSFKNALIGNGSKNTKFRKSLIPYSSSEEQNTTTTIKLSSSPTSQIKFATSVKHKDGRAALGKARNLPDIRHSISFDKENSFDPSDKSSSVDNSIPLRKVKSAGALRKVKTNDSSSSAGSKKSSSSFSTVNTFTGGGVGIFKVFKSGSSSGNKSSSRRNSSSGDVFESDDRNDKKKKKKKKKKSLFLFGKI
VIMSS6586043 1 566 0.616745759717314 Protein AHC1; ADA HAT complex component 1 566 0 11 566 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12433 1 SwissProt MMSPAQDKLQHQHHNPNSSSSSSSKMTNVYQVTTPKSPQDLENNMDEPFKMDTATSNPDKDSENTQRLKYECAKGEIQNVLNLHIMLNHKHVRHLRRNVQKVNAKLALLETLHKDTGLLNKIERTYQLKIKQHQQHSVLGGHFHDSTATENTNASNYNLSYPVLSDYNINCQPLSSSSNRNLSTTRIPHHHYHTRSKSNGLLLEPSALRPANSNIIDYRLTGSKSLSEAITKPTPVSLPHSNSDGISSPRSSSISPLDEQPGFQILPFKPSQMHLNHRRNYSSTCLTSNSGIIGKTENNEPIFRRYDGILVIITCSKCDRSGFTSAQGIVNHTRLKHSKLYSSQPLAVLNNQKLLPNDKQDPEILSKFKKLNLDPNKDYLPSDIAIPKPQSPINHSENHTRAPKTVKNTPHLEKLYQNKEDFKKLIDMVNETPDDLNEYLKQREIQLRYQKEQEEESSKSDDEASYVPSPSLSATATTTTTTDPPSPPVLSSSLQRKLLRKRKLSLNSSTPMEDLPLRERLRANPTDKKPRKAALLTNELEGPDPAAKSSSYYNLRSKSRLRGSHT
VIMSS6586062 1 411 0.791732603406325 PF02845.16:CUE:100:139 Ubiquitin-binding protein CUE5; Coupling of ubiquitin conjugation to ER degradation protein 5 411 40 11 411 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08412 1 SwissProt MEEKEGIKDSSLLEKSNVPESINEDISKTTDVDLNSDGKKDNDTSAKDGTPKVEEKVNKSSGIDEDEVVTPAEDAKEEEEEHPPLPARRKSEEEPSKENPILQELKDAFPNLEEKYIKAVIIASQGVLSPAFNALLFLSDPESGKDIELPTQPVRKNPEAPARRRQTQLEQDELLARQLDEQFNSSHSRRRNRDRATRSMHEQRRRRHNPNEREQHHEDSEEEDSWSQFVEKDLPELTDRAGRSLQDTANKVSNWISDAYRRNFASGNEQNDNQHGHQDQQEWEPEIVDLSQGGKNSRPQQPERRRFNSFGVQVGDDSLESHGITLHNEDGFEDDEDVPPQLPTRTKSGESTGKVVAETTYIDTPDTETKKKWQPLPPEPLDTTPTKVNAVSRNKKNPDEDEFLINSDDEM
VIMSS6586081 1 268 0.22957723880597 Uncharacterized protein YOR062C 268 0 11 268 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36025 1 SwissProt MTSLDDSVLTKKNIALLDNATNYIRPAIDYFHFKFNYDSLDVSTTWRLLLKMRKHKLLRLPSCSSENEFDYSIYMARLYHCIWRRWSIKHFNLDEYKIDPLSINWNKEIDVTVLYGPDLVGIHEREQPTPTDFPMGNIKEQGKQLLDVRKEGSASSLLKKGSVFYSKGKWLSQRSISFDDTVRRRDIDKRGRFRESCVLINDVEQFQNYSIVWDESRHRYRRQALPDTYDYEHLYPNGDETPRNTPHDNIIIHQNLHSITEGSYIYIK
VIMSS6586112 1 1648 0.185418143203883 PF00501.28:AMP-binding:172:442,PF06464.11:DMAP_binding:6:120 Uncharacterized protein YOR093C 1648 386 11 1648 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12275 1 SwissProt MDFSIPPTLPLDLQSRLNELIQDYKDENLTRKGYETKRKQLLDKFEISQMRPYTPLRSPNSRKSKHLHRRNTSLASSITSLPNSIDRRHSIYRVTTINSTSANNTPRRRSKRYTASLQSSLPGSSDENGSVKDAVYNPMIPLLPRHTGAENTSSGDSAMTDSLPLILRGRFEHYDGQTAMISINSKGKETFITWDKLYLKAERVAHELNKSHLYKMDKILLWYNKNDVIEFTIALLGCFISGMAAVPVSFETYSLREILEIIKVTNSKFVLISNACHRQLDNLYSSSNHSKVKLVKNDVFQQIKFVKTDDLGTYTKAKKTSPTFDIPNISYIEFTRTPLGRLSGVVMKHNILINQFETMTKILNSRSMPHWKQKSQSIRKPFHKKIMATNSRFVILNSLDPTRSTGLIMGVLFNLFTGNLMISIDSSILQRPGGYENIIDKFRADILLNDQLQLKQVVINYLENPESAFSKKHKIDFSCIKSCLTSCTTIDTDVSEMVVHKWLKNLGCIDAPFCYSPMLTLLDFGGIFISIRDQLGNLENFPIHNSKLRLQNELFINREKLKLNEVECSITAMINSSSSFKDYLKLETFGFPIPDITLCVVNPDTNTLVQDLTVGEIWISSNHITDEFYQMDKVNEFVFKAKLNYSEMFSWAKYEMPTNEKSQAVTEQLDTILNICPANTYFMRTKLMGFVHNGKIYVLSLIEDMFLQNRLIRLPNWAHTSNLLYAKKGNQSAQPKGNTGAESTKAIDISSLSGETSSGYKRVVESHYLQQITETVVRTVNTVFEVAAFELQHHKEEHFLVMVVESSLAKTEEESKNGETTDTTLMKFAETQRNKLETKMNDLTDQIFRILWIFHKIQPMCILVVPRDTLPRRYCSLELANSTVEKKFLNNDLSAQFVKFQFDNVILDFLPHSAYYNESILSEHLSKLRKMALQEEYAMIEPAYRNGGPVKPKLALQCSGVDYRDESVDTRSHTKLTDFKSILEILEWRISNYGNETAFSDGTNTNLVNSSASNDNNVHKKVSWASFGKIVAGFLKKIVGSKIPLKHGDPIIIMCENSVEYVAMIMACLYCNLLVIPLPSVKESVIEEDLKGLVNIIQSYKVKRVFVDAKLHSLLNDNNVVNKCFKKYKSLIPKITVFSKVKTKNALTVSMFKNVLKQKFGAKPGTRIGMTPCVVWVNTEYDVTSNIHVTMTHSSLLNASKIVKETLQLRNNSPLFSICSHTSGLGFMFSCLLGIYTGASTCLFSLTDVLTDPKEFLIGLQNLNVKDLYLKLETLYALLDRASSLIEGFKNKKENINSAKNNTSGSLREDVFKGVRNIMIPFPNRPRIYTIENILKRYSTISLSCTQISYVYQHHFNPLISLRSYLDIPPVDLYLDPFSLREGIIREVNPNDVSAGNYIKIQDSGVVPVCTDVSVVNPETLLPCVDGEFGEIWCCSEANAFDYFVCNSSKNKLYKDPFITEQFKSKMKSEVNNTLSYLRTGDLGFIKNVSCTNSQGEVVNLNLLFVLGSIHESIEILGLTHFVSDLERTVKDVHSDIGSCLIAKAGGLLVCLIRCKERHNPILGNLTTLIVSELLNKHGVILDLCTFVRTKGISPKNSSMIMEVWAKNRASIMQAWFDQKIQIEAQFGINYGENISIYLLSDYEKDNI
VIMSS6586124 1 309 0.404302588996764 PF00615.19:RGS:43:154 Regulator of G-protein signaling 2 309 112 11 309 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99188 1 SwissProt MASVPSLCDILIPLEKNSRSDGDAESSNTVLIQLRKGHHERMRSPYTIQKFYKFLKRAHCEENLEFFEKAHQFLQLKQNRSISEEKLLEVWNKSLYIKYIAVDSPKECNFSQDTREIFEKCFANNEVPADVDVLCAISHVMGLLMDGYHRFVSSVNEKKYSATYAHNDSATEQDLKNESTTSFSSLGVEDISEDRNSYLKKPDINGLSTIIQETSANTTNESQCSDRTSRPSESSSSLNTTSSTYKNTSTRNLQKPQNTGILNSGKGLLQKLNFVKKRKSFKQPSGVICSHYNSNVQNRLKGQNSHQQR
VIMSS6586194 1 464 0.430162068965517 Meiotic plaque component protein 54 464 0 11 464 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08550 1 SwissProt MPEDTSYSNSFEDYYNNSHAISPYKDSFYKEMTPSKPNVRFGDDDVNIFDQRKKVNEINKNNTVKRSIPSSISTTITPNKSSLKSPRGKRASKNSFDNETKLESKNETLKEVNDAVNRCYALCNIPTKHVSINSISDLAQTFETLAVGITHETNRKAECERSKNAIDSLYYHEQLEKKELNEKSLQMAIDHLLKVTKQNLRQADDGNKLKETEALKSFIEEIEEVDDNKISINSLQQQLLEEKTANNILRRDYYKLQERGRRLCHEFQELQDDYSKQMKQKEYEVQKLKNEIKVLLNMNDNLKAEKAHYSQKEKQYFQKYTYIEKYMNHVKEEYNRKEDECKKLNFIIDKSMKKIEHLERSLQTQFTAQNSFSTAMIQEEGPKDAHLKDRYHKVKEFMEQKLQTSKINDPSCSEAEALDNVLCLIESSMKTLDKNSKCYPIATKKCIKYVTDSPRLKENEHVTN
VIMSS6586265 1 685 0.11125197080292 PF12862.7:ANAPC5:302:390 Anaphase-promoting complex subunit 5 685 89 11 685 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08683 1 SwissProt MSKYGPLGITNFITPYDLCILILIHAHCSQDNGISVPTAVFLRLISPTRPSLEWNPLLKDNSNLRSSSIVPPPVLPILDNIIRILLDDKDGNKIALTLMGYLEAINGLDSINRLMMDLEKNCLVNNYRSMKMRTTSTRRQMTRASFLGTFLSTCIRKYQIGDFEMRETIWINLQNFKTVFKHTPLWLRFKDNVHIQKVKNCLLANDEISVEDQQMVEFFQHFNNGNDADSKTMNEENYGTLISIQHLQSIVNRQIVNWLDNTEFNLMGQEETSSTYEEQSGLVFDLLDTLSLNDATKFPLIFILKYLEAIKENSYQTALDSLHNYFDYKSTGNSQNYFHISLLSLATFHSSFNECDAAINSFEEATRIARENKDMETLNLIMIWIINFIEVHPEYANRFYITVEQIIKYLKNSSDVEDANIFSNAYKFETLLSMVKESKTAEVSSSLLKFMAITLQNVPSQNFDLFQSLVSYEVKFWKELGYESISDVYEKFLSKTSSSSLRNYDSSIINQDIKVAFKALEEDDFLKVKQYLLKSESLELDYDQKINLKYLRVKYLVKIGDYDLSMRLINQYVKECCEEVADSNWRFKFEIESINVLLLSDVGIRSLPKIIKLIDEYKEIGNPLRCVILLLKLCEVLIQVGKSMEAECLISCNLSTILEFPFVRKKTDELLESLSVEEDRDVQMT
VIMSS6586313 1 228 0.426424122807018 PF02201.18:SWIB:123:193,PF08766.11:DEK_C:6:53 Upstream activation factor subunit UAF30; Upstream activation factor 30 KDa subunit; p30 228 119 11 228 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08747 1 SwissProt MAELNDYSTMIDILLSDMDLETVTTKKVRMALKEVYAIDVESQGKAINKLIRKHLDLVKERPRFERSLEDLLKENATLAIELTKEITVSKRSSGEEKNDSETKGTHVEKKKGTVSKSPISTRKVTLSKSLASLLGEHELTRTEVVRRLWAYIKAHNLQNPNNKKEILCDEKLELILGKSTNMFEMHKILASHMTEPKKISDCPPLIQEVRRKEKPIVSDSEQSDTKGI
VIMSS6586329 1 290 0.0963220689655172 CTP-dependent diacylglycerol kinase 1; Diglyceride kinase 1; DAG kinase 1; High-copy suppressor of SLY1 defect protein 1; EC 2.7.1.174 290 0 11 206 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12382 1 SwissProt MGTEDAIALPNSTLEPRTEAKQRLSSKSHQVSAKVTIPAKEEISSSDDDAHVPVTEIHLKSHEWFGDFITKHEIPRKVFHSSIGFITLYLYTQGINYKNVLWPLIYAFIILFILDLIRLNWPFFNMLYCRTVGALMRKKEIHTYNGVLWYILGLIFSFNFFSKDVTLISLFLLSWSDTAAATIGRKYGHLTPKVARNKSLAGSIAAFTVGVITCWVFYGYFVPAYSYVNKPGEIQWSPETSRLSLNMLSLLGGVVAALSEGIDLFNWDDNFTIPVLSSLFMNAVIKTFKK
VIMSS6586345 1 872 0.654311926605505 Protein SCD5; Protein FTB1 872 0 11 872 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34758 1 SwissProt MSFDWLNVPGLDLSSGDQAEKRPSNGLGPPSVSFDFGINTAAPHDSSFWDQGSRSHSDTTLSYRNNHSNTAADNATNVSSPQKDNPPNGEVRTLSGGDVYAESPEDMQVPLSLSQNQLTHEEIRTYLRWYHYICLRTHGKLVRLNDVFRFLTNFNLSQKVKDRIVEIFRSCKNALNIGQFFAVLRLVSRAIIYGILPLRRMILEKAPVPKPRPILSSENHEEVYEEVEDDDSSAKTGDQKVDFDSFASLLLTGKTTRKRVRRRIKNLNFKSKKVRFSEHITFQDPPNLNQESSNNSEARKQDPDAEDEDQDSNNDSPLDFTLPMDQLLKRLYKRRKNSGLVSSLPSEQQETEEEKKVLEDMKDSLSHFKQIQTVDSASLPISSVFLQNGNTLPTSNVNNTTVPQQLPLEPLKPTATGSANHLVREEYNQGLHPSNGAIQTGLQPLKPTATGSANYLMRSHMEQPQSIKPSSTPETVTNSGGLQPLKPTATGSANYLMKQHISPSVNNPVSSMFQAQFTNQSSSPQSTGPAFLNSPNITLPQSNQQQPYQEVNPTQAKIEPSNISPQHTYSNNVRINNGNIVSMPKVEITGAFPPQNTLPQHQQSHLLSPQNTIPQHQRSQLISPQNTFTQNQPILSPQHTYSNNQATMISPQNTYTNNQQQPQHLPPPPPPRAQQQQQGAIVPPQHMYSNVQKQNNLVPTQPSYTNSPSIQSPNFLSPQNAANSYFQSLLSSSPSPNPTPSNASTVNGNNASNGISSFQNTSAAMNNTQSHQTYIQQQQQQQTQQRIYGGQLSQMQQHPGQLHLNNSDIHSQPNKPNYGMLGQQVHQQQQQQQQQFPFTADVNRSNSSDILGNLQSLQQQVDALQIQYNRRP
VIMSS6586497 1 932 0.246433154506438 PF01602.20:Adaptin_N:46:609,PF12717.7:Cnd1:146:285 AP-3 complex subunit delta; Adaptor-related protein complex 3 subunit delta; Delta-adaptin 3; Delta-adaptin 932 564 11 932 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08951 1 SwissProt MTSLYAPGAEDIRQRLRPFGFFFEKSLKDLIKGIRSHNETPEKLDQFFKQVLSECREEVNSPDLNSKTNAVLKLTYLEMYGFDMAWCNFHILEVMSSNKLQQKRVGYLAASQSFYKDSDILMLATNLLKKDLKYDGNNDVVKVGIALSGLSTIITPSLARDIADDLFTMLNSTRPYIRKKAITALFKVFLQYPEALRDNFDKFVSKLDDDDISVVSAAVSVICELSKKNPQPFIQLSPLLYEILVTIDNNWIIIRLLKLFTNLSQVEPKLRAKLLPKILELMESTVATSVIYESVNCIVKGNMLEEDDFETAMACLERLHTFCDSQDPNLRYISCILFYKIGKINTDFISRFDQLIIRLLSDVDVSIRSKAIELVEGIVDEDNLKAIVQTLMKQFVDEDVVILQTGSIVYEKSKRIPIIIPENYKIKMVNVIISICSADNYSSVNDFEWYNAVIMDLAMLCQDISDKSLGSKIGEQFRNLMIKVPSMREVTIANIIKLISNDNINKQLPTVLRECIWCLGEFSTLVENGNDLIKIMTENISYYSHSVQEVLILALVKVFSNWCNNFQEDKRFEIKMVLKELIEFFENLSYSSTFEVQERSVEVLEFLRLSLEALEEDTEGLPMLLSEVLPSFFNAYELAPIARGTQLKLAVDENLDLETPFLTKEAADELLDEQKSDAISDLMSDISMDEQVELKFVDDSDTSYEEKEKLDDFENPFEIEREKERMSNPYYLGEEDEERTKNSKDLLDLNEEESSDKKPETIRLNRTDNSLNSLSLSTTEISRKKKKGKKKNRVQVLSDEPVIEAAPKRKDAFQKPHDNHSTQNPLKKDKINLRMHSQLENFDFSNFGQSSNAGRGSQEEGNLRKEDELELSRLEANLIVKDEKDNLSDTEEVIVIKKKKKGKKSKSKNKLKTKAKNSPEPNEFLRDQSTDI
VIMSS6586532 1 633 0.101407898894155 Bud emergence protein 4 633 0 11 633 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39011 1 SwissProt MDYEEILFGLQPILNASSIKDVPMNDVYLGSYLAVMDQLAVSLREPSNRDIVGKTGLLLNLVRVLEQALDICFHDTSISINDKIAFYEISSEVIRCIANAIIDNDDNREILLDSGGKKLLNYYIGGVLQLDEISSDKSEDSLVDKLQMRSVVLLRNFCIGNLKYTENLAPFIRGPLFVLLKTTQYSYLSSPEKVVLGSDLLNDILKVNYSNVQISDLFFLSQYIKKISSNVQNKELQAMEDGAVEAYSNTETQKFAGQGNQEYIEKEEEDDEEDVNCELLLNLSTCLETIVAKDETINFTNEEQLVLSMQKNLILSLVCLESKTFNNKLIVMRRLISCAGNISANLTNSNKREQSLCIETIKSSASSYALAAALMILCNSVASKSDAVALLKLISLSELIQVGSLLQDPLQYQGFLDLLRKLLNLENTMWLDIKDLFTLFQIMRRCHEQTKYYNNLRSLLTNLLNKTLTVLPSSKIHNSISSDPTIISFIAEHGTLTSCIAMDKLLVSKKALPKEAITSLWDSIFKFQNLGQAEQLSISDLFHITKTVGIYLKDSSVTADVNPIENILFKDYIQKLTLILETILSFKENKDKGSESCFNNGKFIAGIILNIVKNTKCLTPEEQNLEALAKSFF
VIMSS6586547 185 630 0.0994374439461884 PF06472.15:ABC_membrane_2:10:282 Peroxisomal long-chain fatty acid import protein 2; Peroxisomal ABC transporter 1 870 273 11 380 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P41909 1 SwissProt SKFLNQMNVLSKILIPTVFDKNFLLLTAQIFFLVMRTWLSLFVAKLDGQIVKNIIAGRGRSFLWDLGCWFLIAVPASYTNSAIKLLQRKLSLNFRVNLTRYIHDMYLDKRLTFYKLIFDAKASNSVIKNIDNSITNDVAKFCDATCSVFANIAKPVIDLIFFSVYLRDNLGTVGVAGIFVNYFITGFILRKYTPPLGKLAGERSASDGDYYNYHLNMINNSEEIAFYQGTAVERTKVKELYDVLMEKMLLVDKVKFGYNMLEDYVLKYTWSGLGYVFASIPIVMSTLATGINSEEKNMKEFIVNKRLMLSLADAGSRLMHSIKDISQLTGYTNRIFTLLSVLHRVHSLNFNYGAVPSILSIRTEDASRNSNLLPTTDNSQDAIRGTIQRNFNGIRLENIDVIIPSVRASEGIKLINKLTFQIPLHIDPITSKSNSIQDLSKANDIK
VIMSS6586700 43 694 0.640471319018404 Transcriptional activator HAA1 694 0 11 652 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12753 1 SwissProt CDYCKQLRKNKNANPEGVCTCGRLEKKKLAQKAKEEARAKAKEKQRKQCTCGTDEVCKYHAQKRHLRKSPSSSQKKGRSISRSQPMFERVLSSTSLDSNMLSGHGALSDTSSILTSTFLDSEPGVGKISKDYHHVPSLASISSLQSSQSLDQNFSIPQSPPLSSMSFNFLTGNINETNQNHSNHQHSKSGNNWQDSSVSLPAKADSRLNMMDKNNSVGLDLLGHSKRISPISNSRVGEVSVPLEEYIPSDIDGVGRVTDKSSLVYDWPFDESIERNFSTTATAATGESKFDINDNCNRINSKSYSKTNSMNGNGMNNSNNNNINSNGNDKNNNNSSRQEHQGNGLFDMFTDSSSISTLSRANLLLQEKIGSQENSVKQENYSKNPQLRHQLTSRSRSFIHHPANEYLKNTFGNSHSNDIGKGVEVLSLTPSFMDIPEKERETERSPSSNYITDRPFTRKPRSSSIDVNHRYPPMAPTTVATSPGALNNAVASNLDDQLSLTSLNSQPSSIANMMMDPSNLAEQSSIHSVPQSINSPRMPKTGSRQDKNIHTKKEERNPLNNIHDLSQLENVPDEMNQMFSPPLKSMNRPDAIRENSSSSNFIIQGNSMISTPSGRNDLPDTSPMSSIQTASPPSQLLTDQGFADLDNFMSSL
VIMSS6586741 1 176 0.120055113636364 PF00583.25:Acetyltransf_1:33:135,PF13508.7:Acetyltransf_7:47:136,PF13673.7:Acetyltransf_10:76:142,PF08445.10:FR47:79:139,PF13302.7:Acetyltransf_3:42:135 N-alpha-acetyltransferase 30; L-A virus GAG protein N-acetyltransferase subunit MAK3; Maintenance of killer protein 3; N-terminal acetyltransferase C complex catalytic subunit MAK3; NatC complex subunit MAK3; NatC catalytic subunit; EC 2.3.1.256 176 110 11 176 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03503 1 SwissProt MEIVYKPLDIRNEEQFASIKKLIDADLSEPYSIYVYRYFLNQWPELTYIAVDNKSGTPNIPIGCIVCKMDPHRNVRLRGYIGMLAVESTYRGHGIAKKLVEIAIDKMQREHCDEIMLETEVENSAALNLYEGMGFIRMKRMFRYYLNEGDAFKLILPLTEKSCTRSTFLMHGRLAT
VIMSS6586754 1 118 0.294261016949153 Iron-sulfur assembly protein 2 185 0 11 118 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12425 1 SwissProt MQAKLLFTRLNFRRPSTTLRQFPLTCFLFHSKAFYSDLVTKEPLITPKRIINKTPGLNLSISERASNRLAEIYRNSKENLRISVESGGCHGFQYNLTLEPATKPDIKNDVKDKEFSDD
VIMSS6586790 1 861 0.179555981416957 PF08318.12:COG4:190:525 Conserved oligomeric Golgi complex subunit 4; COG complex subunit 4; Complexed with DOR1 protein 1; Component of oligomeric Golgi complex 4; Protein SEC38 861 336 11 861 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06096 1 SwissProt MEGQKSNASWESSIIEGQLSKNLARYTLLLDKLSTLSQIDKLSEVIANDYAKQSKQLNAFVQQSQSSLNKESRKLELQRTNLTTTLTQFHETVATISSSNARAKAIHDDIETVDQERALVNKTLQFVKDVRTLKNNISLAHSALETKDYLVAATAINEIRSLPDKKLIVSEFAKKVVPSSEIPEEPAILIKNWCKELTSLFQEQFMEATRTQDIKELTLMFKMFPMIGQDVLGLDLYSKYVCDIIADESRKIMSNSMENSTKFQGFFSQVLLHLFKIVSTIINDHSKVIATCYGKKHMVHVMEKVEKEADLQASLILDIFMETRKIERTIHDINEWEHSQKNEDVNIDSNQSDIETDGETEKSSIISIHDLALLIMEFSQILQNWSMYSRFYSVKWNEFSDLHPHVLQPPPPIADGKFALKLKQDKVFDEFQVFVLNHLQRSFRNSISLEELPSLNDLITAVPLNDHDNISYPVTSVLDDLILLVRKNLISVVNTGQFKLLASFLNELVKFFQNRFLVKFMQNKFKLLQSKLASNVSLKRYIPKGEEQSATSRSVSPPANKFSPLSRFTFRGAAASALTNIQSNLQAVVAEDEDSILALHHYLIYLNTLYLSKVYVHRLLSIEILEDDSQRILRDNFPFDNDAAQLQNLIINSEKLVLEQTDKLSKWAVKYLFQNILQNRVRNLLGTVFVNSASSNSSTSNQKNVSRDYSAGSNQKNYITSIEDFEDLSQINSFNSKWNQLIIPYKNILHNEAYAELLSVIVDYIVTTLEQRIWTLEFNELGVTKLDRELSLFIGNMCGLNYNLREKFLKLTQIVLLLGLDDDNFDLTTGDIKDDFNGTFDWVINSQERIKARNMKIDRTQ
VIMSS658879 1 195 0.458540512820513 PF00691.20:OmpA:109:182 peptidoglycan associated cytoplasmic membrane protein (NCBI) 195 74 11 195 0 Leptospira interrogans serovar Copenhageni str. Fiocruz L1-130 VIMSS658879 1 MicrobesOnline MVKKILNLILLGAIAFSFTLCSSAEKKEESAAPEPSTQEQSAAANRNVDVNSPEAIADSLNEKLKDFRYPDGLTRPGFSYKKADVTPGDFSEWSKTNAPVIKEGLGKLPDSYALEITGHTDAIGPEQAEGAKKGNIFYSELRANAVKQALIKQGIPANRIVTKGAGSSEPVSGLDAKDAKNRRVTFRFATSAPQQ
VIMSS6794372 1 248 0.0416516129032258 PF05857.11:TraX:32:246 F-pilin acetylation protein TraX 248 215 11 142 5 Escherichia coli CharProtDB::CH_008382 1 CharProtDB MTTDNTNTTRNDSLAARTDTWLQSFLVWSPGQRDIIKTVALVLMVLDHINLIFQLKQEWMFLAGRGAFPLFALVWGLNLSRHAHIRQPAINRLWGWGIIAQFAYYLAGFPWYEGNILFAFAVAAQVLTWCETRSGWRTAAAILLMALWGPLSGTSYGIAGLLMLAVSYRLYRAEDRAERLALLACLLAVIPALNLASSDAAAVAGLVMTVLTVGLVSCAGKSLPRFWPGDFFPVFYACHLAVLGVLAL
VIMSS686 1 223 0.397986995515695 hypothetical protein (NCBI ptt file) 223 0 11 223 0 Chlamydia trachomatis D/UW-3/CX VIMSS686 1 MicrobesOnline MIDPLKLFPNFDGDKESAAVNKPSASPMPSELSKNVASFSLGGGGAALDSTVSTEKLSLMAMMQDKNSQLIDPELEEALNSEELQEQIHLLKSRLWDAQTQMQMQDPDKLASEHVDALGVIVDLINGDFQAIAEHTQQTVKQGNGDEEKSVTRKIVDWVSSGEEILNRALLYFSDRNGERETLADFLKVQYAVQRATQRAELFASILGATVSSVKTIMTTQLG
VIMSS758759 1 425 0.346732 inclusion membrane protein A 425 0 11 402 1 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 YP_096307.1 1 RefSeq MATNETELQVLIQHDSKSTITTSSLDSTDKDPKASTGTPEIESASLAQIVDTQKQLSQVKESLESIVDSIAENPSLITRAASAWGELPMWQKVTGGLVLTAPTLAVGLFAHIGVLLVIGGVTGLTYTAGAIVLDDHHTCNVNIAKRLKEGLFGLADLLQITIEALDAIRKKFAEEIEKFKNENLRLTDNIDRLGNEVESLSAQVELYMEIEKMLRKDTNEMEQTVKKLQESTTKQTDLLEKNQKELSKIRKEYEKSQLQLAEKIAELHEVRLSLGLEVQKAKTVAKTLEGTVQTLTGTVIADEEQRVSFQKKLDGFLNDKQLSFDQVAERICKAEEELKKVKEELRQSNDRYSELLKRQEQQVERLEKLGLHKLERIVDKENVKPSNDPVHSGLLSHGIYSTPKGKVTQPKVEVVEDRQTIALVN
VIMSS758788 81 297 0.383258525345622 CG18304 gene product (NCBI) 297 0 11 217 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS758788 1 MicrobesOnline RDQFSYNHPQTLVGEFQTKLEEQHKRAEEEKRKLEKQREEQLKLEKQLEEEKQKLAKQSEVEEKPKLENQQEENITQALQKVDGIIQELTLKIDRVDQHQYKKAHDTANTLLQSLIAARDEYERDLRANEFSQELAGRKFKLACQDAVKIAKPVLEKDLGWGDYLKNLLKCLGNAVITVFTFGYQQGFFAYARPDSAKAVEKAEEDLGLRQAASSPK
VIMSS759285 1 2225 0.312386651685393 SidH (NCBI) 2225 0 11 2225 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS759285 1 MicrobesOnline MKRTIETYIIYLKEDLKIADTCKTIKDGLLKSITDKTHFSEELATYFERDNPNAPFKVNTTDPTQVAVLKKLLNALENAEKSFRAIENIDISRDRYTAMIAKDAVMVSYKAVHEIYAALQLINHSNSDIQDIVGPHIQKLLPQMALASKALGNFAPEHPEESAGAVLAGVVNMLPTEKPTESESLGKLSNLIFELPHYFEELQKLIATGASGIATKSITSAEDYQSAMIKKANETKYYFEQLSSKSGLLAIPSYLSIVKRLIAHSTDLVNAGAPLTKQAYLDAVAKLEDIKHNILPQLISELEMVEESMGLKPGLLTDPALEQMNKYYTQLAEQVDNIAKAAGVLDTVSDYSDSIGGKIVRFLAGDSKKLDVGPKLTPAPDLGVLMDDVFIQKRRSNQESRLNEARLSSEDKSVLAAANRFFDKIGSYNSIHKAWSKWSLANISQSEKDALIKEYKQFQPHFAALYPDIDKLVVDALTQPTGSNIVSRLYSSDYKQLWSSDHFKQVLSCKDSVLSSIQQSLAQSEFKAKLIEKTMSHSEETAYSMNNKTTNLTTRVQPFEPLKFTLEDDKPVEYYHKRVIAASNQILELERAQKGVAEFFNYIQKKYPHENPSFDSLDESDKEFLRKAYKTFQPQLLALKHDDINTRLVSSLTSSKPTDPPLRLTDLVSLKSGINDYLNEKISDLNQDKTTLLDKEEEAREEQYAKNPLVAKGAELEKQTLFGQMSKLKLSKSVDDFFNKKFQTYLKDNLSPEVWKQLSSNGETLDFDKIPYLEFHKDSPEVAMYKQLINSMHYMKNGLEKLESLNDYGDPNNIYHRTRFVMTTFNALVMNICFSKYYVMEAGNNPGLKAIVQEGLDLLKPLEGMPLIGDYLKTTEKQEPPKQNIITAWKKQQAVVESGLSKGPKPKTDQQLISEQLGKIQEAIDNFDGDLEVSDSAREKIKTQIGEFAKGISGLSFGPGSVKKILAALTKLETQLSNLDKESPEVTLGKLKDIHSELNAQFRAAAEYTEYHSGQKFGSYSNNISTIVSNFCNGLVSNLPLKQEPAPKVKAPEKPVTPVITGTTNPHEVVFGTKHEEFNSVYQPYLLLKRITDEFRDQNNPYKPSFDELKEEAVSYYDKIQPLLESVDPKFDKNFIAKHKESSTLLKAIDEVMSMRQRINNPESSFAKLKDLHLEGDFEKEENKEKFRQLYKEIQPYLHKIDSTYDQTQFLEGLKTAKDFSGALQRIMNEENALQQSTSLKDTSYLQLIAESLYQIPVKLNKLKAEPDTPEPSKEEIDANVKAFVEGLNGLSFGPGSVKKILSTAAKLQMQLSDIGKEGRELTMGRLKEIQAEFGTILMAAADNAEFHLGLKPGTYSRTVSERFEKFYSSLIVNLPLEKDQTGLELLIDTTSTQKRLAREMERLESVKEDTSAIDTKKSIFGTEHEQFSTLYQPYASLRHIAKDIEDGVHMNLYERTLEELKEEASNEYKKIQPYLAKINPEFTEDYISKTDGEYSLLHAIDRVFEERHKINKPSSPFDKLRDLYLDGDFEKEENKEQFLQLYAELQPHLIKINYQYDLAYFLRELQTPEDFKAATERIINDESKLQELITGLDDTKRLKVKLCEERIGYFIDLLKKQELEVGPEKIQAFKEKIFFNYIHANVNSALDAKIGSHAEQFLQFIEKDFLDKKNEILEKITIDQDMEEEIAKAIDRIAPDIINNKIESFKKLLFDSYIQSDIKNSLHNELGIYTSLFIDKISPEIHLHQSEILGNVAFDSKMGAEIGSKINAITPGILLNNNPLKEAYVDLNNTLKEINTLLDEENKKTRDNPCRNEKIAKLTSLKDRLSDLDSIPKENTVEFLKKMQEETRSSLKSLESNDALINIYDVLNSLKETIENGSDLPEIKKDKLQMISDVQNILSNFDKNPAERLNLAVQILNDSNPEVLSKTKGNFLIGEAFKGQVFTNYINTKISEQLNNELGPYGKVFLKQIMPDFIAKKSEIIKEIAIDNMETGLETQFKIHAPAIFEKNKELKAAYEQLNVHLKEVQSLIEAEEKKPKGNPCREEKIAALRSHQSQLMNTQRIPDHETLRFLQEQNKSAKSFMGKLEKYDTMISVYDSLTEIREHVSNHKSLSKEIKDEKIQEISKMEDMLKTTSKEPSIRLAEVKAHGLSDQCKNVLLKNSDNFLVSFFKTLFSKLFNIKNENETLVSSFKQRLQNIKGPEPVATPMETPENEAPLVNANITRF
VIMSS76050 1 406 0.135623399014778 PF16693.5:Yop-YscD_ppl:178:402 T3SS structure protein EscD 406 225 11 383 1 Escherichia coli O157:H7 str. Sakai NP_312585.1 1 RefSeq MLSSYKIKLLNGAMRNRELQLPMGNLTLGTEDNDIVYFPLEQGLNQFLLDIREEGVFLLSPVEFWIDGQPTPYEADKPLPVGKVIDIAGCCFIIGDIDHSLPLSDVPERFSAKSRRKKRLILASVIGATFALSGAIGSYVLLSPKAEPPTFTRADVYQQLKENKLHAITLVWHGKNIALYGRCESTTDLTPFFNYLKEKNIFYYNNIICNNQIISAINDVLTEYGYKDIIITKGNKPGFFLLSGYIPPSPKWSEVENLLLNTPGVAGWEIHNNSNNKINELASEFKKNKLINYVNIFKKNDVIIVAGEVSQQNESKILAIINAMNKNSNAKILFQNIQPYISADIFPGKILRISGTMKNPTIALDNGTSLGIGSILKGGYVIDAIDPKDGINISRPDEYIHIPLSY
VIMSS7617726 1 895 0.392186703910615 PF17802.1:SpaA:597:680,PF17802.1:SpaA:745:812,PF13519.6:VWA_2:138:259,PF00092.28:VWA:137:263 VWA domain-containing protein 895 279 11 852 2 Lactobacillus rhamnosus WP_014569089.1 1 RefSeq MTAKVARTGHLFAVLLILMSMLTGLVTSGSSVVTATDNIRPTYQTDANGTYPTNSWQVTGQQNVINQRGGDQVSGWDNNTIWNGDATDTTNSYLKFGDPNNPDYQIRKYAKETNTPGLYDVYLNVKGNKQQNVKPVDIVLVVDMSGSMESNRWGTNRAGAVRTGVKNFLTSIQNAGLGNYVNVGLIGFSSPGYIGGKSGYISVKLGKAGNASQQQAINGALSPRFQGGTYTQIGLRQGSAMLNADTSGNKKMMILLTDGVPTFSNEVINSEWINGTLYGTNFGSSRDEPGNTARLRWPYTDSSGHYIYDTWPATLGEAKIAKDSGNEVHALGIQLADDDHYMTKEKIRQNMQLITNSPDLYEDADSADAVEAYLNNQAKDIIKNFNTVTDGTITDPIGTQFQYANNQATVTSVGKQTVPASELPSAAIQDGQLTVNHMNLGQDQEVQIHYQVRIKTEDAGFKPDFWYQMNGETLLTPKAGAAAVDFGIPSGRAPATTVYVQKQWRQLSNQSLPDTLNVTVQRKVADGSLDPNWQQTLVLKKADNWKASFTAPAYNNQGQSFSYVVKSEDASGIDLSSFISSQNMDQQTATLTLTNQQYGFQFQKKTTDGTDLSADQLKAMQFNLTQYSDNSFQQASKTNAITSTDLQALAPGYYGIQEAAAPTGYQLDGTTYLFQLTSDGQWQYHGTKDNVTSGSVINGQQTLNPVGDKSDDFTVTGDHQQILTLTKYDEPKPSMTLRVIKQDNQSQYLAGAAFTLQPSAGEAETITSSATSEGQAFATKLVADGTYTMSETKAPDGYQSNPAKIAIQVATTGKEATVTIDGEALKPGESKNGYTLAIDGSTITLQAINQPLAILPHTGGQGYQRLLGIALGLISAAFLLLLVVLIKRRVVKQHD
VIMSS76475 1 80 0.00321625 PF09583.10:Phageshock_PspG:1:63 phage shock protein G 80 63 11 34 2 Escherichia coli K-12 substr. MG1655 ecocyc::EG11933-MONOMER 0 ecocyc MLELLFVIGFFVMLMVTGVSLLGIIAALVVATAIMFLGGMLALMIKLLPWLLLAIAVVWVIKAIKAPKVPKYQRYDRWRY
VIMSS7878 1 265 0.120903396226415 PF04991.13:LicD:24:243 Lipopolysaccharide cholinephosphotransferase LicD; EC 2.7.8.- 265 220 11 265 0 Haemophilus influenzae (strain ATCC 51907 / DSM 11121 / KW20 / Rd) SwissProt::P14184 1 SwissProt MKKLTLREQQLVCLNILDYFHALCERYKISYSLGGGTLIGAIRHKGFIPWDDDIDVYMHRDEYQRFVDVWFQETHEHYNMETAEDILAQYTGEMAKIFDCRTQITDAKGRKSPMFMDIFIYDGVPNEPKIIYPLMKKHRRIKLRFSSCKKRWLRAKENTVQKAILNKLSHFLFSKMQKNLAQFQIKYPIKQCDYIGLVLSDYGGWQKSYMPKEYFNHVIYKEFEGRQFQVMNGYHEHLTQYYGDYMKLPPEEDQKPHHIQEAYIL
VIMSS82 1 97 0.391163917525773 PF17455.2:LtuB:19:97 hypothetical protein (NCBI ptt file) 97 79 11 97 0 Chlamydia trachomatis D/UW-3/CX VIMSS82 1 MicrobesOnline MKKRSSRKLAQVIGRKTGNYFPASIEGETKKEHKHHYSTASKEKESLRKRAKEFDVLVHSLLDKHVPQNSDQVLIFTYQNGFVETDFHNFGRYSVKL
VIMSS82328 1 73 0.227787671232877 hypothetical protein (NCBI ptt file) 73 0 11 73 0 Mycobacterium leprae TN VIMSS82328 1 MicrobesOnline METIVLPETSVPTPLLCGALRIVFPVHADVIKHAVEQNTQAPAVRFGEQLVEVGVVTEPGIDTCSGRWCRSHL
VIMSS82566 1 129 0.096762015503876 hypothetical protein (NCBI ptt file) 129 0 11 129 0 Mycobacterium leprae TN VIMSS82566 1 MicrobesOnline MWYENSGGLSADELAYRYHEQLREDTRLAASRPRTTLYRPYVCVGQPRLQARRVDFARASWCVVGAEKFFALIRNWLTWYRHATVVTDDFTGLVANYSEKVVAAIVGYVAVFDGSAVTDTRWVVVGVTS
VIMSS82605 1 159 0.784299371069182 hypothetical protein (NCBI ptt file) 159 0 11 159 0 Mycobacterium leprae TN VIMSS82605 1 MicrobesOnline MRPGEFPDKEMAAQIGEPGVTRKYPGCTSQRNRKHMQVRPGKPINNTNTTPAATLVASVGVSVTPASPPRKNHAVSSDFKTRSTNTENRVIPLARRTVKILRPLPSNITEGTNASKSPQPAPTLTSADIAARLASLRQPDGSARHANHPFDQPSSRMCG
VIMSS892 1 1013 0.26336268509378 PF07548.11:ChlamPMP_M:520:694,PF02415.17:Chlam_PMP:305:343,PF02415.17:Chlam_PMP:366:382 Putative Outer Membrane Protein G (NCBI ptt file) 1013 231 11 1013 0 Chlamydia trachomatis D/UW-3/CX VIMSS892 1 MicrobesOnline MQTSFHKFFLSMILAYSCCSLSGGGYAAEIMIPQGIYDGETLTVSFPYTVIGDPSGTTVFSAGELTLKNLDNSIAALPLSCFGNLLGSFTVLGRGHSLTFENIRTSTNGAALSDSANSGLFTIEGFKELSFSNCNSLLAVLPAATTNNGSQTPTTTSTPSNGTIYSKTDLLLLNNEKFSFYSNLVSGDGGAIDAKSLTVQGISKLCVFQENTAQADGGACQVVTSFSAMANEAPIAFIANVAGVRGGGIAAVQDGQQGVSSSTSTEDPVVSFSRNTAVEFDGNVARVGGGIYSYGNVAFLNNGKTLFLNNVASPVYIAAEQPTNGQASNTSDNYGDGGAIFCKNGAQAAGSNNSGSVSFDGEGVVFFSSNVAAGKGGAIYAKKLSVANCGPVQFLGNIANDGGAIYLGESGELSLSADYGDIIFDGNLKRTAKENAADVNGVTVSSQAISMGSGGKITTLRAKAGHQILFNDPIEMANGNNQPAQSSEPLKINDGEGYTGDIVFANGNSTLYQNVTIEQGRIVLREKAKLSVNSLSQTGGSLYMEAGSTLDFVTPQPPQQPPAANQLITLSNLHLSLSSLLANNAVTNPPTNPPAQDSHPAIIGSTTAGSVTISGPIFFEDLDDTAYDRYDWLGSNQKIDVLKLQLGTQPSANAPSDLTLGNEMPKYGYQGSWKLAWDPNTANNGPYTLKATWTKTGYNPGPERVASLVPNSLWGSILDIRSAHSAIQASVDGRSYCRGLWVSGVSNFFYHDRDALGQGYRYISGGYSLGANSYFGSSMFGLAFTEVFGRSKDYVVCRSNHHACIGSVYLSTKQALCGSYLFGDAFIRASYGFGNQHMKTSYTFAEESDVRWDNNCLVGEIGVGLPIVITPSKLYLNELRPFVQAEFSYADHESFTEEGDQARAFRSGHLMNLSVPVGVKFDRCSSTHPNKYSFMGAYICDAYRTISGTQTTLLSHQETWTTDAFHLARHGVIVRGSMYASLTSNIEVYGHGRYEYRDTSRGYGLSAGSKVRF 1
VIMSS898124 1 91 0.291263736263736 MobC-like protein (NCBI) 91 0 11 91 0 Salmonella enterica subsp. enterica serovar Choleraesuis str. SC-B67 VIMSS898124 1 MicrobesOnline MASKKFYSDDDIQLAKAALSELPDLTAQRKTLRDFLDAIRDDIIILVRTKGYTLADVRDTLQNAGYEVGEKALRDIIREAESKKTSRRSSS
VIMSS898132 1 88 0.296403409090909 hypothetical protein 88 0 11 88 0 Escherichia coli O104:H4 str. 2011C-3493 YP_006781782.1 1 RefSeq MKPSIIFATAEYVKRLREECLRENKPLHRHTRFRRQELAQDEINPDVLAMSGHIARRCSEQKRVRIPAMKVSEWGHLLRALEIERVCH
VIMSS90 1 146 0.160443150684931 PF05932.13:CesT:7:127 Secretion Chaperone (NCBI ptt file) 146 121 11 146 0 Chlamydia trachomatis D/UW-3/CX VIMSS90 1 MicrobesOnline MQNQFEQLLTELGTQINSPLTPDSNNACIVRFGYNNVAVQIEEDGNSGFLVAGVMLGKLPENTFRQKIFKAALSINGSPQSNIKGTLGYGEISNQLYLCDRLNMTYLNGEKLARYLVLFSQHANIWMQSISKGALPDLHALGMYHL
VIMSS912049 1 188 0.309871276595745 PF02151.19:UVR:145:179 Protein-arginine kinase activator protein 188 35 11 188 0 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2G0P7 1 SwissProt MLCENCQLNEAELKVKVTSKNKTEEKMVCQTCAEGHHPWNQANEQPEYQEHQDNFEEAFVVKQILQHLATKHGINFQEVAFKEEKRCPSCHMTLKDIAHVGKFGCANCYATFKDDIIDIVRRVQGGQFEHVGKTPHSSHKKIALKRKIEEKNEYLKKLIEIQDFEEAAIVRDEIKALKAESEVQHDDA
VIMSS92969 1 264 0.0265087121212121 PF00528.22:BPD_transp_1:75:253 spermidine preferential ABC transporter membrane subunit PotC (EC 7.6.2.11; EC 7.6.2.16) 264 179 11 129 6 Escherichia coli K-12 substr. MG1655 ecocyc::POTC-MONOMER 0 ecocyc MIGRLLRGGFMTAIYAYLYIPIIILIVNSFNSSRFGINWQGFTTKWYSLLMNNDSLLQAAQHSLTMAVFSATFATLIGSLTAVALYRYRFRGKPFVSGMLFVVMMSPDIVMAISLLVLFMLLGIQLGFWSLLFSHITFCLPFVVVTVYSRLKGFDVRMLEAAKDLGASEFTILRKIILPLAMPAVAAGWVLSFTLSMDDVVVSSFVTGPSYEILPLKIYSMVKVGVSPEVNALATILLVLSLVMVIASQLIARDKTKGNTGDVK
VIMSS96038 1 454 0.0902447136563876 PF00771.20:FHIPEP:27:422 type III secretion system EscV protein (NCBI ptt file) 675 396 11 285 7 Escherichia coli O157:H7 str. Sakai VIMSS96038 1 MicrobesOnline MNKLLNIFKKAESYHDLILALFFFMAVMMMIIPLPTVVVDIIIAINISTALLLLMLSIYIKNPLELTSFPTILLITTLMRLSLSVSTTRLILLHHDAGDIIYSFGNFVVGGNIVVGLVIFTIITIVQFMVITKGAERVAEVSARFSLDGMPGKQMSIDGDMRAGVIDPLEAKVLRSRVQKESQFYGSMDGAMKFVKGDAIAGIIIVLVNLFGGVLIGMWQFDMPFSAALSLFSVLSVGDALVAQIPALIISVTAGVVVTRVPGESEKEENLAGDIVQQVSVNSRPFLISAALMLVMAIIPGFPALVFLFLAVCLLGIAWKLQKKRTFGTGNNKDAMGADLSNSQNISPGAEPLILNLSSNIYSSDITQQIEVMRWNFFEESGIPLPKIIVNPVKNNDSAIEFLLYQESIYKDTLIDDTVYFEAGHAEISFEFVQEKLSTNSIVYKTNKTNQQLA
WP_000890320.1 1 807 0.124787112763321 PF03135.14:CagE_TrbE_VirB:194:392,PF01935.17:DUF87:448:490,PF12696.7:TraG-D_C:650:724 VirB4 family type IV secretion/conjugal transfer ATPase 807 317 11 807 0 Helicobacter pylori 26695 WP_000890320.1 1 RefSeq MLEKIFNSLCSLVPKRYNMKEETNIVGIYNEHYLLSEKSNLVGALKLEGISYLSLDDKEIAQKFNERILALNEIVDGVHFKIVVKRRKIFMHHEYTQEEISNPFALEVINLWEQGVEDVYQNFYYLIFETKNDSIKGYLERFKKKITTNELENIQENNRQDEVKYQENYFIGFDNFNLLDKSKILYSIINNVKNMLSGLFVEKIDANSLLNFYAEYINGVPSEYTFARGRLHDGMINSDVHFKKDFFTHIHNGKEIFKRFISIKTYDIDKIVSTALSSVLHLSLEFDVILNIDNIPKAKAEKRIETKRKRANKSIRVEIDELNDMIKTDRVLMQEISLNILVHAKTKTDLDSACIEITNLLKQKGIVSTQESIGMLPMFFSFFPNRNRLNFRKRLLSSQNIASLIILEKQNCGFSRNSWGDRHLTIFRNQDKSPYLFNFHAYEAKRKNDMVSGHTIIFGGTGAGKTTLIEFLITNCFKYEDLSILALDRNNGMRVMTEFLDGQYNDSNDFYINPFSLKDTSANCTFLVSWLAFMLNIDEDTKDEKEKKTLSALSKTIRVCYNNITKQGGAIFKLRDFKDTLEIDYLDSKDILEKESSKDLYKHSTDCLDFAKKLSVIDMDALSSSKKDFNLAVLYLFYKVMNRAKLENKPFYIFIDEAKSVIENPIMLAKIKDTLAQARKLNGVLTLAFQDINQLDGVEGAKSIIENAAQVILYPTNNFEKLESYGILLSPIEKSFLNKTPLNARQVLVKNLLTQSSVFLEINLEKLNSTSKKFLRAYNSSASSVFELETLKKDNPKDYKEIYLTKE
WP_000948331.1 1 56 0.339355357142857 Antitoxin MazE 56 0 11 56 0 Staphylococcus aureus (strain Newman) SwissProt::P0C7B4 1 SwissProt MLSFSQNRSHSLEQSLKEGYSQMADLNLSLANEAFPIECEACDCNETYLSSNSTNE
WP_001295431.1 1 561 0.146488948306595 PF07694.12:5TM-5TMR_LYT:28:196,PF06580.13:His_kinase:371:448 high-affinity pyruvate receptor (EC 2.7.13.3) 561 247 11 429 6 Escherichia coli K-12 substr. MG1655 ecocyc::EG12007-MONOMER 1 ecocyc MYDFNLVLLLLQQMCVFLVIAWLMSKTPLFIPLMQVTVRLPHKFLCYIVFSIFCIMGTWFGLHIDDSIANTRAIGAVMGGLLGGPVVGGLVGLTGGLHRYSMGGMTALSCMISTIVEGLLGGLVHSILIRRGRTDKVFNPITAGAVTFVAEMVQMLIILAIARPYEDAVRLVSNIAAPMMVTNTVGAALFMRILLDKRAMFEKYTSAFSATALKVAASTEGILRQGFNEVNSMKVAQVLYQELDIGAVAITDREKLLAFTGIGDDHHLPGKPISSTYTLKAIETGEVVYADGNEVPYRCSLHPQCKLGSTLVIPLRGENQRVMGTIKLYEAKNRLFSSINRTLGEGIAQLLSAQILAGQYERQKAMLTQSEIKLLHAQVNPHFLFNALNTIKAVIRRDSEQASQLVQYLSTFFRKNLKRPSEFVTLADEIEHVNAYLQIEKARFQSRLQVNIAIPQELSQQQLPAFTLQPIVENAIKHGTSQLLDTGRVAISARREGQHLMLEIEDNAGLYQPVTNASGLGMNLVDKRLRERFGDDYGISVACEPDSYTRITLRLPWRDEA
WP_003721799.1 1 210 0.077272380952381 PF01312.19:Bac_export_2:5:210 flagellar type III secretion system protein FlhB 348 206 11 123 4 Listeria monocytogenes 6179 WP_003721799.1 0 RefSeq MAKDNKTEKATPRRIKKARNEGNVAKSKELNNAFSLLIVAGLLYFFGEMFIKNTIQAFVALLKQPPKLANMESYSLFYLMEFGKVLMPIMVMVVIFGLMNYGVQVGILFSAKAVKPQFKRLNPANYFKRVFSVKGIVEVVKALLLITLLSYVAYIGFRDHLDTLISYTGQNWLYSLGQIFALFKNEFLALFLVIAVIGLLDFFYQRYDYK
WP_003732088.1 109 312 0.319826470588236 PF03734.14:YkuD:35:190 GW domain-containing glycosaminoglycan-binding protein 312 156 11 204 0 Listeria monocytogenes EGD WP_003732088.1 1 RefSeq TNIGKPTIADAVPALWNSKKAIITTKPKSTTATTYFFQKNSAGNWYEVRHFTSHIGKWGFDPKFSEKSSGTPVGVYRTGIAFGQKGNPGTKLTFRAITNRSYWISNSNDKAYNTWQERNSSSSADEKMKIPQYKYGMEVKYNAKRVKGKGSAVFYHVDSPKYNYTLGCVAQSEANTKEVLKFADKNTLIVLGEESRIKTFYGIN 1
WP_004585349.1 1 108 0.343249074074074 PF09919.9:DUF2149:16:107 DUF2149 domain-containing protein 108 92 11 85 1 Porphyromonas gingivalis WP_004585349.1 1 RefSeq MSRRRFHEEDTDPSSLVSNLFDVAMVFAVALMVALVTKFNMTEVFSKEDYTIVKNPGKDNMEILIKKGDKVEKYTPSDESSDGAGSKGKRVGVAYQLESGEIIYVPED
WP_005874726.1 1 174 0.213911494252874 PF03938.14:OmpH:26:170 OmpH family outer membrane protein 174 145 11 151 1 Porphyromonas gingivalis W83 WP_005874726.1 1 RefSeq MNGDMKRFLILIGFALAVAFSGFSQKFALVDMEYILRNIPDYEMMNEQLEQVSKKWQNEIEALENEAQSMYKKYQSDLVFLSAAQKKTQEEAIVKKEQQASELKRKYFGPEGELYKKRSDLMKPIQDEIWNAIKEIAKRNNYQMVLDRGTSGIIFASPSIDISDLVLSKMGFSK
WP_010882411.1 1 517 0.341699999999999 hypothetical protein 517 0 11 517 0 Treponema pallidum subsp. pallidum str. Nichols WP_010882411.1 1 RefSeq MSGPRGGSYGKRRAAVRVFAGSVLWHHAVLGGMGGAALTASELTPGAPPAASARAAAQETGTDLYQRVVRYRLQRSTAAAQAVRRQTITQSQYDKQRLDSLVRLSIAAGDIAWNADGVKFRITPKASVAFPSFYNLTTHFGMTVTQPNGAAGGGGGGGGGGDWQKTLDAGAGIDLYSSVRRSHVFAVNTKYEALRDAQEALACEPHVSEKQVLEDMRRMLDSYVQLLHAQESFAQKQNAERSVQVAGYTDRSIVYRAAALERERAQDALKVAQDAFDGEYRDFIISAGQEFLEKRADQERFLLALAESVPEMPLVSTEHCEADTSRPLRNAREAADNEREERAVQNFPVALRLDTRFTLDEGTGELSVAFPSVKITSALAIGYTGTLKSIGGSLDWHPFEIRYAHLRGKNQRLHDALGAREYAQKKEQQEKVIADLPQRAEDILWERETARAERDTYAESARAHRKGLDRGVIGARGYAAVHLDYVRAVINLAKANVDALIFNIDARVDFLSSGTQT
WP_011785687.1 1 513 0.146226705653022 PF07993.12:NAD_binding_4:27:329,PF03015.19:Sterile:404:497 hypothetical protein 513 397 11 513 0 Marinobacter hydrocarbonoclasticus VT8 WP_011785687.1 1 RefSeq MAIQQVHHADTSSSKVLGQLRGKRVLITGTTGFLGKVVLERLIRAVPDIGAIYLLIRGNKRHPDARSRFLEEIATSSVFDRLREADSEGFDAFLEERIHCVTGEVTEAGFGIGQEDYRKLATELDAVINSAASVNFREELDKALAINTLCLRNIAGMVDLNPKLAVLQVSTCYVNGMNSGQVTESVIKPAGEAVPRSPDGFYEIEELVRLLQDKIEDVQARYSGKVLERKLVDLGIREANRYGWSDTYTFTKWLGEQLLMKALNGRTLTILRPSIIESALEEPAPGWIEGVKVADAIILAYAREKVTLFPGKRSGIIDVIPVDLVANSIILSLAEALGEPGRRRIYQCCSGGGNPISLGEFIDHLMAESKANYAAYDHLFYRQPSKPFLAVNRALFDLVISGVRLPLSLTDRVLKLLGNSRDLKMLRNLDTTQSLATIFGFYTAPDYIFRNDELMALANRMGEVDKGLFPVDARLIDWELYLRKIHLAGLNRYALKERKVYSLKTARQRKKAA
XP_001347348.1 1 107 0.371656074766355 PF01918.21:Alba:14:82 DNA/RNA-binding protein Alba 3 107 69 11 107 0 Plasmodium falciparum 3D7 XP_001347348.1 1 RefSeq MASTEEVSQERSENSIQVSMTKKPTFYARIGKRMFTGNEEKNPFDEVIITGLGNATKIAIGAASIMEKEDIGQIVKVQTAYFSSDRINRRIPKITIVLKKHPDFVAN
XP_001347631.1 1 295 0.507842711864407 merozoite surface protein 424 0 11 295 0 Plasmodium falciparum 3D7 XP_001347631.1 1 RefSeq MKKIVNIIFYILYLYIYKRNLVQNENVNKSNLRKGLSTNNSENGIKSLKDEDEHINIIGDDFSAFSYGGYPIYETTGSLGTGVESVKAIDGESGTSMDSKPKENKISTEPGADQVSIGLVNESDSSLENDKKKKENVKKEMLGTEKEGSPDSHDSSKEKLNLNDNSKWSDFLKNIVTFGGFGPTVVHDVSDTLSDISKDEVTQKTTKDIGSTLLDFFLPLPTKNTNTYEKKNENKNVSNVDSKTKSNEKGRPPTYSPILDDGIEFSGGLYFNEKKSTEENKQKNVLESVNLTSWD
XP_001347839.2 221 1201 0.591762691131497 PF05057.14:DUF676:573:647 rhoptry neck protein 4 1201 75 11 981 0 Plasmodium falciparum 3D7 XP_001347839.2 1 RefSeq VPHLDQSAMSNEKHINEHTTNHPIESHTGENNHDKINEPIPIEHATTPTNEPIPIEHAATPTNEPIPIEHAATPTNEPIHIEHVATPANEPTHSEHATTTTNEPIHIEHAATPTNEHIHNEHATTTTNEPTHNEHAATITHEPTHNEHTTTPTNEPIHNEHATTPTNEPIPIEHIATPANEPTHNEHATTTTNEPTHNEHTTTPTNEPIHNEHATTPTNEPIPIEHIATPANEPTHNEHATTTTNEPTHNEHATTTTNEPTHNEHATTTTNEPIHNEHATATTNESTHNEHATTTTNEPTHNEHSTTPTNESTHSENATTSTNEQHSHDQNTEVHPNDKLALVPFQGIKNPIPSNESQPIISFPNEDDNHAQNEGSINAPSEGEHNNTDNKEGPIITPLEGEQAGTAHKEDVTHKHMVGEHVPPQKTHHGPIITPVGGNHVPPQTHHAHIITPVGGEHAHGQGNNDTTYVTMNTDESSSSDTKGEHSNLRSYNKNMNNNHAQRDQYDSDTLNSEGSDDAYSSMQQNFEKNGIDSFKGKGLHVSLRERIIIEIMESAKNGIDGLLKLKDSKDSGKLFMEALEKLNINMKDLKKDKNLISLEVYDKILSTMFKILTEMSFYEDSKFYETLGIKKDILNQSLKDIKIKMLRKLGVSYSRLPPIIKHTEGKCAIKDIIISISSKELAQRMAIMFTKWLAPDEYGAVVDYENNVELNVLCSGAPILIQQWKYYQNMLGFEEDKDHAYLGLIDELLVMNKRYSQNKDYVETLEKIKKSKVFKHCTKIMRIGGKVSSVPFNYENVKKPSSSIIGSLGNLIKANISTYYKATAQRINSYFHYTEKKSKKSSPLKIISVCTLLHLTDMLYKCSDENSNGVMDLYNLQLNTLNMKGKMVLQYLVHLKFLTQEKKNQLKEICEPQNGLIDETLTKMLILLSTDSHELLSHELENKGFDEDYIQDEIKNINESDNNIRDKEEDDAEKMIFDDL
XP_001348641.1 1 248 0.167370564516129 gamete egress and sporozoite traversal protein,putative 248 0 11 248 0 Plasmodium falciparum 3D7 XP_001348641.1 1 RefSeq MNKFTYCITLLIALVLPIRSIQLHSVNYAPLNYIEIGDTLSNKVGELWHSHLNSFIDIVSTKVVNKLEDDLSNGNNLEKFMILLEDDADIFDASAYEGKNLALIQTEFIRKLKDKFKNSKFGQKLKKLGSKAKEKLLSLYQKHKGKLRHFFSILLKSLVIPIAVQFIRKNLNKWKQRTLEATQKLDEQSKNIAQPIINRLYNSFEDKIEEYSQENKINVEDELNALGQLDKDKQDIQKLEEQEKALLQ
XP_001348918.2 1 280 0.170676071428572 PF09687.10:PRESAN:181:275 Plasmodium exported protein (PHIST), unknown function 280 95 11 257 1 Plasmodium falciparum 3D7 XP_001348918.2 1 RefSeq MSRIDTRNNSYRRQNSKAVNEYLYEIIRARNKQAQRKKYLKIFLCISLCILFFLIGYAFIMYNGSVDGRKSLYPKKCSRKSRSLSELVLANYPSSNYAFSNYASANYSSSNYPSSKMDDLKRHENNCSSSNKAVDEEGICKDTFGNALDVPKYENDSFHRDYHKSMFDIKNIKLEEISLPLSKLELSHIISRLNKDVPKEYLMNLWLQAFAVSNDLVDIVNLLNEYIQYYKNQVDKCIELTSNGNYSCWKNCNVELAQALLFEHIEYKTKFYKVLITRHM
XP_001351100.1 1 1417 0.14568616796048 PF03805.13:CLAG:54:1347 cytoadherence linked asexual protein 3.1 1417 1294 11 1417 0 Plasmodium falciparum 3D7 XP_001351100.1 1 RefSeq MVSFFKTPIFILIIFLYLNEKVICSINENQNENDTISQNVNQHENINQNVNDNDNIEQLKSMIGNDELHKNLTILEKLILESLEKDKLKYPLLKQGTEQLIDISKFNKKNITDADDETYIIPTVQSTFHDIVKYEHLIKEQSIEIYNSDISDKIKKKIFIVRTLKTIKLMLIPLNSYKQNNDLKSALEELNNVFTNKEAQEESSPIGDHGTFFRKLLTHVRTIKENEDIENKGETLILGDNKIDVMNSNDFFFTTNSNVKFMENLDDITNQYGLGLINHLGPHLIALGHFTVLKLALKNYKNYFEAKSIKFFSWQKILEFSMSDRFKVLDMMCDHESVYYSEKKRRKTYLKVDRSNTSMECNILEYLLHYFNKYQLEIIKTTQDTDFDLHGMMEHKYIKDYFFSFMCNDPKECIIYHTNQFKKEANEENTFPEQEEPNRQISAFNLYLNYYYFMKRYSSYGVKKTLYVHLLNLTGLLNYDTRAYVTSLYLPGYYNAVEMSFTEEKEFSKLFESLIQCIEKCHSDQARQISKDSNLLNNITKCDLCKGAFLYANMKFDEVPSMLQKFYVYLTKGLKIQKVSSLIKTLDIYQDYSNYLSHDINWYTFLFLFRLTSFKEIAKKNVAEAMYLNIKDEDTFNKTVVTNYWYPSPIKKYYTLYVRKHIPNNLVDELEKLMKSGTLEKMKKSLTFLVHVNSFLQLDFFHQLNEPPLGLPRSYPLSLVLEHKFKEWMNSSPAGFYFSNYQNPYIRKDLHDKVLSQKFEPPKMNQWNKVLKSLIECAYDMYFEQRHVKNLYKYHNIYNINNKLMLMRDSIDLYKNNFDDVLFFADIFNMRKYMTATPVYKKVKDRVYHTLHSITGNSVNFYKYGIIYGFKVNKEILKEVVDELYSIYNFNTDIFTDTSFLQTVYLLFRRIEETYRTQRRDDKISVNNVFFMNVANNYSKLNKEEREIEIHNSMASRYYAKTMFAAFQMLFSTMLSNNVDNLDKAYGLSENIQVATSTSAFLTFAYVYNGSIMDSVTNSLLPPYAKKPITQLKYGKTFVFSNYFMLASKMYDMLNYKNLSLLCEYQAVASANFYSAKKVGQFLGRKFLPITTYFLVMRISWTHAFTTGQHLISAFGSPSSTANGKSNASGYKSPESFFFTHGLAAEASKYLFFYFFTNLYLDAYKSFPGGFGPAIKEQTQHVQEQTYERKPSVHSFNRNFFMELVNGFMYAFCFFAISQMYAYFENINFYITSNFRFLDRYYGVFNKYFINYAIIKLKEITSDLLIKYEREAYLSMKKYGYLGEVIAARLSPKDKIMNYVHETNEDIMSNLRRYDMENAFKNKMSTYVDDFAFFDDCGKNEQFLNERCDYCPVIEEVEETQLFTTTGDKNTNKTTEIKKQTSTYIDTEKMNEADSADSDDEKDSDTPDDELMISRFH 1
XP_001351375.1 1 157 0.460950318471337 PF09716.10:ETRAMP:1:131 sexual stage-specific protein precursor 157 131 11 116 2 Plasmodium falciparum 3D7 XP_001351375.1 1 RefSeq MNIRKFIPSLALMLIFFAFANLVLSDANDKAKKPAGKGSPSTLQTPGSSSGASLHAVGPNQGGLSQGLSGKDSADKMPLETQLAIEEIKSLSNMLDKKTTVNRNLIISTAVTNMIMLIILSGIVGFKVKKTKNADDDKGDKDKDKDNTDEGDEGDDS
XP_001390064.1 209 513 0.0883950819672131 PF00083.24:Sugar_tr:18:262 sugar transporter 513 245 11 175 6 Aspergillus niger CBS 513.88 XP_001390064.1 1 RefSeq TLALLHAKGDRNDPVVQVEYEEVQEAARIAQEAKDISFFSLFGPKIWKRTLCGVSAQVWQQLLGGNVAMYYVVYIFNMAGMSGNTTLYSSAIQYVIFLVTTGTILPFVDRIGRRLLLLTGSVLCMACHFAIAGLMASRGHHVDSVDGNANLKWSITGPPGKGVIACSYIFVAVYGFTWAPVAWIYASEVFPLKYRAKGVGLSAAGNWIFNFALAYFVAPAFTNIQWKTYIIFGVFCTVMTFHVFFFYPETARRSLEDIDLMFETDMKPWKTHQIHDRFGEEVERHKHKDMADQEKGVVSTHDEMA
XP_001692249.1 1 309 0.226129773462783 flagellar associated protein 309 0 11 309 0 Chlamydomonas reinhardtii XP_001692249.1 1 RefSeq MDDNLKRIVSEATRVCRERGQKVDPYVVAYVTSLEDARGALQSLVPDVSLKAGAVSSEAVAAIGGYVAGLVGDARDPRIRTAMMQAVAVEAGLELAVADPTAHAELRAALESVFPVSAVSRFVTLAEGERLTQLAELARICTGICLYNRSCGAGGSALPAAAASYLPQAQRLLRDISRCCVEPVAEPADVAARRRQLHSEIVNRGQALQLFESLSADLGEGLEAAQQLDAELEHVLVQVLGALHAGLHQELRLLVAPPPRPQARDKVYGFSSNADMRAFLSDPAAVLAGVAAAVAREPLLARPLGLPPP
XP_001705755.1 1 580 0.20002 PF02274.17:Amidinotransf:28:431 arginine deiminase (EC 3.5.3.6) 580 404 11 580 0 Giardia intestinalis BRENDA::Q27657 1 BRENDA MTDFSKDKEKLAQATQGGENERAEIVVVHLPQGTSFLTSLNPEGNLLEEPICPDELRRDHEGFQAVLKEKGCRVYMPYDVLSEASPAEREVLMDQAMASLKYELHATGARITPKMKYCVSDEYKRKVLSALSTRNLVDVILSEPVIHLAPGVRNTALVTNSVEIHDSNNMVFMRDQQITTRRGIVMGQFQAPQRRREQVLALIFWKRLGARVVGDCREGGPHCMLEGGDFVPVSPGLAMMGVGLRSTYVGAQYLMSKDLLGTRRFAVVKDCFDQHQDRMHLDCTFSVLHDKLVVLDDYICSGMGLRYVDEWIDVGADAVKKAKSSAVTCGNYVLAKANVEFQQWLSENGYTIVRIPHEYQLAYGCNNLNLGNNCVLSVHQPTVDFIKADPAYISYCKSNNLPNGLDLVYVPFRGITRMYGSLHCASQVVYRTPLAPAAVKACEQEGDGIAAIYEKNGEPVDAAGKKFDCVIYIPSSVDDLIDGLKINLRDDAAPSREIIADAYGLYQKLVSEGRVPYITWRMPSMPVVSLKGAAKAGSLKAVLDKIPQLTPFTPKAVEGAPAAYTRYLGLEQADICVDIK
XP_002367613.1 1 236 0.55966186440678 dense granule protein GRA7 236 0 11 190 2 Toxoplasma gondii ME49 XP_002367613.1 1 RefSeq MARHAIFFALCVLGLVAAALPQFATAATASDDELMSRIRNSDFFDGQAPVDSLRPTNAGVDSKGTDDHLTTSMDKASVESQLPRREPLETEPDEQEEVHFRKRGVRSDAEVTDDNIYEEHTDRKVVPRKSEGKRSFKDLLKKLALPAVGMGASYFAADRILPELTEQQQTGEEPLTTGQNVSTVLGFAALAAAAAFLGMGLTRTYRHFSPRKNRSRQPALEQEVPESGKDGEDARQ
XP_005157364.1 103 269 0.363838922155689 PF03826.17:OAR:125:142 pituitary homeobox 2 isoform X1 269 18 11 167 0 Danio rerio XP_005157364.1 1 RefSeq LCKNGFGPQFNGLMQPYDDMYPSYTYNNWAAKGLTSASLSTKSFPFFNSMNVNPLSSQTMFSPPNSISSMSMSSSMVPSAVTGVPGSSLNSLNNLNNLSNPSLNSGVPTPACPYAPPTPPYVYRDTCNSSLASLRLKAKQHSSFGYASVQNPASNLSACQYAVDRPV
XP_005223993.1 1 375 0.8293624 PF01669.17:Myelin_MBP:315:375 myelin basic protein isoform X3 471 61 11 375 0 Bos taurus XP_005223993.1 1 RefSeq MLSQVPPRARAGWFGGSAASGGSRAAVQPGPESAPHRAAPRGARVRPEPARRSRGEVVGARAAGGRPGSRRQCLPGSQEAREAGEERRARPALGAAARLRRRASKDESAAPETAAPTPKAAPEPRDPARLGPGRWEAARTPRCPQPGETIAARWVTSPRAASEDSRLRMGNHAGKRDFGAEKGNKDSETNRGEHEKKRNTRDLSREISEDSDVFGAADVVPNNGAPSQDTAVTDSKRTADPKNAWPEASPADPGGRPHLVRLFSRDAPGREDNTFKDRPSESDELQTIQEDSAAAPGAVDAMAAQKRPSQRSKYLASASTMDHARHGFLPRHRDTGILDSLGRFFGSDRGAPKRGSGKDGHHAARTTHYGSLPQK
XP_005246098.1 1 506 0.384984980237154 PF12736.7:CABIT:18:79,PF12736.7:CABIT:132:378 protein THEMIS2 isoform X2 506 309 11 506 0 Homo sapiens XP_005246098.1 1 RefSeq MEPVPLQDFVRALDPASLPRVLRVCSGVYFEGSIYEISGNECCLSTGDLIKVTQVRLQKVVCENPKTSQTMELAPNFQVRRTIVKIPSTLEVDVEDVTASSRHVHFIKPLLLSEVLAWEGPFPLSMEILEVPEGRPIFLSPWVGSLQKGQRLCVYGLASPPWRVLASSKGRKVPRHFLVSGGYQGKLRRRPREFPTAYDLLGAFQPGRPLRVVATKDCEGEREENPEFTSLAVGDRLEVLGPGQAHGAQGSDVDVLVCQRLSDQAGEDEEEECKEEAESPERVLLPFHFPGSFVEEMSDSRRYSLADLTAQFSLPCEVKVVAKDTSHPTDPLTSFLGLRLEEKITEPFLVVSLDSEPGMCFEIPPRWLDLTVVKAKGQPDLPEGSLPIATVEELTDTFYYRLRKLPACEIQAPPPRPPKNQGLSKQRRHSSEGGVKSSQVLGLQQHARLPKPKAKTLPEFIKDGSSTYSKIPAHRKGHRPAKPQRQDLDDDEHDYEEILEQFQKTI
XP_005265755.1 1 296 0.398463175675676 PF15424.6:ODAM:33:296 odontogenic ameloblast-associated protein isoform X1 296 264 11 278 1 Homo sapiens XP_005265755.1 1 RefSeq MVQIFLFRSRSKIYHTKMKIIILLGFLGATLSAPLIPQRLMSASNSNELLLNLNNGQLLPLQLQGPLNSWIPPFSGILQQQQQAQIPGLSQFSLSALDQFAGLLPNQIPLTGEASFAQGAQAGQVDPLQLQTPPQTQPGPSHVMPYVFSFKMPQEQGQMFQYYPVYMVLPWEQPQQTVPRSPQQTRQQQYEEQIPFYAQFGYIPQLAEPAISGGQQQLAFDPQLGTAPEIAVMSTGEEIPYLQKEAINFRHDSAGVFMPSTSPKPSTTNVFTSAVDQTITPELPEEKDKTDSLREP
XP_005265823.1 1 273 0.0139622710622711 PF02544.16:Steroid_dh:193:273 polyprenol reductase isoform X2 273 81 11 158 5 Homo sapiens XP_005265823.1 0 RefSeq MAPWAEAEHSALNPLRAVWLTLTAAFLLTLLLQLLPPGLLPGCAIFQDLIRYGKTKCGEPSRPAACRAFDVPKRYFSHFYIISVLWNGFLLWCLTQSLFLGAPFPSWLHGLLRILGAAQFQGGELALSAFLVLVFLWLHSLRRLFECLYVSVFSNVMIHVVQYCFGLVYYVLVGLTVLSQVPMDGRNGVVIHCNHRIPFGDWFEYVSSPNYLAELMIYVSMAVTFGFHNLTWWLVVTNVFFNQALSAFLSHQFYKSKFVSYPKHRKAFLPFLF
XP_005267270.1 1 389 0.476464267352185 PF00620.27:RhoGAP:343:383 rho GTPase-activating protein 18 isoform X3 585 41 11 389 0 Homo sapiens XP_005267270.1 1 RefSeq MSWLSSSQGVVLTAYHPSGKDQTVGNSHAKAGEEATSSRRYGQYTMNQESTTIKVMEKPPFDRSISQDSLDELSMEDYWIELENIKKSSENSQEDQEVVVVKEPDEGELEEEWLKEAGLSNLFGESAGDPQESIVFLSTLTRTQAAAVQKRVETVSQTLRKKNKQYQIPDVRDIFAQQRESKETAPGGTESQSLRTNENKYQGRDDEASNLVGEEKLIPPEETPAPETDINLEVSFAEQALNQKESSKEKIQKSKGDDATLPSFRLPKDKTGTTRIGDLAPQDMKKVCHLALIELTALYDVLGIELKQQKAVKIKTKDSGLFCVPLTALLEQDQRKVPGMRIPLIFQKLISRIEERGLETEGLLRIPGAAIRIKNLCQELEAKFYEGTF
XP_006231505.1 189 463 0.589790909090909 PF12403.8:Pax2_C:151:239 paired box protein Pax-2 isoform X2 463 89 11 275 0 Rattus norvegicus XP_006231505.1 1 RefSeq VTAPGHTIVPSTASPPVSSASNDPVGSYSINGILGIPRSNGEKRKREEVEVYTDPAHIRGGGGLHLVWTLRDVSEGSVPNGDSQSGVDSLRKHLRADTFTQQQLEALDRVFERPSYPDVFQASEHIKSEQGNEYSLPALTPGLDEVKSSLSASTNPELGSNVSGTQTYPVVTGRDMASTTLPGYPPHVPPTGQGSYPTSTLAGMVPGSEFSGNPYSHPQYTAYNEAWRFSNPALLMPPPGAPPLPLLPLPMTATSYRGDHIKLQADSFGLHIVPV
XP_006236199.1 303 1648 0.552647622585438 PF00041.21:fn3:11:99 receptor-type tyrosine-protein phosphatase zeta isoform X1 2309 89 11 1346 0 Rattus norvegicus XP_006236199.1 1 RefSeq KEEIHEAVCSSEPENVQADPENYTSLLITWERPRVVYDTMIEKFAVLYQPLEGNDQTKHEFLTDGYQDLGAILNNLIPNMSYVLQIVAICSNGLYGKYSDQLIVDMPTEDAELDLFPELIGTEEIIKEEDYGKGNEEDTGLNPGRDSATNQIRKKEPQVSTTTHYNHMGTKYNEAKTNRSPTKGSEFSGKSDVLNTSLNPTSQQVAEFNPEREMSLPSQIGTNLPPHSVEGTSASLNSGSKTLLVFPQMNLSGTAESLNMVSITEYKEVSADLSEEENLLTDFKLDSGADDSSGSSPASSTVPFSTDNLSHGYTSSSDTPEAVTYDVLRPESTRNALEDSAPSGSEESLKDPSLEGSVWFPGSTDLTTQSETGSGREGFLQVNSTDFQVDESRETTETFSPDATASRGPSVTDMEMPHYSTFAYPPTEVTPHAFTPSSRPLDLAPTSNILHSQTTQPVYNGETPLQPSYSSEVFPLVTPLLLDNQTLNTTPAASSSDSALHATPVFPSVGVSFDSILSSYDDAPLLPFSSASFSSDLFHHLHTVSQTLPQVTSAAERDELSLHASLLVAGGDLLLEPSLVQYSDVMSHQVTIHAASDTLEFGSESAVLYKTSMVSQIESPSSDVVMHAYSSGPETSYAIEGSHHVLTVSSSSAIPVHDSIGVADQGSLLINPSHISLPESSFITPTASLLQLPPALSGDGEWSGASSDSELLLPDTDGLRTLNMSSPVSVADFTYTTSVSGDDIKPLSKGEMMYGNETELKMSSFSDMAYPSKSTVVPKMSDIVNKWSESLKETSVSVSSINSVFTESLVYPITKVFDQEISRVPEIIFPVKPTHTASQASGDTWLKPGLSTNSEPALSDTASSEVLHPSTQPLLYEAASPFNTEALLQPSFPASDVDTLLKTALPSGPRDPVLTETPMVEQSSSSMSLPLASESASSKSTLHFTSVPVLNMSPSDVHPTSLQRLTVPHSREEYFEQGLLKSKSPQQVLPSLHSHDEFFQTAHLDISQAYPPKGRHAFATPILSIDEPQNTLISRLVYSEDIFMHPEISITDKALTGLPTTVSDVLIATDHSVPLGSGPISMTTVSPNRDDSVTTTKLLLPSKATSKPTHSARSDADLVGGGEDGDDYDDDDYDDIDSDRFPVNKCMSCSPYRESQEKVMNDSDTQESSLVDQSDPISHLLSENTEEENGGTGVTRVDKSPDKSPPPSMLPQKHNDGREDRDIQMGSAVLPHTPGSKAWAVLTSDEESGSGQGTSDSLNDNETSTDFSFPDVNEKDADGVLEADDTGIAPGSPRSSTPSVTSGHSGVSNSSEAEASNSSHESRIGLAEGLESEKKAVIPLVIVSAL
XP_006247014.2 202 456 0.30315137254902 PF15975.5:Flot:140:215 flotillin-2 isoform X3 456 76 11 255 0 Rattus norvegicus XP_006247014.2 1 RefSeq VVQRDADIGVAEAERDAGIREAECKKEMLDVKFMADTKIADSKRAFELQKSAFSEEVNIKTAEAQLAYELQGAREQQKIRQEEIEIEVVQRKKQIAVEAQEILRTDKELIATVRRPAEAEAHRIQQIAEGEKVKQVLLAQAEAEKIRKIGEAEAAVIEAMGKAEAERMKLKAEAYQKYGDAAKMALVLEALPQIAAKISAPLTKVDEIVVLSGDNSKVTSEVNRLLAELPASVHALTGVDLSKIPLIKNATGAQV
XP_006496415.1 1 202 0.289802475247525 Krueppel-like factor 7 isoform X1 302 0 11 202 0 Mus musculus XP_006496415.1 1 RefSeq MDVLASYSIFQELQLVHDTGYFSALPSLEETWQQTCLELERYLQTEPRRISETFGEDLDCFLHASPPPCIEESFRRLDPLLLPVEATICEKSSAVDILLSRDKLLSETCLSLQPTSSSLDSYTAVNQAQLNAVTSLTPPSSPELSRHLVKTSQTLSAVDGTVTLKLVAKKASLSSVKVGGVAAAAAVTPAGAVKSGQSDSEQ
XP_006497744.1 1 541 0.0613756007393715 PF02690.15:Na_Pi_cotrans:24:164,PF02690.15:Na_Pi_cotrans:318:401 sodium-dependent phosphate transport protein 2C isoform X5 541 225 11 316 10 Mus musculus XP_006497744.1 1 RefSeq MASRLRRVVSSFLKACGLLGSLYFFICSLDILSSAFQLLGSKMAGDIFKDNVVLSNPVAGLVIGVLVTVLVQSSSTSSSIVVSMVASKLLTVQVSVPIIMGVNVGTSITSTLVSMAQSGDRDEFQRAFSGSAVHGIFNWLTVLVLLPLESATAALERLSELALGAASLQPGQQAPDILKALTRPFTHLIIQLDSSVITSGITSNTTNSSLIKHWCGFRGETPQGSSEGCGLFSSCTERNSSASPEEDRLLCHHLFAGSKLTDLAVGFILLAGSLLVLCVCLVLIVKLLNSVLKGRIAQAVKTVINADFPFPFGWLSGYLAILVGAGLTFLLQSSSVFTAAIVPLMGVGVIDLERAYPLFLGSNIGTTTTALLAALASPADMLIFAVQVALIHFFFNLAGILLWYLVPVLRLPIPLAKRFGNLTAQYRWVAIVYLLLTFLLLPLAAFGLSLAGGTVLAAVGGPLVGLVLLIILVNVLQQHRPSWLPRCLQSWAWLPLWLHSLEPWDRLVTACCPCRACSNSPMTSKVAHCYENPQVIASQQL
XP_006501458.1 1 539 0.229126901669759 PF06638.11:Strabismus:26:539 vang-like protein 1 isoform X1 539 514 11 447 4 Mus musculus XP_006501458.1 1 RefSeq MDTESTYSGYSYYSSHSKKSHRQGERTRERHKSPRNKDGRGSEKSVTIQAPAGEPLLANDSARTGAEEVQDDNWGETTTAITGTSEHSISQEDIARISKDMEDSVGLDCKRYLGLTVASFLGLLVFLTPIAFILLPQILWREELKPCGAICEGLLISVSFKLLILLIGTWALFFRKQRADVPRVFVFRALLLVLIFLFVVSYWLFYGVRILDSRDQNYKDIVQYAVSLVDALLFIHYLAIVLLELRQLQPMFTLQVVRSTDGESRFYSLGHLSIQRAALVVLENYYKDFTIYNPNLLTASKFRAAKHMAGLKVYNVDAALMAKLKLCVADGPSNNATGQSRAMIAAAARRRDSSHNELYYEEAEHERRVKKRRARLVVAVEEAFIHIQRLQAEEQQKSPGEVMDPREAAQAIFPSMARALQKYLRTTRQQHYHSMESILQHLAFCITNSMTPKAFLERYLSAGPTLQYDKDRWLSTQWRLISEEAVTNGLRDGIVFVLKCLDFSLVVNVKKIPFIVLSEEFIDPKSHKFVLRLQSETSV
XP_006501590.1 1 2136 0.287742181647939 PF15787.5:DUF4704:462:733,PF06469.11:DUF1088:1953:2119,PF13385.6:Laminin_G_3:232:392 neurobeachin isoform X12 2928 600 11 2136 0 Mus musculus XP_006501590.1 1 RefSeq MASDKPGPGLEPQPVALLAVGAGGGAGGGGAMGEPRGAAGSGPVVLPAGMINPSVPIRNIRMKFAVLIGLIQVGEVSNRDIVETVLNLLVGGEFDLEMNFIIQDAESITCMTELLEHCDVTCQAEIWSMFTAILRKSVRNLQTSTEVGLIEQVLLKMSAVDDMIADLLVDMLGVLASYSITVKELKLLFSMLRGESGIWPRHAVKLLSVLNQMPQRHGPDTFFNFPGCSAAAIALPPIAKWPYQNGFTLNTWFRMDPLNNINVDKDKPYLYCFRTSKGVGYSAHFVGNCLIVTSLKSKGKGFQHCVKYDFQPRKWYMISIVHIYNRWRNSEIRCYVNGQLVSYGDMAWHVNTNDSYDKCFLGSSETADANRVFCGQLGAVYVFSEALNPAQIFAVHQLGPGYKSTFKFKSESDIHLAEHHKQVLYDGKLASSIAFSYNAKATDAQLCLESSPKENASIFVHSPHALMLQDVKAIVTHSIHSAIHSIGGIQVLFPLFAQLDNRQLNDSQVETTVCATLLAFLVELLKSSVAMQEQMLGGKGFLVIGYLLEKSSRVHITRAVLEQFLSFAKYLDGLSHGAPLLKQLCDHILFNPAIWIHTPAKVQLSLYTYLSAEFIGTATIYTTIRRVGTVLQLMHTLKYYYWVINPADSSGIAPKGLDGPRPSQKEIISLRAFMLLFLKQLILKDRGVKEDELQSILNYLLTMHEDENIHDVLQLLVALMSEHPASMIPAFDQRNGIRVIYKLLASKSESIWVQALKVLGYFLKHLGHKRKVEIMHTHSLFTLLGERLMLHTNTVTVTTYNTLYEILTEQVCTQVVHKPHPEPDSTVKIQNPMILKVVATLLKNSTPSAELMEVRRLFLSDMIKLFSNSRENRRCLLQCSVWQDWMFSLGYINPKSSEEQKITEMVYNIFRILLYHAIKYEWGGWRVWVDTLSIAHSKVTYEAHKEYLAKMYEEYQRQEEENIKKGKKGNVSTISGLSSQTAGAKGGMEIREIEDLSQSQSPESETDYPVSTDTRDLLMSTKVSDDILGSSDRPGSGVHVEVHDLLVDIKAEKVEATEVKLDDMDLSPETLVGGENGALVEVESLLDNVYSAAVEKLQNNVHGSVGIIKKNEEKDNGPLITLADEKEELPNSSTPFLFDKIPRQEEKLLPELSSNHIIPNIQDTQVHLGVSDDLGLLAHMTASVELTCTSSIMEEKDFRIHTTSDGVSSVSERELASSTKGLDYAEMTATTLETESSNSKAVPNVDAGSIISDTERSDDGKESGKEIRKIQTTATTQAVQGRSSTQQDRDLRVDLGFRGMPMTEEQRRQFSPGPRTTMFRIPEFKWSPMHQRLLTDLLFALETDVHVWRSHSTKSVMDFVNSNENIIFVHNTIHLISQMVDNIIIACGGILPLLSAATSPTTELENIEVTQGMSAETAVTFLSRLMAMVDVLVFASSLNFSEIEAEKNMSSGGLMRQCLRLVCCVAVRNCLECRQRQRDRGSKSSHGSSKPQEAPHSVTAASASKTPLENVPGNLSPIKDPDRLLQDVDINRLRAVVFRDVDDSKQAQFLALAVVYFISVLMVSKYRDILEPQRETARTGSQPGRNIRQEINSPTSTVVVIPSIPHPSLNHGLLAKLMPEQSFAHSFYKETPATFPDTVKEKETPTPGEDIQLESSVPHTDSGMGEEQVASILDGAELEPAAGPDAMSELLSTLSSEVKKSQESLTEHPSEMLKPAPSISSISQTKGINVKEILKSLVAAPVEIAECGPEPIPYPDPALKREAHAILPMQFHSFDRSVVVPVKKPPPGSLAVTTVGATAAGSGLPTGSTSSIFAAPGATPKSMINTTGAVDSGSSSSSSSSSFVNGATSKNLPAVQTVAPMPEDSAENMSITAKLERALEKVAPLLREIFVDFAPFLSRTLLGSHGQELLIEGLVCMKSSTSVVELVMLLCSQEWQNSIQKNAGLAFIELINEGRLLCHAMKDHIVRVANEAEFILNRQRAEDVHKHAEFESQCAQYAADRREEEKMCDHLISAAKHRDHVTANQLKQKILNILTNKHGAWGAVSHSQLHDFWRLDYWEDDLRRRRRFVRNAFGSTHAEALLKSAVEYGTEEDVVKSKKAFRSQAIVNQNSETELMLEGDDDAVSLLQEKEIDNLA
XP_006501919.1 1 384 0.14393125 PF00001.21:7tm_1:49:336,PF10328.9:7TM_GPCR_Srx:43:174 Probable G-protein coupled receptor 88; Striatum-specific G-protein coupled receptor 384 294 11 246 6 Mus musculus (Mouse) SwissProt::Q9EPB7 1 SwissProt MTNSSSTSTSTTTGGSLLLLCEEEESWAGRRIPVSLLYSGLAIGGTLANGMVIYLVSSFRKLQTTSNAFIVNGCAADLSVCALWMPQEAVLGLLPSGSAEPPGDWDGGGGSYRLLRGGLLGLGLTVSLLSHCLVALNRYLLITRAPATYQVLYQRRHTVGMLALSWALALGLVLLLPPWAPKPGAEPPQVHYPALLAAGALLAQTALLLHCYLGIVRRVRVSVKRVSVLNFHLLHQLPGCAAAAAAFPAAPHAPGPGGAAHPAQPQPLPAALQPRRAQRRLSGLSVLLLCCVFLLATQPLVWVSLASGFSLPVPWGVQAASWLLCCALSALNPLLYTWRNEEFRRSVRSVLPGVGDAAAAAAAATAVPAMSQAQLGTRAAGQHW
XP_006503540.1 1 533 0.0787373358348967 PF13347.6:MFS_2:46:499 sodium-dependent lysophosphatidylcholine symporter 1 isoform X1 533 454 11 359 8 Mus musculus XP_006503540.1 1 RefSeq MAKGEGAESGSAAGLLPTSILQASERPVQVKEPKKKQQLSICNKLCYAVGGAPYQLTGCALGFFLQIYLLDVAKVEPLPASIILFVGRAWDAFTDPLVGFCISKSSWTRLGRLMPWIIFSTPLAIIAYFLIWFVPDFPSGTESSHGFLWYLLFYCLFETLVTCFHVPYSALTMFISTEQSERDSATAYRMTVEVLGTVIGTAIQGQIVGQAKAPCLQDQNGSVVVSEVANRTQSTASLKDTQNAYLLAAGIIASIYVLCAFILILGVREQRELYESQQAESMPFFQGLRLVMGHGPYVKLIAGFLFTSLAFMLVEGNFALFCTYTLDFRNEFQNLLLAIMLSATFTIPIWQWFLTRFGKKTAVYIGISSAVPFLILVALMERNLIVTYVVAVAAGVSVAAAFLLPWSMLPDVIDDFHLKHPHSPGTEPIFFSFYVFFTKFASGVSLGVSTLSLDFANYQRQGCSQPEQVKFTLKMLVTMAPIILILLGLLLFKLYPIDEEKRRQNKKALQALREEASSSGCSDTDSTELASIL
XP_006504467.1 1 434 0.151049539170507 PF00429.19:TLV_coat:355:433 Syncytin-A 617 79 11 434 0 Mus musculus (Mouse) SwissProt::Q5G5D5 1 SwissProt MVRPWVFCLLLFPCSSAYSDSWMPLVNLTQHLLQEANSSFSSNCWVCLSIQTQRSLAMPAPLRTWTETPMKLRIMYSARTLSGPYPITDLERRLQNFQPLTPHSSFVNPDQRAIAFLQITSVTGILPILSRITSVRYPDDHVYESAQRPIWGSLSTQTILTSQAPLCISRFFKNSNHATFVGKLPASLCNHTFQLSPSANHQSIDLSSSYAFAPLMAMPGSKWRNPLRFSGPPSLNSGMPHYSCPIDDIHCHTYPTTPWRSCPSFPASTCYNLTLFEPDNSSHPITLSVDTTYFKIKLQGHKDPYPLFQYQPLMGAALSGQYSIWEYEPTVKKNGGITPNIFSHLVSLTYSFCLNSSGVFFLCGNSTYVCLPANWSGVCTLVFQYPDIELLPNNQTISVPLFATVPSSVPASRRKRALPLLPLLAGLGIASALG
XP_006506433.1 148 697 0.47129 PF07888.11:CALCOCO1:12:263 tax1-binding protein 1 homolog isoform X1 765 252 11 550 0 Mus musculus XP_006506433.1 1 RefSeq GLLELKIEKTLKEKEELLKLIAVLEKETAQLREQVGRMERELSQEKGRCEQLQAEQKGLLEVSQSLRVENEEFMKRYSDATAKVQQLEEDIVSVTHKAIEKETDLDSLKDKLRKAQHEREQLECQLQTEKDEKELYKVHLKNTEIENTKLVSEIQTLKNLDGNKESMITHFKEEISKLQSCLADKENLYRALLLTTSNKEDTLFLKEQLRKAEEQVQATRQELIFLTKELSDAVNVRDKTMADLHTARLENERVKKQLADTLAELQLHAVKKDQASTNSVFTKKMGSQQKVNDASINTDPAASTSASAVDVKPAASCAETGFDMSTKDHVCEMTKEIAEKIEKYNKCKQLLQDEKTKCNKYAEELAKMELKWKEQVKIAENVKLELAEVEDNYKVQLAEKEKEINGLASYLENLSREKELTKSLEDQKGRKLEGQSPQQVSRCLNTCSEQNGLLPPLSSAQPVLQYGNPYSAQETRDGADGAFYPDEIQRPPVRVPSWEDNVVCSQPARNLSRPDGLEDPEDSREDENVPIPPDPANQHLRSHGAGFCFD
XP_006508423.1 63 1560 0.660266288384514 synaptotagmin-like protein 2 isoform X5 1560 0 11 1498 0 Mus musculus XP_006508423.1 1 RefSeq RDKIHGADIIRASMRRKKLPAAAEQNKDTAMRAKESWVNNVNKDAVLPPEIAVVEEPEDDTDPAGPSSSLVDPASSVIDMSQESTRTPAVSLPKQRKNPFNSPKLPEDHSLQQTKPEQSKTGKAGLFQISKEGELSESKEKSSIPDMPRQQLEKPKQTVSTEPENASHTKAPIPKARKLIYKSNDLEKDDNQSFPRQRRDSLNARGAPRGILKRNSSSSSTDSETLRLNYNLDPKSKILSPGLTIHERISEKEFSLEDDSSTSSLEPLKHVRFSAVKNELPQSPRPVLGQEVGEFTVLESDQLQNGTEDAGDIEEFQNHPELSHKTPLSHYQLVSSPSDSGREREQLMSSGSAPRDEIPCHSDILPTGPQCVESSSVINGQQEKSSHFTKLPSELSKSPSDELTQCGEPEPSQTADHSFRDHRQGKPLLKALTAQTSSHVGPCATEIRKTTDESISKVLDWFNRSSHTEDTMSSLQHLQGIDLKQQTDSKAQISNALMTDNTCLEEDDSKVPMPTKVKLKPVRSDLAFQEEGAMLVSVTCQDSDALLKSNSENLSQQGTHKEGPDTLQRFETYRIPSRRNENKGGSQGLESTEGVGTLPADGDDLLRALKRSDSEAQVPWNTNHPESLGKEETEFHLPQKSKGSTHINSDSSVIVLGSILKDDTERKSKTKKTSLLKSSLETENSALPLGAADNGSSWKKPEDQKEASEDPKNQVLREKYKRVSDRISFWEGGKAGAKLKASQLTSSCSQQLSAKAHQPRKSQVMSLGSLSSGQSDYSEVTAKPVVFDEDGQAPHLSRFDSSNKPETTRPLMSGLSKNYAVGNQSDKVTQLQTMGNETHPSLEKDRLVIDKSNGSFKVLSLRERMDEPNTEQVYSHSQFENLRKFWGLGANVNSKHNDGNNTATNKQTSVPLNIQKSKEFNAMKLSREKTQEVEEGRHLRQGNVIAAEEMEKLNFKCMPQEPPDETIFPQKTLSIEPSKENEGKNTEYFGTQVIKKACSEQEIQESIVKTSILPKVSKDTFNDRLQKLLAEATLPASQTSGKEVHEQQALKVGVSENGKSFAKDEEEVTGLRESPKEPQRRNQQDCSVDKLLKESTRTPLSPLQSPLEAVTTRPISPLKDDLLFEKWMKENHSPSADQREITAPFPQGVGILAGADLIQKDKHCNTEAMLQLAAEGSPPLAQLPHCFDGVSSSPADMSLSWDAQLPSENGTLPSQKEISEAIEKVVLPSKPAATDVNAVLQKLLREAGEVDAKLPEREQTAGTPSCPQRVSPLWPAPDPVVPNKDFHSFCTVPDTTHEGRSHLSARMSPSAHATMSPTSTVTQYGQRLLQEVAETVRETVIQPKSQYPEFRAGLEKLLKETLQTSLSKDKKDTMTISPSALTGSEEEHSPVLKTLERRAARKLPSKSLEDIPSDSSNQAKVDNLPEELVRSAEDDQKADQEPDTNECIPGISTVPSLPDNQFSHPDKLKRMSKSVPAFLQDEGLWRNSTCKYLVLADV
XP_006508870.1 1 288 0.0594927083333333 PF03798.16:TRAM_LAG1_CLN8:68:251 Protein CLN8 288 184 11 130 7 Mus musculus (Mouse) SwissProt::Q9QUK3 0 SwissProt MTPVSSHGLAESIFDLDYASWKIRSTLAVAGFVFYLGVFVVCHQLSSSLNATYRSLAAKEKVFWNLAATRAVFGVQSTTAGLWALLGDPVLYADKALGQQNWCWFHITTATGFFFFENVAVHLSNLFFRTFDLFLVVHHLFAFLGFLGSAINLRAGHYLAMTTLLLEMSTPFTCISWMLLKAGWSDSLFWKANQWLMIHMFHCRMILTYHMWWVCFCHWDALTSSLHLPHWALFLFGLALLTAVINPYWTHKKTQQLLHPVDWNFAQEEAKGSRQERTNGQVPRKKRL
XP_006510508.1 552 2063 0.666118716931217 rho GTPase-activating protein 32 isoform X2 2063 0 11 1512 0 Mus musculus XP_006510508.1 1 RefSeq GAASLSRPKSLLVSSPSTKLLTLEEAQARTQAQVSSPIVTENKYIEVGEGPAALQGKFHTVIEFPLERKRPQNKMKKSPVGSWRSFFNLGKSSSVSKRKLQRNESEPSEMKAMALKGGRAEGTLRSAKSEESLTSLHAVDGDSKLFRPRRPRSSSDALSASFNGDVLGNRCNSYDNLPHDNESEEEVGLLHIPALVSPHSAEDVDLSPPDIGVASLDFDPMSFQCSPPKAESECLESGASFLDSLGYTRDKLSPSKKDAEAGGSQSQTPGSTASSEPVSPVQEKLSPFFTLDLSPTDDKSSKPSSFTEKVVYAFSPKIGRKLSKSPSMNISEPISVTLPPRVSEVIGTVSNTVAQNASPTSWDKSVEERDVINRSPTQLQLGKMKAGEREAQETCEPEAQPLEQGAAEEVELPGTEERPVLSSQSKAVPSGQSQTGAVTHDPPQDPVPVSSVSLIPPPPPPKNVARMLALALAESAQQASSQTLKRPGASQAGCTSYGDTAVVPSEEKLPSSYSSLTLDKTCFQTDRPAEQFHPQINGLGNCNQPLPEAAAMGGPTQSNTTDSGEQLHQVDLIGNSLHRNHISGDPEKARSTSAPLTDSEKSDDHGSFPEDHAGKSSVSTVSFLEQDQSPLHFSCGDQPLSYLGTSVDKPHHSSELTDKSPMPSTLPRDKAHHPLSGSPEENSSTATMAYMMATPARAEPSNSEASRVLAEQPSAADFVAATLQRTHRTNRPLPPPPSQRPAEQPPVVGQVQEAPSIGLNNSHKVQGTAPAPERPPESRAMGDPAPIFLSDGTAAAQCPMGASAPQPGLPEKVRESSRAPPLHLRAESFPGHSCGFAAPVPPTRTMESKMAAALHSSAADATSSSNYHSFVPSSASVDDVMPVPLPVSQPKHASQKIAYSSFARPDVTAEPFGPENCLHFNMTPNCQFRPQSVPPHHNKLEPHQVYGARSEPPASMGPRYNTYVAPGRNMSGHHSKPCSRVEYVSSLGSSVRNPCCPEDILPYPTIRRVQSLHAPPPSMIRSVPISRTEVPPDDEPAYCPRPVYQYKPYQSSQARSDYHVTQLQPYFENGRVHYRYSPYSSSSSSYYSPEGALCDVDAYGTVQLRPLHRLSSRDFAFYNPRLQGKNVYNYAGLPPRPRANATGYFSGNDHNVVTMPPTADGKHTYTSWDLEDMEKYRMQSIRRESRARQKVKGPIMSQYDNMTPAVQEDLGGIYVIHLRSKSDPGKTGLLSVAEGKEGRHPAKAVSPEGDERFYRKHPESEFDRAHHHGGYGSTQAEKPSLPQKQSSLRNRKLHDMGCSLPEHRAHQEASHRQLCESKNGPPYPQGAGQLDYGSKGMPDTSEPSNYHNSGKYMTSGQGSLTLNHKEVRLPKDLDRPRARQPPGPEKHSRDCYKEEEHFSQSMVPPPKPERSHSLKLHHTQNLERDPSVLYQYQTHSKRQSSMTVVSQYDNLEDYHSLPQHQRGGFGGAGMGAYVPSGFVHPQSRTYATALGQGAFLPTELSLPHPDTQIHAE
XP_006515570.1 71 153 0.198869879518072 PF16101.5:PRIMA1:1:83 proline-rich membrane anchor 1 isoform X1 153 83 11 60 1 Mus musculus XP_006515570.1 0 RefSeq RLLSAPAPNSTSCPAEDSWWSGLVIIVAVVCASLVFLTVLVIICYKAIKRKPLRKDENGTSVAEYPMSSSQSHKGVDVNAAVV
XP_006515766.1 170 1443 0.396659026687598 PF08676.11:MutL_C:1042:1197,PF01119.19:DNA_mis_repair:44:179 DNA mismatch repair protein Mlh3 isoform X1 1443 292 11 1274 0 Mus musculus XP_006515766.1 1 RefSeq KVRQRVEALSLMHPSISFSLRNDVSGSMVLQLPKTKDICSRFCQIYGLGKSQKLREIRFKYKEFEFSGYISSEAHYNKNMQFLFVNRRLVLRTKLHKLIDFLLRKESIICRPKNGSASRQMNSSPRHRSASELHGIYVINVQCPFCEYDVCIEPAKTLIEFQSWDTVLICIQEGVKRFLKQEKLFVELSGEDIKEFNEDNGFSLFGTTLQTHVSTHEKCDQSSFREACNKILDSYEMFNLQSKAVKRIATLENKTRQNPGDSETIRKKTVGSLYTDASDGPCYSKSVESVLQDSNNSAYLEPRVSEEEVAKTSHSGENEKWKKSFLENKTSGRIHETSPKMFSSPIQMHHLLEEREADLEMQTISSTVNVMAANIPQNNDIPSQLEKWKDAPEVGCQPLPFETTLLRVRGTQRKKERRKKEPSSRGRVNVFSYGQVKLCSTGFITHVVQSEHAKSTETEHSFKNYARPGPVSAQETFGKRTHHAIETPDSSDLTSTLSKESSQPPNKRFCRTNTGYGTENKPVATDDNLALFQESCKESHTDRLLPDASSFPWCRYVSDGCRKIDKRGSFKQVVRRKLSLRSQVGSLEKFKRQYGKVSSSLDTEKDNNTEVRTHLDPQNEPDVLLKDKSHLDMSDGCEITTVEHSETCQPLSPILYPEKILFSKEDRLEQMPHLRESPITLEELSHCNRKADVEKSAASLASKLSKLKDSEKEMQTVGMTGHTSELPDSNPSWKDNSQCTRLDLDFCELLKNKLEKIESDMLPMADSATEDGPINKNSELHPNNTTDDTEKPETPLLFPCNDSKISRDSDVLIRTSEQPTGNPDSVGKVIMSQVEDGIGSQGGVCPQGDESKARSCSKNEPNAHCMDWQQHFDVTLGRMVYINRMTGLSTFVAPTDDLHTACTKDLTTVAVDVLLGNGAQYRCHPFRSDLVLPFLPRAQEERTMLRHNSNAVDAAAAAVSEPLQSLFSEWSNPVFARYPEVAVDVSSGQAESLAVKIHNVLYPYRFTKEMIHSVKVLQQVDNKFIACLMSTRMDEDGRTGGNLLVLVDQHAAHERIRLEQLITDSYEKQDPQSAGRKKLLSSTIIPPLAITVSEEQRRLLRSYHKHLEDLGLELLFPDASDSLILVGKVPLCFVEREASELRRGRSTVTKSIVEELIREQVELLQTTGGIQGTLPLTVQKVLASQACHGAIKFNDRLSLEESCRLIEALSLSQLPFQCAHGRPSMLPLADLDHLEQEKQVKPNLAKLRKMVRAWHLFGKTEQNLQQPIRPCEPP
XP_006519129.1 1 440 0.133098863636364 PF04030.14:ALO:180:438,PF01565.23:FAD_binding_4:21:156 L-gulonolactone oxidase; LGO; L-gulono-gamma-lactone oxidase; GLO; EC 1.1.3.8 440 395 11 440 0 Mus musculus (Mouse) SwissProt::P58710 1 SwissProt MVHGYKGVQFQNWAKTYGCSPEMYYQPTSVGEVREVLALARQQNKKVKVVGGGHSPSDIACTDGFMIHMGKMNRVLQVDKEKKQVTVEAGILLTDLHPQLDKHGLALSNLGAVSDVTVGGVIGSGTHNTGIKHGILATQVVALTLMKADGTVLECSESSNADVFQAARVHLGCLGVILTVTLQCVPQFHLLETSFPSTLKEVLDNLDSHLKKSEYFRFLWFPHSENVSIIYQDHTNKEPSSASNWFWDYAIGFYLLEFLLWTSTYLPRLVGWINRFFFWLLFNCKKESSNLSHKIFSYECRFKQHVQDWAIPREKTKEALLELKAMLEAHPKVVAHYPVEVRFTRGDDILLSPCFQRDSCYMNIIMYRPYGKDVPRLDYWLAYETIMKKFGGRPHWAKAHNCTRKDFEKMYPAFHKFCDIREKLDPTGMFLNSYLEKVFY
XP_006520408.1 1 432 0.0960472222222223 PF01734.22:Patatin:10:178 1-acylglycerol-3-phosphate O-acyltransferase Pnpla3 isoform X1 432 169 11 388 2 Mus musculus XP_006520408.1 1 RefSeq MYDPERRWSLSFAGCGFLGFYHVGATLCLSERAPHLLRDARTFFGCSAGALHAVTFVCSLPLGRIMEILMDLVRKARSRNIGTLHPFFNINKCIRDGLQESLPDNVHQVISGKVHISLTRVSDGENVLVSEFHSKDEVVDALVCSCFIPLFSGLIPPSFRGERYVDGGVSDNVPVLDAKTTITVSPFYGEHDICPKVKSTNFFHVNITNLSLRLCTGNLQLLTRALFPSDVKVMGELCYQGYLDAFRFLEENGICNGPQRSLSLSLVAPEACLENGKLVGDKVPVSLCFTDENIWETLSPELSTALSEAIKDREGYLSKVCNLLPVRILSYIMLPCSLPVESAIAAVHRLVTWLPDIQDDIQWLQWATSQVCARMTMCLLPSTSMRFLGQSQFLGLHCIKGLKTKGKAQLSLQHASSARTTARFGAQAVQTF
XP_006520607.1 1 412 0.149649029126214 membrane protein MLC1 isoform X1 412 0 11 251 7 Mus musculus XP_006520607.1 1 RefSeq MNTSCWKSITQRPAFPTAGRQQSTMPVSSAMTREGQFREELGYDRMPTLERGRQDAGRQDPGSYTPDSKPKDLQLSKRLPPCFSYKTWVFSVLMGSCLLVTSGFSLYLGNVFPSEMDYLRCAAGSCIPSAIVSFAVGRRNVSAIPNFQILFVSTFAVTTTCLIWFGCKLILNPSAININFNLILLLLLELLMAATVIISARSSEEPCKKKKGSISDGSNILDEVTFPARVLKSYSVVEVIAGVSAVLGGVIALNVEEAVSGPHLSVTFFWILVACFPSAIASHVTAECPSKCLVEVLIAISSLTSPLLFTASGYLSFSVMRVVEIFKDYPPAIKSYDVLLLLLLLLLLLQGGLNTGTAIQCVSFKVSARLQAASWDPQSCPQERPAGEVVRGPLKEFDKEKAWRAVVVQMAQ
XP_006521490.1 242 565 0.132468209876543 PF02535.22:Zip:2:315 zinc transporter ZIP4 isoform X1 565 314 11 195 6 Mus musculus XP_006521490.1 1 RefSeq YLYGSLATLLICLCAVFGLLLLTCAKCSTATHYIMQTFLSLAVGALTGDALLHLIPKVLGLHTHGGEGHTHEEEVGVGGQATWRLLAVLGGFYIFFLFESFFNLLLPRDQDSEKDGPCSHGGHSHGISLQLAPSNLRQSKQTHESSRSDLVAEETPELLNPETRRLRAELRLLPYLITLGDAVHNFADGLAVGAAFSSSWKTGLATSLAVFCHELPHELGDFAALLHAGLSVKRALLLNLASALTAFAGLYVALAVGVGEEGEAWILAVATGLFLYVALCDMLPAMMNVRDQRPWLLFLLHNVGLLGGWTVLLLLSLYEDNITF
XP_006527202.1 1 455 0.798138241758242 Amyloid-beta A4 precursor protein-binding family A member 1; Adapter protein X11alpha; Neuron-specific X11 protein; Neuronal Munc18-1-interacting protein 1; Mint-1 842 0 11 455 0 Mus musculus (Mouse) SwissProt::B2RUJ5 1 SwissProt MNHLEGSAEVEVADEAPGGEVNESVEADLEHPEVVEGQQPSPSPPPPAGHEPEDHRGHPAPPPPPPPQEEEEEERGECLARSASTESGFHNHTDTAEGDVLAAARDGYEAERAQDADDESAYAVQYRPEAEEYTEQAEAEHVEAAQRRALPNHLHFHSLEHEEAMNAAYSGYVYTHRLFHRAEDEPYAEPYADYGGLQEHVYEEIGDAPELEARDGLRLYERERDEAAAYRQEALGARLHHYDERSDGESDSPEKEAEFAPYPRMDSYEQEEDIDQIVAEVKQSMSSQSLDKAAEDMPEAEQDLERAPTPGGGHPDSPGLPAPAGQQQRVVGTPGGSEVGQRYSKEKRDAISLAIKDIKEAIEEVKTRTIRSPYTPDEPKEPIWVMRQDISPTRDCDDQRPVDGDSPSPGSSSPLGAESSSIPLHPGDPTEASTNKESRKSLASFPTYVEVPGPC
XP_006537848.1 1 365 0.0504232876712329 PF13506.6:Glyco_transf_21:106:284,PF13641.6:Glyco_tranf_2_3:64:241 ceramide glucosyltransferase isoform X1 365 221 11 299 3 Mus musculus XP_006537848.1 1 RefSeq MALLDLAQEGMALFGFVLFVVLWLMHFMSIIYTRLHLNKKATDKQPYSKLPGVSLLKPLKGVDPNLINNLETFFELDYPKYEVLLCVQDHDDPAIDVCKKLLGKYPNVDARLFIGGKKVGINPKINNLMPAYEVAKYDLIWICDSGIRVIPDTLTDMVNQMTEKVGLVHGLPYVADRQGFAATLEQVYFGTSHPRSYISANVTGFKCVTGMSCLMRKDVLDQAGGLIAFAQYIAEDYFMAKAIADRWTKLRINMLPATIICEPISECFVASLIIGWAAHHVFRWDIMVFFMCHCLAWFIFDYIQLRGVQGGTLCFSKLDYAVAWFIRESMTIYIFLSALWDPTISWRTGRYRLRCGGTAEEILDV
XP_006539233.1 1 240 0.66485125 PF15550.6:Draxin:60:240 draxin isoform X1 365 181 11 240 0 Mus musculus XP_006539233.1 1 RefSeq MRHRCPAPLPSHAPRGLAEGEPMAGCPVLRVPTLFLILLLFPELHTAGTLASGSSARNLPETHSHLPSSALWVSQASHHGRRGLGKKDRGPGRPSRAQEGAVVTATKQASQMTLGQPPAGLLQNKELLLGLTLPYPEKEARSPAWERVKKRGREHKRRRDRLRLHRGRAAIRGPSSLMKKVEPSEDRMLEGTMEESSTSLAPTMFFLTMTDGATPTTEESRILPVTSLRPQTQPRSDGEV
XP_006718533.1 1 137 0.209559854014599 PF09811.9:Yae1_N:22:60 Protein LTO1 homolog; Oral cancer-overexpressed protein 1; Tumor-amplified and overexpressed sequence 1 137 39 11 137 0 Homo sapiens (Human) SwissProt::Q8WV07 1 SwissProt MAGSQDIFDAIVMADERFHGEGYREGYEEGSSLGVMEGRQHGTLHGAKIGSEIGCYQGFAFAWKCLLHSCTTEKDSRKMKVLESLIGMIQKFPYDDPTYDKLHEDLDKIRGKFKQFCSLLNVQPDFKISAEGSGLSF
XP_008759833.1 148 389 0.598738016528925 PF12403.8:Pax2_C:140:241 paired box protein Pax-8 isoform X2 389 102 11 242 0 Rattus norvegicus XP_008759833.1 1 RefSeq CVATKSLSPGHTLIPSSAVTPPESPQSDSLGSTYSINGLLGIAQPGSDNKRKMDDSDQDSCRLSIDSQSSSSGPRKHLRTDTFGQHHLEPLECPFERQHYPEAYASPSHTKGEQGLYPLPLLNSTLDDGKATLTPSNTPLGRNLSTHQTYPVVAGREMVGPTLPGYPPHIPTSGQGSYASSAIAGMVAGSEYSGNAYSHTPYASYSEAWRFPNSSLLSSPYYYSSTTRPSAPPTSATAFDHL
XP_011240406.1 1 462 0.114078354978355 PF01553.21:Acyltransferase:229:350 glycerol-3-phosphate acyltransferase 6 isoform X1 462 122 11 396 3 Mus musculus XP_011240406.1 1 RefSeq MFLLLPFDSLIVNLLGISLTVLFTLLLVFIIVPAIFGVSFGIRKLYMKTLLKIFAWATLRMERGAKERNHQLYKPYTNGIIAKDPTSLEEEIKEIRRSGSSKALDKTPEFELSDIFYFCRKGMETIMDDEVTKRFSAEELESWNLLSRTNYNFQYISLRLTILWGLGVLIRYCFLLPLRIALAFTGIGLLVVGTTMVGYLPNGRFKEFLSKHVHLMCYRICVRALTAIITYHNRKNRPRNGGICVANHTSPIDVIILASDGYYAMVGQVHGGLMGVIQRAMVKACPHVWFERSEVKDRHLVAKRLTEHVQDKSKLPILIFPEGTCINNTSVMMFKKGSFEIGATVYPVAIKPPLLVQYDPQFGDAFWNSSKYGMVTYLLRMMTSWAIVCSVWYLPPMTREKDEDAVQFANRVKSAIARQGGLVDLLWDGGLKREKVKDTFKEEQQKLYSKMIVGNHEDRSRS
XP_011243992.1 51 197 0.637520408163266 chromobox protein homolog 7 isoform X2 230 0 11 147 0 Mus musculus XP_011243992.1 1 RefSeq RGPKPRRLLLQRLYSMDLRSSHKAKGNEKLCFSLARPLRSGSPMGVVKAGVAELVEKGPLVPTLPFPLRKARKAHKYLRLSRKKFPPRGPHLESHSHRRELSLQESAAPDVVQTPGDWEPMEQAPEEEAEADLTNGPPPWTPTLPSS
XP_011244116.1 1 736 0.346102309782608 PF07452.12:CHRD:444:556,PF07452.12:CHRD:572:682,PF00093.18:VWC:73:147 chordin isoform X1 990 299 11 736 0 Mus musculus XP_011244116.1 1 RefSeq MPSLPAPPAPRLLLGLLLLGSRPASGTGPEPPALPIRSEKEPLPVRGAAEPGAGITARATGQRPPAKPVPAGCSFGGKVYALDETWHPDLGEPFGVMRCVLCACEAPQWARRGRGPGRVSCKNIKPQCPTLACRQPRQLPGHCCQTCPQERSNLDPQPAGLVFEYPRDPEHRSYSDRGEPGVGERTRADGHTDFVALLTGPRSQAVARARVSLLRSSLRFSVSYQRLDRPSRVRFTDPTGNILFEHPATPTQDGLRRELRLRIAECDLFKVIWLVCGVWRAVPRLSVRLLRAEQLRVALVTSTHPSGEVWGPLIWQGALAAETFSAILTLEDPLQRGVGGIALLTLSDTEDSLHFLLLFRGLLGGLAQAPLKLQILHQGQLLRELQANTSAQEPGFAEVLPSLTDQEMDWLELGELQMVLEKAGGPELRISGYITTRQSCDVLQSVLCGADALIPVQTGAAGSASFILLGNGSLIYQVQVVGTGSEVVAMTLETKPQRKNQRTVLCHMAGLQPGGHMAVGMCSGLGARGAHMLLQNELFLNVGTKDFPDGELRGHVTALCYSGHSARYDRLPVPLAGALVLPPVRSQAAGHAWLSLDTHCHLHYEVLLAGLGGSEQGTVTAHLLGPPGMPGPQRLLKGFYGSEAQGVVKDLEPVLLRHLAQGTASLLITTKSSPRGELRGQVHIASQCEAGGLRLASEGVQMPLAPNGEAATSPMLPAGPGPEAPVPAKHGSPGRP
XP_011507833.1 1014 2239 0.946585154975528 PF03516.13:Filaggrin:62:116,PF03516.13:Filaggrin:137:191,PF03516.13:Filaggrin:212:268,PF03516.13:Filaggrin:289:345,PF03516.13:Filaggrin:364:420,PF03516.13:Filaggrin:448:497,PF03516.13:Filaggrin:516:571,PF03516.13:Filaggrin:591:647,PF03516.13:Filaggrin:668:724,PF03516.13:Filaggrin:743:798,PF03516.13:Filaggrin:820:874,PF03516.13:Filaggrin:893:949,PF03516.13:Filaggrin:968:1024,PF03516.13:Filaggrin:1043:1099 filaggrin-2 isoform X1 2239 783 11 1226 0 Homo sapiens XP_011507833.1 1 RefSeq CGQHESGSGPTTSFGQHVSGSDNFSSSGQHISDSGQSTGFGQYGSGSGQSTGLGQGESQQVESGSTVHGRQETTHGQTINTTRHSQSGQGQSTQTGSRVTRRRRSSQSENSDSEVHSKVSHRHSEHIHTQAGSHYPKSGSTVRRRQGTTHGQRGDTTRHGHSGHGQSTQTGSRTSGRQRFSHSDATDSEVHSGVSHRPHSQEQTHSQAGSQHGESESTVHERHETTYGQTGEATGHGHSGHGQSTQRGSRTTGRRGSGHSESSDSEVHSGGSHRPQSQEQTHGQAGSQHGESGSTVHGRHGTTHGQTGDTTRHAHYHHGKSTQRGSSTTGRRGSGHSESSDSEVHSGGSHTHSGHTHGQSGSQHGESESIIHDRHRITHGQTGDTTRHSYSGHEQTTQTGSRTTGRQRTSHSESTDSEVHSGGSHRPHSREHTYGQAGSQHEEPEFTVHERHGTTHGQIGDTTGHSHSGHGQSTQRGSRTTGRQRSSHSESSDSEVHSGVSHTHTGHTHGQAGSQHGQSESIVPERHGTTHGQTGDTTRHAHYHHGLTTQTGSRTTGRRGSGHSEYSDSEGYSGVSHTHSGHTHGQARSQHGESESIVHERHGTIHGQTGDTTRHAHSGHGQSTQTGSRTTGRRSSGHSEYSDSEGHSGFSQRPHSRGHTHGQAGSQHGESESIVDERHGTTHGQTGDTSGHSQSGHGQSTQSGSSTTGRRRSGHSESSDSEVHSGGSHTHSGHTHSQARSQHGESESTVHKRHQTTHGQTGDTTEHGHPSHGQTIQTGSRTTGRRGSGHSEYSDSEGPSGVSHTHSGHTHGQAGSHYPESGSSVHERHGTTHGQTADTTRHGHSGHGQSTQRGSRTTGRRASGHSEYSDSEGHSGVSHTHSGHAHGQAGSQHGESGSSVHERHGTTHGQTGDTTRHAHSGHGQSTQRGSRTAGRRGSGHSESSDSEVHSGVSHTHSGHTYGQARSQHGESGSAIHGRQGTIHGQTGDTTRHGQSGHGQSTQTGSRTTGRQRSSHSESSDSEVHSEASPTHSGHTHSQAGSRHGQSGSSGHGRQGTTHGQTGDTTRHAHYGYGQSTQRGSRTTGRRGSGHSESSDSEVHSWGSHTHSGHIQGQAGSQQRQPGSTVHGRLETTHGQTGDTTRHGHSGYGQSTQTGSRSSRASHFQSHSSERQRHGSSQVWKHGSYGPAEYDYGHTGYGPSGGSRKSISNSHLSWSTDSTANKQLSRH
XP_011509801.1 99 269 0.287253801169591 PF01852.19:START:9:126 stAR-related lipid transfer protein 7, mitochondrial isoform X1 269 118 11 171 0 Homo sapiens XP_011509801.1 1 RefSeq EVIERDVVSGSEVLHWVTHFPYPMYSRDYVYVRRYSVDQENNMMVLVSRAVEHPSVPESPEFVRVRSYESQMVIRPHKSFDENGFDYLLTYSDNPQTVFPRYCVSWMVSSGMPDFLEKLHMATLKAKNMEIKVKDYISAKPLEMSSEAKATSQSSERKNEGSCGPARIEYA
XP_011510301.1 1 283 0.434889045936396 Beta-galactoside alpha-2,6-sialyltransferase 2; Alpha 2,6-ST 2; CMP-N-acetylneuraminate-beta-galactosamide-alpha-2,6-sialyltransferase 2; ST6Gal II; ST6GalII; hST6Gal II; Sialyltransferase 2; EC 2.4.99.1 529 0 11 265 1 Homo sapiens (Human) SwissProt::Q96JF0 1 SwissProt MKPHLKQWRQRMLFGIFAWGLLFLLIFIYFTDSNPAEPVPSSLSFLETRRLLPVQGKQRAIMGAAHEPSPPGGLDARQALPRAHPAGSFHAGPGDLQKWAQSQDGFEHKEFFSSQVGRKSQSAFYPEDDDYFFAAGQPGWHSHTQGTLGFPSPGEPGPREGAFPAAQVQRRRVKKRHRRQRRSHVLEEGDDGDRLYSSMSRAFLYRLWKGNVSSKMLNPRLQKAMKDYLTANKHGVRFRGKREAGLSRAQLLCQLRSRARVRTLDGTEAPFSALGWRRLVPAV
XP_011511041.1 1 367 0.471048228882834 PF05624.14:LSR:32:79 immunoglobulin-like domain-containing receptor 1 isoform X3 367 48 11 344 1 Homo sapiens XP_011511041.1 1 RefSeq MWWDHGVYYCTIEAPGDTSGDPDKEVKLIVLHWLTVIFIILGALLLLLLIGVCWCQCCPQYCCCYIRCPCCPAHCCCPEEDLSLPSSLPQMPMTQTTNQPPIANGVLEYLEKELRNLNLAQPLPPDLKGRFGHPCSMLSSLGSEVVERRIIHLPPLIRDLSSSRRTSDSLHQQWLTPIPSRPWDLREGRSHHHYPDFHQELQDRGPKSWALERRELDPSWSGRHRSSRLNGSPIHWSDRDSLSDVPSSSEARWRPSHPPFRSRCQERPRRPSPRESTQRHGRRRRHRSYSPPLPSGLSSWSSEEDKERQPQSWRAHRRGSHSPHWPEEKPPSYRSLDITPGKNSRKKGSVERRSEKDSSHSGRSVVI
XP_011511231.1 1 783 0.220399616858237 protein mono-ADP-ribosyltransferase PARP14 isoform X2 1209 0 11 783 0 Homo sapiens XP_011511231.1 1 RefSeq MAVPGSFPLLVEGSWGPDPPKNLNTKLQMYFQSPKRSGGGECEVRQDPRSPSRFLVFFYPEDVRQKVLERKNHELVWQGKGTFKLTVQLPATPDEIDHVFEEELLTKESKTKEDVKEPDVSEELDTKLPLDGGLDKMEDIPEECENISSLVAFENLKANVTDIMLILLVENISGLSNDDFQVEIIRDFDVAVVTFQKHIDTIRFVDDCTKHHSIKQLQLSPRLLEVTNTIRVENLPPGADDYSLKLFFENPYNGGGRVANVEYFPEESSALIEFFDRKVLDTIMATKLDFNKMPLSVFPYYASLGTALYGKEKPLIKLPAPFEESLDLPLWKFLQKKNHLIEEINDEMRRCHCELTWSQLSGKVTIRPAATLVNEGRPRIKTWQADTSTTLSSIRSKYKVNPIKVDPTMWDTIKNDVKDDRILIEFDTLKEMVILAGKSEDVQSIEVQVRELIESTTQKIKREEQSLKEKMIISPGRYFLLCHSSLLDHLLTECPEIEICYDRVTQHLCLKGPSADVYKAKCEIQEKVYTMAQKNIQVSPEIFQFLQQVNWKEFSKCLFIAQKILALYELEGTTVLLTSCSSEALLEAEKQMLSALNYKRIEVENKEVLHGKKWKGLTHNLLKKQNSSPNTVIINELTSETTAEVIITGCVKEVNETYKLLFNFVEQNMKIERLVEVKPSLVIDYLKTEKKLFWPKIKKVNVQVSFNPENKQKGILLTGSKTEVLKAVDIVKQVWDSVCVKSVHTDKPGAKQFFQDKARFYQSEIKRLFGCYIELQENEVMKE
XP_011513841.1 1 178 0.0827893258426966 vesicular, overexpressed in cancer, prosurvival protein 1 isoform X1 178 0 11 155 1 Homo sapiens XP_011513841.1 1 RefSeq MRRQPAKVAALLLGLLLECTEAKKHCWYFEGLYPTYYICRSYEDCCGSRCCVRALSIQRLWYFWFLLMMGVLFCCGAGFFIRRRMYPPPLIEEPAFNVSYTRQPPNPGPDHILLDDRDYHLHLHAHKVSHCKAELTPTFLWSASCCYLEEAYNILHPEASCPHLTAEEHSGVLLREAL
XP_011515900.1 1 678 0.557339380530973 centrosome and spindle pole-associated protein 1 isoform X1 1316 0 11 678 0 Homo sapiens XP_011515900.1 1 RefSeq MRPPGQQGAMSCRAAACSGGGAVPGGWRRASGGLGLRGGAPARRSVMLFPLQVAAVTSSVRDDPLEHCVSPRTRARSPEICKMADNLDEFIEEQKARLAEDKAELESDPPYMEMKGKLSAKLSENSKILISMAKENIPPNSQQTRGSLGIDYGLSLPLGEDYERKKHKLKEELRQDYRRYLTQGITQGKRKKNFLSTSETDPSTLGVSLPIGERLSAKERLKLERNKEYNQFLRGKEESSEKFRQVEKSTEPKSQRNKKPIGQVKPDLTSQIQTSCENSEGPRKDVLTPSEAYEELLNQRRLEEDRYRQLDDEIELRNRRIIKKANEEVGISNLKHQRFASKAGIPDRRFHRFNEDRVFDRRYHRPDQDPEVSEEMDERFRYESDFDRRLSRVYTNDRMHRNKRGNMPPMEHDGDVIEQSNIRISSAENKSAPDNETSKSANQDTCSPFAGMLFGGEDRELIQRRKEKYRLELLEQMAEQQRNKRREKDLELRVAASGAQDPEKSWNELLTSLQLSKEEPDRLKQFSVAPRHFEEMIPPERPRIAFQTPLPPLSAPSVPPIPSVHPVPSQNEDLRSGLSSALGEMVSPRIAPLPPPPLLPPLATNYRTPYDDAYYFYGSRNTFDPSLAYYGSGMMGVQPAAYVSAPVTHQLAQPVVNTVGQNELKITSDQVINSGLIF
XP_011519572.1 1 1236 0.352269902912622 PF15296.6:Codanin-1_C:794:908 codanin-1 isoform X1 1236 115 11 1236 0 Homo sapiens XP_011519572.1 1 RefSeq MAAVLESLLREEVSVAAVVRWIARSTQGSEVTAVTRPQDNAGEAAALSSLRALRKEFVPFLLNFLREQSSRVLPQGPPTPAKTPGASAALPGRPGGPPRGSRGARSQLFPPTEAQSTAAEAPLARRGGRRRGPGPARERGGRGLEEGVSGESLPGAGGRRLRGSGSPSRPSLTLSDPPNLSNLEEFPPVGSVPPGPTGRTKPSRRINPTPVSEERSLSKPKTCFTSPPISCVPSSQPSALDTSPWGLGLPPGCRSLQEEREMLRKERSKQLQQSPTPTCPTPELGSPLPSRTGSLTDEPADPARVSSRQRLELVALVYSSCIAENLVPNLFLELFFVFQLLTARRMVTAKDSDPELSPAVLDSLESPLFQSIHDCVFFAVQVLECHFQVLSNLDKGTLKLLAENERLLCFSPALQGRLRAAYEGSVAKVSLVMPPSTQAVSFQPETDNRANFSSDRAFHTFKKQRDVFYEVLREWEDHHEEPGWDFEKGLGSRIRAMMGQLSAACSHSHFVRLFQKQLLQMCQSPGGAGGTVLGEAPDVLSMLGADKLGRLWRLQERLMAPQSSGGPCPPPTFPGCQGFFRDFILSASSFQFNQHLMDSLSLKIQELNGLALPQHEPNDEDGESDVDWQGERKQFAVVLLSLRLLAKFLGFVAFLPYRGPEPPPTGELQDSILALRSQVPPVLDVRTLLQRGLQARRAVLTVPWLVEFLSFADHVVPLLEYYRDIFTLLLRLHRSLVLSQESEGKMCFLNKLLLLAVLGWLFQIPTVPEDLFFLEEGPSYAFEVDTVAPEHGLDNAPVVDQQLLYTCCPYIGELRKLLASWVSGSSGRSGGFMRKITPTTTTSLGAQPSQTSQGLQAQLAQAFFHNQPPSLRRTVEFVAERIGSNCVKHIKATLVADLVRQAESLLQEQLVTQGEEGGDPAQLLEILCSQLCPHGAQALALGREFCQRKSPGAVRALLPEETPAAVLSSAENIAVGLATEKACAWLSANITALIRREVKAAVSRTLRAQGPEPAARGERRGCSRACEHHAPLPSHLISEIKDVLSLAVGPRDPDEGVSPEHLEQLLGQLGQTLRCRQFLCPPAEQHLAKCSVELASLLVADQIPILGPPAQYRLERGQARRLLHMLLSLWKEDFQGPVPLQLLLSPRNVGLLADTRPREWDLLLFLLRELVEKGLMGRMEIEACLGSLHQAQWPGDFAEELATLSNLFLAEPHLPEPQLRACELVQPNRGTVLAQS
XP_011523575.1 1 1048 0.498634064885496 ATPase family AAA domain-containing protein 5 isoform X3 1048 0 11 1048 0 Homo sapiens XP_011523575.1 1 RefSeq MVGVLAMAAAAAPPPVKDCEIEPCKKRKKDDDTSTCKTITKYLSPLGKTRDRVFAPPKPSNILDYFRKTSPTNEKTQLGKECKIKSPESVPVDSNKDCTTPLEMFSNVEFKKKRKRVNLSHQLNNIKTENEAPIEISSDDSKEDYSLNNDFVESSTSVLRYKKQVEVLAENIQDTKSQPNTMTSLQNSKKVNPKQGTTKNDFKKLRKRKCRDVVDLSESLPLAEELNLLKKDGKDTKQMENTTSHANSRDNVTEAAQLNDSIITVSYEEFLKSHKENKVEEIPDSTMSICVPSETVDEIVKSGYISESENSEISQQVRFKTVTVLAQVHPIPPKKTGKIPRIFLKQKQFEMENSLSDPENEQTVQKRKSNVVIQEEELELAVLEAGSSEAVKPKCTLEERQQFMKAFRQPASDALKNGVKKSSDKQKDLNEKCLYEVGRDDNSKKIMENSGIQMVSKNGNLQLHTDKGSFLKEKNKKLKKKNKKTLDTGAIPGKNREGNTQKKETTFFLKEKQYQNRMSLRQRKTEFFKSSTLFNNESLVYEDIANDDLLKVSSLCNNNKLSRKTSIPVKDIKLTQSKAESEASLLNVSTPKSTRRSGRISSTPTTETIRGIDSDDVQDNSQLKASTQKAANLSEKHSLYTAELITVPFDSESPIRMKFTRISTPKKSKKKSNKRSEKSEATDGGFTSQIRKASNTSKNISKAKQLIEKAKALHISRSKVTEEIAIPLRRSSRHQTLPERKKLSETEDSVIIIDSSPTALKHPEKNQKKLQCLNDVLGKKLNTSTKNVPGKMKVAPLFLVRKAQKAADPVPSFDESSQDTSEKSQDCDVQCKAKRDFLMSGLPDLLKRQIAKKAAALDVYNAVSTSFQRVVHVQQKDDGCCLWHLKPPSCPLLTKFKELNTKVIDLSKCGIALGEFSTLNSKLKSGNSAAVFMRTRKEFTEEVRNLLLEEIRWSNPEFSLKKYFPLLLKKQIEHQVLSSECHSKQAELEADVSHKETKRKLVEAENSKSKRKKPNEYSKNLEKTNRKSEELSKRNNSSGIKLDSSKVG
XP_011532410.1 1 426 0.215384976525822 PF07645.15:EGF_CA:245:276,PF07645.15:EGF_CA:305:338,PF11938.8:DUF3456:46:101 cysteine-rich with EGF-like domain protein 1 isoform X1 426 122 11 426 0 Homo sapiens XP_011532410.1 1 RefSeq MAPWPPKGLVPAMLWGLSLFLNLPGPIWLQPSPPPQSSPPPQPHPCHTCRGLVDSFNKGLERTIRDNFGGGNTAWEEENLSKYKDSETRLVEVLEGVCSKSDFECHRLLELSEELVESWWFHKQQEAPDLFQWLCSDSLKLCCPAGTFGPSCLPCPGGTERPCGGYGQCEGEGTRGGSGHCDCQAGYGGEACGQCGLGYFEAERNASHLVCSACFGPCARCSGPEESNCLQCKKGWALHHLKCVDIDECGTEGANCGADQFCVNTEGSYECRDCAKACLGCMGAGPGRCKKCSPGYQQVGSKCLDVDECETEVCPGENKQCENTEGGYRCICAEGYKQMEGICVKEQIPDAVLGAFPILTDLTPETTRRWKLGSHPHSTYVKMKMQRDEATFPGLYGKQVAKLGSQSRQSDRGTRLIHSQQASSQR
XP_011532777.1 45 244 0.142075 FAS-associated factor 2 isoform X1 400 0 11 200 0 Homo sapiens XP_011532777.1 1 RefSeq GLLGWGYYLIMLPFRFTYYTILDIFRFALRFIRPDPRSRVTDPVGDIVSFMHSFEEKYGRAHPVFYQGTYSQALNDAKRELRFLLVYLHGDDHQDSDEFCRNTLCAPEVISLINTRMLFWACSTNKPEGYRVSQALRENTYPFLAMIMLKDRRMTVVGRLEGLIQPDDLINQLTFIMDANQTYLVSERLEREERNQTQVL
XP_011535255.1 1 494 0.496526315789473 PF15244.6:HSD3:1:317 spermatogenesis-associated protein 7 isoform X9 494 317 11 494 0 Homo sapiens XP_011535255.1 1 RefSeq MRANYKNNSKSLFNTLQKPSGEPQIEDDMLKEEMNGFSSFARSLVPSSERLHLSLHKSSKVITNGPEKNSSSSPSSVDYAASGPRKLSSGALYGRRPRSTFPNSHRFQLVISKAPSGDLLDKHSELFSNKQLPFTPRTLKTEAKSFLSQYRYYTPAKRKKDFTDQRIEAETQTELSFKSELGTAETKNMTDSEMNIKQVASNCVTYDAKEKIAPLPLEGHDSTWDEIKDDALQHSSPRAMCQYSLKPPSTRKIYSDEEELLYLSFIEDVTDEILKLGLFSNRFLERLFERHIKQNKHLEEEKMRHLLHVLKVDLGCTSEENSVKQNDVDMLNVFDFEKAGNSEPNELKNESEVTIQQERQQYQKALDMLLSAPKDENEIFPSPTEFFMPIYKSKHSEGVIIQQVNDETNLETSTLDENHPSISDSLTDRETSVNVIEGDSDPEKVEISNGLCGLNTSPSQSVQFSSVKGDNNHDMELSTLKIMEMSIEDCPLDV
XP_011543772.1 1 929 0.13155016146394 Fanconi anemia group B protein isoform X1 929 0 11 929 0 Homo sapiens XP_011543772.1 1 RefSeq MTSKQAMSSNEQERLLCYNGEVLVFQLSKGNFADKEPTKTPILHVRRMVFDRGTKVFVQKSTGFFTIKEENSHLKIMCCNCVSDFRTGINLPYIVIEKNKKNNVFEYFLLILHSTNKFEMRLSFKLGYEMKDGLRVLNGPLILWRHVKAFFFISSQTGKVVSVSGNFSSIQWAGEIENLGMVLLGLKECCLSEEECTQEPSKSDYAIWNTKFCVYSLESQEVLSDIYIIPPAYSSVVTYVHICATEIIKNQLRISLIALTRKNQLISFQNGTPKNVCQLPFGDPCAVQLMDSGGGNLFFVVSFISNNACAVWKESFQVAAKWEKLSLVLIDDFIGSGTEQVLLLFKDSLNSDCLTSFKITDLGKINYSSEPSDCNEDDLFEDKQENRYLVVPPLETGLKVCFSSFRELRQHLLLKEKIISKSYKALINLVQGKDDNTSSAEEKECLVPLCGEEENSVHILDEKLSDNFQDSEQLVEKIWYRVIDDSLVVGVKTTSSLKLSLNDVTLSLLMDQAHDSRFRLLKCQNRVIKLSTNPFPAPYLMPCEIGLEAKRVTLTPDSKKEESFVCEHPSKKECVQIITAVTSLSPLLTFSKFCCTVLLQIMERESGNCPKDRYVVCGRVFLSLEDLSTGKYLLTFPKKKPIEHMEDLFALLAAFHKSCFQITSPGYALNSMKVWLLEHMKCEIIKEFPEVYFCERPGSFYGTLFTWKQRTPFEGILIIYSRNQTVMFQCLHNLIRILPINCFLKNLKSGSENFLIDNMAFTLEKELVTLSSLSSAIAKHESNFMQRCEVSKGKSSVVAAALSDRRENIHPYRKELQREKKKMLQTNLKITCFGESQPTCHEDTQAALGRVLPCKDLRPPANSQQGIENSNCQLLGWNQHHQLSWFSGLQTWTGTISLALLDLQLADCRSWDYSASIIIHVGNPSQAFR
XP_013966819.1 454 2387 0.364758221302999 PF00435.21:Spectrin:1:96,PF00435.21:Spectrin:267:367,PF00435.21:Spectrin:372:473,PF00435.21:Spectrin:483:586,PF00435.21:Spectrin:590:694,PF00435.21:Spectrin:703:804,PF00435.21:Spectrin:1113:1216,PF00435.21:Spectrin:1220:1317,PF00435.21:Spectrin:1418:1519,PF00435.21:Spectrin:1541:1638,PF00435.21:Spectrin:1646:1749,PF00435.21:Spectrin:1754:1858 dystrophin isoform X4 3690 1221 11 1934 0 Canis lupus familiaris XP_013966819.1 1 RefSeq NDWLTKTEERTRKMEKEPLGPDIEDLKRQVQQHKVLQEDLEQEQVRVNSLTHMVVVVDESSGDHATAALEEQLKVLGDRWANICRWTEDRWVLLQDILLKWQRFTEEQCLFSAWLSEKEDAVNKIHTTGFKDQSEVLSNLQKLAVLKTDLEKKKQTMDKLCSLNQDLLSALKNTVVAHKMEAWLDNFAQRWDNLVQKLEKSSAQISQAVTTTQPSLTQTTVMETVTMVTTREHILVKHAQEELPPPPPQKKRQIIVDSEIRKRLDVDITELHSWITRSEAVLQSPEFAIYRKEGNFSDLKEKVNAIEREKAEKFRKLQDASRSAQALVEQMVNEGVNADSIKQASEQLNSRWIEFCQLLSERLNWLEYQNNIITFYNQLQQLEQMTTTAENWLKTQPTTTSEPTAIKSQLKICKDEINRLSALQPQIERLKIQSIALKEKGQGPMFLDADFVAFTNHFNQVFADVQAREKELQTIFDSLPPMRYQETMSTILTWIQQSETKLSIPQVTVTEYDIMEQRLGELQALQSSLQEQQNGLNYLSTTVKEMSKKAPLSDISRKYQSEFEEIEGRWKKLSSQLVEHCQKLEEQMAKLRKIQNHIKTLKKWITEVDVFLKEEWPALGDSEILKRQLKQCRLLVNDIQTIQPSLNSVNEGAQKMKNEAEPEFAGRLETELRELNTQWDYMCRQVYARKEALKGGLDKTVSLQKDLSEMHEWMTQAEEEYLERDFEYKTPDELQTAVEEMKRAKEEAQQKEAKVKLLTESVNSVIAQAPPAAQEALKKELDTLTTNYQWLCTRLNGKCKTLEEVWACWHELLSYLEKANKWLSEVEVKLKTTENISGGAEEIAEVLDSLENLMQHSEDNPNQIRILAQTLTDGGVMDELINEELETFNSRWRELHEEAVRRQKLLEQSIQSAQEIEKSLHLIQESLSSIDKQLAAYIADKVDAAQMPQEAQKIQSDLTSHEISLEEMKKHNQGKETAQRVLSQIDVAQKKLQDVSMKFRLFQKPANFEQRLQESKMILDEVKMHLPALETKSVEQEVVQSQLNHCVNLYKSLSEVKSEVEMVIKTGRQIVQKKQTENPKELDERVTALKLHYNELGAKVTERKQQLEKCLKLSRKMRKEMNALTEWLAATDMELTKRSAVEGMPSNLDSEVAWGKATQKEIEKQKVHLKSVTEVGEALKTVLGKKEMLVEDKLSLLNSNWIAVTSRAEEWLNLLLEYQKHMENFDQNVDYITNWIIQADALLDESEKKKPQQKEDILKRLKAEMNDIRPKVDSTRDQAANLMANRGDHCRKVVEPKISELNHRFAAISHRIKTGKASIPLKELEQFNSDIQKLLEPLEAEIQQGVNLKEEDFNKDMSEDNEGTVKELLQRGDNLQQRITDERKREEIKIKQQLLQTKHNALKDLRSQRRKKALEISHQWYQYKRQADDLLKCLDDIEKKLASLPEPRDERKIKEIDRELQKKKEELNAVRRQAEGLSEDGAAMAVEPTQIQLSKRWREIESKFAQFRRLNFAQIHTVHEESVVAMTEDMPLEISYVPSTYLTEITHVSQALSEVEELLNAPDLCAQDFEDLFKQEESLKNIKDSLQQISGRIDIIHNKKTAALHSATPAERAKLQEALSRLDFQWERVNNMYKDRQGRFDRSVEKWRRFHYDMKILNQWLTEAEQFLKKTQIPENWEHAKYKWYLKELQDGIGQRQSVVRVLNATGEEIIQQSSKTDASILQEKLGSLNLRWQEVCKQLAERKKRLEEQKNILSEFQRDVNEFVLWLEEADNVANIPLEPGNEQQLKEKLEQVKLLAEELPLRQGILKQLNETGGTVLVSAPLSPEEQDKLENKLKQTNLQWIKVSRNLPEKQEEIEAHVKDLGQLEEQLNHLLLWLSPIRNQLEIYNQPNQTGPFDIKEIEVAVQAKQPDVEGILSKGQHLYKEKPATQPAK
XP_016858016.1 1 368 0.742514130434782 SH3-containing GRB2-like protein 3-interacting protein 1 isoform X21 653 0 11 368 0 Homo sapiens XP_016858016.1 1 RefSeq MMEGLKKRTRKAFGIRKKEKDTDSTGSPDRDGIQPSPHEPPYNSKAECAREGGKKVSKKSNGAPNGFYAEIDWERYNSPELDEEGYSIRPEEPGSTKGKHFYSSSESEEEEESHKKFNIKIKPLQSKDILKNAATVDELKASIGNIALSPSPVGAIKRNLSSEEVARPRRSTPTPELISKKPPDDTTALAPLFGPPLESAFDEQKTEVLLDQPEIWGSGQPINPSMESPKLTRPFPTGTPPPLPPKNVPATPPRTGSPLTIGPGASSPARPATPLVPCRSTTPPPPPPRPPSRPKLPPGKPGVGDVSRPFSPPIHSSSPPPIAPLARAESTSSISSTNSLSAATTPTVENEQPSLVWFDRGKFYLTFE
XP_016860487.1 1 294 0.196052040816327 PF15802.5:DCAF17:1:279 DDB1- and CUL4-associated factor 17 isoform X7 294 279 11 294 0 Homo sapiens XP_016860487.1 1 RefSeq MYSSGLVRLYSFQTIAEQFMQQKLDLGCACRWGGTTGTVGEAPFGIPCNIKITDMPPLLFEVSSLENAFQIGGHPWHYIVTPNKKKQKGVFHICALKDNSLAKNGIQEMDCCSLESDWIYFHPDASGRIIHVGPNQVKVLKLTEIENNSSQHQISEDFVILANRENHKNENVLTVTASGRVVKKSFNLLDDDPEQETFKIVDYEDELDLLSVVAVTQIDAEGKAHLDFHCNEYGTLLKSIPLVESWDVTYSHEVYFDRDLVLHIEQKPNRVFSCYVYQMICDTGEEEETINRSC
XP_016869311.1 1 281 0.164670818505338 PF06237.12:DUF1011:111:207 solute carrier family 52, riboflavin transporter, member 2 isoform X3 281 97 11 143 6 Homo sapiens XP_016869311.1 1 RefSeq MAAPTPARPVLTHLLVALFGMGSWAAVNGIWVELPVVVKELPEAAAFQGLLLLLPPPPSVPTGELGSGLQVGAPGAEEEVEESSPLQEPPSQAAGTTPGPDPKAYQLLSARSACLLGLLAATNALTNGVLPAVQSFSCLPYGRLAYHLAVVLGSAANPLACFLAMGVLCRSLAGLGGLSLLGVFCGGYLMALAVLSPCPPLVGTSAGVVLVVLSWVLCLGVFSYVKVAASSLLHGGGRPALLAAGVAIQVGSLLGAVAMFPPTSIYHVFHSRKDCADPCDS
XP_016871921.1 19 116 0.609612244897959 dnaJ homolog subfamily C member 12 isoform X1 116 0 11 98 0 Homo sapiens XP_016871921.1 1 RefSeq MHWVVRGKKDLMLEESDKTHTTKMENEECNEQRERKKEELASTAEKTEQKEPKPLEKSVSPQNSDSSGFADVNGWHLRFRWSKDAPSELLRKFRNYEI
XP_016872853.1 1 1456 0.679335782967033 centrosomal protein of 164 kDa isoform X1 2042 0 11 1456 0 Homo sapiens XP_016872853.1 1 RefSeq MAGRPLRIGDQLVLEEDYDETYIPSEQEILEFAREIGIDPIKEPELMWLAREGIVAPLPGEWKPCQDITGDIYYFNFANGQSMWDHPCDEHYRSLVIQERAKLSTSGAIKKKKKKKEKKDKKDRDPPKSSLETQPEEGLLPPSSFLCSLSPPSAPGLADLDLDQEMQAISEGSYNKGKSPGMLGDTPWRFMGALPRKLQPLSKGQPSQIHQVFADMEKILGRAPAQCRRELGDQQGLEKLQKATEKIYLGFSDPETEELEMRSRQQKLGTPAPQNTGLLQNMQDVVESRNQASVHSKLSEAIKGLPLKGEQHSHSLAKLSPTGPGGDKGQSPIPMSSPEEEPSLSSCSSGHMLPARKSKLLLDSSPTEDLSWQGVPGEGGSIGSGRRRREPPGLWMEQVSKLVNKDIPGSCKETEPSDPEALGASAEDLPQGLLLIPPETLASEPAQNPLLGRAPEEPPASEKRQALGSAELPYKDQKPSLSGPDLESSSSSSSNSNLASHLGSPVLDEVNNFPWNLQSSRGSEEGMAQSDSGLRDQHFSPFLDPHMSHMQSPDEEQSESEDYSEDQRFYQHILQMVKISRWPEGLGLPESMQDMPCRHSASTVCCMAAESSRMSSEGEHEAIRVMERDSRFLSWEPELLEHPQEVALAPAWQEASQQAHFQPSSSTLRQGLVQQSSSRGLTTEPGKMQHLNQALGSSLAPVHVPLGGLAPLRGLVDTPPSALRGSQSVSLGSSVESGRQLGELMLPSQGLKTSAYTKGLLGSIYEDKTALSLLGLGEETNEEDEEESDNQSVHSSSEPLRNLHLDIGALGGDFEYEESLRTSQPEEKKDVSLDSDAAGPPTPCKPSSPGADSSLSSAVGKGRQGSGARPGLPEKEENEKSEPKICRNLVTPKADPTGSEPAKASEKEAPEDTVDAGEEGSRREEAAKEPKKKASALEEGSSDASQELEISEHMKEPQLSDSIASDPKSFHGLDFGFRSRISEHLLDVDVLSPVLGGACRQAQQPLGIEDKDDSQSSQDELQSKQSKGLEESSLPALQMEGPDSAALPGLTRLSPPLPHEERAQSPPRSLATEEEPPQGPEGQPEWKEAEELGEDSAASLSLQLSLQREQAPSPPAACEKGKEQHSQAEELGPGQEEAEDPEEKVAVSPTPPVSPEVRSTEPVAPPEQLSEAALKAMEEAVAQVLEQDQRHLLESKQEKMQQLREKLCQEEEEEILRLHQQKEQSLSSLRERLQKAIEEEEARMREEESQRLSWLRAQVQSSTQADEDQIRAEQEASLQKLREELESQQKAERASLEQKNRQMLEQLKEEIEASEKSEQAALNAAKEKALQQLREQLEGERKEAVATLEKEHSAELERLCSSLEAKHREVVSSLQKKIQEAQQKEEAQLQKCLGQVEHRVHQKSYHVAGYEHELSSLLREKRQEVEGEHERRLDKMKEEHQQVMAKAREQYEAEER
XP_016875655.1 130 2328 0.592511914506594 PF00004.29:AAA:1866:1947 neuron navigator 3 isoform X6 2328 82 11 2199 0 Homo sapiens XP_016875655.1 1 RefSeq HHQQQYYQSLVELQQRVTHASPPSEASQAKTQQDMQSSLAARYATQSNHSGIATSQKKPTRLPGPSRVPAAGSSSKVQGASNLNRRSQSFNSIDKNKPPNYANGNEKDSSKGPQSSSGVNGNVQPPSTAGQPPASAIPSPSASKPWRSKSMNVKHSATSTMLTVKQSSTATSPTPSSDRLKPPVSEGVKTAPSGQKSMLEKFKLVNARTALRPPQPPSSGPSDGGKDDDAFSESGEMEGFNSGLNSGGSTNSSPKVSPKLAPPKAGSKNLSNKKSLLQPKEKEEKNRDKNKVCTEKPVKEEKDQVTEMAPKKTSKIASLIPKGSKTTAAKKESLIPSSSGIPKPGSKVPTVKQTISPGSTASKESEKFRTTKGSPSQSLSKPITMEKASASSCPAPLEGREAGQASPSGSCTMTVAQSSGQSTGNGAVQLPQQQQHSHPNTATVAPFIYRAHSENEGTALPSADSCTSPTKMDLSYSKTAKQCLEEISGEDPETRRMRTVKNIADLRQNLEETMSSLRGTQISHSTLETTFDSTVTTEVNGRTIPNLTSRPTPMTWRLGQACPRLQAGDAPSLGAGYPRSGTSRFIHTDPSRFMYTTPLRRAAVSRLGNMSQIDMSEKASSDLDMSSEVDVGGYMSDGDILGKSLRTDDINSGYMTDGGLNLYTRSLNRIPDTATSRDIIQRGVHDVTVDADSWDDSSSVSSGLSDTLDNISTDDLNTTSSVSSYSNITVPSRKNTQLRTDSEKRSTTDETWDSPEELKKPEEDFDSHGDAGGKWKTVSSGLPEDPEKAGQKASLSVSQTGSWRRGMSAQGGAPSRQKAGTSALKTPGKTDDAKASEKGKAPLKGSSLQRSPSDAGKSSGDEGKKPPSGIGRSTATSSFGFKKPSGVGSSAMITSSGATITSGSATLGKIPKSAAIGGKSNAGRKTSLDGSQNQDDVVLHVSSKTTLQYRSLPRPSKSSTSGIPGRGGHRSSTSSIDSNVSSKSAGATTSKLREPTKIGSGRSSPVTVNQTDKEKEKVAVSDSESVSLSGSPKSSPTSASACGAQGLRQPGSKYPDIASPTFRRLFGAKAGGKSASAPNTEGVKSSSVMPSPSTTLARQGSLESPSSGTGSMGSAGGLSGSSSPLFNKPSDLTTDVISLSHSLASSPASVHSFTSGGLVWAANMSSSSAGSKDTPSYQSMTSLHTSSESIDLPLSHHGSLSGLTTGTHEVQSLLMRTGSVRSTLSESMQLDRNTLPKKGLRYTPSSRQANQEEGKEWLRSHSTGGLQDTGNQSPLVSPSAMSSSAAGKYHFSNLVSPTNLSQFNLPGPSMMRSNSIPAQDSSFDLYDDSQLCGSATSLEERPRAISHSGSFRDSMEEVHGSSLSLVSSTSSLYSTAEEKAHSEQIHKLRRELVASQEKVATLTSQLSANAHLVAAFEKSLGNMTGRLQSLTMTAEQKESELIELRETIEMLKAQNSAAQAAIQGALNGPDHPPKDLRIRRQHSSESVSSINSATSHSSIGSGNDADSKKKKKKNWVNSRGSELRSSFKQAFGKKKSTKPPSSHSDIEELTDSSLPASPKLPHNAGDCGSASMKPSQSASASPLVWPPKKRQNGPVIYKHRSRICECTEAEAEIILQLKSELREKELKLTDIRLEALSSAHHLDQIREAMNRMQNEIEILKAENDRLKAETGNTAKPTRPPSESSSSTSSSSSRQSLGLSLNNLNITEAVSSDILLDDAGDATGHKDGRSVKIIVSISKGYGRAKDQKSQAYLIGSIGVSGKTKWDVLDGVIRRLFKEYVFRIDTSTSLGLSSDCIASYCIGDLIRSHNLEVPELLPCGYLVGDNNIITVNLKGVEENSLDSFVFDTLIPKPITQRYFNLLMEHHRIILSGPSGTGKTYLANKLAEYVITKSGRKKTEDAIATFNVDHKSSKELQQYLANLAEQCSADNNGVELPVVIILDNLHHVGSLSDIFNGFLNCKYNKCPYIIGTMNQGVSSSPNLELHHNFRWVLCANHTEPVKGFLGRYLRRKLIEIEIERNIRNNDLVKIIDWIPKTWHHLNSFLETHSSSDVTIGPRLFLPCPMDVEGSRVWFMDLWNYSLVPYILEAVREGLQMYGKRTPWEDPSKWVLDTYPWSSATLPQESPALLQLRPEDVGYESCTSTKEATTSKHIPQTDTEGDPLMNMLMKLQEAANYSSTQSCDSESTSHHEDILDSSLESTL
XP_016879396.1 1 519 0.669942196531791 rab11 family-interacting protein 3 isoform X2 519 0 11 519 0 Homo sapiens XP_016879396.1 1 RefSeq MASAPPASPPGSEPPGPDPEPGGPDGPGAAQLAPGPAELRLGAPVGGPDPQSPGLDEPAPGAAADGGARWSAGPAPGLEGGPRDPGPSAPPPRSGPRGQLASPDAPGPGPRSEAPLPELDPLFSWTEEPEECGPASCPESAPFRLQGSSSSHRARGEVDVFSPFPAPTAGELALEQGPGSPPQPSDLSQTHPLPSEPVGSQEDGPRLRAVFDALDGDGDGFVRIEDFIQFATVYGAEQVKDLTKYLDPSGLGVISFEDFYQGITAIRNGDPDGQCYGGVASAQDEEPLACPDEFDDFVTYEANEVTDSAYMGSESTYSECETFTDEDTSTLVHPELQPEGDADSAGGSAVPSECLDAMEEPDHGALLLLPGRPHPHGQSVITVIGGEEHFEDYGEGSEAELSPETLCNGQLGCSDPAFLTPSTDPLAAKLHSILTDEAFEFYCSQCHKQINRLEDLSARLSDLEMNSPTKRLSSKKVARYLHQSGALTMEALEDPSPELMEGPEEDIADKVSPRGLVAM
XP_016880416.1 1 219 0.0814324200913242 PF00153.27:Mito_carr:16:103,PF00153.27:Mito_carr:114:210 mitochondrial thiamine pyrophosphate carrier isoform X2 219 185 11 219 0 Homo sapiens XP_016880416.1 1 RefSeq MLTELVHRGSVYDAREFSVHFVCGGLAACMATLTVHPVDVLRTRFAAQGEPKVYNTLRHAVGTMYRSEGPQVFYKGLAPTLIAIFPYAGLQFSCYSSLKHLYKWAIPAEGKKNENLQNLLCGSGAGVISKTLTYPLDLFKKRLQVGGFEHARAAFGQVRRYKGLMDCAKQVLQKEGALGFFKGLSPSLLKAALSTGFMFFSYEFFCNVFHCMNRTASQR
XP_016881682.1 1 144 0.272546527777778 PF07213.11:DAP10:1:26 hematopoietic cell signal transducer isoform X1 144 26 11 144 0 Homo sapiens XP_016881682.1 1 RefSeq MIHLGHILFLLLLPVAAAQTTPGERSSLPAFYPGTSAQLQGTWDPPPRRGLPLCLWPKHSPRTQSLSCLPVPAPTLQALVPDVGPSLCRSWQASWLLMRWHRCSSWGRCSCAHAHAAAPPKKMAKSTSTCQAGADPPAAWTFDF
XP_017169171.1 1 505 0.0912548514851486 PF01490.18:Aa_trans:64:492 Sodium-coupled neutral amino acid transporter 3; N-system amino acid transporter 1; Na(+)-coupled neutral amino acid transporter 3; Solute carrier family 38 member 3; mNAT; System N amino acid transporter 1 505 429 11 253 11 Mus musculus (Mouse) SwissProt::Q9DCP2 1 SwissProt MEIPRQTEMVELVPNGKHLEGLLPVGVPTTDTQRTEDTQHCGEGKGFLQKSPSKEPHFTDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSSGIVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEKPASVWYMDGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFQVPCPLAHNLANATGNFSHMVVAEEKAQLQGEPDTAAEAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEVLPIYTELKDPSKRKMQHISNLSIAVMYVMYFLAALFGYLTFYDGVESELLHTYSKVDPFDVLILCVRVAVLIAVTLTVPIVLFPVRRAIQQMLFQNQEFSWLRHVLIATGLLTCINLLVIFAPNILGIFGIIGATSAPCLIFIFPAIFYFRIMPTDKEPARSTPKILALCFAAVGFLLMTMSLSFIIIDWVSGTSQHGGNH
XP_017175447.1 138 381 0.621754918032787 PF03826.17:OAR:219:235 Diencephalon/mesencephalon homeobox protein 1; Diencephalon/mesencephalon-expressed brain homeobox gene 1 protein; Orthodenticle homolog 3; Paired-like homeobox protein DMBX1; Paired-type homeobox Atx 381 17 11 244 0 Mus musculus (Mouse) SwissProt::Q91ZK4 1 SwissProt LQKQKEAEGSHGEGKVEAPASDTQLETEQPPGLPSGDPPAELQLSLSEQSASESAPEDQLDREEDSRAEEPKAEKSPGSESKVPGCKRGSPKADSPGSLAITPAAPGGGLLGPSHSYSSSPLSLFRLQEQFRQHMAATNNLMHYSSFEVGGPAPAAAAAAAAAVPYLGVNMAPLSSLHCQSYYQSLSAAAAAHQGVWGSPLLPAPPTGLAPASAALNSKTTSIENLRLRAKQHAASLGLDTLPN
XP_017456155.1 1 258 0.611377906976744 trans-acting T-cell-specific transcription factor GATA-3 isoform X1 444 0 11 258 0 Rattus norvegicus XP_017456155.1 1 RefSeq MEVTTDQPRWVSHHHPAVLNGQHPDTHHPGLGHSYMDPAQYPLTEEVDVLFNIDGQGNHVPSYYGNSVRATVQRYPPTHHGSQVCRPPLLHGSLPWLDGGKALSSHHTASPWNLSPFSKTSIHHGSPGPLSVYPPASSSSLAAGHSSPHLFTFPPTPPKDVSPDPSLSTPGSAGSARQDEKECLKYQVQLPDSMKLETSHSRGSMTTLGGASSSAHHPITTYPPYVPEYSSGLFPPSSLLGGSPTGFGCKSRPKARSS
XP_023431253.1 1 370 0.177962162162162 PF00067.22:p450:188:363 Cytochrome P450 monooygenase 2; P450-2; Gibberellin 20-oxidase; EC 1.-.-.- 481 176 11 347 1 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0E9M0 1 SwissProt MSIFNMITSYAGSQLLPFYIAIFVFTLVPWAIRFSWLELRKGSVVPLANPPDSLFGTGKTRRSFVKLSREILAKARSLFPNEPFRLITDWDFADEIRNDPRLSFSKAAMQDNHAGIPGFETVALVGREDQLIQKVARKQLTKHLYIIARISSRIYLGDQLCRNEAWLKITKTYTTNFYTASTNLRMFPRSIRPLAHWFLPECRKLRQERKDAIGIITPLIERRRELRRAAIAAGQPLPVFHDAIDWSEQEAEAAGTGASFDPVIFQLTLSLLAIHTTYDLLQQTMIDLGRHPEYIEPLRQEVVQLLREEGWKKTTLFKMKLLDSAIKESQRMKPGSIVTMRRYVTEDITLSSGLTLKKGTRLNVDNRRLD
XP_023431254.1 1 440 0.216561363636364 PF00067.22:p450:109:418 Cytochrome P450 monooygenase 1; P450-1; Gibberellin cluster GA14 synthase; EC 1.-.-.- 440 310 11 440 0 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0E2U7 1 SwissProt MSPDKPFRIMGDVGELHILPPKYAYEVRNNEKLSFTMAAFKWFYAHLPGFEGFREGTNESHIMKLVARHQLTHQLTLVTGAVSEECALVLKDVYTDSPEWHDITAKDANMKLMARITSRVFLGKEMCRNPQWLRITSTYAVIAFRAVEELRLWPSWLRPVVQWFMPHCTQSRALVQEARDLINPLLERRREEKAEAERTGEKVTYNDAVEWLDDLAREKGVGYDPACAQLSLSVAALHSTTDFFTQVMFDIAQNPELIEPLREEIIAVLGKQGWSKNSLYNLKLMDSVLKESQRLKPIAIASMRRFTTHNVKLSDGVILPKNKLTLVSAHQHWDPEYYKDPLKFDGYRFFNMRREPGKESKAQLVSATPDHMGFGYGLHACPGRFFASEEIKIALSHILLKYDFKPVEGSSMEPRKYGLNMNANPTAKLSVRRRKEEIAI
XP_023431255.1 1 500 0.1880026 PF00067.22:p450:66:486 Ent-kaurene oxidase P450-4; Cytochrome P450 monooygenase 4; P450-4; Gibberellin cluster kaurene oxidase; EC 1.14.14.86 500 421 11 480 1 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0E3D0 1 SwissProt MPLMDVHWLIYVAFGAWLCSYVIHVLSSSSTVKVPVVGYRSVFEPTWLLRLRFVWEGGSIIGQGYNKFKDSIFQVRKLGTDIVIIPPNYIDEVRKLSQDKTRSVEPFINDFAGQYTRGMVFLQSDLQNRVIQQRLTPKLVSLTKVMKEELDYALTKEMPDMKNDEWVEVDISSIMVRLISRISARVFLGPEHCRNQEWLTTTAEYSESLFITGFILRVVPHILRPFIAPLLPSYRTLLRNVSSGRRVIGDIIRSQQGDGNEDILSWMRDAATGEEKQIDNIAQRMLILSLASIHTTAMTMTHAMYDLCACPEYIEPLRDEVKSVVGASGWDKTALNRFHKLDSFLKESQRFNPVFLLTFNRIYHQSMTLSDGTNIPSGTRIAVPSHAMLQDSAHVPGPTPPTEFDGFRYSKIRSDSNYAQKYLFSMTDSSNMAFGYGKYACPGRFYASNEMKLTLAILLLQFEFKLPDGKGRPRNITIDSDMIPDPRARLCVRKRSLRDE
XP_417496.5 1 326 0.33224018404908 PF00688.18:TGFb_propeptide:64:314 bone morphogenetic protein 7 465 251 11 326 0 Gallus gallus XP_417496.5 1 RefSeq MPEGRVPRRGSPAPPAPPPSRRRRRRRLAAMPVGRGQPASWLLVLGAAAPALWLRCVLADFTLDNEVHSSFIHRRLRGPERREMQREILSILGLPHRPRPHLHGKHNSAPMFMLDLYNAMSVEEGGAGAAAEEGEGFSYPYKPIFSTQGPPLASLQDSNFLTEADMVMSFVNLVEHDREFHHQRCHYREFRFDLSRIPEGEEVTAAEFRIYKDYIRERFDNETFQISVYQVLQEHPGRDSDLFLLDSRTIWAAEEGWLVFDITATSNHWVVNPQHNLGLQLSVESIDGQSINPKLAGLIGRHGPQNKQPFTVAFFKATEVHLRSIR
XP_712429.2 108 493 0.076900518134715 PF03727.16:Hexokinase_2:133:384,PF00349.21:Hexokinase_1:4:123 N-acetylglucosamine kinase 1; GlcNAc kinase 1; Hexokinase 1; EC 2.7.1.59; EC 2.7.1.1 493 372 11 386 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59RW5 1 SwissProt PNLSRSERITIVVEKSWIIGNDFKRIDGEFFKYIGSKINEILMGQNVIDVKSVINTGITWSFPLETTDYNRGKIKHVSKGYTVGEDIYDKDLKMVLEDTLRQEYGLTLDVQSILNDSLAVYSAGCFIDSKMKLAMVLGTGINMCCSLKRSSDIHPSKMLADATLFNCELSLFGQNLCKDFATKYDIIIDKRFAGLSHHFKTFMEPDPITKTLFQPHELMTSGRYLPELTRLVVVDLIEAGEIFQNVDHQQMYQEYGGFSGELICFVHENDDYDDIHDKLCKAYGWTTVGLSDIVCLKEVVSCIIKRAAFIVANAIIAFFKLLGSDELGGDVTIGYVGSVLNYFHKYRRLIVEYVNSAEEAKGIKVDLKLIENSSIIGAAIGAAYHK
XP_747157.2 1 309 0.274229449838188 PF05721.13:PhyH:52:239 Dioxygenase af480; Fumagillin biosynthesis cluster C-6 hydroxylase; Fma-C6H; EC 1.14.11.- 309 188 11 309 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WAZ3 1 SwissProt MLGRHECTISESVSALDPTAQEPEYTLVPSTSRDLVRDMTLNMEDAQAHLKEHGWVKIPAVLSKAEAEDALSRLWEAKAASEARGECTFQPILDPNPANVRVFYLPELDAYWRDMLVNPTALDLAKSLLGDQLLVSNFSANIARPGAESMALHSDQSIVLPAPWLDVWAVNVIWCLTRMTKENGATLYIPGSNKWTTWEDVPDNAPDLLVPFEADAGDIVVIDGRLWHTSGSNVTEDEDRAILFAYYSAPHMRPLTNWSAKLPKELQETLSPQLKELLALSHIGYVVKGDLTYMAQKYPSEKGTTAVSA
XP_747158.1 1 275 0.256516 PF13826.6:DUF4188:113:235 Monooxygenase af470; Fumagillin biosynthesis antibiotic biosynthesis monooxygenase superfamily monooxygenase; Fma-ABM; EC 1.-.-.- 275 123 11 275 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WAZ2 1 SwissProt MDQSMKPLLSPTERPRRHLTASVISFFLPNQFRLSTILCIGALLQTILCAVLPLRYAAVPCVTVLLISVLTTIQECFQPNTNSFMADVIRGRTTAQIPGKDGTHGREPGKGSVVVFHLGIQYNHPLGVFAPHMREISNRFLAMQQDILRRKDELGLLAVQNWRGSERDSGNTTLIKYFFKDVESIHKFAHEPLHKETWTYYNQHHPGHVGIFHETFITKDGGYESMYVNCHPILLGRGEVKVNNRKDGTEEWVGTLVSADTPGLKSFKARLGRDD
XP_750882.1 219 544 0.662759202453988 PF08601.10:PAP1:27:325 bZIP transcription factor AP-1/Yap1, putative 615 299 11 326 0 Aspergillus fumigatus Af293 XP_750882.1 1 RefSeq EYRKRLSWVTSTSGLSPVNAIPGAYSKGMYGLNNNEFMFDFPKFGDLPGSHLFTNTQTSKSNQNKAKDNPTATPRSEAQVPGVLNRNDLKISSPNGLSNGPSPAKSTPSGQTPNSQTSTRPGSGTLNGAVDNNGAARGYQVNSSYSASTKQATHDTPSSDSPSSSSDSHQSQLLSSNGTSPEPSLHSPAVKATESSTPHACTYTTINGEESFCAQLSMACGNINNPIPAVRQNSESASNTPSHANSSDKALGLDFFAQQNGGQFDPVLFGDWREPQDAILSQDFGTFFDDAFPLPDLGSPSHNFSEATKQPAAPKKDLIAEIDSKL
XP_751118.1 1 1904 0.181216806722688 PF02364.15:Glucan_synthase:845:1662,PF14288.6:FKS1_dom1:336:443 1,3-beta-glucan synthase catalytic subunit FksP 1904 926 11 1588 14 Aspergillus fumigatus Af293 XP_751118.1 1 RefSeq MSGYQQGGGHYNDGYGHQEHGDSFYQDEHGQAYYDHDYGDGYYDRSGYYGPDSNHNQQEGGYYDAGQPHDDYYGDHYYDQGNGQQGYDNRGRRRGDSEEDSETFSDFTMRSETARAADMDYYGRGDERYNSYADSQYGGRGYGYRPPSSQISYGANRSSGASTPVYGMDYGNALPAGQRSREPYPAWASDGQVPVSKEEIEDIFLDLVNKFGFQRDSMRNMYDHLMTMLDSRASRMTPNQALLSLHADYIGGDNANYRRWYFAAHLDLDDAVGFANMKLGKADRKTRKARKAAKKAAQQNPENVEETLEALEGDNSLEAAEYRWKTRMNKMSQHDRVRQLALFLLCWGEANQVRFLPECLCFIFKCADDYYNSPECQNRVEPVEEFTYLNEIITPLYQYCRDQGYEIVDGKYVRRERDHNQIIGYDDMNQLFWYPEGIERIALEDKTRLVDIPPAERWTKLKDVVWKKAFFKTYKETRSWFHMITNFNRIWVIHLGAFWFFTAFNAQSLYTDNYQQQVNNKPPGYRIWSAVGFGGALVSFIQIAATICEWMYVPRRWAGAQHLTKRLMFLILVFVINLAPGVFVFAYSKSMGISKTIPLIVGIVHFFVALATFVFFSVMPLGGLFGSYLKKHGRQYVASQTFTASFPRLHGNDMWMSYGLWVCVFGAKLAESYFFLTLSFKDPIRILSPMQIHQCAGVKYIGNVLCHKQPQILLGLMFFMDLTLFFLDSYLWYIICNTVFSVARSFYLGVSIWSPWRNIFSRLPKRIYSKVLATTDMEIKYKPKVLISQVWNAIIISMYREHLLAIDHVQKLLYHQVPSEQEGKRTLRAPTFFVSQEDQSFKTEFFPPGSEAERRISFFAQSLSTPMPEPLPVDNMPTFTVLIPHYSEKILLSLREIIREDEPYSRVTLLEYLKQLHPHEWDCFVKDTKILADETSQFNGEPEKSEKDVAKSKIDDLPFYCIGFKSAAPEYTLRTRIWSSLRSQTLYRTVSGFMNYSRAIKLLYRVENPEVVQMFGGNSEKLERELERMARRKFKIVVSMQRYAKFNKEERENTEFLLRAYPDLQIAYLDEEPPVNEGEEPRLYSALIDGHCELLENGMRKPKFRIQLSGNPILGDGKSDNQNHSIIFYRGEYIQVIDANQDNYLEECLKIRSVLAEFEELTTDNVSPYTPGIPSTNTNPVAILGAREYIFSENIGVLGDVAAGKEQTFGTLFARTLAQIGGKLHYGHPDFLNGIFMTTRGGISKAQKGLHLNEDIYAGMNAMIRGGRIKHCEYYQCGKGRDLGFGSILNFTTKIGTGMGEQMLSREYYYLGTQLPLDRFLSFYYAHPGFHINNMFIMLSVQMFMIVLINLGALKHETITCRYNPDLPITDPLRPTYCANLTPIVDWVNRCIISIFIVFFISFVPLAVQELTERGVWRMAMRLAKHFGSVSFMFEVFVCQIYANAVHQNLSFGGARYIGTGRGFATARIPFGVLYSRFAGPSIYAGARSLLMLLFATSTVWTAALIWFWVSLLALCISPFLFNPHQFAWNDFFIDYRDYLRWLSRGNSRSHASSWIGFCRLSRTRITGYKRKLLGVPSEKGSGDVPRARLTNIFFSEIIAPLVLVAVTLVPYLYINSRTGVRDNPEPTDAILRLAIVAAGPIAINAGVAGVFFGMACCMGPIFSMCCKKFGAVLAAIAHAIAVIVLLAIFEVMFFLESWSWPRMLIGMIAAAAIQRFIYKLIIALALTREFKHDQSNIAWWTGKWYNMGWHSMSQPGREFLCKITELGYFSADFVLGHVLLFAMLPALCVPFIDKFHSVMLFWLRPSRQIRPPIYSLKQSKLRKRRVIRFAILYFGMLILFLVLLIAPLVVRSMGLVKTPNLPFNLLQPLDKDNNDTMVTYTGNNIPAGFEPVESASSVATATS
XP_751868.1 1 304 0.348493092105263 PF13933.6:HRXXH:29:249 major allergen Asp F2 304 221 11 304 0 Aspergillus fumigatus Af293 XP_751868.1 1 RefSeq MAALLRLAVLLPLAAPLVATLPTSPVPIAARATPHEPVFFSWDAGAVTSFPIHSSCNATQRRQIEAGLNEAVELARHAKAHILRWGNESEIYRKYFGNRPTMEAVGAYDVIVNGDKANVLFRCDNPDGNCALEGWGGHWRGANATSETVICDRSYTTRRWLVSMCSQGYTVAGSETNTFWASDLMHRLYHVPAVGQGWVDHFADGYDEVIALAKSNGTESTHDSEALQYFALEAYAFDIAAPGVGCAGESHGPDQGHDTGSASAPASTSTSSSSSGSGSGATTTPTDSPSATIDVPPVRTVRIS
XP_753095.2 1 743 0.10721197846568 PF01794.19:Ferric_reduct:273:389,PF08030.12:NAD_binding_6:593:720,PF00175.21:NAD_binding_1:598:719 Ferric/cupric reductase transmembrane component B; Ferric reductase B; Ferrireductase B; Ferric-chelate reductase B; Metalloreductase freB; EC 1.-.-.- 743 245 11 585 7 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WR75 1 SwissProt MLLVSCLIILLHLQNALSQIIPPNERCVTAVYTAYEYLSFSGQPNKGLWAPRCRNRLHVLSIYAASDLYCSDAEREAGFAQLDDQCRQYAGVDLIPRQEFAPNLTHEAISQMRVVEFGELPKKGPLDTPILISKSYYSRVFRTIDAWQFELWSHYAFGYLGYAYWTVVIAAGALHKLVLHAISVKRAPSLPPFPFLLLIYYWIQTNLIIPAPLASSRRRLLWWTFPGRIHAIVVLLFWILSIVLCLIGYRTFSDNIYWPDISAQLLRYVADRTGILSFANVPLLWLFAGRNNIFIWATGWSYSTFNIFHRHVAWIATLQAVVHTILYTVLFIQSGNAWKKMQKPYLLWGTLAMLAMILVFPFAVDWFRRRTYETFLVLHILFSVVALVGCFYHVIIFEDHEYWFYLWPAVVIWVSDRVLRLIRIVYCNLHVQLGSRSRFQCTECVAAYDKDADIIHLELTPGSGLQPAPGQYYFLYQPFRLTGWESHPFTLGYWSYNDGAPSTQCRSLKRDTTTDVSEIPLLPDTPSSGSDYGSIDTSTDPPERKLALRFWIRPYDGWTRHLRDQCLQSPTRIIQPNILLEGPYGEQCPLWKYESVLLIAGGTGIAAAVPYIQDHILRSSTGQTSTQSIHLVWTARQPALLRDIAGRELKQALSRKDFRVSFYVTSESASQGAIMDGVEFACGRPDLQAIITAHAEEARLGSSSVLVLVCGPSGMAGLARAAVHQAMRWGCRSLRYVEESFDW
XP_957214.2 184 621 0.717284018264841 pH-response transcription factor pacC/RIM101 621 0 11 438 0 Neurospora crassa OR74A XP_957214.2 1 RefSeq ADDSVLVGRSPQDQNGGMNGAYRAQAPVHKAPSGFYDHNGHMRGTNQVPFGQPHQNGQASYYHAQYPASQPYHAPMYYPAQTMGGQRNDFTGHQAAPFDARKRQFDDLNDFFGSVKRRQINPTSYESVGRALMPLHAPLGLHSGGLATEYMAQPPHTLGMASAHHPLTQHYYLPPMPNLRTKEDLQQMDHFLEQMQATVYENTAVDMRHHSPTYATRPSIDPYHGASLASPLSATSPHSAGTPAVTPTPSNMSYTSGHSPSTSSTSLSPTSRHSSTPSVSYPTLPSRPGLPYPSTSGLGSNFTHNERRLSGGVLQSARRAADEADRAPTPKASEQATVSSPSEDSETGDVNGPETYDDWLQHMRVIEYLRQGIRARLERQDFDEDDTSRIDPMVLESSDRNQQQRNQQQQQQKSPNEPTAAGPSAPEKPLYPVLPRIN
XP_963796.3 1 739 0.73052395128552 PF08550.10:DUF1752:169:196 Nitrogen catabolic enzyme regulatory protein; Nitrogen regulatory protein 2; NIT2 1036 28 11 739 0 Neurospora crassa (strain ATCC 24698 / 74-OR23-1A / CBS 708.71 / DSM 1257 / FGSC 987) SwissProt::P19212 1 SwissProt MAASTTTPTATTRPFFTMNPTTTEHDFRFPRRPGDSMAGTGLGGAAMSSSSANNNHNQHHPMSAFNHHHHHNAAGSARGRDSDGRPSSSNNNTSNGFVANINHQSSSNNNISKNIPPPTSDYHTQSASNGAAAYDLLRSSAFPPFQDGLAGMTQSPDEMQKQDPLATQVWKYFAKTKLALPNQERMENLTWRMMAKPLQTYRRQMETDRTHRFSESAPQKSTSGIARLRKSSEQTQSQGSDLMNLDDFINGENISTPAGLSLAPSPETSSKMADDRTAHHSTASAIPIKARKDQQSQHMIPQSVPAALHHPRMQTEFGYLPRHLRKTSIDETSKRNPNRKRPADFSPHVSAVTPSYVTNGLDADTDLHDYSLDHTSHDGLPPQTAPSSVPYALDTVGLDADTFITSAGPFQQNFSFSPSTSPMVSHDPFTAMFGPNNSSMHSGPINGNNFYSPPASAFQSTASTPHPMNEGGDNFYFGVDMRRARQQPYQPGNHGMGNAMAHQFPYAGNGNMMFPASSAGQDPTPSFAAPNSFSGHIDPTQVFHNEQAVRSPGMSVLQDSLFTFGAESDGDEEDGGAFADRNLSISHDFSSQGMEEPAFDSPSMGWDPSLPGNFSTQAARYPGGPPRKQVTIGATTTDYVDNTGEWDGSGLPRSQSQSFRQSDLRKGKMSRTASTPGLSARMNPFERLAQSASHSPPADVGRSSGLSSVPASRPSSPPPGAKQGSTTNLQGAAGNSTDT
YP_001129435.1 1 489 0.154535787321063 PF06126.11:Herpes_LAMP2:3:489 Protein K15 489 487 11 248 11 Human herpesvirus 8 type P (isolate GK18) (HHV-8) (Kaposi's sarcoma-associated herpesvirus) SwissProt::Q9QR69 1 SwissProt MKTLIFFWNLWLWALLVCFWCITLVCVTTNSIDTMASLLVMCILFVSAINKYTQAISSNNPKWPSSWHLGIIACIVLKLWNLSTTNSVTYACLITTAILSLVTAFLTLIKHCTACKLQLEHGILFTSTFAVLMTNMLVHMSNTWQSSWIFFPISFTLSLPFLYAFATVKTGNIKLVSSVSFICAGLVMGYPVSCCKTHTCTATAAGLSLSSIYLGFTGIISTLHKSWAPPKRGILTFLLLQGGVLTTQTLTTELLAITSTTGNIKGHEILLLVCLIFLWCLYVWQSFNKASLVTGMLHLIAAWSHTGGCVQLVMLLPSGLTRGILTMIICISTLFSTLQGLLVFYLYKEKKVVAVNSYRQRRRRIYTRDQNLHHNDNHLGNNVISPPPLPPFFRQPVRLPSHVTDRGRGSQLLNEVELQEVNRDPPNVFGYASILVSGAEESREPSPQPDQSGMSILRVDGGSAFRIDTAQAATQPTDDLYEEVLFPRN
YP_002302224.1 1 198 0.524126262626263 PF01525.16:Rota_NS26:1:198 Non-structural protein 5; NSP5; NS26 198 198 11 198 0 Rotavirus A (isolate RVA/Monkey/South Africa/SA11-H96/1958/G3P5B[2]) (RV-A) (Simian Agent 11 (isolate SI/South Africa/H96/58)) SwissProt::A2T3Q9 1 SwissProt MSLSIDVTSLPSIPSTIYKNESSSTTSTLSGKSIGRSEQYISPDAEAFNKYMLSKSPEDIGPSDSASNDPLTSFSIRSNAVKTNADAGVSMDSSAQSRPSSNVGCDQVDFSLNKGLKVKANLDSSISISTDTKKEKSKQNHKSRKHYPRIEAESDSDDYVLDDSDSDDGKCKNCKYKKKYFALRMRMKQVAMQLIEDL
YP_002344395.1 1 293 0.100185324232082 PF03466.20:LysR_substrate:90:287,PF00126.27:HTH_1:4:61 transcriptional regulator 293 256 11 293 0 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002344395.1 1 RefSeq MKIKDIEIFLDLLNTQSPTHTANNFSITQPNVSIVIKNLENKFDGILFERLGKKLLPTPKALELGKNWLKIIQAYYQSLEKLNDESMLLGEIKIASTQSISEHFLAPILFDFKAEFKNIEIRSQTQNSKECLNLLKNGNIEFAIIEAELDPALVEYENLEINFWQDDELIVATSDKKLNEKEFYIDELLEQKWILREVGSGLRDKFLNEIGASSKKLNIFLELDRMAAIKELVLQKKAISIFSKKSIEKELKNSTLYEIKLKNIDLKRKFYTLKRKNYNFNRALEKFEKIFKS
YP_002516753.1 1 177 0.681147457627118 PF10691.9:DUF2497:105:173 pole-organizing protein popZ 177 69 11 177 0 Caulobacter vibrioides NA1000 YP_002516753.1 1 RefSeq MSDQSQEPTMEEILASIRRIISEDDAPAEPAAEAAPPPPPEPEPEPVSFDDEVLELTDPIAPEPELPPLETVGDIDVYSPPEPESEPAYTPPPAAPVFDRDEVAEQLVGVSAASAAASAFGSLSSALLMPKDGRTLEDVVRELLRPLLKEWLDQNLPRIVETKVEEEVQRISRGRGA
YP_009137086.1 1 626 0.460960223642172 PF01771.17:Viral_alk_exo:35:610 Alkaline nuclease; EC 3.1.-.- 626 576 11 626 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P04294 1 SwissProt MESTVGPACPPGRTVTKRPWALAEDTPRGPDSPPKRPRPNSLPLTTTFRPLPPPPQTTSAVDPSSHSPVNPPRDQHATDTADEKPRAASPALSDASGPPTPDIPLSPGGTHARDPDADPDSPDLDSMWSASVIPNALPSHILAETFERHLRGLLRGVRAPLAIGPLWARLDYLCSLAVVLEEAGMVDRGLGRHLWRLTRRGPPAAADAVAPRPLMGFYEAATQNQADCQLWALLRRGLTTASTLRWGPQGPCFSPQWLKHNASLRPDVQSSAVMFGRVNEPTARSLLFRYCVGRADDGGEAGADTRRFIFHEPSDLAEENVHTCGVLMDGHTGMVGASLDILVCPRDIHGYLAPVPKTPLAFYEVKCRAKYAFDPMDPSDPTASAYEDLMAHRSPEAFRAFIRSIPKPSVRYFAPGRVPGPEEALVTQDQAWSEAHASGEKRRCSAADRALVELNSGVVSEVLLFGAPDLGRHTISPVSWSSGDLVRREPVFANPRHPNFKQILVQGYVLDSHFPDCPPHPHLVTFIGRHRTSAEEGVTFRLEDGAGALGAAGPSKASILPNQAVPIALIITPVRIDPEIYKAIQRSSRLAFDDTLAELWASRSPGPGPAAAETTSSSPTTGRSSR
YP_081578.1 1 169 0.228216568047337 Protein UL138 169 0 11 146 1 Human cytomegalovirus (strain Merlin) (HHV-5) (Human herpesvirus 5) SwissProt::F5HGQ8 1 SwissProt MDDLPLNVGLPIIGVMLVLIVAILCYLAYHWHDTFKLVRMFLSYRWLIRCCELYGEYERRFADLSSLGLGAVRRESDRRYRFSERPDEILVRWEEVSSQCSYASSRITDRRAGSSSSSSVHVANQRNSVPPPDMAVTAPLTDVDLLKPVTGSATQFTTVAMVHYHQEYT
YP_095994.1 1 644 0.28574099378882 PF04488.15:Gly_transf_sug:42:147,PF12919.7:TcdA_TcdB:30:82,PF05704.12:Caps_synth:100:191 Subversion of eukaryotic traffic protein A; Effector protein SetA; Subversion of eukaryotic vesicle trafficking A; EC 2.4.1.- 644 162 11 644 0 Legionella pneumophila subsp. pneumophila (strain Philadelphia 1 / ATCC 33152 / DSM 7513) SwissProt::Q5ZU30 1 SwissProt MYKIYSYLGWRIDMKTENLPQAGQEAQIDKKIHFIWVGHIMPQKNIQVVSEWAEKNPGYETIIWVDKKIAPAKELDLFILDMKSKGITVKDINEEGVCRDSIRHELDQESPNYGMVSDMLRLNILAAEGGIYLDSDILCSAPFPDEIYAPFGFLLSPWSQGANNTLCNDIILCSKGNQIIQQLADAIEQSYIARDSFEFTHEYASMKETKGERIAKTLGVTGPGFLFHQLKKMGILNDKSEMEAIHWELQDQRYLIDGSVKEPDYFYVPQNNTNDASWVPSIKRPGIENMSFQERLENAVQLIAFDIQKTGLFNLDHYANELKVKQNSWCIAAETSPELKPDSYLLIRPRDKTGEWTLYYVDEDKKLNPVTLPVIKGAIKLSEVSDPLRKFHTLLSQVSDPVNPTAHELKQIGRALIELKPRQDEWHCKNKWSGAEEIAQELWQRITSNETLRAQIKQCFTQFESLKPRVAELGLTRASGAGTEVEAHESTVKEQEIISQNTVGEEGTKEKNSVQLASENSSDEKIKTAHDLIDEIIQDVIQLDGKLGLLGGNTRQLEDGRVINIPNGAAMIFDDYKKYKQGELTAESALESMIKIAKLSNQLNRHTFFNQRQPETGQFYKKVAAIDLQTTIAAEYDNNHGLRI
YP_170079.1 1 365 0.329203013698631 PF13462.6:Thioredoxin_4:153:318,PF01323.20:DSBA:156:290,PF01346.18:FKBP_N:59:127 lipoprotein 365 235 11 365 0 Francisella tularensis subsp. tularensis SCHU S4 YP_170079.1 1 RefSeq MTKKKLLKALAVAAIATSLVACSDSSSNDKTLTTAVSSGSSVATTTVAAPADNTNVTANASYIIGYGMGSSIATDKNIKTFNLNNDKVMAGFEDAINAKKPAIPLEDIANNMNTLRDKMQQQMNQKAVTSFLSVQDGIYNSDLTPKSDIKNPDVVVYEFFDYQCMYCSKLAPEIEKIMKDNSDVQVVFAEFPIFGQKLPASEYAAEVSTAIYKLYGADAYVKYHNGIFATGEDEGSLKNATVDNVAKQAGADMTKVNKAIQDDKIADHLKDMLKMGFGQLGIQGTPFLVIAPAKNATVANTTIIGGYTTADGIQAAINKAKSTATTTSTSNNGQTDTKQAQNDIATVTAEAQATSGSTEQLAQPR
YP_177704.1 1 359 0.136123398328691 PF00823.19:PPE:8:164 PPE family protein PPE2 556 157 11 359 0 Mycobacterium tuberculosis H37Rv YP_177704.1 1 RefSeq MTAPIWMASPPEVHSALLSSGPGPGPLLVSAEGWHSLSIAYAETADELAALLAAVQAGTWDGPTAAVYVAAHTPYLAWLVQASANSAAMATRQETAATAYGTALAAMPTLAELGANHALHGVLMATNFFGINTIPIALNESDYARMWIQAATTMASYQAVSTAAVAAAPQTTPAPQIVKANAPTAASDEPNQVQEWLQWLQKIGYTDFYNNVIQPFINWLTNLPFLQAMFSGFDPWLPSLGNPLTFLSPANIAFALGYPMDIGSYVAFLSQTFAFIGADLAAAFASGNPATIAFTLMFTTVEAIGTIITDTIALVKTLLEQTLALLPAALPLLAAPLAPLTLAPASAAGGFAGLSGLAG
YP_888484.1 1 69 0.298489855072464 Universal stress protein MSMEG_4207; Usp 130 0 11 69 0 Mycolicibacterium smegmatis (strain ATCC 700084 / mc(2)155) (Mycobacterium smegmatis) SwissProt::A0QZZ6 1 SwissProt MIVVGYSADPFGRAAVEHGIEEAKRRDTGLLVINATAGDAYVDARFARSGEVHDVEAHLQDSGVPFEIR
metacyc::MONOMER-20179 1 315 0.0057663492063492 PF03916.14:NrfD:11:312 SirD quinol--ferredoxin oxidoreductase 315 302 11 131 8 Shewanella oneidensis (strain MR-1) metacyc::MONOMER-20179 0 metacyc MDTSMDFTLGLSQGVAWPWPIAVYLFFAGISGGALTIALALRFYLGQVENTAFLKAATLLSFVTISLGMLCLVLDLTNPLFFWRILVFYNLNSVMSIGVIALSVYIPLVAVVALLALEKELMAIPQLKFLAPIIAKLKGFRRPMEALALVLALSVCAYTGFLISALIRFPLINTSVLPALFIASGLSAGGASAKMLAVWLFKEPLHSGEMKILHGAEWPIMFAEAMFIFMIATALLTGNAGGQFAFAAFHEGVWASVFWIGVVGIGFAAPLLLNFATGKHFSHSAKAFYMSGMCAVVGMMCLRLFILLAGQNYAI
BRENDA::Q07175 1 758 0.205922823218997 PF05090.14:VKG_Carbox:66:504 peptidyl-glutamate 4-carboxylase (EC 4.1.1.90) 758 439 10 643 5 Bos taurus BRENDA::Q07175 1 BRENDA MAVSARPARAPRGSDKVKKDKAAQTSGPRQGSRMGKLLGFEWTDVSSWERLVTLLNRPTDPAGLAVFRFLFGLMMVLDIPQERGLSSLDRRYLDGLEVCRFPLLDALQPLPLDWMYLIYTIMFLGALGMMLGLCYRISCVLFLLPYWYVFLLDKTSWNNHSYLYGLLAFQLTFVDAHHYWSVDGLLRARKRNAHVPLWNYAVLRGQIFIVYFIAGIKKLDADWVEGYSMEYLSRHWLFSPFKLVLSEEMTSLLVVHWCGLLLDLSAGFLLFFDASRPIGFVFVSYFHCMNSQLFSIGMFPYVMLASSPLFCSPEWPRKLVAHCPKKLQELLPLRTAPQPSTSCMYKRSRARGSQKPGLRHKLSTAFTLLYLLEQLFLPYSHFLTQGYNNWTNGLYGYSWDMMVHSRSHQHVKITYRDGRTGELGYLNPGVFTQSRRWKDHADMLKQYATCLSRLLPKYNVTEPQIYFDIWVSINDRFQQRIFDPRVDIVQAAWSPFQRTPWLQPLLMDLSPWRTKLQEIKSSLDNHTEVVFIADFPGLHLENFVSEDLGNTSIQLLQGEVTVELVAEQKNQTLQEGEKMQLPAGEYHKVYTVSSSPSCYMYIYVNTTEVALEQDLAYLQELKEKVENGSETGPLPPELQPLLEGEVKGGPEPTPLVQTFLRRQQRLQEIERRRNAPFHERLVRFLLRKLFIFRRSFLMTCISLRNLAFGRPSLEQLAQEVTYANLRPFEPAGEPSPVNTDSSNPNPPEPDSHPVHSEF
CharProtDB::CH_123680 1 105 0.239485714285714 PF00332.18:Glyco_hydro_17:22:104 potential glycosyl hydrolase 105 83 10 105 0 Candida albicans CharProtDB::CH_123680 1 CharProtDB MAVNAHAFFDGHVAAENSGPWVLQQIQRVWTACSGKKNVLITETGWPSKGDSNGLAVPSKSNQQAAISSIKSSCGASALLFTAFNDLWKADGPYNAEKYWGIYSN
NP_000529.1 1 213 0.655900469483568 PF15289.6:RFXA_RFXANK_bdg:140:213 Regulatory factor X-associated protein; RFX-associated protein; RFX DNA-binding complex 36 kDa subunit 272 74 10 213 0 Homo sapiens (Human) SwissProt::O00287 1 SwissProt MEAQGVAEGAGPGAASGVPHPAALAPAAAPTLAPASVAAAASQFTLLVMQPCAGQDEAAAPGGSVGAGKPVRYLCEGAGDGEEEAGEDEADLLDTSDPPGGGESAASLEDLEDEETHSGGEGSSGGARRRGSGGGSMSKTCTYEGCSETTSQVAKQRKPWMCKKHRNKMYKDKYKKKKSDQALNCGGTASTGSAGNVKLEESADNILSIVKQR
NP_001001293.1 268 608 0.467139296187683 PF12772.7:GHBP:22:320 Growth hormone receptor; GH receptor; Somatotropin receptor 608 299 10 341 0 Gallus gallus (Chicken) SwissProt::Q02092 1 SwissProt MLIFPPVPVPKIKGIDPDLLKKGKLDEVNSILASHDNYKTQLYNDDLWVEFIELDIDDSDEKNRVSDTDRLLSDDHLKSHSCLGAKDDDSGRASCYEPDIPETDFSASDTCDAISDIDQFKKVTEKEEDLLCLHRKDDVEALQSLANTDTQQPHTSTQSESRESWPPFADSTDSANPSVQTQLSNQNSLTNTDFYAQVSDITPAGSVVLSPGQKSKVGRAQCESCTEQNFTMDNAYFCEADVKKCIAVISQEEDEPRVQEQSCNEDTYFTTESLTTTGINLGASMAETPSMEMPVPDYTSIHIVHSPQGLVLNATALPVPEKEFNMSCGYVSTDQLNKIMP
NP_001001786.2 1 108 0.186852777777778 BH3-like motif-containing cell death inducer; Breast cancer cell protein 2 108 0 10 108 0 Homo sapiens (Human) SwissProt::Q8IZY5 1 SwissProt MVTLLPIEGQEIHFFEILESECVLYTGWIERASGSSIYPEAKARLPLEALLGSNKEPMLPKETVLSLKRYNLGSSAMKRNVPGHVLQRPSYLTRIQVTLLCNSSAEAL
NP_001001811.2 124 300 0.503476836158192 PF12336.8:SOXp:1:59 Transcription factor Sox-3 300 59 10 177 0 Danio rerio (Zebrafish) (Brachydanio rerio) SwissProt::Q6EJB7 1 SwissProt GLLAPGANAVNNAVSVGQRMDYTHMNGWTNSAYSLMQDQLAYPQHPSMNSPQIQQMHRYDMAGLQYPMMSTAQTYMNAASTYSSMSPAYTQQTSSAMGLGSMASVCKTEPSSPPPAITSHSQRACLGDLRDMISMYLPPGGDSADHSSLQTSRLHSVHPHYQSAGTGVNGTLPLTHI
NP_001003195.1 1 381 0.214011023622047 PF01284.23:MARVEL:57:262 Occludin 521 206 10 266 5 Canis lupus familiaris (Dog) (Canis familiaris) SwissProt::Q28269 1 SwissProt MSSRPFESPPPYRPDEFKPNHYAPSNDVYGGDMHVRPMLSQPAYSFYPEDEILHFYKWTSPPGVIRILSMLVIVMCIAIFGCVASTLAWDRGYGTGLMGGSIGYPYGSGFGSYGTGYGYGFGYGYGYGGYTDPRAAKGFLLAMVAFCFIAALVIFVTSVIRSDISRTRRYYLTVIILSAFLGVMMFIATIVYIMGVNPTAQASGSLYSSQIYAMCNQFYASTATGLYMDQYLYHYCVVDPQEAIAIVLGFMVIVAFALIIFFAVKTRRKMDRYDKSNILWDKEHIYDEQPPNVEEWVKNVSAGTQDMPPPPSDYVERVDSPMAYSSNGKVNDKRLYPESSYKSTPVPEVVQELPATSPADDFRQPRYSSSGHLEPPSKRAP
NP_001007655.1 1 160 0.116559375 PF06396.11:AGTRAP:9:153 Type-1 angiotensin II receptor-associated protein; AT1 receptor-associated protein 160 145 10 91 3 Rattus norvegicus (Rat) SwissProt::Q642A2 1 SwissProt MELPAVNLKVILLVHWLLTTWGCLAFSGSYAWGNFTILALGVWAVAQRDSVDAIGMFLGGLVATIFLDIIYISIFYSSVAVGDTGRFSAGMAIFSLLLKPFSCCLVYHMHRERGGELPLRSDFFGPSQEHSAYQTIDSSDSPADPLASLENKGQAAPRGY
NP_001007720.3 1 373 0.155052278820375 PF01073.19:3Beta_HSD:7:288,PF01370.21:Epimerase:7:239,PF07993.12:NAD_binding_4:8:195,PF02719.15:Polysacc_synt_2:7:130,PF16363.5:GDP_Man_Dehyd:7:166,PF13460.6:NAD_binding_10:10:134,PF04321.17:RmlD_sub_bind:6:241,PF05368.13:NmrA:7:127 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type 1; 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type I; 3-beta-HSD I; 3-beta-hydroxy-5-ene steroid dehydrogenase; 3-beta-hydroxy-Delta(5)-steroid dehydrogenase; 3-beta-hydroxysteroid 3-dehydrogenase; Delta-5-3-ketosteroid isomerase; Dihydrotestosterone oxidoreductase; Steroid Delta-isomerase; EC 1.1.1.145; EC 1.1.1.270; EC 1.1.1.210; EC 5.3.3.1 373 283 10 373 0 Rattus norvegicus (Rat) SwissProt::P22071 1 SwissProt MPGWSCLVTGAGGFVGQRIIRMLVQEKELQEVRALDKVFRPETKEEFSKLQTKAKVTMLEGDILDAQYLRRACQGISVVIHTAAVIDVSHVLPRQTILDVNLKGTQNILEACVEASVPAFIYCSTVDVAGPNSYKKIILNGHEEEHHESTWSDAYPYSKRMAEKAVLAANGSILKNGGTLHTCALRPMYIYGERSPFLSVMILAALKNKGILNVTGKFSIANPVYVGNVAWAHILAARGLRDPKKSQNVQGQFYYISDDTPHQSYDDLNCTLSKEWGLRLDSSWSLPLPLLYWLAFLLETVSFLLRPFYNYRPPFNCHLVTLSNSKFTFSYKKAQRDLGYVPLVSWEEAKQKTSEWIGTLVEQHRETLDTKSQ
NP_001012392.1 1 474 0.147263924050633 PF01273.25:LBP_BPI_CETP:45:207,PF02886.17:LBP_BPI_CETP_C:337:453 BPI fold-containing family B member 1; Long palate, lung and nasal epithelium carcinoma-associated protein 1 474 280 10 474 0 Mus musculus (Mouse) SwissProt::Q61114 1 SwissProt MAGPWIITLLCGLLGATLVQANVYPPAVLNLGPEVIQKHLTQALKDHDATAILQELPLLRAMQDKSGSIPILDSFVHTVLRYIIWMKVTSANILQLDVQPSTYDQELVVRIPLDMVAGLNTPLIKTIVEFQMSTEVQALIRVERSKSGPAHLNLSDCSSNESTLRLSLLHKLSFVVNSLAKNVMNLLVPALPQIVKNHLCPVIQQAFDDMYEDFLRLTTAPIALSPGALEFGLLSPAIQDSNILLNLKAKLLDSQARVTNWFNNSATSLMETTPDRAPFSLTVRQDLVNAIVTTLVPKEELVILLRFVIPDVARQLQMDIKEINAEAANKLGPTQMLKIFTHSTPHIVLNEGSARAAQSVVLEVFPTNTDVRPFFSLGIEASYEAQFFTEDNRLMLNFNNVSIERIKLMISDIKLFDPEVLKDTLTKILEYTLLPNENGKLRTGVPMSMSKALGYEKAMWSVSKGALKLTPASS
NP_001014275.2 1 195 0.071608717948718 PF10262.9:Rdx:42:178 Thioredoxin reductase-like selenoprotein T; SelT; EC 1.8.1.9 195 137 10 195 0 Mus musculus (Mouse) SwissProt::P62342 1 SwissProt MRLLLLLLVAASAVVRSEASANLGGVPSKRLKMQYATGPLLKFQICVSUGYRRVFEEYMRVISQRYPDIRIEGENYLPQPIYRHIASFLSVFKLVLIGLIIVGKDPFAFFGMQAPSIWQWGQENKVYACMMVFFLSNMIENQCMSTGAFEITLNDVPVWSKLESGHLPSMQQLVQILDNEMKLNVHMDSIPHHRS
NP_001014980.1 1 302 0.35042119205298 Adipolin; Adipose-derived insulin-sensitizing factor; C1q and TNF related protein 12; Complement C1q tumor necrosis factor-related protein 12 302 0 10 282 1 Homo sapiens (Human) SwissProt::Q5T7M4 1 SwissProt MRRWAWAAVVVLLGPQLVLLGGVGARREAQRTQQPGQRADPPNATASASSREGLPEAPKPSQASGPEFSDAHMTWLNFVRRPDDGALRKRCGSRDKKPRDLFGPPGPPGAEVTAETLLHEFQELLKEATERRFSGLLDPLLPQGAGLRLVGEAFHCRLQGPRRVDKRTLVELHGFQAPAAQGAFLRGSGLSLASGRFTAPVSGIFQFSASLHVDHSELQGKARLRARDVVCVLICIESLCQRHTCLEAVSGLESNSRVFTLQVQGLLQLQAGQYASVFVDNGSGAVLTIQAGSSFSGLLLGT
NP_001021036.1 248 602 0.412632112676056 PF08447.12:PAS_3:51:131,PF14598.6:PAS_11:41:142 Aryl hydrocarbon receptor protein 1 602 102 10 355 0 Caenorhabditis elegans SwissProt::O44712 1 SwissProt LPSSYVMGRTASGPVLGMICVCTPFVPPSTSDLASEDMILKTKHQLDGALVSMDQKVYEMLEIDETDLPMPLYNLVHVEDAVCMAEAHKEAIKNGSSGLLVYRLVSTKTRRTYFVQSSCRMFYKNSKPESIGLTHRLLNEVEGTMLLEKRSTLKAKLLSFDDSFLQSPRNLQSTAALPLPSVLKDDQDCLEPSTSNSLFPSVPVPTPTTTKANRRRKENSHEIVPTIPSIPIPTHFDMQMFDPSWNHGVHPPAWPHDVYHLTQYPPTYPHPPGTVGYPDVQIAPVDYPGWHPNDIHMTQLPHGFTPDAQKLVPPHPQMSHFTEYPTPSTHHDLHHHPLKQDNFHLISEVTNLLGT
NP_001026881.1 1 290 0.180817931034483 PF13850.6:ERGIC_N:5:97,PF07970.12:COPIIcoated_ERV:106:271 Endoplasmic reticulum-Golgi intermediate compartment protein 1; ER-Golgi intermediate compartment 32 kDa protein; ERGIC-32 290 259 10 244 2 Homo sapiens (Human) SwissProt::Q969X5 1 SwissProt MPFDFRRFDIYRKVPKDLTQPTYTGAIISICCCLFILFLFLSELTGFITTEVVNELYVDDPDKDSGGKIDVSLNISLPNLHCELVGLDIQDEMGRHEVGHIDNSMKIPLNNGAGCRFEGQFSINKVPGNFHVSTHSATAQPQNPDMTHVIHKLSFGDTLQVQNIHGAFNALGGADRLTSNPLASHDYILKIVPTVYEDKSGKQRYSYQYTVANKEYVAYSHTGRIIPAIWFRYDLSPITVKYTERRQPLYRFITTICAIIGGTFTVAGILDSCIFTASEAWKKIQLGKMH
NP_001031797.4 1 499 0.627955110220441 PF07526.11:POX:312:444 BEL1-like homeodomain protein 2; BEL1-like protein 2; Protein SAWTOOTH 1 739 133 10 499 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SW80 1 SwissProt MGITKTSPNTTILLKTFHNNSMSQDYHHHHHHNQHQGGIFNFSNGFDRSDSPNLTTQQKQEHQRVEMDEESSVAGGRIPVYESAGMLSEMFNFPGSSGGGRDLDLGQSFRSNRQLLEEQHQNIPAMNATDSATATAAAMQLFLMNPPPPQQPPSPSSTTSPRSHHNSSTLHMLLPSPSTNTTHHQNYTNHMSMHQLPHQHHQQISTWQSSPDHHHHHHNSQTEIGTVHVENSGGHGGQGLSLSLSSSLEAAAKAEEYRNIYYGANSSNASPHHQYNQFKTLLANSSQHHHQVLNQFRSSPAASSSSMAAVNILRNSRYTTAAQELLEEFCSVGRGFLKKNKLGNSSNPNTCGGDGGGSSPSSAGANKEHPPLSASDRIEHQRRKVKLLTMLEEVDRRYNHYCEQMQMVVNSFDIVMGHGAALPYTALAQKAMSRHFRCLKDAVAAQLKQSCELLGDKDAAGISSSGLTKGETPRLRLLEQSLRQNRAFHQMGMMEQEAW
NP_001036111.1 174 953 0.506594230769231 PF04849.13:HAP1_N:1:180,PF12448.8:Milton:241:410 Trafficking kinesin-binding protein 1; 106 kDa O-GlcNAc transferase-interacting protein; Protein Milton 953 350 10 780 0 Homo sapiens (Human) SwissProt::Q9UPV9 1 SwissProt LLQFYTSAAEESEPESVCSTPLKRNESSSSVQNYFHLDSLQKKLKDLEEENVVLRSEASQLKTETITYEEKEQQLVNDCVKELRDANVQIASISEELAKKTEDAARQQEEITHLLSQIVDLQKKAKACAVENEELVQHLGAAKDAQRQLTAELRELEDKYAECMEMLHEAQEELKNLRNKTMPNTTSRRYHSLGLFPMDSLAAEIEGTMRKELQLEEAESPDITHQKRVFETVRNINQVVKQRSLTPSPMNIPGSNQSSAMNSLLSSCVSTPRSSFYGSDIGNVVLDNKTNSIILETEAADLGNDERSKKPGTPGTPGSHDLETALRRLSLRRENYLSERRFFEEEQERKLQELAEKGELRSGSLTPTESIMSLGTHSRFSEFTGFSGMSFSSRSYLPEKLQIVKPLEGSATLHHWQQLAQPHLGGILDPRPGVVTKGFRTLDVDLDEVYCLNDFEEDDTGDHISLPRLATSTPVQHPETSAHHPGKCMSQTNSTFTFTTCRILHPSDELTRVTPSLNSAPTPACGSTSHLKSTPVATPCTPRRLSLAESFTNTRESTTTMSTSLGLVWLLKERGISAAVYDPQSWDRAGRGSLLHSYTPKMAVIPSTPPNSPMQTPTSSPPSFEFKCTSPPYDNFLASKPASSILREVREKNVRSSESQTDVSVSNLNLVDKVRRFGVAKVVNSGRAHVPTLTEEQGPLLCGPPGPAPALVPRGLVPEGLPLRCPTVTSAIGGLQLNSGIRRNRSFPTMVGSSMQMKAPVTLTSGILMGAKLSKQTSLR
NP_001041243.1 1 527 0.636693738140416 PF00096.26:zf-C2H2:337:358 Hunchback-like protein 982 22 10 527 0 Caenorhabditis elegans SwissProt::Q9XYD3 1 SwissProt MVQSDSPEELAQRAKPAWRLQQMPVQLSNFVSKTPLIGSEWPPTGDWRSANNNSLGDWNKCCVPGSEIPQHLGPFGNSSLTMLTAQQPGEKIHPDGGYVSPKEDGRKSSEHTNSYDVSASQSPSNDGAQSDSTSDEHIDVECMTETEMDTDEKDSTIKPEDQATPKLEEGSDSKPESTSVEGTSSNYQVTSEPVQMPQMPIPVIPSFLKNSLPAPIPITPTQSANVERSNSPSIEEALLLTLSQQQFAEVFAEAAKIRKSSSESIGFQRSGTSAFLNIEPKEMSMSSANNNNEEAPASTVSACSTPTTTTSASFCRPPGLGPVALPPTQNGQTPMLVCPICGFMCPSKFHFNSHMNTHGDHQCSMCDYTSRTEGRLKKHMRESHTVEEQLRAGFESEPAKESASSPKNLSLSKDGSATSPINEIFNLSTTMASILDSTNNAVSSTSTTEQPSALSALTLDMSSTPSLLSTLAHSSFGVSALDQIKAISENPSFMPEGGINLASALGVVSNAIKGDTPSPEKQSNGEC
NP_001070865.1 1 479 0.74612254697286 PF11261.8:IRF-2BP1_2:12:63 interferon regulatory factor 2-binding protein 2 isoform B 571 52 10 479 0 Homo sapiens NP_001070865.1 1 RefSeq MAAAVAVAAASRRQSCYLCDLPRMPWAMIWDFTEPVCRGCVNYEGADRVEFVIETARQLKRAHGCFPEGRSPPGAAASAAAKPPPLSAKDILLQQQQQLGHGGPEAAPRAPQALERYPLAAAAERPPRLGSDFGSSRPAASLAQPPTPQPPPVNGILVPNGFSKLEEPPELNRQSPNPRRGHAVPPTLVPLMNGSATPLPTALGLGGRAAASLAAVSGTAAASLGSAQPTDLGAHKRPASVSSSAAVEHEQREAAAKEKQPPPPAHRGPADSLSTAAGAAELSAEGAGKSRGSGEQDWVNRPKTVRDTLLALHQHGHSGPFESKFKKEPALTAVARTARKRKPSPEPEGEVGPPKINGEAQPWLSTSTEGLKIPMTPTSSFVSPPPPTASPHSNRTTPPEAAQNGQSPMAALILVADNAGGSHASKDANQVHSTTRRNSNSPPSPSSMNQRRLGPREVGGQGAGNTGGLEPVHPASLPD
NP_001074704.1 569 1297 0.759990397805213 Transcriptional activator MN1 1297 0 10 729 0 Mus musculus (Mouse) SwissProt::D3YWE6 1 SwissProt GDVGQGGLVHGGSVGGLAQTNFEREGGSAGAGRLSGFEQQAPHLAQESAWFPGPHPPGDLLPRRMGGAGLPTDCGPHDPALAPPPAPGGSGVLFRGSLQEPLRMPGEGHVPALASPGLQFGGSLAGLGQLQSPGAGVGLPNAPSERRPPPPDFPAPALGGQPGFPFGSGSRQATPHSAPGVNSPPSAGSGSSGAGGGAYPPQPDFQPSQRNSASKLGALSLGSFNKPSSKDNLFGQSCLAALSTACQNMIASLGAPNLNVTFNKKNPPEGKRKLSQNEPDSAVAAGNPGSDYFPGGTTPGAPGPGGPSGTSGGGSKASGPPNPPIQGDSTSLSPNYTLESTSGNDGKPVPGGSGRGRGRRKRDSGHVSPGTFFDKYSTAPDSGGAPGVSPGQQQAPGSAAGGSSVNEARGPTPHEKALTSPSWGKGAELLLGDQPDLMASLDSTAKSDGSSPHVGEFASDEVSTSYANEDEVSSSSDNTTALAKASRSPLVTSSPKLPPRGVGAGEHTPKASALGLGILSTSTSTPDSYGGGVGTGHPGTPGLEQVRTPTSSSGAQPPDEIHPLEILQAQIQLQRQQFSISEDQPLGLKGSKKAECAVGASGAQNGDSELGSCCSEAVKSAMSTIDLDSLMAEHSTTWYMPPDKALVDGGDEDKTLAPWEKAKSQNPNNKEAHDHPTNKASATQPGSHLQCLTVHCTDGDPKARTSVPTWRSLHSDISNRFGTFVAALT
NP_001076212.1 257 706 0.789877555555555 Triadin 706 0 10 450 0 Oryctolagus cuniculus (Rabbit) SwissProt::Q28820 1 SwissProt AVSKQEQKDQYAFCRYMIDIFVHGDLKPGQSPAIPPPSPTEQASRPTPALPTPEEKEGEKKKAEKKVTTETKKKAEKEDAKKKSEKETDIDMKKKEPGKSPDTKPGTVKVTTQAATKKDEKKEDSKKAKKPAEEQPKGKKQEKKEKHEEPAKSTKKEHAAPSEKQAKAKIERKEEVSAASTKKAVPAKKEEKTTKTVEQETRKEKPGKISSVLKDKELTKEKEVKVPASLKEKGSETKKDEKTSKPEPQIKKEEKPGKEVKPKPPQPQIKKEEKPEQDIMKPEKTALHGKPEEKVLKQVKAVTTEKHVKPKPAKKAEHQEKEPPSIKTDKPKSTSKGMPEVTESGKKKIEKSEKEIKVPARRESHQLQNVTKAEKPARGSKEGFEDVPASKKAKEEAEEVSSTKKQKSPISFFQCVYLDGYNGYGFQFPVTPAQYPGESSGKPNSPGPKQ
NP_001077081.1 1 220 0.228797272727273 PF01636.23:APH:37:187 hydroxylysine kinase isoform 2 220 151 10 220 0 Homo sapiens NP_001077081.1 1 RefSeq MSSGNYQQSEALSKPTFSEEQASALVESVFGLKVSKVRPLPSYDDQNFHVYVSKTKDGPTEYVLKISNTKASKNPDLIEVQNHIIMFLKAAGFPTASVCHTKGDNTASLVSVDSGSEIKSYLVRLLTYLPGRPIAELPVSPQLLYEIGKLAAKLDKTLQRFHHPKLSSLHRENFIWNLKNVPLLEKYLYALGQNRNREIVEHVIHLFKEEVMTKLSHFRE
NP_001081772.1 121 286 0.382921084337349 Deleted in azoospermia-like-A; DAZ-like protein A; xDazl-A 286 0 10 166 0 Xenopus laevis (African clawed frog) SwissProt::O57437 1 SwissProt LSHPTPFHHAWNNQNADSYIQHSPIVSPITQYVQACPYPSSPPMAIQQIPVGCQQPGYFQVSPQWPADQRSYMFPTPAFTFNYHCCDMDPNGGEPIPREYPIDQTVSASGANPQKRYVEMSTQTIVSCLFDPANKFHSFVSQEDYLKDNRVHHLRRRESVIKRVSK
NP_001084072.1 1 295 0.427678305084746 PF16368.5:CEBP1_N:1:295 cytoplasmic polyadenylation element-binding protein 1-A 568 295 10 295 0 Xenopus laevis NP_001084072.1 1 RefSeq MAFPLKDDLGRAKDCWGCPSDTPALSTCSNADIFRRINAMLDNSLDFTGVCTTPNTKGKCEHLQDYQDTEGPAASRMLFSTSHEPLPRGLPDTNDLCLGLQSLSLTGWDRPWSTQDSEAGGHSSTPTAAQSVFSMLNSPMGKPSPLGFLTFDPIGSDLMEKYPTPLLRSSRLDSRSILDSRSSSPSDSDTSGFSSGSDHLSDLISSLRISPPLHFLPLGGGVSRDPLKLGIGSRLDQDHAALAAATVSPLGITKGWPSTSVWPSWDLLDSAEDPFSIEREARLHRQAAAVNEATC
NP_001092902.1 1 928 0.383680818965517 PF12490.8:BCAS3:577:792 Breast carcinoma-amplified sequence 3; GAOB1 928 216 10 928 0 Homo sapiens (Human) SwissProt::Q9H6U6 1 SwissProt MNEAMATDSPRRPSRCTGGVVVRPQAVTEQSYMESVVTFLQDVVPQAYSGTPLTEEKEKIVWVRFENADLNDTSRNLEFHEIHSTGNEPPLLIMIGYSDGMQVWSIPISGEAQELFSVRHGPIRAARILPAPQFGAQKCDNFAEKRPLLGVCKSIGSSGTSPPYCCVDLYSLRTGEMVKSIQFKTPIYDLHCNKRILVVVLQEKIAAFDSCTFTKKFFVTSCYPCPGPNMNPIALGSRWLAYAENKLIRCHQSRGGACGDNIQSYTATVISAAKTLKSGLTMVGKVVTQLTGTLPSGVTEDDVAIHSNSRRSPLVPGIITVIDTETVGEGQVLVSEDSDSDGIVAHFPAHEKPVCCMAFNTSGMLLVTTDTLGHDFHVFQILTHPWSSSQCAVHHLYTLHRGETEAKVQDICFSHDCRWVVVSTLRGTSHVFPINPYGGQPCVRTHMSPRVVNRMSRFQKSAGLEEIEQELTSKQGGRCSPVPGLSSSPSGSPLHGKLNSQDSYNNFTNNNPGNPRLSPLPSLMVVMPLAQIKQPMTLGTITKRTGPYLFGAGCFSIKAPCKVKPPPQISPSKSMGGEFCVAAIFGTSRSWFANNAGLKREKDQSKQVVVESLYIISCYGTLVEHMMEPRPLSTAPKISDDTPLEMMTSPRASWTLVRTPQWNELQPPFNANHPLLLAADAVQYYQFLLAGLVPPGSPGPITRHGSYDSLASDHSGQEDEEWLSQVEIVTHTGPHRRLWMGPQFQFKTIHPSGQTTVISSSSSVLQSHGPSDTPQPLLDFDTDDLDLNSLRIQPVRSDPVSMPGSSRPVSDRRGVSTVIDAASGTFDRSVTLLEVCGSWPEGFGLRHMSSMEHTEEGLRERLADAMAESPSRDVVGSGTELQREGSIETLSNSSGSTSGSIPRNFDGYRSPLPTNESQPLSLFPTGFP
NP_001095272.1 1 484 0.637022314049587 PHD finger protein 21A; BHC80a; BRAF35-HDAC complex protein BHC80 680 0 10 484 0 Homo sapiens (Human) SwissProt::Q96BD5 1 SwissProt MELQTLQEALKVEIQVHQKLVAQMKQDPQNADLKKQLHELQAKITALSEKQKRVVEQLRKNLIVKQEQPDKFQIQPLPQSENKLQTAQQQPLQQLQQQQQYHHHHAQQSAAASPNLTASQKTVTTASMITTKTLPLVLKAATATMPASVVGQRPTIAMVTAINSQKAVLSTDVQNTPVNLQTSSKVTGPGAEAVQIVAKNTVTLVQATPPQPIKVPQFIPPPRLTPRPNFLPQVRPKPVAQNNIPIAPAPPPMLAAPQLIQRPVMLTKFTPTTLPTSQNSIHPVRVVNGQTATIAKTFPMAQLTSIVIATPGTRLAGPQTVQLSKPSLEKQTVKSHTETDEKQTESRTITPPAAPKPKREENPQKLAFMVSLGLVTHDHLEEIQSKRQERKRRTTANPVYSGAVFEPERKKSAVTYLNSTMHPGTRKRGRPPKYNAVLGFGALTPTSPQSSHPDSPENEKTETTFTFPAPVQPVSLPSPTSTDG
NP_001106961.1 1 116 0.417119827586207 KiSS-1 metastasis-suppressor precursor 116 0 10 116 0 Danio rerio NP_001106961.1 1 RefSeq MMLLTVILMLSVARVHTNPSGHFQYYLEDETPEETSLRVLRGTDTRPTDGSPPSKLSALFSMGAGPQKNTWWWSPESPYTKRRQNVAYYNLNSFGLRYGKREQDMLTRLKQKSPVK
NP_001127890.1 1 527 0.254209867172676 PF13640.6:2OG-FeII_Oxy_3:399:488,PF03171.20:2OG-FeII_Oxy:418:489 prolyl 3-hydroxylase 2 isoform b 527 91 10 527 0 Homo sapiens NP_001127890.1 1 RefSeq MEMQQNIENYRATAGVEALQLVDREAKPHMESYNAGVKHYEADDFEMAIRHFEQALREYFVEDTECRTLCEGPQRFEEYEYLGYKAGLYEAIADHYMQVLVCQHECVRELATRPGRLSPIENFLPLHYDYLQFAYYRVGEYVKALECAKAYLLCHPDDEDVLDNVDYYESLLDDSIDPASIEAREDLTMFVKRHKLESELIKSAAEGLGFSYTEPNYWIRYGGRQDENRVPSGVNVEGAEVHGFSMGKKLSPKIDRDLREGGPLLYENITFVYNSEQLNGTQRVLLDNVLSEEQCRELHSVASGIMLVGDGYRGKTSPHTPNEKFEGATVLKALKSGYEGRVPLKSARLFYDISEKARRIVESYFMLNSTLYFSYTHMVCRTALSGQQDRRNDLSHPIHADNCLLDPEANECWKEPPAYTFRDYSALLYMNDDFEGGEFIFTEMDAKTVTASIKPKCGRMISFSSGGENPHGVKAVTKGKRCAVALWFTLDPLYRELERIQADEVIAILDQEQQGKHELNINPKDEL
NP_001128565.1 1 177 0.58240790960452 Krueppel-like factor 14 325 0 10 177 0 Mus musculus NP_001128565.1 1 RefSeq MSAAVACLDYFAAECLVSMSTRAVLHRRATDPEGASAAAVSEVGAVSRESAGKGTGSRGVLWIPPVLQVPTPSPGEGDGAPHLLAASALADLSCGAREDFREDSEEAPCASTSCFEPTWCSSPTGGSEPTQAFFEDELSDAESSCSDSAILDAPEASEEPDDSGEVPEGPPGARPAP
NP_001129666.1 1 710 0.119804929577465 PF06743.15:FAST_1:458:527,PF08373.10:RAP:637:691,PF08368.12:FAST_2:572:619 FAST kinase domain-containing protein 2, mitochondrial 710 173 10 710 0 Homo sapiens (Human) SwissProt::Q9NYY8 1 SwissProt MLTTLKPFGSVSVESKMNNKAGSFFWNLRQFSTLVSTSRTMRLCCLGLCKPKIVHSNWNILNNFHNRMQSTDIIRYLFQDAFIFKSDVGFQTKGISTLTALRIERLLYAKRLFFDSKQSLVPVDKSDDELKKVNLNHEVSNEDVLTKETKPNRISSRKLSEECNSLSDVLDAFSKAPTFPSSNYFTAMWTIAKRLSDDQKRFEKRLMFSHPAFNQLCEHMMREAKIMQYKYLLFSLHAIVKLGIPQNTILVQTLLRVTQERINECDEICLSVLSTVLEAMEPCKNVHVLRTGFRILVDQQVWKIEDVFTLQVVMKCIGKDAPIALKRKLEMKALRELDRFSVLNSQHMFEVLAAMNHRSLILLDECSKVVLDNIHGCPLRIMINILQSCKDLQYHNLDLFKGLADYVAATFDIWKFRKVLFILILFENLGFRPVGLMDLFMKRIVEDPESLNMKNILSILHTYSSLNHVYKCQNKEQFVEVMASALTGYLHTISSENLLDAVYSFCLMNYFPLAPFNQLLQKDIISELLTSDDMKNAYKLHTLDTCLKLDDTVYLRDIALSLPQLPRELPSSHTNAKVAEVLSSLLGGEGHFSKDVHLPHNYHIDFEIRMDTNRNQVLPLSDVDTTSATDIQRVAVLCVSRSAYCLGSSHPRGFLAMKMRHLNAMGFHVILVNNWEMDKLEMEDAVTFLKTKIYSVEALPVAAVNVQSTQ
NP_001138356.1 1 376 0.114968882978723 PF01545.21:Cation_efflux:38:294 Zinc transporter 7; ZnT-7; Solute carrier family 30 member 7; Znt-like transporter 2 376 257 10 246 6 Homo sapiens (Human) SwissProt::Q8NEW0 1 SwissProt MLPLSIKDDEYKPPKFNLFGKISGWFRSILSDKTSRNLFFFLCLNLSFAFVELLYGIWSNCLGLISDSFHMFFDSTAILAGLAASVISKWRDNDAFSYGYVRAEVLAGFVNGLFLIFTAFFIFSEGVERALAPPDVHHERLLLVSILGFVVNLIGIFVFKHGGHGHSHGSGHGHSHSLFNGALDQAHGHVDHCHSHEVKHGAAHSHDHAHGHGHFHSHDGPSLKETTGPSRQILQGVFLHILADTLGSIGVIASAIMMQNFGLMIADPICSILIAILIVVSVIPLLRESVGILMQRTPPLLENSLPQCYQRVQQLQGVYSLQEQHFWTLCSDVYVGTLKLIVAPDADARWILSQTHNIFTQAGVRQLYVQIDFAAM
NP_001154770.1 1 300 0.483054 Protein XRI1; Protein X-RAY INDUCED 1 300 0 10 300 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6NLW5 1 SwissProt MDYGDDDRSSSWNWQVDNYNHQPQSHFSDVPDCTMTEVTLNQEDHSYMFDDENTPVKACSELGYHVTTEDTNRKMEVHSETRSALKRRRMLQFEDQPETSLFSSESFSAILKSSARDDTFDELLPEGSQLIEGFSEDASASSFEGLDLYAEEWYADCLNDAETPMLPDDLNFGSPDVQVDISEYLNVPPETETREVQRPVTRSSPNVIFKGRKSFSRPVSKLPSSIIYPFAFIKPCGVHGGMTLKDINQKIRNPPAKPKAHIEEPAVIQTSAFSGKPVVGKTKIRTEGGKGSITIMRTRG
NP_001156503.1 166 722 0.153079892280072 PF00002.24:7tm_2:267:504,PF01825.21:GPS:220:257,PF05462.11:Dicty_CAR:277:445 CD97 antigen isoform 4 precursor 722 276 10 404 7 Mus musculus NP_001156503.1 1 RefSeq EPPFPTWTLLPTAHSQTLLRFSVEVQNLLRDFNPATVNYTIQKLIEAVDKLLEDPMETETQQVAAQLLSNLEQSLRTLAQFLPKGPFTYTSPSNTELSLMVKEQDNKDVTTVHHGQTWMELDWAVTAGAKISENGSSVAGILSSPNMEKLLGNTPLNLEQRRASLEDFYGSPIPSVSLKLLSNINSVFLTNTNTEKLASNVTFKFDFTSVESIEPRHELICAFWKAHNGNGYWDTDGCSMNGTGFCHCNHLTSFAILMAQYHVQDPRLELITKVGLLLSLICLLLCILTFLLVKPIQSSRTMVHLHLCICLFLGSIIFLVGVENEGGEVGLRCRLVAVMLHFCFLAAFCWMALEGVELYFLVVRVFQGQGLSTWQRCLIGYGVPLLIVAISMAVVKMDGYGHATYCWLDFRKQGFLWSFSGPVAFIIFCNAAIFVITVWKLTKKFSEINPNMKKLRKARVLTITSIAQLLVLGCTWGFGLFLFNPHSTWLSYIFTLLNCLQGLFLYVMLCLLNKKVREEYWKWACMVTGSKYTEFNSSTTGTGTSQTRALRSSESGM
NP_001159930.1 1 485 0.0786919587628866 PF01490.18:Aa_trans:70:469 Sodium-coupled neutral amino acid transporter 1; Amino acid transporter A1; MNat2; N-system amino acid transporter 2; Solute carrier family 38 member 1; System A amino acid transporter 1; System N amino acid transporter 1 485 400 10 236 11 Mus musculus (Mouse) SwissProt::Q8K2P7 1 SwissProt MMHFKSGLELTELQNMTVPEDDNVSNDSNDFTEVENGQINSKFISDRESRRSLTNSHLEKRKCDEYIPGTTSLGMSVFNLSNAIMGSGILGLAFALANTGILLFLILLTSVTLLSIYSINLLLICSKETGCMVYEKLGEQVFGTTGKLVIFGATSLQNTGAMLSYLFIVKNELPSAIKSLMGEEDAFSAWYVDGRVLVVMVTFGIILPLCLLKNLGYLGYTSGFSLSCMMFFLIVVIYKKFQTPCMSVEQNSTVSANVTDACTPKYVTFNSKTVYALPTIAFAFVCHPSVLPIYSELKDRSQKKMQMVSNISFFAMFVMYFLTAIFGYLTFYEKVQSDLLHKYQSTGDILILTVRLAVIVAVILTVPVLFFTVRSSLFELAKKTKFHLCRHVLVTIILLIIINLLVIFIPSMKDIFGVVGVTSANMLIFILPSSLYLKITNQDGDKGTQRIWAALFLGLGVLFSLISIPLVIYDWACSSGTDEGH
NP_001162816.1 1 309 0.521464724919093 PF00035.26:dsrm:71:130 DISCO interacting protein 1, isoform H 309 60 10 309 0 Drosophila melanogaster NP_001162816.1 1 RefSeq MPVIIKEEPISVNDEPSVDNIEDNTSASTSASGIGGKIPFKKIFQKRKKSSERTRDKKLRQNRQLRKSMLPKNALMALNEVKGVTISDFTIDSNTDGGFTAVVTVNSNQYEGKGTSKMTAKNAACEKAWRDFIIAKMTPKPPRIHQVEMGSEPMDINEDEADAPDDDLPMLNLASFAIYKLFAEWEREGYVVPEMHPSANAAQQAGGDAGTPVPPVPKEPKKPPVRTELPSGWETMHPATILCIMRPGLNYVDYGSSGDKTNGMQHLGIMVDNQEFHANGRSKKIARRNVAVKVCNSLFGTNFTYSDTT
NP_001171811.1 1 214 0.223072897196262 SLAM family member 5 isoform 4 precursor 214 0 10 191 1 Homo sapiens NP_001171811.1 1 RefSeq MAQHHLWILLLCLQTCRLGKPKITQSLMASVNSTCNVTLTCSVEKEEKNVTYNWSPLGEEGNVLQIFQTPEDQELTYTCTAQNPVSNNSDSISARQLCADIAMGFRTHHTGLLSVLAMFFLLVLILSSVFLFRLFKRRQDAASKKTIYTYIMASRNTQPAESRIYDEILQSKVLPSKEEPVNTVYSEVQFADKMGKASTQDSKPPGTSSYEIVI
NP_001178854.1 1 173 0.651132947976879 homeobox protein Hox-B5 269 0 10 173 0 Rattus norvegicus NP_001178854.1 1 RefSeq MSSYFVNSFSGRYPNGPDYQLLNYGSGSSLSGSYRDPAAMHTGSYGYNYNGMDLSVNRSSASSSHFGAVGESSRAFPASAQEPRFRQATSSCSLSSPESLPCTNGDSHGAKPSASSPSDQATPASSSANFTEIDEASASSEPEEAASQLSSPSLARAQPEPMATSTAAPEGQT
NP_001180.1 1 184 0.606441847826087 Homeobox protein Nkx-3.2; Bagpipe homeobox protein homolog 1; Homeobox protein NK-3 homolog B 333 0 10 184 0 Homo sapiens (Human) SwissProt::P78367 1 SwissProt MAVRGANTLTSFSIQAILNKKEERGGLAAPEGRPAPGGTAASVAAAPAVCCWRLFGERDAGALGGAEDSLLASPAGTRTAAGRTAESPEGWDSDSALSEENESRRRCADARGASGAGLAGGSLSLGQPVCELAASKDLEEEAAGRSDSEMSASVSGDRSPRTEDDGVGPRGAHVSALCSGAGGG
NP_001192225.1 1 390 0.230918461538462 PF13921.6:Myb_DNA-bind_6:106:162 transcription termination factor 1 isoform 2 390 57 10 390 0 Homo sapiens NP_001192225.1 1 RefSeq MYRDDLERFKEFKAQGVAIKFGKFSVKENKQLEKNVEDFLALTGIESADKLLYTDRYPEEKSVITNLKRRYSFRLHIGRNIARPWKLIYYRAKKMFDVNNYKGRYSEGDTEKLKMYHSLLGNDWKTIGEMVARSSLSVALKFSQISSQRNRGAWSKSETRKLIKAVEEVILKKMSPQELKEVDSKLQENPESCLSIVREKLYKGISWVEVEAKVQTRNWMQCKSKWTEILTKRMTNGRRIYYGMNALRAKVSLIERLYEINVEDTNEIDWEDLASAIGDVPPSYVQTKFSRLKAVYVPFWQKKTFPEIIDYLYETTLPLLKEKLEKMMEKKGTKIQTPAAPKQVFPFRDIFYYEDDSEGEDIEKESEGQAPCMAHACNSSTLGGQGRWII
NP_001193810.1 1 597 0.577491289782244 PF05781.12:MRVI1:33:597 protein MRVI1 isoform c 597 565 10 574 1 Homo sapiens NP_001193810.1 1 RefSeq MALNSPQPGPVESELGKQLLKTGWEGSPLPRSPTQDAAGVGPPASQGRGPAGEPMGPEAGSKAELPPTVSRPPLLRGLSWDSGPEEPGPRLQKVLAKLPLAEEEKRFAGKAGGKLAKAPGLKDFQIQVQPVRMQKLTKLREEHILMRNQNLVGLKLPDLSEAAEQEKGLPSELSPAIEEEESKSGLDVMPNISDVLLRKLRVHRSLPGSAPPLTEKEVENVFVQLSLAFRNDSYTLESRINQAERERNLTEENTEKELENFKASITSSASLWHHCEHRETYQKLLEDIAVLHRLAARLSSRAEVVGAVRQEKRMSKATEVMMQYVENLKRTYEKDHAELMEFKKLANQNSSRSCGPSEDGVPRTARSMSLTLGKNMPRRRVSVAVVPKFNALNLPGQTPSSSSIPSLPALSESPNGKGSLPVTSALPALLENGKTNGDPDCEASAPALTLSCLEELSQETKARMEEEAYSKGFQEGLKKTKELQDLKEEEEEQKSESPEEPEEVEETEEEEKGPRSSKLEELVHFLQVMYPKLCQHWQVIWMMAAVMLVLTVVLGLYNSYNSCAEQADGPLGRSTCSAAQRDSWWSSGLQHEQPTEQ
NP_001230705.1 1 324 0.526239814814815 PF14073.6:Cep57_CLD:59:235 centrosomal protein of 57 kDa isoform b 491 177 10 324 0 Homo sapiens NP_001230705.1 1 RefSeq MLTRIDNSFAEPSRSNGSMVRHSSSPYVVYPSDKPFLNSDLRRSPSKPTLAYPESNSRAIFSALKNLQDKIRRLELERIQAEESVKTLSRETIEYKKVLDEQIQERENSKNEESKHNQELTSQLLAAENKCNLLEKQLEYMRNMIKHAEMERTSVLEKQVSLERERQHDQTHVQSQLEKLDLLEQEYNKLTTMQALAEKKMQELEAKLHEEEQERKRMQAKAAELQTGLETNRLIFEDKATPCVPNARRIKKKKSKPPEKKSSRNYFGAQPHYRLCLGDMPFVAGKSTSPSHAVVANVQLVLHLMKQHSKALCNDRVINSIPLA
NP_001238781.1 1 494 0.397375506072874 PF10486.9:PI3K_1B_p101:1:494 phosphoinositide 3-kinase regulatory subunit 5 isoform 2 494 494 10 494 0 Homo sapiens NP_001238781.1 1 RefSeq MDSGYVEDSEESSSEWPWRRGSQERRGHRRPGQKFIRIYKLFKSTSQLVLRRDSRSLEGSSDTALPLRRAGSLCSPLDEPVSPPSRAQRSRSLPQPKLGTQLPSWLLAPASRPQRRRPFLSGDEDPKASTLRVVVFGSDRISGKVARAYSNLRRLENNRPLLTRFFKLQFFYVPVKRSHGTSPGACPPPRSQTPSPPTDSPRHASPGELGTTPWEESTNDISHYLGMLDPWYERNVLGLMHLPPEVLCQQSLKAEAQALEGSPTQLPILADMLLYYCRFAARPVLLQVYQTELTFITGEKTTEIFIHSLELGHSAATRAIKASGPGSKRLGIDGDREAVPLTLQIIYSKGAISGRSRWSNLEKVCTSVNLNKACRKQEELDSSMEALTLNLTEVVKRQNSKSKKGFNQISTSQIKVDKVQIIGSNSCPFAVCLDQDERKILQSVVRCEVSPCYKPEKSDLSSPPQTPPDLPAQAAPDLCSLLCLPIMTFSGALP
NP_001243665.1 89 298 0.812499047619047 PF04503.13:SSDP:4:205 single-stranded DNA-binding protein 2 isoform 6 298 202 10 210 0 Homo sapiens NP_001243665.1 1 RefSeq KAFHDYPFMSPRYPGGPRPPLRIPNQALGGVPGSQPLLPSGMDPTRQQGHPNMGGPMQRMTPPRGMVPLGPQNYGGAMRPPLNALGGPGMPGMNMGPGGGRPWPNPTNANSIPYSSASPGNYVGPPGGGGPPGTPIMPSPADSTNSGDNMYTLMNAVPPGPNRPNFPMGPGSDGPMGGLGGMESHHMNGSLGSGDMDSISKYSPSMTMSV
NP_001245839.1 1 604 0.503764569536424 PF00651.31:BTB:23:122,PF00096.26:zf-C2H2:546:568 Zinc finger protein chinmo; Protein chronologically inappropriate morphogenesis 604 123 10 604 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VQ30 1 SwissProt MDPQQQFCLKWNSFSSNLAITFSNLFKSDLLADVILSCDGVVFKAHKLILAACSKKFADLFENTPTNGQCVIILEATTPDNMAALLEFMYKGEVHVSQEALNSFLKSAESLQVKGLSTETGRLAAQQAQQHMGDLSPLDSPTGRRSVRNSLSGGSSSIVPGGVGIGLGGGATGANSMSGMGIGNGLSLAGMAAGGGMAAAANAAASSLSTLAASANIVDRCGSAGANIISGSAAGIGGSHSGGAGNGSGTVGIGGNGVGSGGGNNGPISLGSGAGAAHHLGGSTGILKQECDSLMHPGGSSSSSGMGYTHVPPIYRPINYEPPRKRAIVRSPYSEQEQRGSVLRDGSKSSECPSPINKPPYHRPSSSASSTAPTEADTMHSERASPQSSRYENHSPSTTAGNGNATSSLERIVKSERNNGSANEANDDDRELMDESTDNGAEDLRVKLENLKYSPPPPPNSNTSSTTPNTLLENLKADGTLSSNLAASIAPADMLNVWNATKMNNKNSVNTADGKKLKCLYCDRLYGYETNLRAHIRQRHQGIRVPCPFCERTFTRNNTVRRHIAREHKQEIGLAAGATIAPAHLAAAAAASAAATAAASNHSP
NP_001248752.1 1 165 0.213437575757576 transcription initiation protein SPT3 homolog isoform 3 165 0 10 165 0 Homo sapiens NP_001248752.1 1 RefSeq MFEDDEIDEVKQERMERAERQTRIMDSAQYAEFCESRQLSFSKKASKFRDWLDCSSMEIKPNVVAMEILAYLAYETVAQLVDLALLVRQDMVTKAGDPFSHAISATFIQYHNSAESTAACGVEAHSDAIQPCHIREAIRRYSHRIGPLSPFTNAYRRNGMAFLAC
NP_001255365.1 1 388 0.12934793814433 Sex-determination protein fem-3; Ce-FEM-3; Feminization of XX and XO animals protein 3 388 0 10 388 0 Caenorhabditis elegans SwissProt::P34691 1 SwissProt MEVDPGSDDVEADRETRAQKLKLKRNVKFRAQMRRFDEYCGVTNLTVDDLNWPLISGIPLQRQRLTGATYYDDSLLDQNPWDEFSIDRFLEITSIQLITAGAGYERNDEITRFVFQRTMKTIVTYCNFMYDLARRNGKVQITRFELQDLIHRDEFRFYMYFRQFLPNPDPNCTAFSNHYTSLLHTLYFNIPGMPQFWNNSQMYNYAATRGQRLVQNIAAFYPPEYFWNEDESKYHTTFVVPRGTEFSKFYARRFHEALGMPPLENEIITVLDWLAKLCILEIVYHTTIWCDITGFGGLPRIEHYRLAMENVEDIIFDLAIDDFSISRLQLQISPFEISRYSPLDVSGYYETIKRKKDIEEYQNRFYEVHYSDDVRIMNVYATDCSRKR
NP_001257872.1 1 170 0.299271176470588 Krueppel-like factor 7 isoform 3 269 0 10 170 0 Homo sapiens NP_001257872.1 1 RefSeq MTCLELERYLQTEPRRISETFGEDLDCFLHASPPPCIEESFRRLDPLLLPVEAAICEKSSAVDILLSRDKLLSETCLSLQPASSSLDSYTAVNQAQLNAVTSLTPPSSPELSRHLVKTSQTLSAVDGTVTLKLVAKKAALSSVKVGGVATAAAAVTAAGAVKSGQSDSDQ
NP_001259088.1 1 1061 0.571314608859566 G9a, isoform B 1657 0 10 1061 0 Drosophila melanogaster NP_001259088.1 1 RefSeq MTDFVELMNSMSSTFNSDCATSTAEGGTLLNLNLAEDKTLKWRNLANNQFASKEKKHKDKEEEERKEARNQEEIEDIKALLADVVDAAAVKLEEEEAQNAEKVEPHTKCEIEEEGRKEMEYDQDVAKQDSEMEKKQNGKATSITVKMESNERAEKHATEIATTSTERWENESFKTEQQNKKAAEKEEEPILAATQKLEANAEPLTTTRIEVAVASPLVVSSASVKLAADATNQMRAATSAGAATLADKNVQVSPGGTRRSRRTPRPIDTPTSVTDEHVQVENKKFGKSEQYTDCSSHLERFTLDDNTAIVRLQLKSEPDKPSLTALSPEENSAPAPKRGRGRARKIRPDAEVETSEVILPCEDSLGEKKPGRKRKLPDEPIDQQQLSDLVVVKTEQEELGDAPLGDVKRMRRSVRLGNRLHADGSPWEEVKTEALHPQPSAELSFAEVTSEILPLAVLDEKTPPKKRGRKAKTPCVKLESETSCGLPFANGNKKTNSSGGCELQLPKRSKRRIKPTPKILENDELRCEFETKHIERMTQWESAAAVDGDFETPTTGGNGSNSSTSRQKSDKSDGSNFEGGPGHPAGTSAIKKRLFSKSQRDIENYGAAMLAKSKLPPCPDVEQFLNDIKASRINANRSPEERKLNKKQQRKLAKQKEKHLKHLGLQKNHRDEPSDNDSSNTDNEFFPTTRVQVGKPSVTLRVRNSVTKELPTTATLKSRRNPVVQAAKLTRRIGARAAGEVTEAARASVPISTPDAEQLHSLDTSIQADVTPIRDLDMRPSTSRVSKFICLCQKPSQYYARNAPDSSYCCAIDHIDDQKIGCCNELSSEVHNLLRPSQRVSYMILCDEHKKRLQSHNCCAGCGIFCTQGKFVLCKQQHFFHPDCAQRFILSTSYEKELGDEEDQGVKFSSPVLVLKCPHCGLDTPERTSTVTMKCQSLPVFLRTQKYKIKPARLTTSSHLTQFGTVENANTPGATARNKGGLSTAVTLSAASSPASKTNGAQRGRAGTSNSNSRHALNSINFAQLIPESVMNVVLRGHVVSASGRVTAEFTPRDMYYAVQN
NP_001265612.1 1 837 0.667702508960573 PF12240.8:Angiomotin_C:540:741 angiomotin-like protein 2 isoform 1 837 202 10 837 0 Homo sapiens NP_001265612.1 1 RefSeq MTGRKASGGTPCTLRKGAPIITLGKNWTERLAAGDSVGCSGARCHRPLSRQLCASQRSMRTLEDSSGTVLHRLIQEQLRYGNLTETRTLLAIQQQALRGGAGTGGTGSPQASLEILAPEDSQVLQQATRQEPQGQEHQGGENHLAENTLYRLCPQPSKGEELPTYEEAKAHSQYYAAQQAGTRPHAGDRDPRGAPGGSRRQDEALRELRHGHVRSLSERLLQLSLERNGARAPSHMSSSHSFPQLARNQQGPPLRGPPAEGPESRGPPPQYPHVVLAHETTTAVTDPRYRARGSPHFQHAEVRILQAQVPPVFLQQQQQYQYLQQSQEHPPPPHPAALGHGPLSSLSPPAVEGPVSAQASSATSGSAHLAQMEAVLRENARLQRDNERLQRELESSAEKAGRIEKLESEIQRLSEAHESLTRASSKREALEKTMRNKMDSEMRRLQDFNRDLRERLESANRRLASKTQEAQAGSQDMVAKLLAQSYEQQQEQEKLEREMALLRGAIEDQRRRAELLEQALGNAQGRAARAEEELRKKQAYVEKVERLQQALGQLQAACEKREQLELRLRTRLEQELKALRAQQRQAGAPGGSSGSGGSPELSALRLSEQLREKEEQILALEADMTKWEQKYLEERAMRQFAMDAAATAAAQRDTTLIRHSPQPSPSSSFNEGLLTGGHRHQEMESRLKVLHAQILEKDAVIKVLQQRSRRDPGKAIQGSLRPAKSVPSVFAAAAAGTQGWQGLSSSERQTADAPARLTTDRAPTEEPVVTAPPAAHAKHGSRDGSTQTEGPPDSTSTCLPPEPDSLLGCSSSQRAASLDSVATSRVQDLSDMVEILI
NP_001271352.1 142 859 0.649312813370474 PF04698.12:Rab_eff_C:11:718 rab effector MyRIP isoform a 859 708 10 718 0 Homo sapiens NP_001271352.1 1 RefSeq YRKHRLESGACFDILGGSLFESNLENEGSISGSDSTFYRQSEGHSVMDTLAVALRVAEEAIEEAISKAEAYGDSLDKQNEASYLRDHKEELTEELATTILQKIIRKQKSKSEQQVEEEPGWPHPQSCSTKVADEGTSASPGGYRAPAALWRSQSAFSITGEEALKTPPVEAPSRQPRDQGQHPRAESALPSWKSVDRLDETNLAPVLQSPDGNWVALKDGAPPPTRLLAKPKSGTFQALEVASSVASAYDEMGSDSEEDFDWSEALSKLCPRSRALPRNPQPQPTQAQSSDQGPIAASPSSALSPNPEAMCSDSETSSAGSSREVGHQARLSWLQRKAPRNPAAEKMRLHGELDVNFNPQLASRETSDSSEPEEAPHTTDRRARRWRRARLGSEEPSKEPSSPSAQLRDLDTHQVSDDLSETDISNEARDPQTLTDTTEEKRRNRLYELAMKMSEKETSSGEDQESEPKTESENQKESLSSEDNSQSVQEELKKKFSAVSLCNISTEVLKVINATEELIAGSTGPWESPQVPPDRQKGMFPRGTDQVRLDEQLTSLEENVYLAAGTVYGLETQLTELEDAARCIHSGTDETHLADLEDQVATAAAQVHHAELQISDIESRISALTIAGLNIAPCVRFTRRRDQKQRTQVQTIDTSRQQRRKLPAPPVKAEKIETSSVTTIKTFNHNFILQGSSTNRTKERKGTTKDLMEPALESAVMY
NP_001272984.1 105 283 0.56789217877095 PF00402.18:Calponin:14:37,PF00402.18:Calponin:54:78,PF00402.18:Calponin:93:117 calponin-3 isoform 2 283 74 10 179 0 Homo sapiens NP_001272984.1 1 RefSeq RFDEGKLKAGQSVIGLQMGTNKCASQAGMTAYGTRRHLYDPKMQTDKPFDQTTISLQMGTNKGASQAGMLAPGTRRDIYDQKLTLQPVDNSTISLQMGTNKVASQKGMSVYGLGRQVYDPKYCAAPTEPVIHNGSQGTGTNGSEISDSDYQAEYPDEYHGEYQDDYPRDYQYSDQGIDY
NP_001276537.1 1 591 0.773759898477158 synaptotagmin-like protein 2 isoform j 902 0 10 591 0 Homo sapiens NP_001276537.1 1 RefSeq MSGQWFYEAKAKRHRDKIHGADIIRASMRKKRPQIAAEQSKDRENGAKESWVNNVNKDAFLPPELAGVVEEPEEDAAPASPSSSVVNPASSVIDMSQENTRKPNVSPEKRKNPFNSSKLPEGHSSQQTKNEQSKNGRTGLFQTSKEDELSESKEKSTVADTSIQKLEKSKQTLPGLSNGSQIKAPIPKARKMIYKSTDLNKDDNQSFPRQRTDSLKARGAPRGILKRNSSSSSTDSETLRYNHNFEPKSKIVSPGLTIHERISEKEHSLEDNSSPNSLEPLKHVRFSAVKDELPQSPGLIHGREVGEFSVLESDRLKNGMEDAGDTEEFQSDPKPSQYRKPSLFHQSTSSPYVSKSETHQPMTSGSFPINGLHSHSEVLTARPQSMENSPTINEPKDKSSELTRLESVLPRSPADELSHCVEPEPSQVPGGSSRDRQQGSEEEPSPVLKTLERSAARKMPSKSLEDISSDSSNQAKVDNQPEELVRSAEDDEKPDQKPVTNECVPRISTVPTQPDNPFSHPDKLKRMSKSVPAFLQDESDDRETDTASESSYQLSRHKKSPSSLTNLSSSSGMTSLSSVSGSVMSVYSGDF
NP_001277733.1 1 278 0.0761946043165468 PF00335.20:Tetraspanin:21:265 leukocyte antigen CD37 isoform 2 278 245 10 189 4 Mus musculus NP_001277733.1 1 RefSeq MDTCEEPIVSLAPTYSKDPQVLGGLIFCFGTWILIDKTSFVSFVGLSFVPLQTWSKVLAVSGVLTMALALLGCVGALKELRCLLGLYFGMLLLLFATQITLGILISTQRVRLERRVQELVLRTIQSYRTNPDETAAEESWDYAQFQLRCCGWQSPRDWNKAQMLKANESEEPFVPCSCYNSTATNDSTVFDKLFFSQLSRLGPRAKLRQTADICALPAKAHIYREGCAQSLQKWLHNNIISIVGICLGVGLLELGFMTLSIFLCRNLDHVYDRLARYR
NP_001284532.1 1 219 0.855542465753424 cell division cycle-associated protein 3 isoform 3 219 0 10 219 0 Homo sapiens NP_001284532.1 1 RefSeq MGSAKSVPVTPARPPPHNKHLARVADPRSPSAGILRTPIQVESSPQPGLPAGEQLEGLKHAQDSDPRSPTLGIARTPMKTSSGDPPSPLVKQLSEVFETEDSKSNLPPEPVLPPEAPLSSELDLPLGTQLSVEEQMPPWNQTEFPSKQVFSKEEARQPTETPVASQSSDKPSRDPETPRSSASSVPDFEEAVPGTCGHSHPVSCDSQAAHPVIVAREDA
NP_001287150.1 1 926 0.651796544276459 PF00385.24:Chromo:223:273 chromator, isoform E 926 51 10 926 0 Drosophila melanogaster NP_001287150.1 1 RefSeq MLAQEISPTEIGKHANIIRAAQEELANMDVLVCGRCLRAYNFVEEFQAHKEDACEKENANLKESLDPKPTIWAFTLWKATQLHSRKDASGSSWALYQHWVKLDDSVREPWIVAGKTIQSFGKIAHGQLQDMPVRITKTVVNPNNNNTSNSNNNNTSVSPTRKSPTGKLPTLANQLKDTENERPKSKPSTPTPSSVPSAGAVKPNNRIAIRIDSKTDQRTEEPVEKIVAKRFNPRRKTHEYLVKWVDRSHHENTWEVMANLERVPYFLQMFEKQLARQKLTREKGLDALKRMQSSGTNAKSDTAAPHSPLPASSQVSPSSRPSRTSKTKAMDAFKQWVNETVAGDGSSSPSSANEDESSTEQEWPPSSGAIKRKLNNTDSSLEGSGLNDSMDLEDLEEDLPSHTVKRLKNGGSSVQLNKPRIQSTEKQQTKINGNSTVVSPLTEQKMGEIIYTEDSTSSGMFRKPEMPNTINLKKEKPECPVRYLSRSEVGSSTRGVFRVENSEAPAPVSPAPVPQKVIAAPPAAGIAKRLPMARPAHSGANSPITVGQRQHALRTPGHGPVTAALHRRPGVGGASHVRQNLQQAPGRAHPGGRVLARAGVGTPQHRQQVQTPQGAKAVTPEQKILQLSKSGDLKVTRKVVTREELLAQRSAQARQRQALSQQQSSQQQKATPARQRIVSKSTIQPTPLQMELEEEIHQHQAQLCPITGKLIGQEETQLQMEQEQQQEQQREQLEAAAQALLGSDQQVLTNEDGSALLVRGEDGTVYQVAGKNAEGQTILVTQGPDGEQQFAYVAAAEGEDQDVLSLDHAVAEAVQAGEQVESHGVGAATADGEQILVSMTEEELAQHQVLQQAEASAAGTGTPPTAQIHITTSDSDGTESQIPAEVVQADLPSPGGTRRVVLLLQDGTFMMTEMHEDQFKTLNIPT
NP_001289572.2 1 430 0.042203023255814 PF07690.16:MFS_1:24:380 solute carrier family 17 member 9 isoform 2 430 357 10 234 9 Homo sapiens NP_001289572.2 1 RefSeq MTLTSRRQDSQEARPECQAWTGTLLLGTCLLYCARSSMPICTVSMSQDFGWNKKEAGIVLSSFFWGYCLTQVVGGHLGDRIGGEKVILLSASAWGSITAVTPLLAHLSSAHLAFMTFSRILMGLLQGVYFPALTSLLSQKVRESERAFTYSIVGAGSQFGTLLTGAVGSLLLEWYGWQSIFYFSGGLTLLWVWYVYRYLLSEKDLILALGVLAQSRPVSRHNRVPWRRLFRKPAVWAAVVSQLSAACSFFILLSWLPTFFEETFPDAKGWIFNVVPWLVAIPASLFSGFLSDHLINQGYRAITVRKLMQGMGLGLSSVFALCLGHTSSFCESVVFASASIGLQTFNHSGISVNIQDLAPSCAGFLFGVANTAGALAGVVGVCLGGYLMETTGSWTCLFNLVAIISNLGLCTFLVFGQAQRVDLSSTHEDL
NP_001289698.1 595 1205 0.688198199672667 Partitioning defective 3 homolog B; Amyotrophic lateral sclerosis 2 chromosomal region candidate gene 19 protein; PAR3-beta; Partitioning defective 3-like protein; PAR3-L protein 1205 0 10 611 0 Homo sapiens (Human) SwissProt::Q8TEW8 1 SwissProt PMEDPAECGAFSKPCFENCQNAVTTSRRNDNSILHPLGTCSPQDKQKGLLLPNDGWAESEVPPSPTPHSALGLGLEDYSHSSGVDSAVYFPDQHINFRSVTPARQPESINLKASKSMDLVPDESKVHSLAGQKSESPSKDFGPTLGLKKSSSLESLQTAVAEVRKNDLPFHRPRPHMVRGRGCNESFRAAIDKSYDGPEEIEADGLSDKSSHSGQGALNCESAPQGNSELEDMENKARKVKKTKEKEKKKEKGKLKVKEKKRKEENEDPERKIKKKGFGAMLRFGKKKEDKGGKAEQKGTLKHGGLREEELEKMKEERERIGAKHQELREKQARGLLDYATGAIGSVYDMDDDEMDPNYARVNHFREPCTSANVFRSPSPPRAGPFGYPRDGHPLSPERDHLEGLYAKVNKPYHPLVPADSGRPTGGSTDRIQKLRKEYYQARREGFPLYEDDEGRARPSEYDLLWVPGRGPDGNAHNLRFEGMERQYASLPRGGPADPVDYLPAAPRGLYKERELPYYPGAHPMHPPKGSYPRPTELRVADLRYPQHYPPPPAPQHKGPFRQDVPPSPPQHQRMPAYQETGRPGPRGGSPDQYPYRTQDSRQKNPMTAAV
NP_001291271.1 102 524 0.668368085106383 ubiquilin-4 isoform 2 581 0 10 423 0 Homo sapiens NP_001291271.1 1 RefSeq PDPASAPSTTPASPATPAQPSTSGSASSDAGSGSRRSSAGFGGILGLGSLGLGSANFMELQQQMQRQLMSNPEMLSQIMENPLVQDMMSNPDLMRHMIMANPQMQQLMERNPEISHMLNNPELMRQTMELARNPAMMQEMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMFSAAREQFGNNPFSSLAGNSDSSSSQPLRTENREPLPNPWSPSPPTSQAPGSGGEGTGGSGTSQVHPTVSNPFGINAASLGSGMFNSPEMQALLQQISENPQLMQNVISAPYMRSMMQTLAQNPDFAAQMMVNVPLFAGNPQLQEQLRLQLPVFLQQMQNPESLSILTNPRAMQALLQIQQGLQTLQTEAPGLVPSLGSFGISRTPAPSAGSNAGSTPEAPTSSPATPATSSPTGASSAQQQLMQQMIQLL
NP_001308286.1 167 535 0.333711111111111 PF00069.25:Pkinase:2:160,PF07714.17:Pkinase_Tyr:4:155 Nuclear receptor-binding protein 535 159 10 369 0 Homo sapiens (Human) SwissProt::Q9UHY1 1 SwissProt KNHKTMNEKAWKRWCTQILSALSYLHSCDPPIIHGNLTCDTIFIQHNGLIKIGSVAPDTINNHVKTCREEQKNLHFFAPEYGEVTNVTTAVDIYSFGMCALEMAVLEIQGNGESSYVPQEAISSAIQLLEDPLQREFIQKCLQSEPARRPTARELLFHPALFEVPSLKLLAAHCIVGHQHMIPENALEEITKNMDTSAVLAEIPAGPGREPVQTLYSQSPALELDKFLEDVRNGIYPLTAFGLPRPQQPQQEEVTSPVVPPSVKTPTPEPAEVETRKVVLMQCNIESVEEGVKHHLTLLLKLEDKLNRHLSCDLMPNENIPELAAELVQLGFISEADQSRLTSLLEETLNKFNFARNSTLNSAAVTVSS
NP_001311139.1 1 84 0.0665369047619048 PF00837.17:T4_deiodinase:8:84 type I iodothyronine deiodinase isoform 2 161 77 10 84 0 Rattus norvegicus NP_001311139.1 1 RefSeq MGLSQLWLWLKRLVIFLQVALEVATGKVLMTLFPERVKQNILAMGQKTGMTRNPRFAPDNWVPTFFSIQYFWFVLKVRWQRLED
NP_001311247.1 1 634 0.224876656151419 PF13229.6:Beta_helix:389:508,PF05048.13:NosD:390:509 SHC SH2 domain-binding protein 1 isoform 2 634 121 10 634 0 Homo sapiens NP_001311247.1 1 RefSeq MADGSLTGGGLEAAAMAPERMGWAVEQELASLEKGLFQDEDSCSDCSYRDKPADCKASEVQEFTAEFLEKVLEPSGWRAVWHTNVFKVLVEITDVDFAALKAVVRLAEPYLCDSQVSTFTMECMKELLDLKEHRLPLQELWVVFDDSGVFDQTALAIEHVRFFYQNIWRSWDEEEEDEYDYFVRCVEPRLRLHYDILEDRVPSGLIVDYHNLLSQCEESYRKFLNLRSSLSNCNSDSEQENISMVEGLKLYSEMEQLKQKLKLIENPLLRYVFGYQKNSNIQAKGVRSSGQKITHVVSSTMMAGLLRSLLTDRLCQEPGEEEREIQFHSDPLSAINACFEGDTVIVCPGHYVVHGTFSIADSIELEGYGLPDDIVIEKRGKGDTFVDCTGADIKISGIKFVQHDAVEGILIVHRGKTTLENCVLQCETTGVTVRTSAEFLMKNSDLYGAKGAGIEIYPGSQCTLSDNGIHHCKEGILIKDFLDEHYDIPKISMVNNIIHNNEGYGVVLVKPTIFSDLQENAEDGTEENKALKIQTSGEPDVAERVDLEELIECATGKMELCARTDPSEQVEGNCEIVNELIAASTQKGQIKKKRLSELGITQADDNLMSQEMFVGIVGNQFKWNGKGSFGTFLF
NP_001318639.1 1 328 0.427140548780488 tRNA-specific adenosine deaminase TAD3; AtTAD3; Protein EMBRYO DEFECTIVE 2820; tRNA-specific adenosine-34 deaminase TAD3; EC 3.5.4.33 400 0 10 328 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4KH86 1 SwissProt MDSDAWEIIHIPEKPSLSPDHQPTVKVYASLIKPRFANTIVRHLCKIAPLEDLRHVKRVKKKILPDCGETQLTVILCLAPEHNDQLSDMPPDVQRLVDPYELSPFITQVCKYAAVSKEEWEEQSKIWPTSFHPPTYNIDGIGGFSEEETQSICKFMRVVIDMAVSGHTPLVNAAVIVDPSVRRIIASETDQVYASSAPRDMTSAETRPFEETGEICLNDTLEKQNGSLSALSCLNPWQWSLQPHDTENCSQWHPLRHASMVAIESSSARDRNLFPNPSKIFDQDHVPPSNTDSPAKKQKTSSQSPDVQNDSREETVRDPSMERPYLCT
NP_001320160.1 1 153 0.36207908496732 PF00403.26:HMA:31:86 Heavy metal-associated isoprenylated plant protein 26; AtHIP26; AtHIPP26; Farnesylated protein 6; AtFP6 153 56 10 153 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZN7 1 SwissProt MGVLDHVSEMFDCSHGHKIKKRKQLQTVEIKVKMDCEGCERKVRRSVEGMKGVSSVTLEPKAHKVTVVGYVDPNKVVARMSHRTGKKVELWPYVPYDVVAHPYAAGVYDKKAPSGYVRRVDDPGVSQLARASSTEVRYTTAFSDENPAACVVM
NP_001325092.1 1 311 0.671957556270096 PF06203.14:CCT:260:301 pseudo-response regulator 9 311 42 10 311 0 Arabidopsis thaliana NP_001325092.1 1 RefSeq MDQLRDDPTAHAQSLPASQHNLEDTDETCEDSRYHSDQGSGAQAINYNGHNKLMENGKSVDERDEFKETFDVTMDLIGGIDKRPDSIYKDKSRDECVGPELGLSLKRSCSVSFENQDESKHQKLSLSDASAFSRFEESKSAEKAVVALEESTSGEPKTPTESHEKLRKVTSDQGSATTSSNQENIGSSSVSFRNQVLQSTVTNQKQDSPIPVESNREKAASKEVEAGSQSTNEGIAGQSSSTEKPKEEESAKQRWSRSQREAALMKFRLKRKDRCFDKKVRYQSRKKLAEQRPRVKGQFVRTVNSDASTKS
NP_001329057.1 1 1268 0.352990615141956 sensitive to freezing 6 1268 0 10 1268 0 Arabidopsis thaliana NP_001329057.1 1 RefSeq MNQQNPEEEVSLVNNSGGGGIIEAPAIVEEKEEEGLQQKQEETIESTDPILVVVEEKLLEKSVDGEKEDDNSSSSNMEIDPVSPATVFCVKLKQPNSNLLHKMSVPELCRNFSAVAWCGKLNAIACASETCARIPSSKANTPFWIPIHILIPERPTECAVFNVVADSPRDSVQFIEWSPTSCPRALLIANFHGRITIWTQPTQGSANLVHDATSWQCEHEWRQDIAVVTKWLTGASPYRWLSSKPSSGTNAKSTFEEKFLSQSSESSARWPNFLCVCSVFSSGSVQIHWSQWPSNQGSTAPKWFSTKKGLLGAGPSGIMAADAIITDSGAMHVAGVPIVNPSTIVVWEVTPGPGNGLQATPKISTGSRVPPSLSSSSWTGFAPLAAYLFSWQEYLISEIKQGKKPSDQDSSDAISLSCSPVSNFSAYVSPEAAAQSAATTTWGSGVTAVAFDPTRGGSVIAVVIVEGQYMSPYDPDEGPSITGWRVQRWESSVQPVVLHQIFGNPTSNFGGQVPTQTVWVSRVDMSIPPTKDFKNHQVAAAGPSVDAPKEPDSGDEKANKVVFDPFDLPSDIRTLARIVYSAHGGEIAIAFLRGGVHIFSGPTFSPVENYQINVGSAIAAPAFSPTSCCSASVWHDAAKDCAMLKIIRVLPPALPRNQSKVDQSTWERAIAERFWWSLLVGVDWWDAVGCTQSAAEDGIVSLNSVIAVMDADFHSLPSTQHRQQYGPNLDRIKCRLLEGTNAQEVRAMVLDMQARLLLDMLGKGIESALVNPSALVFEPWRVDGETITGINPEAMAVDPALVSSIQAYVDAVLDLASHFITRLRRYASFCRTLASHAASAGTGSNRNNVTSPTQNASSPATPQVGQPTTTTTTTATTNSSGSSHVQAWMQGAIAKISSSNDGSNSTASPISGSPTFMPISINTGTFPGTPAVRLIGDCHFLHRLCQLLLFCFLQRSSRFPQRNADVSSQKLQTGATSKLEEVNSAKPTPALNRIEDAQGFRGAQLGTGVKGIDENSARTTKMGSGNAGQGYTYEEVRVLFHILMDLCKRTSGLAHPLPGSQVGSGNIQVRLHYIDGNYTVLPEVVEAALGPHMQNMPRPRGADAAGLLLRELELHPPSEEWHRRNLFGGPGSEPEDMILTDDVSKLSNSLDLPDTNFSGICDGYNRVHSLWPRKRRMSERDAAFGSNTSVGLGAYLGIMGSRRDVVTATWKTGLEGVWYKCIRCLRQTSAFASPGATKQPNPNERETWWTSRWVYCCPMCGGTWVRVV
NP_002608.1 1 263 0.169504562737643 PF04757.14:Pex2_Pex12:18:242 Peroxisome biogenesis factor 10; Peroxin-10; Peroxisomal biogenesis factor 10; Peroxisome assembly protein 10; RING finger protein 69 326 225 10 240 1 Homo sapiens (Human) SwissProt::O60683 1 SwissProt MAPAAASPPEVIRAAQKDEYYRGGLRSAAGGALHSLAGARKWLEWRKEVELLSDVAYFGLTTLAGYQTLGEEYVSIIQVDPSRIHVPSSLRRGVLVTLHAVLPYLLDKALLPLEQELQADPDSGRPLQGSLGPGGRGCSGARRWMRHHTATLTEQQRRALLRAVFVLRQGLACLQRLHVAWFYIHGVFYHLAKRLTGITYLRVRSLPGEDLRARVSYRLLGVISLLHLVLSMGLQLYGFRQRQRARKEWRLHRGLSHRRASLE
NP_002988.4 1 310 0.719707419354839 PF01034.20:Syndecan:246:308 Syndecan-1; SYND1; CD138 antigen 310 63 10 287 1 Homo sapiens (Human) SwissProt::P18827 1 SwissProt MRRAALWLWLCALALSLQPALPQIVATNLPPEDQDGSGDDSDNFSGSGAGALQDITLSQQTPSTWKDTQLLTAIPTSPEPTGLEATAASTSTLPAGEGPKEGEAVVLPEVEPGLTAREQEATPRPRETTQLPTTHLASTTTATTAQEPATSHPHRDMQPGHHETSTPAGPSQADLHTPHTEDGGPSATERAAEDGASSQLPAAEGSGEQDFTFETSGENTAVVAVEPDRRNQSPVDQGATGASQGLLDRKEVLGGVIAGGLVGLIFAVCLVGFMLYRMKKKDEGSYSLEEPKQANGGAYQKPTKQEEFYA
NP_003116.2 1 89 0.557706741573034 PF02389.15:Cornifin:17:73,PF02389.15:Cornifin:65:87 Cornifin-B 89 71 10 89 0 Homo sapiens P22528 1 SwissProt/TReMBL MSSQQQKQPCTPPPQLQQQQVKQPCQPPPQEPCIPKTKEPCHPKVPEPCHPKVPEPCQPKVPEPCHPKVPEPCPSIVTPAPAQQKTKQK
NP_004427.1 1 121 0.769836363636364 PF04667.17:Endosulfine:32:105 Alpha-endosulfine; ARPP-19e 121 74 10 121 0 Homo sapiens (Human) SwissProt::O43768 1 SwissProt MSQKQEEENPAEETGEEKQDTQEKEGILPERAEEAKLKAKYPSLGQKPGGSDFLMKRLQKGQKYFDSGDYNMAKAKMKNKQLPSAGPDKNLVTGDHIPTPQDLPQRKSSLVTSKLAGGQVE
NP_004664.1 1 271 0.332487084870849 Angiopoietin-related protein 1; Angiopoietin-3; ANG-3; Angiopoietin-like protein 1 491 0 10 271 0 Homo sapiens (Human) SwissProt::O95841 1 SwissProt MKTFTWTLGVLFFLLVDTGHCRGGQFKIKKINQRRYPRATDGKEEAKKCAYTFLVPEQRITGPICVNTKGQDASTIKDMITRMDLENLKDVLSRQKREIDVLQLVVDVDGNIVNEVKLLRKESRNMNSRVTQLYMQLLHEIIRKRDNSLELSQLENKILNVTTEMLKMATRYRELEVKYASLTDLVNNQSVMITLLEEQCLRIFSRQDTHVSPPLVQVVPQHIPNSQQYTPGLLGGNEIQRDPGYPRDLMPPPDLATSPTKSPFKIPPVTF
NP_004822.2 93 600 0.733123228346455 PF15694.5:Med26_M:85:325,PF15693.5:Med26_C:327:506 Mediator of RNA polymerase II transcription subunit 26; Activator-recruited cofactor 70 kDa component; ARC70; Cofactor required for Sp1 transcriptional activation subunit 7; CRSP complex subunit 7; Mediator complex subunit 26; Transcriptional coactivator CRSP70 600 421 10 508 0 Homo sapiens (Human) SwissProt::O95402 1 SwissProt ALRGLAGATGSANGGAHNCRPEVGAAGPPRSIHDLKSRNDLQRLPGQRLDRLGSRKRRGDQRDLGHPGPPPKVSKASHDPLVPNSSPLPTNGISGSPESFASSLDGSGHAGPEGSRLERDENDKHSGKIPVNAVRPHTSSPGLGKPPGPCLQPKASVLQQLDRVDETPGPPHPKGPPRCSFSPRNSRHEGSFARQQSLYAPKGSVPSPSPRPQALDATQVPSPLPLAQPSTPPVRRLELLPSAESPVCWLEQPESHQRLAGPGCKAGLSPAEPLLSRAGFSPDSSKADSDAASSGGSDSKKKKRYRPRDYTVNLDGQVAEAGVKPVRLKERKLTFDPMTRQIKPLTQKEPVRADSPVHMEQQSRTELDKQEAKASLQSPFEQTNWKELSRNEIIQSYLSRQSSLLSSSGAQTPGAHHFMSEYLKQEESTRQGARQLHVLVPQSPPTDLPGLTREVTQDDLDRIQASQWPGVNGCQDTQGNWYDWTQCISLDPHGDDGRLNILPYVCLD
NP_004898.2 1 223 0.628771748878924 PF05760.12:IER:1:157 Immediate early response gene 2 protein; Protein ETR101 223 157 10 223 0 Homo sapiens (Human) SwissProt::Q9BTL4 1 SwissProt MEVQKEAQRIMTLSVWKMYHSRMQRGGLRLHRSLQLSLVMRSARELYLSAKVEALEPEVSLPAALPSDPRLHPPREAESTAETATPDGEHPFPEPMDTQEAPTAEETSACCAPRPAKVSRKRRSSSLSDGGDAGLVPSKKARLEEKEEEEGASSEVADRLQPPPAQAEGAFPNLARVLQRRFSGLLNCSPAAPPTAPPACEAKPACRPADSMLNVLVRAVVAF 2
NP_006129.4 1 214 0.133208411214953 PF04103.15:CD20:50:196 Membrane-spanning 4-domains subfamily A member 3; CD20 antigen-like protein; Hematopoietic-specific transmembrane protein 4; HTm4 214 147 10 122 4 Homo sapiens (Human) SwissProt::Q96HJ5 0 SwissProt MASHEVDNAELGSASAHGTPGSEAGPEELNTSVYQPIDGSPDYQKAKLQVLGAIQILNAAMILALGVFLGSLQYPYHFQKHFFFFTFYTGYPIWGAVFFCSSGTLSVVAGIKPTRTWIQNSFGMNIASATIALVGTAFLSLNIAVNIQSLRSCHSSSESPDLCNYMGSISNGMVSLLLILTLLELCVTISTIAMWCNANCCNSREEISSPPNSV
NP_006512.2 1 325 0.623913538461539 PF15951.5:MITF_TFEB_C_3_N:113:266 Transcription factor E3; Class E basic helix-loop-helix protein 33; bHLHe33 575 154 10 325 0 Homo sapiens (Human) SwissProt::P19532 1 SwissProt MSHAAEPARDGVEASAEGPRAVFVLLEERRPADSAQLLSLNSLLPESGIVADIELENVLDPDSFYELKSQPLPLRSSLPISLQATPATPATLSASSSAGGSRTPAMSSSSSSRVLLRQQLMRAQAQEQERRERREQAAAAPFPSPAPASPAISVVGVSAGGHTLSRPPPAQVPREVLKVQTHLENPTRYHLQQARRQQVKQYLSTTLGPKLASQALTPPPGPASAQPLPAPEAAHTTGPTGSAPNSPMALLTIGSSSEKEIDDVIDEIISLESSYNDEMLSYLPGGTTGLQLPSTLPVSGNLLDVYSSQGVATPAITVSNSCPAE
NP_006753.1 1 262 0.0693263358778626 PF03821.16:Mtp:28:261 Lysosomal-associated transmembrane protein 5; Lysosomal-associated multitransmembrane protein 5; Retinoic acid-inducible E3 protein 262 234 10 148 5 Homo sapiens (Human) SwissProt::Q13571 1 SwissProt MDPRLSTVRQTCCCFNVRIATTALAIYHVIMSVLLFIEHSVEVAHGKASCKLSQMGYLRIADLISSFLLITMLFIISLSLLIGVVKNREKYLLPFLSLQIMDYLLCLLTLLGSYIELPAYLKLASRSRASSSKFPLMTLQLLDFCLSILTLCSSYMEVPTYLNFKSMNHMNYLPSQEDMPHNQFIKMMIIFSIAFITVLIFKVYMFKCVWRCYRLIKCMNSVEEKRNSKMLQKVVLPSYEEALSLPSKTPEGGPAPPPYSEV
NP_009352.2 1 622 0.398418649517684 PF12808.7:Mto2_bdg:574:614 Spindle pole component SPC72 622 41 10 622 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39723 1 SwissProt MVRRWIPSGRHLRNNDNTGDDDDSEFTNSMDSGMSIPSLRDSMTTRSSHNDPIKPALMNDSNKVKNLEKELTNAKIKIQVLYEYIRRIPNKDGNAPSLGNDTDFRNSIIEGLNLEINKLKQDLKAKEVEYQDTLQFVQENLENSESIVNTINHLLSFILTHFNEQDENAHLLDKEERETLEETLELSSDYVLEKMDTLSKFIIQFLQDFLHSKSRAESKQDKEEFLSLAQSSPAGSQLESRDSPSSKEENTDGGYQNDEIHDSNNHIDTENVMANSTSLPISAVESRFEKTLDTQLEIVIENLHKEYDQFINSIRLKFEKSQKLEKIIASKLNEQSHLLDSLELEENSSSVIEKQDHLISQLKEKIESQSVLINNLEKLKEDIIKMKQNEKVLTKELETQTKINKLKENNWDSYINDLEKQINDLQIDKSEEFHVIQNQLDKLDLENYQLKNQLNTLDNQKLILSQYESNFIKFNQNLLLHLDSIFNILQKILQESSIAQFDRKMKSIKSVPNALKNLNLIQPKLESLYTFIETALESIINSYISSLISMETPEQPHQQGNELTATPNKELTLRIEELQRRWISERERRKLDANASEARIKALEQENESLRSKLFNLSINNP
NP_009395.1 1 215 0.120955348837209 PF01105.24:EMP24_GP25L:34:207 Protein ERP2 215 174 10 172 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39704 1 SwissProt MIKSTIALPSFFIVLILALVNSVAASSSYAPVAISLPAFSKECLYYDMVTEDDSLAVGYQVLTGGNFEIDFDITAPDGSVITSEKQKKYSDFLLKSFGVGKYTFCFSNNYGTALKKVEITLEKEKTLTDEHEADVNNDDIIANNAVEEIDRNLNKITKTLNYLRAREWRNMSTVNSTESRLTWLSILIIIIIAVISIAQVLLIQFLFTGRQKNYV
NP_009519.1 568 1513 0.333746723044397 Protein STU1; Suppressor of tubulin 1 1513 0 10 946 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38198 1 SwissProt NINYQVSRVSSTASASSATSRLYSHSSNNSSRKTSLLEQKRNYPSYAQPTQSSSTSLLNAPAVTAGGSVIASKLSNKLKTNLRSTSEYSSKENEKRARHHDSMNSVSNSNTKDNNNVTKRKVSAPPSSTAATKVSENYTNFDDFPSNQIDLTDELSNSYSNPLIKKYMDKNDVSMSSSPISLKGSNKLGEYETLYKKFNDASFPAQIKDALQYLQKELLLTSQQSSSAPKFEFPMIMKKLRQIMIKSPNDFKPFLSIEKFTNGVPLNYLIELYSINSFDYAEILKNRMNPEKPYELTNLIITIADLFNFLNANNCPNDFKLYYMKYKTTFFNYNFKLLLEIFRNLNIKHDNTLRSGTNDLMPKISMILFQIYGKEFDYTCYFNLIFEIYKFDNNRFNKLLADFDIVSTKMKICHELEKKDANFKVEDIISRESSVSFTPIDNKKSEGDEESDDAVDENDVKKCMEMTMINPFKNLETDKTLELKNNVGKRTSSTDSVVIHDDNDKDKKLSEMTKIVSVYQLDQPNPAKEEDDIDMENSQKSDLNLSEIFQNSGENTERKLKDDNEPTVKFSTDPPKIINEPEKLIGNGNENEKPDLETMSPIKINGDENMGQKQRITVKRERDVALTEQDINSKKMKLVNNKKSEKMHLLIMDNFPRDSLTVYEISHLLMVDSNGNTLMDFDVYFNHMSKAINRIKSGSFTMKHINYLIEPLITCFQNQKMTDWLTNENGFDELLDVAIMLLKSTDDTPSIPSKISSKSIILVHCLLVWKKFLNTLSENADDDGVSVRMCFEEVWEQILLMLNKFSDYGNEIYKLAQEFRDSLMLSHFFKKHSATRILSMLVTEIQPDTAGVKETFLIETLWKMLQSPTICQQFKKSNISEIIQTMSYFIMGTDNTSWNFTSAVVLARCLRVLQTTPDYTEQETERLFDCLPKNVFKMIMFIASNE
NP_009528.1 1 145 0.197975862068966 PF05234.11:UAF_Rrn10:1:120 RNA polymerase I-specific transcription initiation factor RRN10 145 120 10 145 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38204 1 SwissProt MDRNVYEACSNIIKEFGTHVVSADEVLAEKIDNAVPIPFKTREEIDADVEKDRNEGVFEGNIIPDIDLRVVHYYATQLCLNKYPHLINAFDETSLITLGLLIEKWVKDYLTSIQTEQGRQSKVIGKGPCEFISKHIDYRHAPGNI
NP_009624.4 1 609 0.115626436781609 PF00324.21:AA_permease:99:561,PF13520.6:AA_permease_2:99:521 Leu/Val/Ile amino-acid permease; Branched-chain amino-acid permease 2 609 463 10 354 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38084 1 SwissProt MLSSEDFGSSGKKETSPDSISIRSFSAGNNFQSSSSEKTYSKQKSGSDKLIHRFADSFKRAEGSTTRTKQINENTSDLEDGVESITSDSKLKKSMKSRHVVMMSLGTGIGTGLLVANAKGLHYGGPAALIIGYILVSFVTYFMIQAAGEMAVTYPTLPANFNAYSSIFISKSFGFATVWLYCFQWLTVLPLELITASMTIQFWNDKINPDIYILIFYVFLVFIHFFGVKAYGETEFIFNCCKILMIAGFIILSIVINCGGAGNDGYIGATYWHNPGAFAGDTSIGRFKNVCYILVTAYFSFGGMELFALSVQEQSNPRKSTPVAAKRSIYRIVVIYLLTMILIGFNVPYNDDQLMGAGGSATHASPYVLAASIHGVKIVPHIINAVILISVVSVANSSLYAGPRLICSLAQQGYAPKFLDYVDREGRPLRALIVCCVFGVIAFVAASSKEEIVFTWLAAIAGLSELFTWTSIMLSHLRFRQAMKVQGRSLDELGYKATTGIWGSIYGVFFNILVFVAQFWVALAPLGNGGKCDAESFFQNYLAFPIWLAFYFGYMVYNRDFTLLNPLDKIDLDFHRRIYDPELMRQEDEENKEKLRNMSLMRKAYHFWC
NP_009795.3 213 436 0.14195 PF03291.16:Pox_MCEL:3:212 mRNA cap guanine-N7 methyltransferase; mRNA (guanine-N(7)-)-methyltransferase; mRNA cap methyltransferase; EC 2.1.1.56 436 210 10 224 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32783 1 SwissProt LDYQVVLITGDCFGESLGVAVEPFPDCRFPCDIVSTQFCLHYAFETEEKARRALLNVAKSLKIGGHFFGTIPDSEFIRYKLNKFPKEVEKPSWGNSIYKVTFENNSYQKNDYEFTSPYGQMYTYWLEDAIDNVPEYVVPFETLRSLADEYGLELVSQMPFNKFFVQEIPKWIERFSPKMREGLQRSDGRYGVEGDEKEAASYFYTMFAFRKVKQYIEPESVKPN
NP_009879.2 1 583 0.59893704974271 Laminarase-resistance protein LRE1 583 0 10 583 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25579 1 SwissProt MPNTHTQHVQISEPNPVNTLSTPSKRGHRHRRSLAISGDFDFLKQPAAIVNLPPPQAAENCPSTAPTAVSSTLSPIRYNRFPCKTNEDAGTLDLPEPRFYPLSPKNNLQTPSPRFFISEEPSFSSPVKGVPDAIINLDDALKTRPRSFKSHRRSESAPPDLEVMVDKGNCAAGSNSMIKEEEDSLIEPESKNEYYEQKLPTALLSPLRPSLCVSEQAIDVDDSALNGSPTHHNHGMQNANARNSNTFNSLKIKGQKQRYYHYTKQLPLTVGCDSQSPKEQRSAASMTINQAMTPSSLAYTPSKLASTPATPVSFYDSNADINLESDNFPLKDNPRYAKDGYPKKCGNSQLNRVLDSDKRQDFSGESRRRRSGSPISHMQHRNLIDNMKGRRNSNTINSIFNYKSQHYEMPYDDMMKNENINAQSMPFSVNGVNNENSIGGVITRADDAPLQHSVVKSCTPDGKEEMNRLKSNDSNEYSKSEGQIRTNSQLSKDILMGEPGDMVDLSSFVNAQRKASNETGDLVFSLSQDDDALKTFHASNSAATSNESWCISDDALGKQAQDSEVRRKRKSKLGLFRHIFSRK
NP_010001.1 1 250 0.5561472 PF08577.11:PI31_Prot_C:168:229 Silencing boundary-establishment protein FUB1; Function of boundary protein 1; Proteasome inhibitor PI31-like protein FUB1 250 62 10 250 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25659 1 SwissProt MIENKVELVAELVLESIGKTEVVSRHTEGTKSCQVSFRIKDSPSEKGSTSFLSELVVIQTLDDNDKYTVVIRHGTSITMACVVGYSDFKLPTELKWPLERESLPVEPDLKPIMTQLKRQTAGSADMPKFDDEYQAQARQNQGTAPLNPYPGLTVTEPSFANPAGGYADGDLYPVGTSHPDWSGGLPNPLGNPSSQGGMIFDPNRRPAPRREDMPPGWMPGSKYDEPFGPGSGGFGGSGSGGFGGSGSGFI
NP_010140.1 1 690 0.215846956521739 PF09825.9:BPL_N:1:374,PF03099.19:BPL_LplA_LipB:386:553,PF02237.17:BPL_C:623:682 Biotin--protein ligase; Biotin apo-protein ligase; EC 6.3.4.-; EC 6.3.4.9; EC 6.3.4.10; EC 6.3.4.11; EC 6.3.4.15 690 602 10 690 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48445 1 SwissProt MNVLVYNGPGTTPGSVKHAVESLRDFLEPYYAVSTVNVKVLQTEPWMSKTSAVVFPGGADLPYVQACQPIISRLKHFVSKQGGVFIGFCAGGYFGTSRVEFAQGDPTMEVSGSRDLRFFPGTSRGPAYNGFQYNSEAGARAVKLNLPDGSQFSTYFNGGAVFVDADKFDNVEILATYAEHPDVPSSDSGKGQSENPAAVVLCTVGRGKVLLTGPHPEFNVRFMRKSTDKHFLETVVENLKAQEIMRLKFMRTVLTKTGLNCNNDFNYVRAPNLTPLFMASAPNKRNYLQEMENNLAHHGMHANNVELCSELNAETDSFQFYRGYRASYDAASSSLLHKEPDEVPKTVIFPGVDEDIPPFQYTPNFDMKEYFKYLNVQNTIGSLLLYGEVVTSTSTILNNNKSLLSSIPESTLLHVGTIQVSGRGRGGNTWINPKGVCASTAVVTMPLQSPVTNRNISVVFVQYLSMLAYCKAILSYAPGFSDIPVRIKWPNDLYALSPTYYKRKNLKLVNTGFEHTKLPLGDIEPAYLKISGLLVNTHFINNKYCLLLGCGINLTSDGPTTSLQTWIDILNEERQQLHLDLLPAIKAEKLQALYMNNLEVILKQFINYGAAEILPSYYELWLHSNQIVTLPDHGNTQAMITGITEDYGLLIAKELVSGSSTQFTGNVYNLQPDGNTFDIFKSLIAKKVQS
NP_010178.1 1 402 0.465479104477612 PF08743.10:Nse4_C:306:401,PF15412.6:Nse4-Nse3_bdg:103:159 Non-structural maintenance of chromosome element 4; Non-SMC element 4; Protein QRI2 402 153 10 402 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43124 1 SwissProt MSSTVISRKRRNSTVTEPDSSGETRKQKKSRSDEKSSSSKDGDPQLEFKVLQGYRDLESEMHKGRAQVTRTGDIGVAMDNLNAVDSLFNKVIGIKNNGLFAHDARAMVSISELAQISVRNLKFDDSRSMVNLENIVNSLKRYMLKEHFKLNNIAENRNDLTLAADEQSAADQQEESDGDIDRTPDDNHTDKATSSFKATSMRHSYLQQFSHYNEFSQFNWFRIGALYNTISKNAPITDHLMGPLSIEKKPRVLTQRRRNNDQVGEKITAEKITQHSLNSTQQETTPEQVKKCFKKLSKKLGPEGSINLFKFIIDPNSFSRSIENLFYTSFLIKEGKLLMEHDEEGLPTIKIKQSISHTDSRSKEIERQRRRAAHQNHIIFQMDMPTWRKLIKKYNITSPFLD
NP_010235.1 1 490 0.497017959183673 PF13894.6:zf-C2H2_4:304:326 Zinc finger protein STP4 490 23 10 490 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07351 1 SwissProt MLVSSSFASSIDSVMSHETMSLRRNPPFIDTPEKMPNPTASPNGTIHHLIDPSLPLLSSTTSSSRSTLSSTLNSPPPPPLTTSYSSYNSSACQSITSSPTDNTALAHNSKCYFPHSLSPTPLSSNSSSHVILPPISSFTNLITVAEREFNGRSNSLHANFTSPVPRTVLDHHRHELTFCNPNNTTGFKTITPSPPTQHQSILPTAVDNVPRSKSVSSLPVSGFPPLIVKQQQQQQLNSSSSASALPSIHSPLTNEHTSRYSSSLKDSAKITKQRKKKECPICHNFYANLSTHKSTHLTPEDRPHKCPICQRGFARNNDLIRHKKRHWKDEFMQIYARESDNNSGADDQDDTARTSANNDSDDSNDKLAASSSSEETKLLKKNQLKSLYKIKGAFKCPYNSTLINLDMEVYPHKSRSLYFEPINCHQTGVFSRCDTFKNHLKALHFEYPPKTKKEDRGVVPGKCKHCGLQFPNVDVWLNKHVGKGCGYSYH
NP_010369.3 1 199 0.0561020100502513 PF05832.12:DUF846:15:156 Golgi apparatus membrane protein TVP23; TLG2 compartment vesicle protein of 23 kDa 199 142 10 133 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38962 1 SwissProt MDQARNFYNTILKSSHPLLLSFHLAGKAVPIVFYIIGSMFLNFTPQFITVVLLLSFDFYLTKNITGRKLVQLRWWYDSTDVNKDSNFTFESYKQYAPGPPINAIDSKLFWWSMYVTPVIWGVFAVLCLLRLKIFYLILVIVAMCLTAWNTYGFRCCDRWEPNSGQSDGQDTNNWFALPSVPGFENLSRLANIQSFFQRQ
NP_010374.1 1 869 0.245027387802071 Uncharacterized protein YDR089W 869 0 10 800 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38966 1 SwissProt MKFEDRILNKSIPEWKFYNINYEKLKVAIKKVTAYDYDNPNDSGMEKLLNQCSVAFDQEFQNVNLFVSLKIKEISTRILSVESSIIDFSKGLNKTSRNRFNLRKLKIINAHVDDCNFELQLLSRFLIIQRIALRKLFKKLLNEFPQDSENPLTASEYVTSIRNSESLRNGHEGISFMKLDLDPYLLEVSLIVDVLHDLENKLEDATEPAVEQRSLNRSDESAHTSSSPEANNSSLPASPRSIPLLSNKKTSKMIDSSLEFDTALIDKAENLGRFLLSSEDIEGLKFMLLNIGFRIIDDSIISTSKEILDTTDNINSAGNKSIRSAKSFNDLQHTLSLSKQKNILPSAVQSNEKYVSISILDTVGNEGSPLLLTDDNINQHPNMIVSSTAEDTCIVMCHVGGLRNHVVTNDLLLRDVKNILSAMRSGNDTKNISALINSLDPSPISKIALEWIQSHRLKTIEPKLDFKRTRFISADNGDIYLIALDESITIGNVSTLPFPILEIKKLSRSSGLSQTAINEDNKFKQLMKSVVTNEFQCSLIPPDLTTWKICLELVHSNELQNDLFQLLLRDQYKLNSDDSLSPDEFFQLGKDRLEEEFDLTGPINNSQGSVDSGRRVRIHKKSKQSDNETKKKPIRYWNEFDEQEEDNLDNAFYIDTNGSRSTTDNEESLLLRNSPPDYGFILFSRNFINRTYDFCEKLRNLIRHDKKTSPDLFQNSKHPHCSSTNYGSVASFGSQSTSASYDDVQRYLQYQQQDIEDSQSIYEYRHDEVVTFLYLSALLTSCIMASVCLGIVLSLFRGQSNNEIDLEIQNILIAIIIISLLVSLILICACLLLLFSRFTLAPIWHYVGCFTMFFSVTGTVCYGMIEIFF
NP_010415.1 1 291 0.555374226804123 PF17300.2:FIN1:15:283 Filament protein FIN1; Filaments in between nuclei protein 1 291 269 10 291 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03898 1 SwissProt MSNKSNRRSLRDIGNTIGRNNIPSDKDNVFVRLSMSPLRTTSQKEFLKPPMRISPNKTDGMKHSIQVTPRRIMSPECLKGYVSKETQSLDRPQFKNSNKNVKIQNSDHITNIIFPTSPTKLTFSNENKIGGDGSLTRIRARFKNGLMSPERIQQQQQQHILPSDAKSNTDLCSNTELKDAPFENDLPRAKLKGKNLLVELKKEEEDVGNGIESLTKSNTKLNSMLANEGKIHKASFQKSVKFKLPDNIVTEETVELKEIKDLLLQMLRRQREIESRLSNIELQLTEIPKHK
NP_010600.1 1 692 0.18995 PF10405.9:BHD_3:565:647,PF10403.9:BHD_1:433:485 DNA repair protein RAD34 692 136 10 692 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06665 1 SwissProt MAKRLLESSQNDQANRKNSKIEKKEVSFYEEEETDDSFDSFYQDEEDDLSDIDWEEVSLDGSLTVTFGNIRRDREKVSKYKRKHNKKAFNYQRLKYGLHLIMIPFMLFLLKSRMKWIDDERLNRRLRRSVPKLIGKKFKDWDVRDPAFKMDSLRTLLLGLVLWFRSNYKMNSNGIRQNFNRLQYLIKYADNQNENSISESTYKKVLENQQEFYGNRPLINHGVEDIRKMAKRKMANRDILTLFFFIILENVLPGPKKLYLCFALPLHDYDIRCNKVKWQIEHGIGKVPNRFDSDLIQPYFWIELEVPTLSDGELYIIDPIAHLGEREMVLKTREDQFVPTYQPSVDMKYNLNQKFHYVVRINHAEKVLQDVSPRYVPNVCYRYFELSESSPILKSKHYTSYQYLSKWLKVLNKKKASVHHYAIMKKIALTNFTLPKSVTEIKRTDNFVIPSLLKSNEVLKACAKQAATFTKGDNSQEPIFWRRDVIQLKSKQHWAILGRSILPNAQPLKRKKYLPMRERMVRNLDKYVIKELFSYEQTMKSPKYPSTYCDHLGQEHVITDLSHYKNKFGNIEIYSKETKPDGFELIPLSKEVDIKCLIKEYNKGKRKMQKIKYLDVVSGFDFKQKKGHAIPKIESILVKETDYKAVQLLKQQTKVLLGLSFWDILLRKLRVNDRLNAEYGNVGNNEETLDDH
NP_010620.3 1 723 0.313678423236514 PF04910.14:Tcf25:329:663 Ribosome quality control complex subunit 1 723 335 10 723 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05468 1 SwissProt MSSRALRRLQDDNALLESLLSNSNANKMTSGKSTAGNIQKRENIFSMMNNVRDSDNSTDEGQMSEQDEEAAAAGERDTQSNGQPKRITLASKSSRRKKNKKAKRKQKNHTAEAAKDKGSDDDDDDEEFDKIIQQFKKTDILKYGKTKNDDTNEEGFFTASEPEEASSQPWKSFLSLESDPGFTKFPISCLRHSCKFFQNDFKKLDPHTEFKLLFDDISPESLEDIDSMTSTPVSPQQLKQIQRLKRLIRNWGGKDHRLAPNGPGMHPQHLKFTKIRDDWIPTQRGELSMKLLSSDDLLDWQLWERPLDWKDVIQNDVSQWQKFISFYKFEPLNSDLSKKSMMDFYLSVIVHPDHEALINLISSKFPYHVPGLLQVALIFIRQGDRSNTNGLLQRALFVFDRALKANIIFDSLNCQLPYIYFFNRQFYLAIFRYIQSLAQRGVIGTASEWTKVLWSLSPLEDPLGCRYFLDHYFLLNNDYQYIIELSNSPLMNCYKQWNTLGFSLAVVLSFLRINEMSSARNALLKAFKHHPLQLSELFKEKLLGDHALTKDLSIDGHSAENLELKAYMARFPLLWNRNEEVTFLHDEMSSILQDYHRGNVTIDSNDGQDHNNINNLQSPFFIAGIPINLLRFAILSEESSVMAAIPSFIWSDNEVYEFDVLPPMPTSKESIEVVENIKTFINEKDLAVLQAERMQDEDLLNQIRQISLQQYIHENEESNENEG
NP_010758.3 1 502 0.19189780876494 Mitochondrial fusion and transport protein UGO1 502 0 10 436 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03327 1 SwissProt MNNNNVTEATSRAQIRPYYDPDSFNAGYSAVFKPDEGVVDPHGYTIASKLNVINSSPTTKRMANALFKSSPMKKLSNSVNDGLSLEGSNGEITGLNNFEWAELVNIQKWRKIFEQLLDMFFRKYFQLLIQQPFDVARLLIQVGEFKIFKTTVDTNKPQAPIILRDEEGDGAAREGEEDAYDEEEIDFFPIERKIAEANSTAPIMAEETDHSHHEPTDISLTIAPQSLHTIDVINALFDQEGIRGLWKANNTTFIYNFLSLSIDTWFTGLLSSFLGVPDPYFMEVINSPDISKSFILALGAGVFTSIILLPVDLIRTRLIVTSFKKKKNVKTDGKNMVTNTRSLRQLIRCWSWRKNGVSIPLDMWSLTILQSINNSFFNKLFDLVIYNQFHIEKYSQTVMYNTMKFFSKSLELFIKLPLENLLRRCQLNYLLNDQRLSFKVDSTELIVKPKKYNGIWDVIRNNSNTNRGQLWNGWKVGVISLICGYGLQMMNKVDINMEQEKF
NP_011381.1 1 226 0.48147610619469 PHO85 cyclin-10 433 0 10 226 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53124 1 SwissProt MDMTKNHTTDTEEFDDGDIRPVSLGIVDDYNASFELPLKPKFLQSENFSDLTSEWDQSRSNTPGLAEGKTEKAQPCGTTDSSKNRIHVEQLLESANEMNNYLAQNIENINNFQVGLLNGGKGLYSSMGDDSSACINGTNFSSTSNFELSDDELEDTTGCTSSIFDKDLFHQQNGLSIPRRRSPLFKSPTASFEIGDATDVEEQDIDDSIFSECSSITSFDMGGLHI
NP_011435.1 1 130 0.116023846153846 PF03650.13:MPC:14:119 Mitochondrial pyruvate carrier 1; MPC1; Protein FMP37 130 106 10 84 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53157 1 SwissProt MSQPVQRAAARSFLQKYINKETLKYIFTTHFWGPVSNFGIPIAAIYDLKKDPTLISGPMTFALVTYSGVFMKYALSVSPKNYLLFGCHLINETAQLAQGYRFLKYTYFTTDEEKKALDKEWKEKEKTGKQ
NP_011450.1 1 308 0.0626698051948052 PF00534.20:Glycos_transf_1:203:307,PF13439.6:Glyco_transf_4:18:197 Alpha-1,3/1,6-mannosyltransferase ALG2; Asparagine-linked glycosylation protein 2; GDP-Man:Man(1)GlcNAc(2)-PP-Dol alpha-1,3-mannosyltransferase; GDP-Man:Man(1)GlcNAc(2)-PP-dolichol mannosyltransferase; GDP-Man:Man(2)GlcNAc(2)-PP-Dol alpha-1,6-mannosyltransferase; EC 2.4.1.132; EC 2.4.1.257 503 285 10 308 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43636 1 SwissProt MIEKDKRTIAFIHPDLGIGGAERLVVDAALGLQQQGHSVIIYTSHCDKSHCFEEVKNGQLKVEVYGDFLPTNFLGRFFIVFATIRQLYLVIQLILQKKVNAYQLIIIDQLSTCIPLLHIFSSATLMFYCHFPDQLLAQRAGLLKKIYRLPFDLIEQFSVSAADTVVVNSNFTKNTFHQTFKYLSNDPDVIYPCVDLSTIEIEDIDKKFFKTVFNEGDRFYLSINRFEKKKDVALAIKAFALSEDQINDNVKLVICGGYDERVAENVEYLKELQSLADEYELSHTTIYYQEIKRVSDLESFKTNNSKII
NP_011969.1 1 335 0.169009253731343 Protein BIG1; Bad in glucose protein 1 335 0 10 315 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38813 1 SwissProt MQTVLKYLLLIMCGSFCASEELQNQTNVPAIFFSYKLTPGILKYQEDYDRAVTLPRDTFIEAAEKFLGVCNADTYVFINQPGLRKLDFLEFETEFVSLQRYIRRSSTAIKFEKVDLLPQDLYYDLAEFVKEYCNVDQVLNLRGNNTEDFQPFIDSEKRVIIIEYPKLPEDTNERKEAFRHYDKYLRTILAQIPSPEQNVIYTSLNPGTTLAHESIIPIQIFPDIFDIKSRVGEVEQNNRVLDVPRLSFNDYTPRFSEPPSEYVSIFDSQLIENNRGLLQLIFTILVGYILIQFFFTKKTIVDEKITNKKDNVKQTSPQLLKKVQEIQKKPSQQVS
NP_012022.1 1 173 0.553842196531792 PF05032.12:Spo12:117:152 Sporulation-specific protein 12 173 36 10 173 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P17123 1 SwissProt MSNKASDQSARTASILKTDITRENTITRSSSSNNDNYHHHNNINNYNESAKTGEDANKENIPNLEEEIAAFRIFRKKSTSNLKSSHTTSNLVKKTMFKRDLLKQDPKRKLQLQQRFASPTDRLVSPCSLKLNEHKVKMFGKKKKVNPMKLNFKGNLAADSEDVEIDEDEEYFY
NP_012109.1 1 197 0.202470050761421 PF08695.10:Coa1:75:189 Cytochrome c oxidase assembly factor 1 197 115 10 197 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40452 1 SwissProt MMLRLVTKGLPKVTPSAAKAVLVRGSLLHSFSTSARFNNSVAEDEAKIVLKDKNRPLRIDRELPDPTTERRKRIAGFLLFSVAIGSALSLIFNYEKTESPIISNTLYYIRRSPATKNILGESIEFDGIIPWVYGELNSVKGRINITFYIKGDKNVTGTVRLVADRNTHDEEFLIHEWSVTAAGQKIDLLAENTKTPI
NP_012132.3 1 311 0.100996463022508 PF00153.27:Mito_carr:5:105,PF00153.27:Mito_carr:126:210,PF00153.27:Mito_carr:232:310 mitochondrial FAD carrier protein FLX1 311 265 10 242 3 Saccharomyces cerevisiae CharProtDB::CH_091454 1 CharProtDB MVDHQWTPLQKEVISGLSAGSVTTLVVHPLDLLKVRLQLSATSAQKAHYGPFMVIKEIIRSSANSGRSVTNELYRGLSINLFGNAIAWGVYFGLYGVTKELIYKSVAKPGETQLKGVGNDHKMNSLIYLSAGASSGLMTAILTNPIWVIKTRIMSTSKGAQGAYTSMYNGVQQLLRTDGFQGLWKGLVPALFGVSQGALYFAVYDTLKQRKLRRKRENGLDIHLTNLETIEITSLGKMVSVTLVYPFQLLKSNLQSFRANEQKFRLFPLIKLIIANDGFVGLYKGLSANLVRAIPSTCITFCVYENLKHRL
NP_012276.1 1 319 0.313716927899687 PF08559.10:Cut8:36:274 Tethering factor for nuclear proteasome STS1; Dumbbell former protein 8; SEC23 suppressor 1 319 239 10 319 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38637 1 SwissProt MMGFEWGFKPSSKITQSTVSSQGTGNVMIPTAGVKQKRRYANEEQEEEELPRNKNVMKYGGVSKRRPQPGSLIRGQPLPLQRGMELMNKNQLQQLLVDLMTKHPEIQQSVHTRVIGLDFSIQKCLDMLKQKSEAVYQSIPYNRSYESNKLDDYAFVRMKPQILEFLNCLVDFILDNIPPRLENLHASLKFLDICTELVIKLPRFELASNNYYYDKCIEQLSHVWCTLIEHVARDRIILLADNSSVWKSHMTRLQVYNEHSNGLLERPLQLFKSLDMGSPSAASSSTLSLQESIIYHHDTMTANENNNNSGSAATDSPFN
NP_012291.1 1 368 0.579226086956522 PF05841.11:Apc15p:8:140 Anaphase-promoting complex subunit MND2; Meiotic nuclear division protein 2 368 133 10 368 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40577 1 SwissProt MARALRDISLFNDIRKDQNSAGAKHERYNMRDLRSKKNQHVNGIDDYEDDSLDRFIRRKKSRVVKYIPSLSAYNVFNEFPYYPTSASQLLDGKLDEFLMLSEQYKSRLPKIRKLGWNRFKPIGINKTMYELEMLRSRARAQNAEGNNEEDFRQHDSREEDPRNNGSIGRVILPHILQENEEYDTGEGVTGLHSMPNDSMAILANNSANNSQNEEVSEEDEISYDYDAEFDHVVDEDDNEEGEVPGEGVEGIEVQRERIVPDDLLMRPTSLSRSLQQFVEEAHHLDRNPYDIDSDNDGEDSKVELDMNPDFEDDVGREHDYNSEYSQEPTSYGGITPDLASNWRNWTRERITSLDELMERRARQQRGQD
NP_012330.1 1 53 0.115388679245283 PF11654.8:NCE101:7:50 Non-classical export protein 1 53 44 10 30 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02820 0 SwissProt MVQYAPFLLGKFSDPLLAIMVGCLSYYVYERKMGRPQGHHLHELIKKRWDDRK
NP_012390.1 1 294 0.133426870748299 PF00650.20:CRAL_TRIO:117:251 Phosphatidylinositol transfer protein SFH5; PITP SFH5; SEC14 homolog 5 294 135 10 294 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47008 1 SwissProt MKFDNDSEKQVFDKLKKAIPGIIKEKCAGYDELYGYKLNPEGLTQEEVDKYYDEKIADRLTYKLCKAYQFEYSTIVQNLIDILNWRREFNPLSCAYKEVHNTELQNVGILTFDANGDANKKAVTWNLYGQLVKKKELFQNVDKFVRYRIGLMEKGLSLLDFTSSDNNYMTQVHDYKGVSVWRMDSDIKNCSKTVIGIFQKYYPELLYAKYFVNVPTVFGWVYDLIKKFVDETTRKKFVVLTDGSKLGQYLKDCPYEGYGGKDKKNNLTKQNVTNVHPTEYGLYILQKQIIEDVE
NP_012476.1 1 408 0.0337100490196079 PF02487.17:CLN3:11:395 Protein BTN1 408 385 10 167 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47040 1 SwissProt MSDKSHQIYCYFWLFGLINNVLYVVILSAAVDIVGPTLPKSLVLLADIFPSLAIKLCSPFFIDRIKYSYRIWSLITMSCLGMFLVSFKNLFVCLLGISFASISSGFGEVTFLQLTHYYKQISLNGWSSGTGGAGIIGGASYMFLTSIFKVPVKLTLLVFSLLPFAFLFYFKLESNDTNLTYQSLQQIDEAEDDQLVPFPVAFTHTNASQSLYSTRQHILQTVKRLRRLVFPYMVPLTTVYLFEYLINQAVAPTLLFPINGDERSKSMPFFFHKYRDIYVTYGTLYQLGVFISRSFGHLMRMRSLYILAFLQGVNLCITVLQSWFYVTHSPWAVMILIFYEGFLGGASYVNTFLNILEQEDPDETEFAMGAVSIADSFGVFLAALLGLGLEPKLCRHQIADDRPWCRME
NP_012568.1 1 108 0.300952777777778 PF10203.9:Pet191_N:3:70 Mitochondrial protein PET191 108 68 10 108 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q02772 1 SwissProt MVASCKDQKKAVAICLQRSPCVMIERHNPQECLDNPELNKDLPELCIAQMKAFLDCKRGIVDMTKRFTGNAPLSTGKYDQQYENLCKGKFDPREEMEKLKLLNSQQKD
NP_012659.1 175 408 0.749263247863248 Epsin-3 408 0 10 234 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47160 1 SwissProt ASADGSLNSKAGFTSTKVHGISVSADFDSDNEDNEDGSFSQNGYNDNASRATSTPGQGKQEPEDFVDFFSSESSKPSKELIQEDEKKADEEEDDDDEFSEFQSAVPVTNPANSFNLLNTSPIEGMPATTSSMPFYNSSTTDQGKITPAIAEPKKVDPFSSLFSTAKASAEAPSAPKASQAKAAASNPVSNSTTALSTDQDDDDEFGEMHGGAVQQEQNTNNNHTSSKEIDLLSF
NP_012732.1 1 214 0.112422429906542 PF08569.11:Mo25:14:213 Protein HYM1 399 200 10 214 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32464 1 SwissProt MFKKYKNQDLDMAFWWKKNPKTPSDYARLIIEQLNKFSSPSLTQDNKRKVQEECTKYLIGTKHFIVGDTDPHPTPEAIDELYTAMHRADVFYELLLHFVDLEFEARRECMLIFSICLGYSKDNKFVTVDYLVSQPKTISLMLRTAEVALQQKGCQDIFLTVGNMIIECIKYEQLCRIILKDPQLWKFFEFAKLGNFEISTESLQILSAAFTAHP
NP_012946.3 1 915 0.411648087431694 PF02752.22:Arrestin_C:347:517 Arrestin-related trafficking adapter 6; Arrestin-like protein 1 915 171 10 915 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36117 1 SwissProt MLQFNTENDTVAPVFPMEQDINAAPDAVPLVQTTTLQVFVKLAEPIVFLKGFETNGLSEIAPSILRGSLIVRVLKPNKLKSISITFKGISRTEWPEGIPPKREEFSDVETVVNHTWPFYQADDGMNSFTLEHHSSNNSSNRPSMSDEDYLLEKSGASVYIPPTAEPPKDNSNLSLDAYERNSLSSDNLSNKPVSSDVSHDDSKLLAIQKTPLPSSSRRGSVPANFHGNSLSPHTFISDLFTKTFSNSGATPSPEQEDNYLTPSKDSKEVFIFRPGDYIYTFEQPISQSYPESIKANFGSVEYKLSIDIERFGAFKSTIHTQLPIKVVRLPSDGSVEETEAIAISKDWKDLLHYDVVIFSKEIVLNAFLPIDFHFAPLDKVTLHRIRIYLTESMEYTCNSNGNHEKARRLEPTKKFLLAEHNGPKLPHIPAGSNPLKAKNRGNILLDEKSGDLVNKDFQFEVFVPSKFTNSIRLHPDTNYDKIKAHHWIKICLRLSKKYGDNRKHFEISIDSPIHILNQLCSHANTLLPSYESHFQYCDEDGNFAPAADQQNYASHHDSNIFFPKEVLSSPVLSPNVQKMNIRIPSDLPVVRNRAESVKKSKSDNTSKKNDQSSNVFASKQLVANIYKPNQIPRELTSPQALPLSPITSPILNYQPLSNSPPPDFDFDLAKRGAADSHAIPVDPPSYFDVLKADGIELPYYDTSSSKIPELKLNKSRETLASIEEDSFNGWSQIDDLSDEDDNDGDIASGFNFKLSTSAPSENVNSHTPILQSLNMSLDGRKKNRASLHATSVLPSTIRQNNQHFNDINQMLGSSDEDAFPKSQSLNFNKKLPILKINDNVIQSNSNSNNRVDNPEDTVDSSVDITAFYDPRMSSDSKFDWEVSKNHVDPAAYSVNVASENRVLDDFKKAFREKRK
NP_013073.1 1 146 0.544176712328767 Iron-sulfur assembly protein 1 250 0 10 146 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07821 1 SwissProt MINTGRSRNSVLLAHRFLSTGGFWRGGTNGTMSRTINNVNPFKLKFIPKTVPAAADSVSPDSQRPGKKPFKFIVSNQSKSSKASKSPKWSSYAFPSRETIKSHEEAIKKQNKAIDEQIAAAVSKNDCSCTEPPKKRKRKLRPRKAL
NP_013077.1 1 279 0.0725096774193549 PF03661.13:UPF0121:27:263 Pore membrane protein of 33 kDa 279 237 10 172 5 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12164 1 SwissProt MSSRPANNQGPPNLPARDKSLVQRFMAVAKSLQFAWFTGHSVVLISSILYLLKMSEFYYRSAYLGVIESFGIIIYQQFFTRNEPLQTQDAAATKASIKSRVAGLLKSEDVLYLVLANFWLFTPRFSFSLIPFFAFAVFHVLIYVEKVLLPKVFHLSSKDSSKILSFIDKFVVQYNDLCMHWVGTAELLIFILVLFRAILCFQRSWIILVVYAIFIKLRYENSKYMKAAFAQWRVRMDGIISHPSIPPFVKRAYNAIKMSLIRLSEYRLSGAPQVTKKQN
NP_013114.1 124 904 0.225872727272727 PF04082.18:Fungal_trans:164:483 Ppr1p 904 320 10 781 0 Saccharomyces cerevisiae S288C NP_013114.1 1 RefSeq SLGEEGILPHNGLLADYLVQKGNSMASSAITSKSMASPQTINVQRKEFLVNSKKQDGSALLPETGSPMTSDARAEELRRCNKEISALGTMRESSFNSFLGDSSGISFAKLVFTATNFRQDSGDDVLDEDIKQREQKYNGYAEAENNPHFDPLELPPRHAAEVMISRFFVDTNSQLPLLHRELFLKKYFEPIYGPWNPNIALASDQTGINSAFEIPITSAFSAHTEPKRENVTEKIDVCSSVDVPWYDTWETSQKVNMRPIVELPTKFHIPYFFLNIIFAIGHATQVLKSDITTVATYKRRATKYIASLFSSSDRLEALAGTLLMVIYSIMRPNVPGVWYTMGSVLRLTVDLGLHSEKINKNYDAFTREIRRRLFWCVYSLDRQICSYFGRPFGIPEESITTRYPSLLDDSFITLTNREIDDYSDLPSPNPSSKVIALAMYKIRRIQASIVRILYAPGAELPRRFMDLESWRIETYNELERWFQVDVPKNFEMMNCKFNSIWFDLNYHYSKSILYGLSPKFPTLNDTAFKIVLDSTKGTIDVFYNLCVNKKIGYTWVAVHNMFMTGMTYLYVNFYSKNNINDCQEKVSEYTEKVLIVLKNLIGFCESAKTCYTSYKILSSVVIKLKFMQINDAKGIFSDSNPLTSQANRMSSYDKKTNVLGFDDGTFDNKVFNRTNFEEKAPFDIPLDEFFTELEKHSNVSQFNTLDVSEGNQVINESASTNTSSALNCQSYTNNQDIMDILFQVTSGSVWDEFFVRSGNGNEGESSYDISKGKNSESGGIF
NP_013200.1 1 394 0.204282233502538 PF00561.20:Abhydrolase_1:74:381,PF12697.7:Abhydrolase_6:74:384,PF12146.8:Hydrolase_4:74:223,PF06028.11:DUF915:62:198 1-acylglycerol-3-phosphate O-acyltransferase ICT1; Increased copper tolerance protein 1; Lysophosphatidic acid acyltransferase ICT1; LPAAT; EC 2.3.1.51 394 323 10 394 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12385 1 SwissProt MWTNTFKWCSKTEKETTTADAKVCASVQGLKALQQQIMDSTTVRGSVNNTMTPGGINQWHFHNKRANKVCTPTVLIHGYAASSMAFYRTFENLSDNIKDLYAIDLPANGASEAPALQVNKTKKIKSLRFKHIEDDVVIPVIEKRPPAEDIKSHLEQYESYFVDRIEQWRKDNKLRKINVVGHSFGGYISFKYALKYPDSIEKLCLISPLGVENSIHAITHKWEPNTTYPLTFTDPSSRYYTRKLNVPRFIFENQLNVLKWMGPIGSKLCSNYISTAYVKVPDQIYKDYLLHSFVGKNQTVQPQTIKVFTHLFERNLIARDPIINNVRFLNPATPVMFMYGEHDWMDKYAGYLTTESMLKNKAKASYVEVPDAGHNLFLDNPQHFASSLVSFLSK
NP_013231.1 1 422 0.174355687203791 PF02535.22:Zip:25:418 Zinc-regulated transporter 2; Low-affinity zinc transport protein ZRT2 422 394 10 266 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12436 1 SwissProt MVDLIARDDSVDTCQASNGYNGHAGLRILAVFIILISSGLGVYFPILSSRYSFIRLPNWCFFIAKFFGSGVIVATAFVHLLQPAAEALGDECLGGTFAEYPWAFGICLMSLFLLFFTEIITHYFVAKTLGHDHGDHGEVTSIDVDAPSSGFVIRNMDSDPVSFNNEAAYSIHNDKTPYTTRNEEIVATPIKEKEPGSNVTNYDLEPGKTESLANELVPTSSHATNLASVPGKDHYSHENDHQDVSQLATRIEEEDKEQYLNQILAVFILEFGIIFHSVFVGLSLSVAGEEFETLFIVLTFHQMFEGLGLGTRVAETNWPESKKYMPWLMGLAFTLTSPIAVAVGIGVRHSWIPGSRRALIANGVFDSISSGILIYTGLVELMAHEFLYSNQFKGPDGLKKMLSAYLIMCCGAALMALLGKWA
NP_013445.1 1 477 0.230440251572327 Sporulation-specific protein 77 477 0 10 477 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06134 1 SwissProt MFRRGDNSNFNVQNSFFLPLEYEYTVKDNVPSKKKSSIGFFPLDDSLFTSKNNSGHHKSEQLHRGNAETIRSQFGTDAVPIRIDEKEGKWDRIQDDNSSNLNYQINNSNDPASSGKYTQSIDCNHIAESKFSKKNGNIDSLRSNSATFMLNTADEDVIEFSFDDNVPYAELLSGATLEKCSLTLNEINKKLFNTLYDFRVSKDNPEENLVELILPNCVVLLNFFEDIELLADSSDEAFEKSTFINTIEFIVHDIWVETLIKNINLLQMFDADLKCYNDKYIICKLKGQYPSTNIVDIMCRLKHFSNSILETFKFGIELKEQDQCHNRNTIINYVLFSRVFSTIVLEIQKCFILIVKFMYSVNFLEKFSDEVFLSFIEILIKIVFEHQIPQLFLGIDEIIQLWLKNNEGKRQQLLSAWCNGTVQDMKQSQQRESSNAESESITSSTEEDEEGLQFNKWDVIEPFIDNIKALNQSKSHM
NP_013529.3 1 1307 0.254822035195103 PF00780.22:CNH:950:1274,PF00621.20:RhoGEF:490:655 Rho1 guanine nucleotide exchange factor TUS1; TOR unique function suppressor protein 1 1307 491 10 1307 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06412 1 SwissProt MYRYNRSSPFERTPEKRVSRQESQRKSIELPKLPPLNTRNSFLDDSDNGTDNISIGWTPISDTQQFQSPVPQAFTFTSKHSARGNGTSSSESTPKSTKYVKERRPPPPPPLLYSTESIRIDSPMVSPSSQSRERSPNKLSFIGNSEERHHMEYISNHSRILKSPFANGFSPNSPKSPRDSSKQQAHFSDESDLRCHEREKALPPIPFTTTLLLSPFDDEDSEFFTKPPPPLSTSRNVSGNSRVSEALESVYSDSDYTFNNSNARQSSFNSLLGAKPLELAPSITAPTQPFSIQSIDEHKLYQCDNVYKLSAIYEWILKVYFEWFNECVFTKIDLFQIVQLLLEFQMPTNFDQDTIDSNVDNIMASFISQKAVRFDIINDEEVAVVVGGLDITGVFTELLPCYSFIDNTYGSTNSLICYSNVCTHGQSSGFRKEIKLSEIINKSVGLWTEYWHLTPDDLAEINPREVQRQSFIFDLIILEERSLNMATAAVEIYGKRFDKSLLPDEPEFKALAFDIFEPLIQLHTEFLLTPIFWKLKTRGKFIDGVGKIYSKWCGEAKNIYLNYAKAMATVHEIIMWEKKNKTKFVTWLKEIDNSVEITRSKMYHDVIFFGGFFKSLQNMPVTLRSILKNTDPSMEDYEYLKIVIKEVEKLNFEVNQVHGLAIDHRKLVRFSKQLVLSTNSSNATSYVNVGGSTNANDDDAIQDKLALGLTYPERKLVLSGTVYKKRDLWLDPTPVYIALLDNCLLITEEISKGETQKYKLIERPIPIDYLSLEKRKIPGTSKQPLRNYSQKEHKSPMHNFSTPINSMRPLLKSSGNHMSTAYGDRKTSNTEISNANPNTDEFSFKIRNTATGESFKFFTESAEVLNQWIDAIMESFKRNAENHDLNAFEFTVLSSEFAYFDKDAPVNLPVAPEGSEIDVALKAYAQKANKDSCSWSKTTRILCCEDVKFEGRIYLFVATTDGIYVKYRDDYGSGFVKILELNDVKRMEANVKLGLLFVLDNRKLCYFNISTVVSRYLAQGNTLDENCIVGTVIRDKVRFFKIADDFGNSKHLFFERKGKIVILTPEFDQLTNQVKYFKFYKEYKLPSSSNNILNNEIEDIAIFRKSFAVCTKKTVILYQDSFEDNGIVLPSFLNDKDMMAHLRHPHLNSLPFKSATDSKKRPSIESLTEEAKKDIATCKAIPVNFFQISQSSFFALVYDEAVVKINCYGEMSDWRKDILLLDFCCTGASFHGNHLILVGDNLIQIYDLKNVEQNLGELVPVQIIKGKKIKLASSERREKTILVLSHPNILNRQLLVACNPVAMADHQ
NP_013556.3 104 886 0.303960025542784 Regulatory protein LEU3 886 0 10 783 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P08638 1 SwissProt ILKKIEEEQEIVLDNSNFTKEKVKQLRKSAFETTEIEPRSYKTLRGEPISYSTNRRHTDSSPLTLLSSSTNFDPVHSTNVMTDDQLKCLPKSLGDVYLSSSDIAELFQEFATKYHQFLPVVDLSKGAERIYHLSPCLFWVILLIGLRRKFGATDLMTRLSVLVKSVLSEITISPIIRYTPSDKDEPVLNVASVYSVQAFLLYTFWPPLTSSLSADTSWNTIGTAMFQALRVGLNCAGFSKEYASANSELVNEQIRTWICCNVVSQTVASSFGFPAYVSFDYLVISSIRVPNSKSQVDIPNELRQMAQIARFENQIVNTMNSTPASVTGMVSQEEKQPLLHVLNQQLSQLEISLEENNLDDIRKFLLLVAKVHLLTYYFTDVTSQSAGKSNGNIYEGSYSIMELDTSFETKRGLVKVYNAAVNFLIHANSMWEHDPTIIKYFPGLFVLNIWQSACIISKLIHSSLHSMLDVNSGKKAYNNAISLTFNASVLKYDMAYRSSGIMRSIWSLFANMYDAWKNDQKEGGGRLNNDFNLGITIKSRMSVNVFFDCLYILKEKCGMAKLERETKVSTAYNVDEEEEEDEDEEGEEEEEEEELSSKVPENMDSQQLRTRKFTNVRHPEKKARKIIETIPLDPNPINAGSTSSGSSLTTPNSQVANTISYRGILNKMSPREQLNHANLDSSVSTDIKDTEAVNEPLPIGRNAEHPANQPPLSITQMQENTLPATQANSSLLETYPIVQSNPVTTTIKESPNSIMAGWDNWESDMVWRDVDILMNEFAFNPKV
NP_013666.1 1 352 0.05760625 PF16944.5:KCH:9:276 Pheromone-regulated membrane protein 6 352 268 10 283 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04705 1 SwissProt MESSLQKLKFQDIDINLIPTAKWTTKLQYILYTWCQSILHVAMFFSDIYTCIKLLAFNTWSNNIIQPFLEFRISKWLFSGCILCSSLILIWELVIGLRVYRKKEITSNYMNGISRLINCLFNFKKYQIFELIVLTDEKKFSKWLFFSYFEISGCLRLLFGDSPRQIINGLTLWSVLLTVSNETSSGTHSTQSLGNLDDLNGIINKIKHIAKTNYEESVILSFMLFSFIIWVILISKLILSIIIFIIFIRPRFLSSKRKVKGYELKLRKYVSKVIDENLSRTVYELGILIDDEEEGTICGDNKTQKKFDYDSPDYGDESTIPSYYCYSDVETYERVYTPIKAYFPQKYKHKYI
NP_013743.1 1 376 0.496173138297873 PF14876.6:RSF:1:373 Respiration factor 1 376 373 10 376 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05043 1 SwissProt MKDLNPEMGKFATTKGPPQDNRGMVDIATLPNFPANRSGTPREEMYLAPNKMETPRILNMNMVPDYLQKENFSPDFSSATVSAKSSPVNVTHDESLPLGTIESNSTKDSKYAVQRQQQQVVDFIENNMQLLSSETLNFRSDIMKTLELPIPKRRDIKGNHLSKLLFAKSPLTINTYCQFYDRRTKRICNQEMIWKDKNSREKHGSRKYQRHLSKVHDVQLTPNNFTEFFDHNSPLFQECYDYQSRLMRDLLVEPDAKFKEKKKKKKGDVNGNHPETGSSLINHQVQQQNVRELQSKIAMNDLIEILIDLNIPFSVLDYQPMRNWLIKYSIISTDTLPDEVYFKTDPGVNELEHNSSNLNNSNSGTPHNHNQNQHTN
NP_013781.1 1 504 0.157723214285714 PF04163.12:Tht1:2:504 Nuclear fusion protein KAR5; Factor-induced gene 3 protein; Karyogamy protein 5 504 503 10 504 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04746 1 SwissProt MFEMRYVYLFAICIKFVSSSELGKINNLLQGRLIYTDNSVATNVLESKFPFLKSTCVKDALKLFLPQCIANGLESIDAETRVETAIKLSICEFQASGLGEIPENCMVDDLGSMMDCMFELESSSQWWTTYSGNYQRLSSICYENLLPFEKEQILKLFLNITELYDSFGDDVDTKLNHLMFQMEQDSQNFLDDLARMFRNYDNELRNATESNRIILENDLSFFRNKVNDVLYETSEQLEVQIIEKNSQLMNEVDTVHHIMSDLADELAKNDIKSKINDLKDDSLNNLQDLVEMSNDVKEYYSRNNKLVNTELENFSMGLKKQLGGMSKDLSESQMEAIELLQGFNSILHDSLLPSMTDEIVPEMTNFKNTLLQEWTAITSTLNGDFALWNEEIFSTFNDISEKLNGTKKKLDDIEIRVSLVHKNVMTMMRVLDFMWKTSKMIIRCGYLAVKNKYYWLLCSVVWIWSKYRTSRVNVKMIPIKRYYQWAALLLSIYLGAKTGSLIDF
NP_013842.1 1 122 0.246563934426229 PF08636.10:Pkr1:2:74 V-type ATPase assembly factor PKR1 122 73 10 80 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03880 1 SwissProt MANFFVRLWESVFEPGTSPQLIIATHVSFVALLLTLIWLIYATNGNIHFYALFCISLLLWITVIWFINELSHVKLKDNDELDKDANKKDDSAIKEDSEDKQESGKSTSTARRTQAQSRSRKA
NP_014113.1 1 285 0.402838947368421 PF00076.22:RRM_1:47:124 Cold sensitive U2 snRNA suppressor 2 285 78 10 285 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53830 1 SwissProt MDADELELKGHLKKLKKEELLRRKQLKESNLQKRELEYNNASKNTSIYISGLPTDKTTKEGLTEQFCKYGMIRTNRDGEPLCKLYVNDKGAFKGDALITYSKEESVTLAIEMMNESIFLGKQIRVERAQFQNKEGDNMHGKENDLKEFNGPEPPIKRLKKAKSEGEGEVIDYNDDESLAKADRTVIFANVFNIYKSYTNDDINDIQEDLLEGCEEIGQVDSISVSPNKGEATVVFKNNKVALQCCKIMTGRYFDGQKLLAFISGDENTSSTSDKNEDSEVEDDLI
NP_014275.3 235 492 0.832104263565892 PF04410.14:Gar1:1:36 H/ACA ribonucleoprotein complex non-core subunit NAF1; Nuclear assembly factor 1 492 36 10 258 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53919 1 SwissProt KGTDASNGYDEELPEEEQEFSDDEKEALFKKMKKQQRQRKKRDNRKLANDSDNVKVKRARQPKANSLPKLVPPLGMSSNAPMQHGYKSRNARENIKRESSATSNRNGSSPVPITQHHQQQFSANNYPFPQQPNGMPYPPYSPFPQPTNFQYPPPPFGQATPAQFSNTVPYGSLPPAYNNMSPPTQQSFMPMTQSQPPLPYGVPPMNQMQNPMYIQPPPQAPPQGNGNFQQVMELHQILLQQQQQQHQYQHQHQQDPRT
NP_014323.1 1 584 0.652006849315068 PF08550.10:DUF1752:38:64 Mks1p 584 27 10 584 0 Saccharomyces cerevisiae S288C NP_014323.1 1 RefSeq MSREAFDVPNIGTNKFLKVTPNLFTPERLNLFDDVELYLTLIKASKCVEQGERLHNISWRILNKAVLKEHNINRSKKRDGVKNIYYVLNPNNKQPIKPKQAAVKQPPLQKANLPPTTAKQNVLTRPMTSPAIAQGAHDRSLDNPNSTNNDVKNDVAPNRQFSKSTTSGLFSNFADKYQKMKNVNHVANKEEPQTIITGFDTSTVITKKPLQSRRSRSPFQHIGDMNMNCIDNETSKSTSPTLENMGSRKSSFPQKESLFGRPRSYKNDQNGQLSLSKTSSRKGKNKIFFSSEDEDSDWDSVSNDSEFYADEDDEEYDDYNEEEADQYYRRQWDKLLFAKNQQNLDSTKSSVSSANTINSNTSHDPVRKSLLSGLFLSEANSNSNNHNTAHSEYASKHVSPTPQSSHSNIGPQPQQNPPSANGIKQQKPSLKTSNVTALASLSPPQPSNNERLSMDIQKDFKTDNESNHLYESNAPLTAQTILPTALSTHMFLPNNIHQQRMAIATGSNTRHRFSRRQSMDIPSKNRNTGFLKTRMEISEEEKMVRTISRLDNTSIANSNGNGNDDTSNQRTEALGRKTSNGGRI
NP_014467.3 1 489 0.36871554192229 PF04425.12:Bul1_N:59:462 Bsc5p 489 404 10 489 0 Saccharomyces cerevisiae S288C NP_014467.3 1 RefSeq MQESKEPQNKFEGCQRISSSSSTLFGGTSFEEPRCGTSQGKEEDAFACNNGDHCSSITNVQEDDFVLPELLPSFEMYENLLSNIPQSSFDTYFPENPPFYEVASRNQSIPSEGESGNDMRILTGDIVGPDNHEVTVDGRRFASGPAESQIRNYDDTKGIPVENIYALPRIKTPIATELYVTKTAPKFGQLPKHESMLREYTSGDIIHGYFTVENKSTKPIKFDMFYLTLEGTTSSKTQSPFGIQKTTERILRMVDMAASWSYNHEDVNTGEDLCGFFDSIDKTSFGLPNSRILNPGDKRKKFFTFKIPNQLLDVTCKHGHFSHSLLPPTLGFDRPSSSHPELSTLKFSESLGYGRLSERGSSLWLNDSSSGSLINYSINAMIVGKDVASGRVCLMSEKKYSIRIVPFGFQNNPISREKCLKDLEDFDIEIANRLGMIEKVFSKIERAIPIHKEDIQEANRSDQLSPLRGKYEWNAVAGNTENGTLKKKH
NP_014571.1 1 501 0.646849700598803 Protein NBA1; NAP1 and bud neck-associated protein 1 501 0 10 501 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08229 1 SwissProt MSEEREENGISRATLNTQRLSAMIDSLNNEKDDRLFPSPTTTRTMITEEKADQSDVFKPPSRLLRSPAGDVSLPPGDNRSSMISNYSGIIQEGVEVSYVVKNRQQTQERRTSKDSNSLYSLKEPVSKNELPSLPMLPSEATLTKHLSDNQSTKSNTNADEIVIKPVTNAKPVGRFNSNTSKKVEGRGSLKLLSSPLRQEKVMRSSIGSGNLASESGSSTYNTKFHQSIQEQLEEEEEGNVSDKLSIVSSVIPELYTTTNEAPKAINPIRSETNDYNPTIPPRSKDRPRSRLFIEEGDGEGDLLTEEILPTPVQPGGHYKNSSQISTVSEQKSESYYSAATSMPPEEETYLTRPLPSTPNEDSRVTSNLKRDDTLKAIHDRANHTSTSTNKQDDDMYEDIIEETPKKTKLKKDTKKKLNKKKSVKELRSFDIDTLNQLLSVTKGTLIGSEFAQLGMKIEEKRALERLVDSLSRLTADMVLDPDRYEEGLKRLDKATKALEGF
NP_014709.1 1 629 0.663222098569157 G1-specific transcription factors activator MSA1; MBF and SBF-associated protein 1 629 0 10 629 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08471 1 SwissProt MDKSMIKKRGRPPITKDYPNPLQSPMAHSSMQVQKQGPHSFAKPLMKVGQSSPSPNKRRLSIDHHHNLAATTRKGRYRGVLLSTPTKKSSTNGSTPISTPSSNDSYNNTVFSETRKTFLQSSPPIMTSSPAFQKKNDYMFPSQEQFKLSLTITESGKAVIAGSLPFSPSSKSSHLMNNNNKKIMQNEKIHKGSKKNAPKFEKRRILSLLKQMKNEKYCDTDTLPEAPPAKPSRSDIIDTELPTIIETSASPIGSARNNNILLSQPPQSPPSSAQLKPPSTPKSSLQFRMGFTPNVALNSVSLSDTISKSTNAVGASNNNNQNGNSISNIADANTLLTLTNSPGVFLSPRNKMLPKSTTASNEQQQEFVFKFSSGDPLLLTDDADGNWPEMLFNVSNTPRRQKCFNTPPSWINFGSPGLFSPPRSSNVMVNGTTVATASDSGNVHRQLQAQLEAQVQVQSQSNSPTQRQQQQRQFQIPPPHINMNSSPPQINIASPPHQSMSRVSSIYFNKEKTTTGVANMLGNTKSENLQPPANLFTAAHGPSTPRNQEFQLPTLIECTPLIQQTMNGSLGTKYIPGTSISNSATPNLHGFPVGTGKAPSSFDDSLKQNPYSNKQDDARTALKRLIDDQ
NP_014772.1 1 893 0.18914490481523 PF07792.12:Afi1:29:188,PF08616.10:SPA:347:469 ARF3-interacting protein 1 893 283 10 893 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99222 1 SwissProt MLRRELNNSISNRSIENESFPFERPNVSYIISAEFDNKLGPILKHQYPKDIPGFNQFSHEQRNGNTSVSMNLASLMIPSSIERNPGKQDITVFTLYYNKFTQNYQLFPVPKDPRFSFNLHHREQSDGSVTNSIYYDAENHQDAKNNRYTIVLEDDELECQEVQNNQKAIDNEPLFFINVANTVLDTTNDRGAVIKSIAIGTPLKTFFAFKNIIVLVLDLYMKAPTQAAATDILLDCFNMLNSIDLTLINDIHSKSSIQEVLHSIHDESIITKVFLDPDSTLKKLFCINGFDTKDKYGNIVTFHDQLIQYHFTRFQPKTLPPFLLKIPLQFNMIRREPIYIENDYNELVLKFLDKFVPYLLKAGQKVNAWKLVINSTKLSKEDLCAFILSLANITATYASDPQSYFKGNAALIFPYMDISLVDGLRAYVASNSDFVGCFAIIGTANPIFRYQLDIWDYYYDVDEGVFYENNSPEKEKPDTVAEVKIGPNPLRKIFNRPHFSTNAVNESQVNLGQKLFSLLIDEYHDSDTIMSVLRRLNVLQLENLLDALKRREIPPNIALKDEYIMFYKDFFIFPEFFDYFTLHSIELLSNLDNCLFSLGNTCQLFSTEQIYSQLSQILDIVKELFRMVSVSRTNIEKFLNACLNYSPFKILPTAQLHGDNISRWSFESEVRQGFDNFNSYMGIEKDPHGVIVSAIDLFTQIYSFDILAFFLTFITKESGQDLPFTKSLSRRRTYLTRIAQSSSLRQFLQLSTRPNIRILGGNGQGTGNSNYPEFTNASSVISPKLRASPLLERRASKICYAITKLLYRLECHPIGMALLKKYLHNQLREAYLESKRHFISKKGDSTNTSSTIASSSFAGASVPLSSNESGMLNGLKQINEQQESTLETTQKED
NP_014945.3 1 435 0.200654252873564 Bud site selection protein RAX1; Revert to axial protein 1 435 0 10 366 3 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08760 1 SwissProt MKEELSKVSSMQNFEMIQRERLPTLYEVLIQRTSQPVDLWTFYTFLSQFPYAINYLDFWVDLMTHTRLCKNYIELVRKSLINFPQEQQQNGSTSTATFDLLNALIEEGHLDPEAPDKLLENSGPDVPFSPKLNELLGDWKHQSGIGQEALRNEDVALIVDEIMKRRSQQDGKPQITTKQLLHSAVGLCNTYLVSPEQSERYLSNIPMETRNRIIESVQIERKYDIEIFDDLKNLTYQFLEMDCFPKFLSRVALHNIHDEISDWRFHSVGVTNEKSNRSRGQTHISRSPFSNHTSISRIGFGLLWLGIGFWIGYVLIFLAYSRAIRVVTVVPFTLGCYCIVCGMYQVDIVYSWFGVTQRLLHRHKNAGNDEGDASSDTDHVPMILAVFGGRRRLTRIEHPFTRQLLRKRGLWCLLLVVGATAAFTVIFSCVPGRRV
NP_027676.1 1 932 0.166649570815451 PF00637.20:Clathrin:394:532,PF12451.8:VPS11_C:882:924,PF17122.5:zf-C3H2C3:842:877 Vacuolar protein-sorting-associated protein 11 homolog; AtVPS11 932 218 10 932 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJ40 1 SwissProt MYQLRKFDFFEEKYGGKIPEDVTGDIQCCSSGRGKVVIGSNDGSVSFLDRGVKFDSGFQAHSSSVLFLQHLKQRNFLVTVGEDEQISPQQSGMCLKVFDLDKVQEEGTSSSAPECIGILRIFTNQFPEAKITSFLVLEEVPPILLIAIGLDNGCIYCVKGDIARERITRFKLQVDGRSAITGLGFRMDGQALLLFAVTPESVNLFSMQAQPPKLQTLDHIGGSVNTVTMSDRSELIVGRPEAVYFYEVDGRGPCWAFEGEKKFMGWFRGYLLCVIDDSKTGNTVFNVYDLRNRLIAYSIVVDKVSNMLCEWGNIILIKADKSLLCITEKDMESKLDMLFKKNLYTVAINLVQSQHADAAATANVMRKYGDHLYGKQDFDEAMLQYINTIGYLEPSFVIQKFLDAQRIYNLTNYLEKLHEKGLASKDHTTLLLNCYTKLKDVEKLNTFIRKEDGIGELKFDVETAIRVCRAANYHEHAMYVAKKAGKHEWYLKILLEDLGNYDEALQYVSSLEPSQAGVTIEQYGKILIEHKPKETIDILMRLCTEQGIPNGVFLSMLPSPVDFITVFVQHPHSLMHFLERYAEIVQDSPAQAEINNTLLELYLSRDLNFPSISLSENGLDKDLIDHSVAAAVSKADPEKKTNADSKDAMEKDCTERQQKGLELLKMAWPSDLEQPLYDVDLAVILCEMNSFKDGLLYLYEKMKFYKEVIACYMQNHDHEGLIACCKRLGDSSKGGDPSLWADLLKYFGEIGEDCTKEVKEVLTYIERDDILPPIIVLQTLAKNPCLTLSVIKDYIARKLEQESKIIEEDRRAVEKYQETTKNMRKEIEDLRTNARIFQLSKCTACTFTLDIPAVHFMCMHSFHQRCLGDNEKECPECAPEYRSVMEMKRSLEQNSKDQDLFFQQVKGSKDGFSVIAEYFGKGIISKTRDATS
NP_031622.2 1 294 0.393069387755102 PF14963.6:CAML:21:290 calcium signal-modulating cyclophilin ligand 294 270 10 294 0 Mus musculus NP_031622.2 1 RefSeq MEPVPAATDGGERPATPSGLSASQRRAELRRRKLLMNSEQRINRIMGFHRPGSGSEEENQTKSKPQDSDKLNSLSIPSVSKRVVLGDSVDGGGADQLGGVAEVRGTQLGDKLDSFIKAPECSSKDGAELRQRTRGDLTADPAQRASHHGLEQYLSRFEEAMKLRKQLISEKPSQEDGSTAEEFDSFRIFRLVGCALLALGVRAFVCKYLSIFAPFLTLQLAYMGLYKYFPKGEKKVKTTVLTAALLLSGIPAEVINRSMDTYSKMGEVFTDLCVYFFTFIFCHELLDYWGPEVP
NP_031729.1 1 271 0.0178468634686347 PF01151.18:ELO:32:265 Elongation of very long chain fatty acids protein 3; 3-keto acyl-CoA synthase Elovl3; CIN-2; Cold-inducible glycoprotein of 30 kDa; ELOVL fatty acid elongase 3; ELOVL FA elongase 3; Very long chain 3-ketoacyl-CoA synthase 3; Very long chain 3-oxoacyl-CoA synthase 3; EC 2.3.1.199 271 234 10 136 6 Mus musculus (Mouse) SwissProt::O35949 0 SwissProt MDTSMNFSRGLKMDLMQPYDFETFQDLRPFLEEYWVSSFLIVVVYLLLIVVGQTYMRTRKSFSLQRPLILWSFFLAIFSILGTLRMWKFMATVMFTVGLKQTVCFAIYTDDAVVRFWSFLFLLSKVVELGDTAFIILRKRPLIFVHWYHHSTVLLFTSFGYKNKVPSGGWFMTMNFGVHSVMYTYYTMKAAKLKHPNLLPMVITSLQILQMVLGTIFGILNYIWRQEKGCHTTTEHFFWSFMLYGTYFILFAHFFHRAYLRPKGKVASKSQ
NP_031771.1 1 109 0.493997247706422 PF03002.15:Somatostatin:93:108 Cortistatin 109 16 10 109 0 Mus musculus (Mouse) SwissProt::P56469 1 SwissProt MMGGRGTGGKWPSAFGLLLLWGVAASALPLESGPTGQDSVQEATEGRSGLLTFLAWWHEWASQASSSTPVGGGTPGLSKSQERPPPQQPPHLDKKPCKNFFWKTFSSCK
NP_031955.2 1 172 0.0240296511627907 PF00822.20:PMP22_Claudin:1:165,PF13903.6:Claudin_2:56:166 Epithelial membrane protein 2; EMP-2; Protein XMP 172 166 10 80 4 Mus musculus (Mouse) SwissProt::O88662 0 SwissProt MLVILAFIIVFHIVSTALLFISTIDNAWWVGDSFSADLWRVCTNSTNCTEINELTGPEAFEGYSVMQAVQATMILSTILSCISFLIFLLQLFRLKQGERFVLTSIIQLMSCLCVMIGASIYTDRRQDLHQQNRKLYYLLQEGSYGYSFILAWVAFAFTFISGLMYMILRKRK
NP_032959.1 1 107 0.767299065420561 PF00841.19:Protamine_P2:1:90 Protamine-2; Sperm histone P2; Sperm protamine P2 107 90 10 107 0 Mus musculus (Mouse) SwissProt::P07978 1 SwissProt MVRYRMRSPSEGPHQGPGQDHEREEQGQGQGLSPERVEDYGRTHRGHHHHRHRRCSRKRLHRIHKRRRSCRRRRRHSCRHRRRHRRGCRRSRRRRRCRCRKCRRHHH
NP_033748.2 1 390 0.124880769230769 PF01421.19:Reprolysin:184:381,PF01562.19:Pep_M12B_propep:35:147 disintegrin and metalloproteinase domain-containing protein 2 preproprotein 735 311 10 390 0 Mus musculus NP_033748.2 1 RefSeq MWLILLLLSGLSELGGLSQSQTEGTREKLHVQVTVPEKIRSVTSNGYETQVTYNLKIEGKTYTLDLMQKPFLPPNFRVYSYDNAGIMRSLEQKFQNICYFQGYIEGYPNSMVIVSTCTGLRGFLQFGNVSYGIEPLESSSGFEHVIYQVEPEKGGALLYAEKDIDLRDSQYKIRSIKPQRIVSHYLEIHIVVEKQMFEHIGADTAIVTQKIFQLIGLANAIFAPFNLTVILSSLEFWMDENKILTTGDANKLLYRFLKWKQSYLVLRPHDMAFLLVYRNTTDYVGATYQGKMCDKNYAGGVALHPKAVTLESLAIILVQLLSLSMGLAYDDVNKCQCGVPVCVMNPEAPHSSGVRAFSNCSMEDFSKFITSQSSHCLQNQPRLQPSYKMA
NP_034017.1 1 272 0.322533823529412 PF03045.15:DAN:144:243 Cerberus; Cerberus-like protein; Cer-l; Cerberus-related protein 272 100 10 272 0 Mus musculus (Mouse) SwissProt::O55233 1 SwissProt MHLLLVQLLVLLPLGKADLCVDGCQSQGSLSFPLLERGRRDLHVANHEEAEDKPDLFVAVPHLMGTSLAGEGQRQRGKMLSRLGRFWKKPETEFYPPRDVESDHVSSGMQAVTQPADGRKVERSPLQEEAKRFWHRFMFRKGPAFQGVILPIKSHEVHWETCRTVPFNQTIAHEDCQKVVVQNNLCFGKCSSIRFPGEGADAHSFCSHCSPTKFTTVHLMLNCTSPTPVVKMVMQVEECQCMVKTERGEERLLLAGSQGSFIPGLPASKTNP
NP_034481.1 1 157 0.230804458598726 Homeobox protein goosecoid 256 0 10 157 0 Mus musculus (Mouse) SwissProt::Q02591 1 SwissProt MPASMFSIDNILAARPRCKDAVLPVAPSAAAPVVFPALHGDSLYGAGGGTSSDYGAFYPRPVAPGGAGLPAAVGSSRLGYNSYFYGQLHVQAAPVGPACCGAVPPLGAQQCSCVPTPPGYEGPGSVLVSPVPHQMLPYMNVGTLSRTELQLLNQLHC
NP_034921.1 1 158 0.624313924050633 Homeobox protein MOX-1; Mesenchyme homeobox 1 253 0 10 158 0 Mus musculus (Mouse) SwissProt::P32442 1 SwissProt MDPVANSCVRNPQPPAPVWGCLRNPHSEDSSASGLSHYPPTPFSFHQKSDFPATAAYPDFSASCLAATPHSLPRTERIFNEQHPAFPQTPDWHFPISEAGQRLNLGPAGSAREMGAGSPGLVDGTAGLGEDCMVLGTIANETEKKSSRRKKERSDNQE
NP_035271.1 1 239 0.733778242677825 POU domain, class 3, transcription factor 1 449 0 10 239 0 Mus musculus NP_035271.1 1 RefSeq MATTAQYLPRGPGGGAGGTGPLMHPDAAAAAAAAAERLHAGAAYREVQKLMHHEWLGAGAGHPVGLAHPQWLPTGGGGGGDWAGGPHLEHGKAGGGGTGRADDGGGGGGFHARLVHQGAAHAGAAWAQGGTAHHLGPAMSPSPGAGGGHQPQPLGLYAQAAYPGGGGGGLAGMLAAGGGGAGPGLHHALHEDGHEAQLEPSPPPHLGAHGHAHGHAHAGGLHAAAAHLHPGAGGGGSSV
NP_035395.2 258 749 0.315163821138212 Transcription factor RFX3; Regulatory factor X 3 749 0 10 492 0 Mus musculus (Mouse) SwissProt::P48381 1 SwissProt PDSPLNRLQEDMQYMAMRQQPMQQKQRYKPMQKVDGVADGFTGSGQQTGTSVEQTVIAQSQHHQQFLDASRALPEFGEVEISSLPDGTTFEDIKSLQSLYREHCEAILDVVVNLQFSLIEKLWQTFWRYSPSTPADGTTITESSNLSEIESRLPKAKLITLCKHESILKWMCNCDHGMYQALVEILIPDVLRPIPSALTQAIRNFAKSLEGWLSNAMNNIPQRMIQTKVAAVSAFAQTLRRYTSLNHLAQAARAVLQNTSQINQMLSDLNRVDFANVQEQASWVCQCDDNMVQRLETDFKMTLQQQSTLEQWAAWLDNVMMQALKPYEGRPSFPKAARQFLLKWSFYSSMVIRDLTLRSAASFGSFHLIRLLYDEYMFYLVEHRVAQVTGETPIAVMGEFGDLNAVSPGNLDKDEGSEVESETDEDLDDSSEPRAKREKTELSQAFPVGCMQPVLESAVQPSLLNPLHSEHIVTSTQTIRQCSATGNTYTAV
NP_035569.2 1 400 0.643059499999999 Transcription factor SOX-13; SRY (Sex determining region Y)-box 13; mSox13 613 0 10 400 0 Mus musculus (Mouse) SwissProt::Q04891 1 SwissProt MSMQSPVSVQLAPDSASTMVNCTIKSEEKKEPCHEAPQGAAPAVETQPGDPALASQDATNAKAPPQDCASPESSGSPEPKRPAASEAASGSQERLDFNRNLQEVVPAIEKLLSSDWKERFLGRSNVEAKDVKGTQESLAEKELQLLVMIHQLSALRDQLLTAHSEQKNMAAMLFEKQQQQMELARQQQEQIAKQQQQLIQQQHKINLLQQQIQQVNMPYVMIPAFPPSHQPLPVTPDSQLALPIQPIPCKPVEYPLQLLHSPPAPVVKRSGVAAHHPLQEPPQPLNLTAKPKVPELPNTSSSPSLKMNSCGPRPASHGAPTRDLQSSPPSLPLGFLGEGDAVTKAIQDARQLLHSHSGALENSPNTPFRKDLISLDSSPAKERLEESCVHPLEEAMLSCD
NP_036116.3 1 729 0.152655006858711 PF05536.11:Neurochondrin:31:637 Neurochondrin; M-Sema F-associating protein of 75 kDa; Norbin 729 607 10 729 0 Mus musculus (Mouse) SwissProt::Q9Z0E0 1 SwissProt MSCCDLAAAGQLGKAGIMASDCEPALNQAESRNPTLERYLGALREAKNDSEQFAALLLVTKAVKAGDIDAKTRRRIFDAVGFTFPNRLLTTKEAPDGCPDHVLRALGVALLACFCSDPELASHPQVLNKIPILSTFLTARGDPDDAARRSMIDDTYQCLTAVAGTPRGPRHLIAGGTVSALCQAYLGHGYGFDQALALLVGLLAAAETQCWKEAEPDLLAVLRGLSEDFQRAEDASKFELCQLLPLFLPPTTVPPECHRDLQAGLARILGSKLSSWQRNPALKLAARLAHACGSDWIPVGSSGSKFLALLVNLACVEVRLALEETGTEVKEDVVTACYALMELGIQECTRCEQSLLKEPQKVQLVSIMKEAIGAVIHYLLQVGPEKQKEPFVFASVRILGAWLAEETSSLRKEVCQLLPFLVRYAKTLYEEAEEASDISQQVANLAISPTTPGPSWPGDALRLLLPGWCHLTVEDGPREILIKEGAPSLLCKYFLQQWELTSPGHDTSVLPDSVEIGLQTCCHIFLNLVVTAPGLIKRDACFTSLMNTLMTSLPSLVQQQGRLLLAANVATLGLLMARLLSTSPALQGTPASRGFFAAAILFLSQSHVARATPGSDQAVLALSPDYEGIWADLQELWFLGMQAFTGCVPLLPWLAPAALRSRWPQELLQLLGSVSPNSVKPEMVAAYQGVLVELARANRLCREAMRLQAGEETASHYRMAALEQCLSEP
NP_037158.1 1 313 0.693167412140575 PF01034.20:Syndecan:249:311 Syndecan-1; SYND1; CD138 antigen 313 63 10 290 1 Rattus norvegicus (Rat) SwissProt::P26260 1 SwissProt MRRAALWLWLCALALRLQPALPQIVTANVPPEDQDGSGDDSDNFSGSGTGALPDMTLSRQTPSTWKDVWLLTATPTAPEPTSRDTEATLTSILPAGEKPEEGEPVAHVEAEPDFTARDKEKEATTRPRETTQLPVTQQASTAARATTAQASVTSHPHGDVQPGLHETLAPTAPGQPDHQPPSVEDGGTSVIKEVVEDETTNQLPAGEGSGEQDFTFETSGENTAVAGVEPDLRNQSPVDEGATGASQGLLDRKEVLGGVIAGGLVGLIFAVCLVAFMLYRMKKKDEGSYSLEEPKQANGGAYQKPTKQEEFYA
NP_037214.1 1 152 0.597495394736842 syndecan-2 precursor 211 0 10 152 0 Rattus norvegicus NP_037214.1 1 RefSeq MRVRATSPGNMQRAWILLTLGLMACVSAETRAELTSDKDMYLDSSSIEEASGLYPIDDDDYSSASGSGAYEDKGSPDLTTSQLIPRISLTSAAPEVETMTLKTQSITPTQTESPEETDKKEFEISEAEEKQDPAVKSTDVYTEKHSDNLFKR
NP_037403.1 1 260 0.531995 PF07259.12:ProSAAS:1:190 ProSAAS; Proprotein convertase subtilisin/kexin type 1 inhibitor; Proprotein convertase 1 inhibitor; pro-SAAS 260 190 10 240 1 Homo sapiens (Human) SwissProt::Q9UHG2 1 SwissProt MAGSPLLWGPRAGGVGLLVLLLLGLFRPPPALCARPVKEPRGLSAASPPLAETGAPRRFRRSVPRGEAAGAVQELARALAHLLEAERQERARAEAQEAEDQQARVLAQLLRVWGAPRNSDPALGLDDDPDAPAAQLARALLRARLDPAALAAQLVPAPVPAAALRPRPPVYDDGPAGPDAEEAGDETPDVDPELLRYLLGRILAGSADSEGVAAPRRLRRAADHDVGSELPPEGVLGALLRVKRLETPAPQVPARRLLPP
NP_037448.2 199 639 0.647371201814059 CCR4-NOT transcription complex subunit 4 isoform a 639 0 10 441 0 Homo sapiens NP_037448.2 1 RefSeq FLKNMQCPKPDCMYLHELGDEAASFTKEEMQAGKHQEYEQKLLQELYKLNPNFLQLSTGSVDKNKNKVTPLQSPIDKPSDSLSIGNGDNSQQISNSDTPSPPPGLSKSNPVIPISSSNHSARSPFEGAVTESQSLFSDNFRHPNPIPSGLPPFPSSPQTSSDWPTAPEPQSLFTSETIPVSSSTDWQAAFGFGSSKQPEDDLGFDPFDVTRKALADLIEKELSVQDQPSLSPTSLQNSSSHTTTAKGPGSGFLHPAAATNANSLNSTFSVLPQRFPQFQQHRAVYNSFSFPGQAARYPWMAFPRNSIMHLNHTANPTSNSNFLDLNLPPQHNTGLGGIPVAGIPASSGNSLDSLQDDNPPHWLKSLQALTEMDGPSAAPSQTHHSAPFSTQIPLHRASWNPYPPPSNPSSFHSPPPGFQTAFRPPSKTPTDLLQSSTLDRH
NP_038477.2 651 1669 0.529623258096172 PF15613.6:WSD:462:502,PF15613.6:WSD:785:822,PF02791.17:DDT:200:260,PF15612.6:WHIM1:302:343 Bromodomain adjacent to zinc finger domain protein 2A; Transcription termination factor I-interacting protein 5; TTF-I-interacting protein 5; Tip5; hWALp3 1905 182 10 1019 0 Homo sapiens (Human) SwissProt::Q9UIF9 1 SwissProt KRGRPRNTEKAKTKEVPKVKRGRGRPPKVKITELLNKTDNRPLKKLEAQETLNEEDKAKIAKSKKKMRQKVQRGECQTTIQGQARNKRKQETKSLKQKEAKKKSKAEKEKGKTKQEKLKEKVKREKKEKVKMKEKEEVTKAKPACKADKTLATQRRLEERQRQQMILEEMKKPTEDMCLTDHQPLPDFSRVPGLTLPSGAFSDCLTIVEFLHSFGKVLGFDPAKDVPSLGVLQEGLLCQGDSLGEVQDLLVRLLKAALHDPGFPSYCQSLKILGEKVSEIPLTRDNVSEILRCFLMAYGVEPALCDRLRTQPFQAQPPQQKAAVLAFLVHELNGSTLIINEIDKTLESMSSYRKNKWIVEGRLRRLKTVLAKRTGRSEVEMEGPEECLGRRRSSRIMEETSGMEEEEEEESIAAVPGRRGRRDGEVDATASSIPELERQIEKLSKRQLFFRKKLLHSSQMLRAVSLGQDRYRRRYWVLPYLAGIFVEGTEGNLVPEEVIKKETDSLKVAAHASLNPALFSMKMELAGSNTTASSPARARGRPRKTKPGSMQPRHLKSPVRGQDSEQPQAQLQPEAQLHAPAQPQPQLQLQLQSHKGFLEQEGSPLSLGQSQHDLSQSAFLSWLSQTQSHSSLLSSSVLTPDSSPGKLDPAPSQPPEEPEPDEAESSPDPQALWFNISAQMPCNAAPTPPPAVSEDQPTPSPQQLASSKPMNRPSAANPCSPVQFSSTPLAGLAPKRRAGDPGEMPQSPTGLGQPKRRGRPPSKFFKQMEQRYLTQLTAQPVPPEMCSGWWWIRDPEMLDAMLKALHPRGIREKALHKHLNKHRDFLQEVCLRPSADPIFEPRQLPAFQEGIMSWSPKEKTYETDLAVLQWVEELEQRVIMSDLQIRGWTCPSPDSTREDLAYCEHLSDSQEDITWRGRGREGLAPQRKTTNPLDLAVMRLAALEQNVERRYLREPLWPTHEVVLEKALLSTPNGAPEGTTTEISYEITPRIRVWRQTLERCRSAAQVCLCLGQLERSIA
NP_039130.1 1 657 0.170535920852359 PF03292.14:Pox_P4B:11:656 Virion core protein P4b 657 646 10 657 0 Fowlpox virus NP_039130.1 1 RefSeq MESDSNIAIEEVKYPNILLEPVYYNNLEVIGSHLHKPDKNNLCNVCDVLNKITEEDVISAGAKQQRPMRLRSKPKPDICKGVSDSVKQKNTIINIDEITSTHDWQYNLRKDADAIVRYLMDRKCDINNFTIQDLIRVMRELNIIRNERQELFELLSHVKGSLSSNSVSVKTSHPLMVIYSHSDNKIGEQLKLLENTYDPSRYQALIDTTRFQSTNFVDMSTSSDMLFRFKDQDSIGYVHPILVALFGVKLPALENAMVLGDSYSLMKQLYNSKKVKPENYMLLINRLTEDSPIIFTGINDSVSSEIHRASIHTMLRKTILNLRLGIFYSKDCDLVDNHLMKIIHINSSQMMADEEQMLASILSIVGFRPALVSITDPYQPLNVVLKPVSYIVVSPSKMITTINNPISINSNSIYSLSFDNTTGRVMFMPANMRYQGTISCRTVDALPVLNSISHDRIINSPVIVNGTLIYYIERRQNKNIVSGECFTGFRSVINDRPMDIANELNINGITYLLKSAVCYKTHDLLSTMSGSCDGGDVFLKGYYTILFTEMGPWMYDPLSIYSKQSRESRLMRVMKNQYYKEHGNDDGMFYDWLKEESTKKLCDIKQQQLMHHTVMFEDDLLSHEEAMNLISRNCCILVYAQDYLPYLATKSITEIFV
NP_040192.1 1 278 0.593188489208633 PF02479.16:Herpes_IE68:32:157 Transcriptional regulator ICP22 homolog; Immediate-early protein 63; IE63; Transcriptional regulator IE63 278 126 10 278 0 Varicella-zoster virus (strain Dumas) (HHV-3) (Human herpesvirus 3) SwissProt::P09255 1 SwissProt MFCTSPATRGDSSESKPGASVDVNGKMEYGSAPGPLNGRDTSRGPGAFCTPGWEIHPARLVEDINRVFLCIAQSSGRVTRDSRRLRRICLDFYLMGRTRQRPTLACWEELLQLQPTQTQCLRATLMEVSHRPPRGEDGFIEAPNVPLHRSALECDVSDDGGEDDSDDDGSTPSDVIEFRDSDAESSDGEDFIVEEESEESTDSCEPDGVPGDCYRDGDGCNTPSPKRPQRAIERYAGAETAEYTAAKALTALGEGGVDWKRRRHEAPRRHDIPPPHGV
NP_040976.1 1 737 0.169811261872456 PF09590.10:Env-gp36:5:595 envelope polyprotein 856 591 10 691 2 Feline immunodeficiency virus NP_040976.1 1 RefSeq MAEGFAANRQWIGLEEAEELLDFDIATQMSEEGPLNPGVNPFRVPGITEKEKQNYCNILQPKLQDLRNEIQEVKLEEGNAGKFRRARFLRYSDESVLSLVHAFIGYCIYLGNRNKLGSLRHDIDIEAPQEECYNNREKGTTDNIKYGRRCCLGTVTLYLILFIGIIIYSQTTNAQVVWRLPPLVVPVEESEIIFWDCWAPEEPACQDFLGAMIHLKAKTNISIREGPTLGNWAREIWATLFKKATRQCRRGRIWKRWNETITGPSGCANNTCYNVSVIVPDYQCYLDRVDTWLQGKINISLCLTGGKMLYNKVTKQLSYCTDPLQIPLINYTFGPNQTCMWNTSQIQDPEIPKCGWWNQMAYYNSCKWEEAKVKFHCQRTQSQPGSWFRAISSWKQRNRWEWRPDFESKKVKISLQCNSTKNLTFAMRSSGDYGEVTGAWIEFGCHRNKSKLHAEARFRIRCRWNVGSNTSLIDTCGNTQKVSGANPVDCTMYSNKMYNCSLQNGFTMKVDDLIMHFNMKKAVEMYNIAGNWSCTSDLPSSWGYMNCNCTNSSSSYSGTKMACPSNRGILRNWYNPVAGLRQSLEQYQVVKQPDYLVVPEEVMEYKPRRKRAAIHVMLALAAVLSIAGAGTGATAIGMVTQYHQVLATHQEAVEKVTEALKINNLRLVTLEHQVLVIGLKVEAMEKFLYTAFAMQELGCNQNQFFCKIPPELWTRYNMTINQTIWNHGNITLGEWYN
NP_051649.1 1 337 0.239637388724036 PF13668.6:Ferritin_2:62:235 dessication-associated protein 337 174 10 337 0 Deinococcus radiodurans R1 NP_051649.1 1 RefSeq MSTPVEPLLCLSSVCDTRKAMKEEMQSTRRRFLGMAGAMGAGAVLAGCANVGASEPTKTNLDATIFNFALNLEYLEAAFYLAAVGRLNELTAAGGDASKVTLPSGVTGMGGTAVPGLTGDLRAMMEEIADDELAHVKVIRSVLGSAAVAQPRLDLSASFLAAGSLASNGAITNFNPYANPLFFLHGAFVFEDVGVTAYKGAARLLVGDKPGGNLENAAGILAVEAYHAGSIRTQLFMRRTEQAAAGLTVEQVVQAISNLRDSVDGADDRDQGITANGNAGVLARDANIIPTDSNGIAFSRTPRQVANIVFLDTTGKAARGGFFPDGLTGDYSSILSL
NP_054708.1 1 212 0.659378301886793 Phosphoprotein; Protein P 391 0 10 212 0 Mumps virus (strain Miyahara vaccine) (MuV) SwissProt::P16595 1 SwissProt MDQFIKQDETGDLIETGMNVANHFLSAPIQGTNSLSKATIIPGVAPVLIGNPEQKNIQYPTTSHQGSKSKGRGSGARPIIVSSSEGGTGGTQVPEPLFAQTGQGGIVTTVYQDPTIQPTGSYRSVELAKIGKERMINRFVEKPRTSTPVTEFKRGGPGAAAQGQTIQEEGIDGNGASAGSKERSGSLSGATPYAHLSLPQQDSTPANVGIAP
NP_054774.2 136 676 0.421500739371534 Intraflagellar transport protein 81 homolog; Carnitine deficiency-associated protein expressed in ventricle 1; CDV-1 676 0 10 541 0 Homo sapiens (Human) SwissProt::Q8WYA0 1 SwissProt TVADTNKQYEELMEAFKTLHKEYEQLKISGFSTAEIRKDISAMEEEKDQLIKRVEHLKKRVETAQNHQWMLKIARQLRVEKEREEYLAQQKQEQKNQLFHAVQRLQRVQNQLKSMRQAAADAKPESLMKRLEEEIKFNLYMVTEKFPKELENKKKELHFLQKVVSEPAMGHSDLLELESKINEINTEINQLIEKKMMRNEPIEGKLSLYRQQASIISRKKEAKAEELQEAKEKLASLEREASVKRNQTREFDGTEVLKGDEFKRYVNKLRSKSTVFKKKHQIIAELKAEFGLLQRTEELLKQRHENIQQQLQTMEEKKGISGYSYTQEELERVSALKSEVDEMKGRTLDDMSEMVKKLYSLVSEKKSALASVIKELRQLRQKYQELTQECDEKKSQYDSCAAGLESNRSKLEQEVRRLREECLQEESRYHYTNCMIKNLEVQLRRATDEMKAYISSDQQEKRKAIREQYTKNTAEQENLGKKLREKQKVIRESHGPNMKQAKMWRDLEQLMECKKQCFLKQQSQTSIGQVIQEGGEDRLIL
NP_055147.1 1 88 0.710176136363636 PF15355.6:Chisel:3:87 Small muscular protein; Stretch-responsive skeletal muscle protein 88 85 10 88 0 Homo sapiens (Human) SwissProt::Q9UHP9 1 SwissProt MNMSKQPVSNVRAIQANINIPMGAFRPGAGQPPRRKECTPEVEEGVPPTSDEEKKPIPGAKKLPGPAVNLSEIQNIKSELKYVPKAEQ
NP_055390.1 1 487 0.704655236139631 PF10148.9:SCHIP-1:255:484 Schwannomin-interacting protein 1; SCHIP-1 487 230 10 487 0 Homo sapiens (Human) SwissProt::P0DPB3 1 SwissProt MERSGQRVTTWDCDQGKHSDSDYREDGMDLGSDAGSSSSSSRASSQSNSTKVTPCSECKSSSSPGGSLDLVSALEDYEEPFPVYQKKVIDEWAPEEDGEEEEEEDERDQRGYRDDRSPAREPGDVSARTRSGGGGGRSATTAMPPPVPNGNLHQHDPQDLRHNGNVVVAGRPSCSRGPRRAIQKPQPAGGRRSGRGPAAGGLCLQPPDGGTCVPEEPPVPPMDWEALEKHLAGLQFREQEVRNQGQARTNSTSAQKNERESIRQKLALGSFFDDGPGIYTSCSKSGKPSLSSRLQSGMNLQICFVNDSGSDKDSDADDSKTETSLDTPLSPMSKQSSSYSDRDTTEEESESLDDMDFLTRQKKLQAEAKMALAMAKPMAKMQVEVEKQNRKKSPVADLLPHMPHISECLMKRSLKPTDLRDMTIGQLQVIVNDLHSQIESLNEELVQLLLIRDELHTEQDAMLVDIEDLTRHAESQQKHMAEKMPAK
NP_056013.2 231 1098 0.73426405529954 Protein cordon-bleu 1261 0 10 868 0 Homo sapiens (Human) SwissProt::O75128 1 SwissProt FRKSSLGNDETDKEKKKFLGFFKVNKRSNSKGCLTTPNSPSMHSRSLTLGPSLSLGSISGVSVKSEMKKRRAPPPPGSGPPVQDKASEKVSLGSQIDLQKKKRRAPAPPPPQPPPPSPLIPNRTEDKEENRKSTMVSLPLGSGSHCSPDGAPQVLSEAEETVSVGSCFASEDTTEDSGVMSSPSDIVSLDSQQDSMKYKDKWATDQEDCSDQDLAGTPDLGPQKSPLWEKNGSENSHLRTEKAVTASNDEEDLLIAGEFRKTLAELDEDLEEMEDSYETDTSSLTSSIHGASNHCPQDAMIPHGDTDAIPVTFIGEVSDDPVDSGLFSNRNNNAGSFDSEGVASRRDSLAPLQAEHSQPHEKAREEVPALHPASHDVGKGIRVALSNISKDGNLMETAPRVTSFASNLHTDNLNAKVKDKVYGCADGERTQATERVNSQPVNEKDSNDKNAALAPTSWHQRGQNPGKSYRLKHGLTTYKIIPPKSEMRCYDRDVSLSTGAIKIDELGNLVSPHATGIRIISLSSSVPEAESQPIGKVREFWRCNSVEKHLGRPSESSARGPPSTPVPTQTQNPESRLQADPKPISPQQKSAHHEGRNPLGEGRNQPPTMGMGHVRVPAAHTTEVTFLKPQRRTSSQYVASAIAKRIGAPKVHADVVRPHGYAEKGYAGKAPVLAAPPVTVKDDRTSSPHSETQGWKDGAQWPCVTPPNNHGEDLAVGAPPRGEVIGPHRKLSTQDRPAAIHRSSCFSLVQSSQRDRVSVGQSCGFSGKQSTSSQEASSASEPRRAPDGTDPPPPHTSDTQACSRELVNGSVRAPGHGEPSHPPGGSGTESHILLEREEKPSVFSTDGNETDSIWPPSIFGPKKKFKPV
NP_056323.2 1 457 0.358245951859956 Protein mono-ADP-ribosyltransferase TIPARP; ADP-ribosyltransferase diphtheria toxin-like 14; ARTD14; Poly [ADP-ribose] polymerase 7; PARP-7; TCDD-inducible poly [ADP-ribose] polymerase; EC 2.4.2.- 657 0 10 457 0 Homo sapiens (Human) SwissProt::Q7Z3E1 1 SwissProt MEMETTEPEPDCVVQPPSPPDDFSCQMRLSEKITPLKTCFKKKDQKRLGTGTLRSLRPILNTLLESGSLDGVFRSRNQSTDENSLHEPMMKKAMEINSSCPPAENNMSVLIPDRTNVGDQIPEAHPSTEAPERVVPIQDHSFPSETLSGTVADSTPAHFQTDLLHPVSSDVPTSPDCLDKVIDYVPGIFQENSFTIQYILDTSDKLSTELFQDKSEEASLDLVFELVNQLQYHTHQENGIEICMDFLQGTCIYGRDCLKHHTVLPYHWQIKRTTTQKWQSVFNDSQEHLERFYCNPENDRMRMKYGGQEFWADLNAMNVYETTEFDQLRRLSTPPSSNVNSIYHTVWKFFCRDHFGWREYPESVIRLIEEANSRGLKEVRFMMWNNHYILHNSFFRREIKRRPLFRSCFILLPYLQTLGGVPTQAPPPLEATSSSQIICPDGVTSANFYPETWVYMH
NP_056341.1 1 276 0.377332971014492 PF12796.7:Ank_2:144:227 CAP-Gly domain-containing linker protein 3; Cytoplasmic linker protein 170-related 59 kDa protein; CLIP-170-related 59 kDa protein; CLIPR-59 547 84 10 276 0 Homo sapiens (Human) SwissProt::Q96DZ5 1 SwissProt MTKTDPAPMAPPPRGEEEEEEEEDEPVPEAPSPTQERRQKPVVHPSAPAPLPKDYAFTFFDPNDPACQEILFDPQTTIPELFAIVRQWVPQVQHKIDVIGNEILRRGCHVNDRDGLTDMTLLHYACKAGAHGVGDPAAAVRLSQQLLALGADVTLRSRWTNMNALHYAAYFDVPDLVRVLLKGARPRVVNSTCSDFNHGSALHIAASSLCLGAAKCLLEHGANPALRNRKGQVPAEVVPDPMDMSLDKAEAALVAKELRTLLEEAVPLSCALPKVT
NP_056386.2 1 635 0.432741732283464 Sentrin-specific protease 6; SUMO-1-specific protease 1; Sentrin/SUMO-specific protease SENP6; EC 3.4.22.- 1112 0 10 635 0 Homo sapiens (Human) SwissProt::Q9GZR1 1 SwissProt MAAGKSGGSAGEITFLEALARSESKRDGGFKNNWSFDHEEESEGDTDKDGTNLLSVDEDEDSETSKGKKLNRRSEIVANSSGEFILKTYVRRNKSESFKTLKGNPIGLNMLSNNKKLSENTQNTSLCSGTVVHGRRFHHAHAQIPVVKTAAQSSLDRKERKEYPPHVQKVEINPVRLSRLQGVERIMKKTEESESQVEPEIKRKVQQKRHCSTYQPTPPLSPASKKCLTHLEDLQRNCRQAITLNESTGPLLRTSIHQNSGGQKSQNTGLTTKKFYGNNVEKVPIDIIVNCDDSKHTYLQTNGKVILPGAKIPKITNLKERKTSLSDLNDPIILSSDDDDDNDRTNRRESISPQPADSACSSPAPSTGKVEAALNENTCRAERELRSIPEDSELNTVTLPRKARMKDQFGNSIINTPLKRRKVFSQEPPDALALSCQSSFDSVILNCRSIRVGTLFRLLIEPVIFCLDFIKIQLDEPDHDPVEIILNTSDLTKCEWCNVRKLPVVFLQAIPAVYQKLSIQLQMNKEDKVWNDCKGVNKLTNLEEQYIILIFQNGLDPPANMVFESIINEIGIKNNISNFFAKIPFEEANGRLVACTRTYEESIKGSCGQKENKIKTVSFESKIQLRSKQEFQFFD
NP_056516.2 1 350 0.335564571428572 PF07915.13:PRKCSH:111:199 Endoplasmic reticulum lectin 1; ER lectin; Erlectin; XTP3-transactivated gene B protein 483 89 10 350 0 Homo sapiens (Human) SwissProt::Q96DZ1 1 SwissProt MEEGGGGVRSLVPGGPVLLVLCGLLEASGGGRALPQLSDDIPFRVNWPGTEFSLPTTGVLYKEDNYVIMTTAHKEKYKCILPLVTSGDEEEEKDYKGPNPRELLEPLFKQSSCSYRIESYWTYEVCHGKHIRQYHEEKETGQKINIHEYYLGNMLAKNLLFEKEREAEEKEKSNEIPTKNIEGQMTPYYPVGMGNGTPCSLKQNRPRSSTVMYICHPESKHEILSVAEVTTCEYEVVILTPLLCSHPKYRFRASPVNDIFCQSLPGSPFKPLTLRQLEQQEEILRVPFRRNKEEDLQSTKEERFPAIHKSIAIGSQPVLTVGTTHISKLTDDQLIKEFLSGSYCFRGGVG
NP_056972.1 168 728 0.488169162210338 PF05622.12:HOOK:3:552 Protein Hook homolog 1; h-hook1; hHK1 728 550 10 561 0 Homo sapiens (Human) SwissProt::Q9UJC3 1 SwissProt PPNDAVGELEQQLKRALEELQEALAEKEELRQRCEELDMQVTTLQDEKNSLVSENEMMNEKLDQLDGSFDDPNTVVAKKYFHAQLQLEQLQEENFRLEAAKDDYRVHCEELEKQLIEFQHRNDELTSLAEETRALKDEIDVLRATSDKANKLESTVEIYRQKLQDLNDLRKQVKTLQETNMMYMHNTVSLEEELKKANAARTQLETYKRQVQDLHVKLSSESKRADTLAFEMKRLEEKHEALLKEKERLIEQRDTLKETNEELRCSQVQQDHLNQTDASATKSYENLAAEIMPVEYREVFIRLQHENKMLRLQQEGSENERIEELQEQLEQKHRKMNELETEQRLSKERIRELQQQIEDLQKSLQEQGSKSEGESSSKLKQKLEAHMEKLTEVHEELQKKQELIEDLQPDINQNVQKINELEAALQKKDEDMKAMEERYKMYLEKARNVIKTLDPKLNPASAEIMLLRKQLAEKERRIEILESECKVAKFRDYEEKLIVSAWYNKSLAFQKLGMESRLVSGGGACSDTGACTPARSFLAQQRHITNTRRNLSVKVPATTSD
NP_057260.2 1 362 0.392501657458563 PF13499.6:EF-hand_7:101:163,PF13202.6:EF-hand_5:145:163,PF13202.6:EF-hand_5:289:304,PF13833.6:EF-hand_8:140:164 45 kDa calcium-binding protein; Cab45; Stromal cell-derived factor 4; SDF-4 362 80 10 339 1 Homo sapiens (Human) SwissProt::Q9BRK5 1 SwissProt MVWPWVAMASRWGPLIGLAPCCLWLLGAVLLMDASARPANHSSTRERVANREENEILPPDHLNGVKLEMDGHLNRGFHQEVFLGKDLGGFDEDAEPRRSRRKLMVIFSKVDVNTDRKISAKEMQRWIMEKTAEHFQEAMEESKTHFRAVDPDGDGHVSWDEYKVKFLASKGHSEKEVADAIRLNEELKVDEETQEVLENLKDRWYQADSPPADLLLTEEEFLSFLHPEHSRGMLRFMVKEIVRDLDQDGDKQLSVPEFISLPVGTVENQQGQDIDDNWVKDRKKEFEELIDSNHDGIVTAEELESYMDPMNEYNALNEAKQMIAVADENQNHHLEPEEVLKYSEFFTGSKLVDYARSVHEEF
NP_057297.2 1 433 0.377544110854503 PF06221.13:zf-C2HC5:169:214 Activating signal cointegrator 1; ASC-1; Thyroid receptor-interacting protein 4; TR-interacting protein 4; TRIP-4 581 46 10 433 0 Homo sapiens (Human) SwissProt::Q15650 1 SwissProt MAVAGAVSGEPLVHWCTQQLRKTFGLDVSEEIIQYVLSIESAEEIREYVTDLLQGNEGKKGQFIEELITKWQKNDQELISDPLQQCFKKDEILDGQKSGDHLKRGRKKGRNRQEVPAFTEPDTTAEVKTPFDLAKAQENSNSVKKKTKFVNLYTREGQDRLAVLLPGRHPCDCLGQKHKLINNCLICGRIVCEQEGSGPCLFCGTLVCTHEEQDILQRDSNKSQKLLKKLMSGVENSGKVDISTKDLLPHQELRIKSGLEKAIKHKDKLLEFDRTSIRRTQVIDDESDYFASDSNQWLSKLERETLQKREEELRELRHASRLSKKVTIDFAGRKILEEENSLAEYHSRLDETIQAIANGTLNQPLTKLDRSSEEPLGVLVNPNMYQSPPQWVDHTGAASQKKAFRSSGFGLEFNSFQHQLRIQDQEFQEGFDG
NP_057629.2 1 327 0.671077981651376 PF05760.12:IER:1:225,PF05760.12:IER:254:327 Immediate early response gene 5 protein 327 299 10 327 0 Homo sapiens (Human) SwissProt::Q5VY09 1 SwissProt MEFKLEAHRIVSISLGKIYNSRVQRGGIKLHKNLLVSLVLRSARQVYLSDPCPGLYLAGPAGTPAPPPQQQPGEPAAGPPAGWGEPPPPAARASWPETEPQPERSSVSDAPRVGDEVPVATVTGVGDVFQGGEADATEAAWSRVEGPRQAAAREAEGTAGGWGVFPEVSRAARRPCGCPLGGEDPPGTPAATPRAACCCAPQPAEDEPPAPPAVCPRKRCAAGVGGGPAGCPAPGSTPLKKPRRNLEQPPSGGEDDDAEEMETGNVANLISIFGSSFSGLLRKSPGGGREEEEGEESGPEAAEPGQICCDKPVLRDMNPWSTAIVAF
NP_057865.1 1 327 0.0821639143730886 PF00429.19:TLV_coat:13:262,PF00429.19:TLV_coat:272:324 Envelope glycoprotein gp62 488 303 10 327 0 Human T-cell leukemia virus 1 (isolate Caribbea HS-35 subtype A) P14075 1 SwissProt/TReMBL MGKFLATLILFFQFCPLILGDYSPSCCTLTVGVSSYHSKPCNPAQPVCSWTLDLLALSADQALQPPCPNLVSYSSYHATYSLYLFPHWIKKPNRNGGGYYSASYSDPCSLKCPYLGCQSWTCPYTGAVSSPYWKFQQDVNFTQEVSHLNINLHFSKCGFSFSLLVDAPGYDPIWFLNTEPSQLPPTAPPLLSHSNLDHILEPSIPWKSKLLTLVQLTLQSTNYTCIVCIDRASLSTWHVLYSPNVSVPSPSSTPLLYPSLALPAPHLTLPFNWTHCFDPQIQAIVSSPCHNSLILPPFSLSPVPTLGSRSRRAVPVAVWLVSALAMG
NP_058618.2 1 423 0.124084160756501 PF06990.11:Gal-3-0_sulfotr:5:407 Galactosylceramide sulfotransferase; GalCer sulfotransferase; 3'-phosphoadenosine-5'-phosphosulfate:GalCer sulfotransferase; 3'-phosphoadenylylsulfate:galactosylceramide 3'-sulfotransferase; Cerebroside sulfotransferase; EC 2.8.2.11 423 403 10 400 1 Mus musculus (Mouse) SwissProt::Q9JHE4 1 SwissProt MTLLPKKPCKSKAKGLLLGALFTSFLLLLYSYVVPPLYPNMAFTTSEAAAPCSPIPNEPVAATPANGSAGGCQPRRDIVFMKTHKTASSTLLNILFRFGQKHELKFAFPNGRNDFHYPSYFARSLVQDYRPGACFNIICNHMRFHYEEVRGLVRPGATFITVIRDPARLFESSFHYFGSVVPLTWKLSSRDKLAEFLQDPDRYYDPSSYNAHYLRNLLFFDLGYDSSLDPASPRVQEHILEVERRFHLVLLQEYFDESLVLLRELLCWDLEDVLYFKLNARRDSPVPRLSGELYRRATAWNLLDVRLYRHFNASFWRKVEAFGRERMAREVAELRQANEHMRHICIDGGQAVGAEAIQDSAMQPWQPLGIKSILGYNLKKSIGPQHEQLCRRMLTPEIQYLSDLGANLWVTKLWKFLRDFLRW 2
NP_058733.1 1 160 0.010865625 PF00822.20:PMP22_Claudin:1:153,PF13903.6:Claudin_2:60:153 peripheral myelin protein 22 160 153 10 68 4 Rattus norvegicus NP_058733.1 0 RefSeq MLLLLLGILFLHIAVLVLLFVSTIVSQWLEGNGHRTDLWQNCTTSALGAVQHCYSSSVSEWLQSVQATMILSVIFSVLSLFLFFCQLFTLTKGGRFYITGVFQILAGLCVMSAAAIYTVRHSEWHVNNDYSYGFAYILAWVAFPLALLSGIIYVILRKRE
NP_059491.1 1 242 0.5564 PF03792.13:PBC:50:242 pre-B-cell leukemia transcription factor 2 430 193 10 242 0 Mus musculus NP_059491.1 1 RefSeq MDERLLGPPPPGGGRGGLGLVGAEPGGPGEPPGGGDPGGGSGGVPGGRGKQDIGDILQQIMTITDQSLDEAQAKKHALNCHRMKPALFSVLCEIKEKTGLSIRSSQEEEPVDPQLMRLDNMLLAEGVAGPEKGGGSAAAAAAAAASGGGVSPDNSIEHSDYRSKLAQIRHIYHSELEKYEQACNEFTTHVMNLLREQSRTRPVAPKEMERMVSIIHRKFSAIQMQLKQSTCEAVMILRSRFL
NP_060399.1 1 305 0.17104262295082 PF07163.12:Pex26:1:301 Peroxisome assembly protein 26; Peroxin-26 305 301 10 305 0 Homo sapiens (Human) SwissProt::Q7Z412 1 SwissProt MKSDSSTSAAPLRGLGGPLRSSEPVRAVPARAPAVDLLEEAADLLVVHLDFRAALETCERAWQSLANHAVAEEPAGTSLEVKCSLCVVGIQALAEMDRWQEVLSWVLQYYQVPEKLPPKVLELCILLYSKMQEPGAVLDVVGAWLQDPANQNLPEYGALAEFHVQRVLLPLGCLSEAEELVVGSAAFGEERRLDVLQAIHTARQQQKQEHSGSEEAQKPNLEGSVSHKFLSLPMLVRQLWDSAVSHFFSLPFKKSLLAALILCLLVVRFDPASPSSLHFLYKLAQLFRWIRKAAFSRLYQLRIRD
NP_060587.8 1 1224 0.178246160130719 WD repeat-containing protein 11; Bromodomain and WD repeat-containing protein 2; WD repeat-containing protein 15 1224 0 10 1224 0 Homo sapiens (Human) SwissProt::Q9BZH6 1 SwissProt MLPYTVNFKVSARTLTGALNAHNKAAVDWGWQGLIAYGCHSLVVVIDSITAQTLQVLEKHKADVVKVKWARENYHHNIGSPYCLRLASADVNGKIIVWDVAAGVAQCEIQEHAKPIQDVQWLWNQDASRDLLLAIHPPNYIVLWNADTGTKLWKKSYADNILSFSFDPFDPSHLTLLTSEGIVFISDFSPSKPPSGPGKKVYISSPHSSPAHNKLATATGAKKALNKVKILITQEKPSAEFITLNDCLQLAYLPSKRNHMLLLYPREILILDLEVNQTVGVIAIERTGVPFLQVIPCFQRDGLFCLHENGCITLRVRRSYNNIFTTSNEEPDPDPVQELTYDLRSQCDAIRVTKTVRPFSMVCCPVNENAAALVVSDGRVMIWELKSAVCNRNSRNSSSGVSPLYSPVSFCGIPVGVLQNKLPDLSLDNMIGQSAIAGEEHPRGSILREVHLKFLLTGLLSGLPAPQFAIRMCPPLTTKNIKMYQPLLAVGTSNGSVLVYHLTSGLLHKELSIHSCEVKGIEWTSLTSFLSFATSTPNNMGLVRNELQLVDLPTGRSIAFRGERGNDESAIEMIKVSHLKQYLAVVFRDKPLELWDVRTCTLLREMSKNFPTITALEWSPSHNLKSLRKKQLATREAMARQTVVSDTELSIVESSVISLLQEAESKSELSQNISAREHFVFTDIDGQVYHLTVEGNSVKDSARIPPDGSMGSITCIAWKGDTLVLGDMDGNLNFWDLKGRVSRGIPTHRSWVRKIRFAPGKGNQKLIAMYNDGAEVWDTKEVQMVSSLRSGRNVTFRILDVDWCTSDKVILASDDGCIRVLEMSMKSACFRMDEQELTEPVWCPYLLVPRASLALKAFLLHQPWNGQYSLDISHVDYPENEEIKNLLQEQLNSLSNDIKKLLLDPEFTLLQRCLLVSRLYGDESELHFWTVAAHYLHSLSQEKSASTTAPKEAAPRDKLSNPLDICYDVLCENAYFQKFQLERVNLQEVKRSTYDHTRKCTDQLLLLGQTDRAVQLLLETSADNQHYYCDSLKACLVTTVTSSGPSQSTIKLVATNMIANGKLAEGVQLLCLIDKAADACRYLQTYGEWNRAAWLAKVRLNPEECADVLRRWVDHLCSPQVNQKSKALLVLLSLGCFFSVAETLHSMRYFDRAALFVEACLKYGAFEVTEDTEKLITAIYADYARSLKNLGFKQGAVLFASKAGAAGKDLLNELESPKEEPIEE
NP_060610.2 1 647 0.475438485316847 PF14580.6:LRR_9:53:156 Centrosomal protein of 72 kDa; Cep72 647 104 10 647 0 Homo sapiens (Human) SwissProt::Q9P209 1 SwissProt MARAGPRLVLSEEAVRAKSGLGPHRDLAELQSLSIPGTYQEKITHLGHSLMSLTGLKSLDLSRNSLVSLEGIQYLTALESLNLYYNCISSLAEVFRLHALTELVDVDFRLNPVVKVEPDYRLFVVHLLPKLQQLDDRPVRASERKASRLHFASEDSLDSKESVPASLKEGRPHHPRAKCTEALAKQSLVMDADDEAVLNLIAECEWDLGRPPGSTSFSQKGREADSRGSQESRHLLSPQLVQYQCGDSGKQGRETRRSSCRGCCLEKMPWSQLCGELPPLYGAEPEASRAPRPHTYFTPHPDSMDTEDSASSQKLDLSGEMVPGPLPAPGKCRKRRMPVGRFQTFSDQEGLGCPERTHGSSVPKESLSRQDSSESRNGRTLSQPEASETEEQRSRGVTDTREPSPGSHSALPGKKTALQAALLETLLDLVDRSWGGCRSLHSNEAFLAQARHILSSVEEFTAAQDSSAMVGEDVGSLALESKSLQSRLAEQQQQHAREMSEVTAELHHTHKELDDLRQHLDKSLEENSRLKSLLLSMKKEVKSADTAATLNLQIAGLQTSVKRLCGEIVELKQHLEHYDKIQELTQMLQESHSSLVSTNEHLLQELSQVRAQHRAEVEQMHWSYQELKKTMALFPHSSASHGGCQAC
NP_060643.2 364 790 0.606483138173302 Pleckstrin homology domain-containing family G member 6; PH domain-containing family G member 6; Myosin-interacting guanine nucleotide exchange factor; MyoGEF 790 0 10 427 0 Homo sapiens (Human) SwissProt::Q3KR16 1 SwissProt AAAAQRIGPYEVLEPPSDEVEKNLRPFSTLDLTSPMLGVASEHTRQLLLEGPVRVKEGREGKLDVYLFLFSDVLLVTKPQRKADKAKVIRPPLMLEKLVCQPLRDPNSFLLIHLTEFQCVSSALLVHCPSPTDRAQWLEKTQQAQAALQKLKAEEYVQQKRELLTLYRDQDRESPSTRPSTPSLEGSQSSAEGRTPEFSTIIPHLVVTEDTDEDAPLVPDDTSDSGYGTLIPGTPTGSRSPLSRLRQRALRRDPRLTFSTLELRDIPLRPHPPDPQAPQRRSAPELPEGILKGGSLPQEDPPTWSEEEDGASERGNVVVETLHRARLRGQLPSSPTHADSAGESPWESSGEEEEEGPLFLKAGHTSLRPMRAEDMLREIREELASQRIEGAEEPRDSRPRKLTRAQLQRMRGPHIIQLDTPLSASEV
NP_060692.3 1 241 0.372187136929461 PF00307.31:CH:98:202 Alpha-parvin; Actopaxin; CH-ILKBP; Calponin-like integrin-linked kinase-binding protein; Matrix-remodeling-associated protein 2 372 105 10 241 0 Homo sapiens (Human) SwissProt::Q9NVD7 1 SwissProt MATSPQKSPSVPKSPTPKSPPSRKKDDSFLGKLGGTLARRKKAKEVSELQEEGMNAINLPLSPIPFELDPEDTMLEENEVRTMVDPNSRSDPKLQELMKVLIDWINDVLVGERIIVKDLAEDLYDGQVLQKLFEKLESEKLNVAEVTQSEIAQKQKLQTVLEKINETLKLPPRSIKWNVDSVHAKSLVAILHLLVALSQYFRAPIRLPDHVSIQVVVVQKREGILQSRQIQEEITGNTEAL
NP_060838.3 1 540 0.0858798148148149 PF04791.16:LMBR1:17:286 Probable lysosomal cobalamin transporter; HDAg-L-interacting protein NESI; LMBR1 domain-containing protein 1; Nuclear export signal-interacting protein 540 270 10 333 9 Homo sapiens (Human) SwissProt::Q9NUN5 1 SwissProt MATSGAASAELVIGWCIFGLLLLAILAFCWIYVRKYQSRRESEVVSTITAIFSLAIALITSALLPVDIFLVSYMKNQNGTFKDWANANVSRQIEDTVLYGYYTLYSVILFCVFFWIPFVYFYYEEKDDDDTSKCTQIKTALKYTLGFVVICALLLLVGAFVPLNVPNNKNSTEWEKVKSLFEELGSSHGLAALSFSISSLTLIGMLAAITYTAYGMSALPLNLIKGTRSAAYERLENTEDIEEVEQHIQTIKSKSKDGRPLPARDKRALKQFEERLRTLKKRERHLEFIENSWWTKFCGALRPLKIVWGIFFILVALLFVISLFLSNLDKALHSAGIDSGFIIFGANLSNPLNMLLPLLQTVFPLDYILITIIIMYFIFTSMAGIRNIGIWFFWIRLYKIRRGRTRPQALLFLCMILLLIVLHTSYMIYSLAPQYVMYGSQNYLIETNITSDNHKGNSTLSVPKRCDADAPEDQCTVTRTYLFLHKFWFFSAAYYFGNWAFLGVFLIGLIVSCCKGKKSVIEGVDEDSDISDDEPSVYSA
NP_060945.2 1 324 0.204541049382716 PF01169.19:UPF0016:99:171,PF01169.19:UPF0016:239:312 Transmembrane protein 165; Transmembrane protein PT27; Transmembrane protein TPARL 324 147 10 169 7 Homo sapiens (Human) SwissProt::Q9HC07 1 SwissProt MAAAAPGNGRASAPRLLLLFLVPLLWAPAAVRAGPDEDLSHRNKEPPAPAQQLQPQPVAVQGPEPARVEKIFTPAAPVHTNKEDPATQTNLGFIHAFVAAISVIIVSELGDKTFFIAAIMAMRYNRLTVLAGAMLALGLMTCLSVLFGYATTVIPRVYTYYVSTVLFAIFGIRMLREGLKMSPDEGQEELEEVQAELKKKDEEFQRTKLLNGPGDVETGTSITVPQKKWLHFISPIFVQALTLTFLAEWGDRSQLTTIVLAAREDPYGVAVGGTVGHCLCTGLAVIGGRMIAQKISVRTVTIIGGIVFLAFAFSALFISPDSGF
NP_061183.2 1 485 0.17626824742268 PF01545.21:Cation_efflux:11:307 Zinc transporter 10; ZnT-10; Manganese transporter SLC30A10; Solute carrier family 30 member 10 485 297 10 356 6 Homo sapiens (Human) SwissProt::Q6XR72 1 SwissProt MGRYSGKTCRLLFMLVLTVAFFVAELVSGYLGNSIALLSDSFNMLSDLISLCVGLSAGYIARRPTRGFSATYGYARAEVVGALSNAVFLTALCFTIFVEAVLRLARPERIDDPELVLIVGVLGLLVNVVGLLIFQDCAAWFACCLRGRSRRLQQRQQLAEGCVPGAFGGPQGAEDPRRAADPTAPGSDSAVTLRGTSVERKREKGATVFANVAGDSFNTQNEPEDMMKKEKKSEALNIRGVLLHVMGDALGSVVVVITAIIFYVLPLKSEDPCNWQCYIDPSLTVLMVIIILSSAFPLIKETAAILLQMVPKGVNMEELMSKLSAVPGISSVHEVHIWELVSGKIIATLHIKYPKDRGYQDASTKIREIFHHAGIHNVTIQFENVDLKEPLEQKDLLLLCNSPCISKGCAKQLCCPPGALPLAHVNGCAEHNGGPSLDTYGSDGLSRRDAREVAIEVSLDSCLSDHGQSLNKTQEDQCYVNRTHF
NP_062058.2 1 378 0.55193253968254 PF05349.12:GATA-N:147:371 Transcription factor GATA-6; DNA-binding protein GATA-GT2; GATA-binding factor 6 587 225 10 378 0 Rattus norvegicus (Rat) SwissProt::P46153 1 SwissProt MALTDGGWCLPKRFGAAAADAGDSGPFPAREPSSPLSPISSSSSSCSRGGDRGPCGASNCRTPQLDTEAVAGPPGRSLLLSPYTSHPFAAAHGAAAPGVAGPGSALSTWEDLLLFTDLDQAATASKLLWSSRGAKLSPFAAEQPEEMYQTLAALSSQGPAAYDGAPGGFVHSAAAAAAAAAAASSPVYVPTTRVGSMLPGLPYLQGAGSGPSNHAGGAGAHPGWPQASADSPPYGGGGAAGGGAAGPGGAGSATAHASARFPYSPSPPMANGAARDPGGYVAAGGAGAGSVSGGGGSLAAMGGREHQYSSLSAARPLNGTYHHHHHHHPTYSPYMGAPLTPAWPAGPFETPVLHSLQSRAGAPLPVPRGPSADLLEDL
NP_064300.2 1 149 0.546603355704698 T-cell leukemia homeobox protein 3 291 0 10 149 0 Mus musculus NP_064300.2 1 RefSeq MEAPASAQTPHPHEPISFGIDQILNSPDQDSAPAPRGPDGASYLGGPPGGRPGAAYPSLPASFAGLGAPFEDAGSYSVNLSLAPAGVIRVPAHRPLPGAVPPPLPSALPAMPSVPTVSSLGGLNFPWMESSRRFVKDRFTAAAALTPFT
NP_064351.2 1 760 0.182948552631579 PF01823.19:MACPF:71:159 BMP/retinoic acid-inducible neural-specific protein 1; Deleted in bladder cancer protein 1 homolog 760 89 10 760 0 Mus musculus (Mouse) SwissProt::Q920P3 1 SwissProt MNWRFVELLYFLFVWGRISVQPSRQEPAGTDQHVSKEFDWLISDRGPFHHSRSYLSFVERHRQGFTTRYKIYREFARWKVRNTAIERRDLVRHPVPLMPEFQRSIRLLGRRPTTQQFIDTIIKKYGTHLLISATLGGEEALTMYMDKSRLDRKSGNATQSVEALHQLASSYFVDRDGTMRRLHEIQISTGAIKVTETRTGPLGCNSYDNLDSVSSVLLQSTESKLHLQGLQIIFPQYLQEKFVQSALSYIMCNGEGEYVCQNSQCRCQCAEEFPQCNCPITDIQIMEFTLANMAKAWTEAYKDLENSDEFKSFMKRLPSNHFLTIGSIHQHWGNDWDLQSRYKLLQSATEAQRQKIQRTARKLFGLSVRCRHNPNHQLPRERTIQQWLARVQSLLYCNENGFWGTFLESQRSCVCHGSTTLCQRPIPCIIGGNNSCAMCSLANISLCGSCNKGYKLYRGRCEPQNVDSERSEQFISFETDLDFQDLELKYLLQKMDSRLYVHTTFISNEIRLDTFFDPRWRKRMSLTLKSNKNRMDFIHMVIGMSMRICQMRNSSLDPMFFVYVNPFSGSHSEGWNMPFGEFGYPRWEKIRLQNSQCYNWTLLLGNRWKTFFETVHIYLRSRTRLPTLRNETGQGPVDLSDPSKRQFYIKISDVQVFGYSLRFNADLLRSAVQQVNQSYTQGGQFYSSSSVMLLMLDIRDRINRLAPPVAPGKPQLDLFSCMLKHRLKLTNSEIIRVNHALDLYNTEILKQSDQMTAKLC
NP_064516.2 102 544 0.6769920993228 Ubiquilin-4; Ataxin-1 interacting ubiquitin-like protein; A1Up; Ataxin-1 ubiquitin-like-interacting protein A1U; Connexin43-interacting protein of 75 kDa; CIP75 601 0 10 443 0 Homo sapiens (Human) SwissProt::Q9NRR5 1 SwissProt PDPASAPSTTPASPATPAQPSTSGSASSDAGSGSRRSSGGGPSPGAGEGSPSATASILSGFGGILGLGSLGLGSANFMELQQQMQRQLMSNPEMLSQIMENPLVQDMMSNPDLMRHMIMANPQMQQLMERNPEISHMLNNPELMRQTMELARNPAMMQEMMRNQDRALSNLESIPGGYNALRRMYTDIQEPMFSAAREQFGNNPFSSLAGNSDSSSSQPLRTENREPLPNPWSPSPPTSQAPGSGGEGTGGSGTSQVHPTVSNPFGINAASLGSGMFNSPEMQALLQQISENPQLMQNVISAPYMRSMMQTLAQNPDFAAQMMVNVPLFAGNPQLQEQLRLQLPVFLQQMQNPESLSILTNPRAMQALLQIQQGLQTLQTEAPGLVPSLGSFGISRTPAPSAGSNAGSTPEAPTSSPATPATSSPTGASSAQQQLMQQMIQLL
NP_064685.2 160 334 0.0982617142857143 PF13853.6:7tm_4:1:152 olfactory receptor 544 334 152 10 132 2 Mus musculus NP_064685.2 1 RefSeq VAPVVVLASRVRFCRSDVIRHFACEHMALMKLSCGDISLNKTVGLTVRIFNRVLDMLLLGASYSRIIHAAFRISSGGARSKALNTCGSHLLVIFTVYSSTMSSSIVYRVARTASQDVHNLLSAFYLLLPCLVNPIIYGARTKEIRQHLVALFQRTQQQVFTEKPQSLPSNRELPG
NP_065595.2 1 278 0.170048920863309 PF08423.11:Rad51:41:219 DNA repair protein XRCC2 278 179 10 278 0 Mus musculus NP_065595.2 1 RefSeq MCSDFRRAESGTELLARLEGRSSLKELEPNLFADEDSPVHGDIFEFHGPEGTGKTEMLYHLTARCILPKSEGGLQIEVLFIDTDYHFDMLRLVTVLEHRLSQSSEEAMKLCLARLFLAYCSSSMQLLLTLHSLEALLCSRPSLCLLIVDSLSSFYWIDRVSGGESVALQESTLQKCSQLLERLVTEYRLLLFATTQSLMQKGSDSADGPSSSKHPCDGDMGYRAYLCKAWQRVVKHRVIFSRDDEAKSSRFSLVSRHLKSNSLKKHSFMVRESGVEFC
NP_065803.2 1 1215 0.416856049382716 PF00003.22:7tm_3:429:667 Probable G-protein coupled receptor 158 1215 239 10 1077 6 Homo sapiens Q5T848 1 SwissProt/TReMBL MGAMAYPLLLCLLLAQLGLGAVGASRDPQGRPDSPRERTPKGKPHAQQPGRASASDSSAPWSRSTDGTILAQKLAEEVPMDVASYLYTGDSHQLKRANCSGRYELAGLPGKWPALASAHPSLHRALDTLTHATNFLNVMLQSNKSREQNLQDDLDWYQALVWSLLEGEPSISRAAITFSTDSLSAPAPQVFLQATREESRILLQDLSSSAPHLANATLETEWFHGLRRKWRPHLHRRGPNQGPRGLGHSWRRKDGLGGDKSHFKWSPPYLECENGSYKPGWLVTLSSAIYGLQPNLVPEFRGVMKVDINLQKVDIDQCSSDGWFSGTHKCHLNNSECMPIKGLGFVLGAYECICKAGFYHPGVLPVNNFRRRGPDQHISGSTKDVSEEAYVCLPCREGCPFCADDSPCFVQEDKYLRLAIISFQALCMLLDFVSMLVVYHFRKAKSIRASGLILLETILFGSLLLYFPVVILYFEPSTFRCILLRWARLLGFATVYGTVTLKLHRVLKVFLSRTAQRIPYMTGGRVMRMLAVILLVVFWFLIGWTSSVCQNLEKQISLIGQGKTSDHLIFNMCLIDRWDYMTAVAEFLFLLWGVYLCYAVRTVPSAFHEPRYMAVAVHNELIISAIFHTIRFVLASRLQSDWMLMLYFAHTHLTVTVTIGLLLIPKFSHSSNNPRDDIATEAYEDELDMGRSGSYLNSSINSAWSEHSLDPEDIRDELKKLYAQLEIYKRKKMITNNPHLQKKRCSKKGLGRSIMRRITEIPETVSRQCSKEDKEGADHGTAKGTALIRKNPPESSGNTGKSKEETLKNRVFSLKKSHSTYDHVRDQTEESSSLPTESQEEETTENSTLESLSGKKLTQKLKEDSEAESTESVPLVCKSASAHNLSSEKKTGHPRTSMLQKSLSVIASAKEKTLGLAGKTQTAGVEERTKSQKPLPKDKETNRNHSNSDNTETKDPAPQNSNPAEEPRKPQKSGIMKQQRVNPTTANSDLNPGTTQMKDNFDIGEVCPWEVYDLTPGPVPSESKVQKHVSIVASEMEKNPTFSLKEKSHHKPKAAEVCQQSNQKRIDKAEVCLWESQGQSILEDEKLLISKTPVLPERAKEENGGQPRAANVCAGQSEELPPKAVASKTENENLNQIGHQEKKTSSSEENVRGSYNSSNNFQQPLTSRAEVCPWEFETPAQPNAGRSVALPASSALSANKIAGPRKEEIWDSFKV
NP_065816.2 1719 5183 0.271994718614717 PF13764.6:E3_UbLigase_R4:2649:3445 E3 ubiquitin-protein ligase UBR4; 600 kDa retinoblastoma protein-associated factor; N-recognin-4; RING-type E3 ubiquitin transferase UBR4; Retinoblastoma-associated factor of 600 kDa; RBAF600; p600; Zinc finger UBR1-type protein 1; EC 2.3.2.27 5183 797 10 3465 0 Homo sapiens (Human) SwissProt::Q5T4S7 1 SwissProt KEDGSCLALVKRTPSSGMSSTMKESAFQSEPRISESLVRHASTSSPADKAKVTISDGKVADEEKPKKSSLCRTVEGCREELQNQANFSFAPLVLDMLNFLMDAIQTNFQQASAVGSSSRAQQALSELHTVEKAVEMTDQLMVPTLGSQEGAFENVRMNYSGDQGQTIRQLISAHVLRRVAMCVLSSPHGRRQHLAVSHEKGKITVLQLSALLKQADSSKRKLTLTRLASAPVPFTVLSLTGNPCKEDYLAVCGLKDCHVLTFSSSGSVSDHLVLHPQLATGNFIIKAVWLPGSQTELAIVTADFVKIYDLCVDALSPTFYFLLPSSKIRDVTFLFNEEGKNIIVIMSSAGYIYTQLMEEASSAQQGPFYVTNVLEINHEDLKDSNSQVAGGGVSVYYSHVLQMLFFSYCQGKSFAATISRTTLEVLQLFPINIKSSNGGSKTSPALCQWSEVMNHPGLVCCVQQTTGVPLVVMVKPDTFLIQEIKTLPAKAKIQDMVAIRHTACNEQQRTTMILLCEDGSLRIYMANVENTSYWLQPSLQPSSVISIMKPVRKRKTATITTRTSSQVTFPIDFFEHNQQLTDVEFGGNDLLQVYNAQQIKHRLNSTGMYVANTKPGGFTIEISNNNSTMVMTGMRIQIGTQAIERAPSYIEIFGRTMQLNLSRSRWFDFPFTREEALQADKKLNLFIGASVDPAGVTMIDAVKIYGKTKEQFGWPDEPPEEFPSASVSNICPSNLNQSNGTGDSDSAAPTTTSGTVLERLVVSSLEALESCFAVGPIIEKERNKNAAQELATLLLSLPAPASVQQQSKSLLASLHTSRSAYHSHKDQALLSKAVQCLNTSSKEGKDLDPEVFQRLVITARSIAIMRPNNLVHFTESKLPQMETEGMDEGKEPQKQLEGDCCSFITQLVNHFWKLHASKPKNAFLAPACLPGLTHIEATVNALVDIIHGYCTCELDCINTASKIYMQMLLCPDPAVSFSCKQALIRVLRPRNKRRHVTLPSSPRSNTPMGDKDDDDDDDADEKMQSSGIPNGGHIRQESQEQSEVDHGDFEMVSESMVLETAENVNNGNPSPLEALLAGAEGFPPMLDIPPDADDETMVELAIALSLQQDQQGSSSSALGLQSLGLSGQAPSSSSLDAGTLSDTTASAPASDDEGSTAATDGSTLRTSPADHGGSVGSESGGSAVDSVAGEHSVSGRSSAYGDATAEGHPAGPGSVSSSTGAISTTTGHQEGDGSEGEGEGETEGDVHTSNRLHMVRLMLLERLLQTLPQLRNVGGVRAIPYMQVILMLTTDLDGEDEKDKGALDNLLSQLIAELGMDKKDVSKKNERSALNEVHLVVMRLLSVFMSRTKSGSKSSICESSSLISSATAAALLSSGAVDYCLHVLKSLLEYWKSQQNDEEPVATSQLLKPHTTSSPPDMSPFFLRQYVKGHAADVFEAYTQLLTEMVLRLPYQIKKITDTNSRIPPPVFDHSWFYFLSEYLMIQQTPFVRRQVRKLLLFICGSKEKYRQLRDLHTLDSHVRGIKKLLEEQGIFLRASVVTASSGSALQYDTLISLMEHLKACAEIAAQRTINWQKFCIKDDSVLYFLLQVSFLVDEGVSPVLLQLLSCALCGSKVLAALAASSGSSSASSSSAPVAASSGQATTQSKSSTKKSKKEEKEKEKDGETSGSQEDQLCTALVNQLNKFADKETLIQFLRCFLLESNSSSVRWQAHCLTLHIYRNSSKSQQELLLDLMWSIWPELPAYGRKAAQFVDLLGYFSLKTPQTEKKLKEYSQKAVEILRTQNHILTNHPNSNIYNTLSGLVEFDGYYLESDPCLVCNNPEVPFCYIKLSSIKVDTRYTTTQQVVKLIGSHTISKVTVKIGDLKRTKMVRTINLYYNNRTVQAIVELKNKPARWHKAKKVQLTPGQTEVKIDLPLPIVASNLMIEFADFYENYQASTETLQCPRCSASVPANPGVCGNCGENVYQCHKCRSINYDEKDPFLCNACGFCKYARFDFMLYAKPCCAVDPIENEEDRKKAVSNINTLLDKADRVYHQLMGHRPQLENLLCKVNEAAPEKPQDDSGTAGGISSTSASVNRYILQLAQEYCGDCKNSFDELSKIIQKVFASRKELLEYDLQQREAATKSSRTSVQPTFTASQYRALSVLGCGHTSSTKCYGCASAVTEHCITLLRALATNPALRHILVSQGLIRELFDYNLRRGAAAMREEVRQLMCLLTRDNPEATQQMNDLIIGKVSTALKGHWANPDLASSLQYEMLLLTDSISKEDSCWELRLRCALSLFLMAVNIKTPVVVENITLMCLRILQKLIKPPAPTSKKNKDVPVEALTTVKPYCNEIHAQAQLWLKRDPKASYDAWKKCLPIRGIDGNGKAPSKSELRHLYLTEKYVWRWKQFLSRRGKRTSPLDLKLGHNNWLRQVLFTPATQAARQAACTIVEALATIPSRKQQVLDLLTSYLDELSIAGECAAEYLALYQKLITSAHWKVYLAARGVLPYVGNLITKEIARLLALEEATLSTDLQQGYALKSLTGLLSSFVEVESIKRHFKSRLVGTVLNGYLCLRKLVVQRTKLIDETQDMLLEMLEDMTTGTESETKAFMAVCIETAKRYNLDDYRTPVFIFERLCSIIYPEENEVTEFFVTLEKDPQQEDFLQGRMPGNPYSSNEPGIGPLMRDIKNKICQDCDLVALLEDDSGMELLVNNKIISLDLPVAEVYKKVWCTTNEGEPMRIVYRMRGLLGDATEEFIESLDSTTDEEEDEEEVYKMAGVMAQCGGLECMLNRLAGIRDFKQGRHLLTVLLKLFSYCVKVKVNRQQLVKLEMNTLNVMLGTLNLALVAEQESKDSGGAAVAEQVLSIMEIILDESNAEPLSEDKGNLLLTGDKDQLVMLLDQINSTFVRSNPSVLQGLLRIIPYLSFGEVEKMQILVERFKPYCNFDKYDEDHSGDDKVFLDCFCKIAAGIKNNSNGHQLKDLILQKGITQNALDYMKKHIPSAKNLDADIWKKFLSRPALPFILRLLRGLAIQHPGTQVLIGTDSIPNLHKLEQVSSDEGIGTLAENLLEALREHPDVNKKIDAARRETRAEKKRMAMAMRQKALGTLGMTTNEKGQVVTKTALLKQMEELIEEPGLTCCICREGYKFQPTKVLGIYTFTKRVALEEMENKPRKQQGYSTVSHFNIVHYDCHLAAVRLARGREEWESAALQNANTKCNGLLPVWGPHVPESAFATCLARHNTYLQECTGQREPTYQLNIHDIKLLFLRFAMEQSFSADTGGGGRESNIHLIPYIIHTVLYVLNTTRATSREEKNLQGFLEQPKEKWVESAFEVDGPYYFTVLALHILPPEQWRATRVEILRRLLVTSQARAVAPGGATRLTDKAVKDYSAYRSSLLFWALVDLIYNMFKKVPTSNTEGGWSCSLAEYIRHNDMPIYEAADKALKTFQEEFMPVETFSEFLDVAGLLSEITDPESFLKDLLNSVP
NP_065907.2 416 1081 0.57353078078078 PF12874.7:zf-met:626:645 Teashirt homolog 3; Zinc finger protein 537 1081 20 10 666 0 Homo sapiens (Human) SwissProt::Q63HK5 1 SwissProt NSAMKKGKPIVETPVTPTITTLLDEKVQSVPLAATTFTSPSNTPASISPKLNVEVKKEVDKEKAVTDEKPKQKDKPGEEEEKCDISSKYHYLTENDLEESPKGGLDILKSLENTVTSAINKAQNGTPSWGGYPSIHAAYQLPNMMKLSLGSSGKSTPLKPMFGNSEIVSPTKNQTLVSPPSSQTSPMPKTNFHAMEELVKKVTEKVAKVEEKMKEPDGKLSPPKRATPSPCSSEVGEPIKMEASSDGGFRSQENSPSPPRDGCKDGSPLAEPVENGKELVKPLASSLSGSTAIITDHPPEQPFVNPLSALQSVMNIHLGKAAKPSLPALDPMSMLFKMSNSLAEKAAVATPPPLQSKKADHLDRYFYHVNNDQPIDLTKGKSDKGCSLGSVLLSPTSTAPATSSSTVTTAKTSAVVSFMSNSPLRENALSDISDMLKNLTESHTSKSSTPSSISEKSDIDGATLEEAEESTPAQKRKGRQSNWNPQHLLILQAQFAASLRQTSEGKYIMSDLSPQERMHISRFTGLSMTTISHWLANVKYQLRRTGGTKFLKNLDTGHPVFFCNDCASQIRTPSTYISHLESHLGFRLRDLSKLSTEQINSQIAQTKSPSEKMVTSSPEEDLGTSYQCKLCNRTFASKHAVKLHLSKTHGKSPEDHLLYVSELEKQ
NP_067698.1 1 687 0.70297787481805 PF05279.11:Asp-B-Hydro_N:48:263 Triadin 687 216 10 664 1 Rattus norvegicus (Rat) SwissProt::Q9QX75 1 SwissProt MTEITAEGNASITTTVIDNKNGSVPKSPGKVLKRTVTEDIVTTFSSPAAWLLVIALIITWSAVAIVMFDLVDYKNFSASSIAKIGSDPLKLVNDAVEETTDWIYGFFSLLSDIISSDGDEDDEDADEDIDKGEIEEPPLKRKEIQKEKAEKQEKPEKKIQTKVSHREKEKGKEKLKGEKPEKKATHKEKLEKKERTETKMAAKEDKKIKTKEKTEEKAKKEMKVGKQEKAKPAAAKAKETPKTTPKAREKDDKETPAVPKHEQKDQYAFCRYMIDMFVHGDLKPGQSPAVPPPSLTASRPALSTPSLEEKEKEEKKKVEKKVTSDTKKKEKGEAKKKSEKETVIDGKGKEPGKPPETKQTTTKLTTQAAATKDEKKEDSKKMKKPPEEKPKGKKQEKKEKHIEPAKTPKKEHPAPSEKHRKAKAEQAKEEIAPASTKKALHGKKEEKAKTVEQGKDVKPKLPQPQLKKEEKSEPQPKKEVKLETQLKKEEKSEPQVKKEAKLASSEKGQTRKQNITRPEQVIPHGKPEQKVPKQIKAITAEKTEKAERQEKYHPSIKTEGKPEVTDSGKKKIEKPEKESKVPPKQENLQVRNVTRAEKRGKISKDSKDAPAPKKDKDSKDVLHSKKDKEVTNNVSSPKKQKSPISFFQCVYLDGYNGYGFQFPVTPVQHSGENPGKSNSPGQKQQEQ
NP_068741.1 1 272 0.543615073529412 Fanconi anemia group E protein; Protein FACE 536 0 10 272 0 Homo sapiens (Human) SwissProt::Q9HB96 1 SwissProt MATPDAGLPGAEGVEPAPWAQLEAPARLLLQALQAGPEGARRGLGVLRALGSRGWEPFDWGRLLEALCREEPVVQGPDGRLELKPLLLRLPRICQRNLMSLLMAVRPSLPESGLLSVLQIAQQDLAPDPDAWLRALGELLRRDLGVGTSMEGASPLSERCQRQLQSLCRGLGLGGRRLKSPQAPDPEEEENRDSQQPGKRRKDSEEEAASPEGKRVPKRLRCWEEEEDHEKERPEHKSLESLADGGSASPIKDQPVMAVKTGEDGSNLDDAK
NP_071328.2 1 904 0.295849668141593 PF14919.6:MTBP_mid:294:632,PF14920.6:MTBP_C:636:894,PF14918.6:MTBP_N:1:269 Mdm2-binding protein; hMTBP 904 867 10 904 0 Homo sapiens (Human) SwissProt::Q96DY7 1 SwissProt MDRYLLLVIWGEGKFPSAASREAEHGPEVSSGEGTENQPDFTAANVYHLLKRSISASINPEDSTFPACSVGGIPGSKKWFFAVQAIYGFYQFCSSDWQEIHFDTEKDKIEDVLQTNIEECLGAVECFEEEDSNSRESLSLADLYEEAAENLHQLSDKLPAPGRAMVDIILLLSDKDPPKLKDYLPTVGALKHLREWYSAKITIAGNHCEINCQKIAEYLSANVVSLEDLRNVIDSKELWRGKIQIWERKFGFEISFPEFCLKGVTLKNFSTSNLNTDFLAKKIIPSKDKNILPKVFHYYGPALEFVQMIKLSDLPSCYMSDIEFELGLTNSTKQNSVLLLEQISSLCSKVGALFVLPCTISNILIPPPNQLSSRKWKEYIAKKPKTISVPDVEVKGECSSYYLLLQGNGNRRCKATLIHSANQINGSFALNLIHGKMKTKTEEAKLSFPFDLLSLPHFSGEQIVQREKQLANVQVLALEECLKRRKLAKQPETVSVAELKSLLVLTRKHFLDYFDAVIPKMILRKMDKIKTFNILNDFSPVEPNSSSLMETNPLEWPERHVLQNLETFEKTKQKMRTGSLPHSSEQLLGHKEGPRDSITLLDAKELLKYFTSDGLPIGDLQPLPIQKGEKTFVLTPELSPGKLQVLPFEKASVCHYHGIEYCLDDRKALERDGGFSELQSRLIRYETQTTCTRESFPVPTVLSPLPSPVVSSDPGSVPDGEVLQNELRTEVSRLKRRSKDLNCLYPRKRLVKSESSESLLSQTTGNSNHYHHHVTSRKPQTERSLPVTCPLVPIPSCETPKLATKTSSGQKSMHESKTSRQIKESRSQKHTRILKEVVTETLKKHSITETHECFTACSQRLFEISKFYLKDLKTSRGLFEEMKKTANNNAVQVIDWVLEKTSKK
NP_071375.1 449 975 0.334946679316888 Chromosome transmission fidelity protein 18 homolog; hCTF18; CHL12 975 0 10 527 0 Homo sapiens (Human) SwissProt::Q8WVB6 1 SwissProt LSILNRKGPQEVGPQGPAVPSGGGRRRRAEGGLLMRPIICICNDQFAPSLRQLKQQAFLLHFPPTLPSRLVQRLQEVSLRQGMRADPGVLAALCEKTDNDIRACINTLQFLYSRGQRELSVRDVQATRVGLKDQRRGLFSVWQEVFQLPRAQRRRVGQDPALPADTLLLGDGDAGSLTSASQRFYRVLHAAASAGEHEKVVQGLFDNFLRLRLRDSSLGAVCVALDWLAFDDLLAGAAHHSQSFQLLRYPPFLPVAFHVLFASSHTPRITFPSSQQEAQNRMSQMRNLIQTLVSGIAPATRSRATPQALLLDALCLLLDILAPKLRPVSTQLYSTREKQQLASLVGTMLAYSLTYRQERTPDGQYIYRLEPNVEELCRFPELPARKPLTYQTKQLIAREIEVEKMRRAEASARVENSPQVDGSPPGLEGLLGGIGEKGVHRPAPRNHEQRLEHIMRRAAREEQPEKDFFGRVVVRSTAVPSAGDTAPEQDSVERRMGTAVGRSEVWFRFNEGVSNAVRRSLYIRDLL
NP_075709.2 1 523 0.0718342256214149 PF04258.13:Peptidase_A22B:214:497,PF02225.22:PA:83:149 Signal peptide peptidase-like 2A; SPP-like 2A; SPPL2a; Intramembrane protease 3; IMP-3; Presenilin-like protein 2; EC 3.4.23.- 523 351 10 327 9 Mus musculus (Mouse) SwissProt::Q9JJF9 1 SwissProt MGLLHSLHAPAAALLWSCLLGLAAAQEAILHASTNGVSSLSKDYCMYYNNNWTRLPSSLENATSLSLMNLTGTALCHLSDIPPDGIRNKAVVVHWGPCHFLEKARIAQEGGAAALLIANNSVLIPSSRNKSTFQNVTVLIAVITQKDFKDMKETLGDDITVKMYSPSWPNFDYTLVVIFVIAVFTVALGGYWSGLIELENMKSVEDAEDRETRKKKDDYLTFSPLTVVVFVVICCIMIVLLYFFYRWLVYVMIAIFCIASSMSLYNCLSALIHRMPCGQCTILCCGKNIKVSLIFLSGLCISVAVVWAVFRNEDRWAWILQDILGIAFCLNLIKTMKLPNFMSCVILLGLLLIYDVFFVFITPFITKNGESIMVELAAGPFENAEKLPVVIRVPKLMGYSVMSVCSVPVSVLGFGDIIVPGLLIAYCRRFDVQTGSSIYYISSTIAYAVGMIITFVVLMVMKTGQPALLYLVPCTLITVSVVAWSRKEMKKFWKGSSYQVMDHLDYSTNEENPVTTDEQIVQQ
NP_076411.1 1 317 0.0381277602523659 PF05296.13:TAS2R:1:298 Taste receptor type 2 member 14; T2R14; Taste receptor family B member 1; TRB1 317 298 10 160 7 Homo sapiens (Human) SwissProt::Q9NYV8 0 SwissProt MGGVIKSIFTFVLIVEFIIGNLGNSFIALVNCIDWVKGRKISSVDRILTALAISRISLVWLIFGSWCVSVFFPALFATEKMFRMLTNIWTVINHFSVWLATGLGTFYFLKIANFSNSIFLYLKWRVKKVVLVLLLVTSVFLFLNIALINIHINASINGYRRNKTCSSDSSNFTRFSSLIVLTSTVFIFIPFTLSLAMFLLLIFSMWKHRKKMQHTVKISGDASTKAHRGVKSVITFFLLYAIFSLSFFISVWTSERLEENLIILSQVMGMAYPSCHSCVLILGNKKLRQASLSVLLWLRYMFKDGEPSGHKEFRESS
NP_076453.1 1 175 0.559742857142857 PF04731.12:Caudal_act:13:175 homeobox protein CDX-2 310 163 10 175 0 Rattus norvegicus NP_076453.1 1 RefSeq MYVSYLLDKDVSMYPSSVRHSGGLNLAPQNFVSPPQYPDYGGYHVAAAAAAAANLDSAQSPGPSWPTAYGAPLREDWNGYAPGGAAAANAVAHGLNGGSPAAAMGYSSPAEYHAHHHPHHHPHHPAAAPSCASGLLQTLNPGPPGPAATAAAEQLSPSGQRRNLCEWMRKPAQPS
NP_077169.1 1 251 0.0547163346613546 PF04511.15:DER1:11:204 Derlin-1; Degradation in endoplasmic reticulum protein 1; Der1-like protein 1 251 194 10 146 5 Mus musculus (Mouse) SwissProt::Q99J56 1 SwissProt MSDIGDWFRSIPAITRYWFAATVAVPLIGKLGIISPAYFFLWPEAFLYRFQIWRPFTATFYFPVGPGTGFLYLVNLYFLYQYSTRLEAGAFDGRPADYLFMLLFNWICIVITGLAMDMQLLMIPLIMSVLYVWAQLNRDLIVSFWFGTRFKACYLPWVILGFNYIIGGSVINELIGNLVGHLYFFLMFRYPMDLGGRNFLSTPQFLYRWLPSRRGGVSGFGVPPASMRRAADQNGGGGRHNWGQGFRLGDQ
NP_079029.3 1 1316 0.182618237082067 PF14559.6:TPR_19:895:961,PF13181.6:TPR_8:723:755,PF13181.6:TPR_8:791:816,PF13181.6:TPR_8:1198:1225,PF13174.6:TPR_6:725:754 Tetratricopeptide repeat protein 21B; TPR repeat protein 21B; Intraflagellar transport 139 homolog 1316 154 10 1316 0 Homo sapiens (Human) SwissProt::Q7Z4L5 1 SwissProt MDSQELKTLINYYCQERYFHHVLLVASEGIKRYGSDPVFRFYHAYGTLMEGKTQEALREFEAIKNKQDVSLCSLLALIYAHKMSPNPDREAILESDARVKEQRKGAGEKALYHAGLFLWHIGRHDKAREYIDRMIKISDGSKQGHVLKAWLDITRGKEPYTKKALKYFEEGLQDGNDTFALLGKAQCLEMRQNYSGALETVNQIIVNFPSFLPAFVKKMKLQLALQDWDQTVETAQRLLLQDSQNVEALRMQALYYVCREGDIEKASTKLENLGNTLDAMEPQNAQLFYNITLAFSRTCGRSQLILQKIQTLLERAFSLNPQQSEFATELGYQMILQGRVKEALKWYKTAMTLDETSVSALVGFIQCQLIEGQLQDADQQLEFLNEIQQSIGKSAELIYLHAVLAMKKNKRQEEVINLLNDVLDTHFSQLEGLPLGIQYFEKLNPDFLLEIVMEYLSFCPMQPASPGQPLCPLLRRCISVLETVVRTVPGLLQTVFLIAKVKYLSGDIEAAFNNLQHCLEHNPSYADAHLLLAQVYLSQEKVKLCSQSLELCLSYDFKVRDYPLYHLIKAQSQKKMGEIADAIKTLHMAMSLPGMKRIGASTKSKDRKTEVDTSHRLSIFLELIDVHRLNGEQHEATKVLQDAIHEFSGTSEEVRVTIANADLALAQGDIERALSILQNVTAEQPYFIEAREKMADIYLKHRKDKMLYITCFREIAERMANPRSFLLLGDAYMNILEPEEAIVAYEQALNQNPKDGTLASKMGKALIKTHNYSMAITYYEAALKTGQKNYLCYDLAELLLKLKWYDKAEKVLQHALAHEPVNELSALMEDGRCQVLLAKVYSKMEKLGDAITALQQARELQARVLKRVQMEQPDAVPAQKHLAAEICAEIAKHSVAQRDYEKAIKFYREALVHCETDNKIMLELARLYLAQDDPDSCLRQCALLLQSDQDNEAATMMMADLMFRKQDYEQAVFHLQQLLERKPDNYMTLSRLIDLLRRCGKLEDVPRFFSMAEKRNSRAKLEPGFQYCKGLYLWYTGEPNDALRHFNKARKDRDWGQNALYNMIEICLNPDNETVGGEVFENLDGDLGNSTEKQESVQLAVRTAEKLLKELKPQTVQGHVQLRIMENYCLMATKQKSNVEQALNTFTEIAASEKEHIPALLGMATAYMILKQTPRARNQLKRIAKMNWNAIDAEEFEKSWLLLADIYIQSAKYDMAEDLLKRCLRHNRSCCKAYEYMGYIMEKEQAYTDAALNYEMAWKYSNRTNPAVGYKLAFNYLKAKRYVDSIDICHQVLEAHPTYPKIRKDILDKARASLRP
NP_079652.1 1 221 0.0197760180995475 PF12036.8:DUF3522:5:185 Protein myomaker; Myoblast fusion maker; Transmembrane protein 8C 221 181 10 94 6 Mus musculus (Mouse) SwissProt::Q9D1N4 0 SwissProt MGTVVAKLLLPTLSSLAFLPTVSIATKRRFYMEAMVYLFTMFFVAFSHACDGPGLSVLCFMRRDILEYFSIYGTALSMWVSLMALADFDEPQRSTFTMLGVLTIAVRTFHDRWGYGVYSGPIGTATLIIAVKWLKKMKEKKGLYPDKSIYTQQIGPGLCFGALALMLRFFFEEWDYTYVHSFYHCALAMSFVLLLPKVNKKAGNAGAPAKLTFSTLCCTCV
NP_082878.2 1 351 0.18115868945869 PF15553.6:TEX19:1:164 Testis-expressed protein 19.1; mTex19.1; Testis-expressed protein 19A 351 164 10 351 0 Mus musculus (Mouse) SwissProt::Q99MV2 1 SwissProt MCPPVSVRHGARGMSCLYEAWLYHLVHGEQTKICFACFKAAFLLNKLYLEMGDWQEEEEEEEEEDADLLEYLSESESESEQEPGPEQDAWRGLGSLYVPQSVSEGSGVLLPTPVWTQGILFSIFVPTELFPQEAVPLDLGPEDAEWTQALPWRLDGLFPCSHQLIPPLTWWDIFDVMPSPGQPVLLELRCHWPLDQTVAQSWLQDQKFVLLLDSVQSRCHLLSMRVRWVVRTQVQHWQVLLDPGEMWVAHFRKEVGQHGLYHQSLNPWRLSILTASELGMELLPATCYLWNKGFWVGSFLPWHINMPETWSWEPGERLFITDATICGTDYHLAQSFLDSHPTPHPLLTLTP
NP_082886.2 1 296 0.512489864864865 PF14047.6:DCR:222:287 Developmental pluripotency-associated protein 4; Embryonic stem cell-associated transcript 15-1 protein; ECAT15-1 296 66 10 296 0 Mus musculus (Mouse) SwissProt::Q8CCG4 1 SwissProt METAGDKKWSAEEPKEEVELQMSSQPSTAPAKAKATGKKQKKSETDNGCKPKEGKPQDTETPGQTRRKVPIPPIPEYLPPVNLIHRDVLRAWCQKKRVSSKGQKLDAYKRLLARAFPEQMLELRNVPDSAKDARLKTAHKKMKTEPGEESEVTVPLEMVPVPEEQIPALIDPPMLYEEVSTTVVTTPATEAVLASWARIASNAKKYEAVPADASSSSEVKGEMWCVVHGTSLPGNSRGWVRLQFHAGQAWVPDKKGKAIALFLLPACTFPPPHLEDNMLCPKCVHKNKILTKSLEG
NP_083486.1 1 454 0.23421718061674 PF00083.24:Sugar_tr:186:369,PF07690.16:MFS_1:160:453 Synaptic vesicle glycoprotein 2C; Synaptic vesicle protein 2C 727 294 10 298 7 Mus musculus (Mouse) SwissProt::Q69ZS6 1 SwissProt MEDSYKDRTSLMKGAKDIAKEVKKQTVKKVNQAVDRAQDEYTQRSYSRFQDEEDDDDYYPPGETYSGEVNDDEGSSEATEGHDEEDEIYEGEYQGIPSTNQGKDSIVSVGQPKGDEYKDRRELESERRADEEELAQQYELIIQECGHGRFQWALFFVLGMALMADGVEVFVVGFVLPSAETDLCIPNSGSGWLGSIVYLGMMVGAFFWGGLADKVGRKQSLLICMSVNGFFAFLSSFVQGYGFFLVCRLLSGFGIGGAIPTVFSYFAEVLAREKRGEHLSWLCMFWMIGGIYASAMAWAIIPHYGWSFSMGSAYQFHSWRVFVIVCALPCVSSVVALTFMPESPRFLLEVGKHDEAWMILKLIHDTNMRARGQPEKVFTVNKIKTPKQIDELIEIESDTGTWYRRCFVRIRTELYGIWLTFMRCFNYPVRENTIKLTIVWFTLSFGYYGLSVWF
NP_085294.1 1 494 0.251460728744939 Virulence protein IcsB 494 0 10 494 0 Shigella flexneri SwissProt::P33546 1 SwissProt MSLKISNFIDASNTKGPIRVEDTEHGPILIAQKFNLKDLFFRTLSTINAKINSQILNEQLKNYRLENQKSLLLFLNTLASEKSAESAFAAYEAAKNSIQHSFTGRDIKLMLNTAERFHGIGTAKNLERHLVFRCWGNRGITHLGHTSISIKNNLLQEPTHTYLSWYPGGNVTKDTEINYLFEKRSGYSVDTYKQDKLNMISEQTAERLDAGQEVRNLLNSKQDQNNNKKIFFPRANQKKDPYGYWGVSADKVYIPLSGDNKTKDGKISHNLFGLDETNMSKFICKKKADAFRQLANYKLISKSENCAGMALNVLKAGNSEIYFPLPDVKLVATPNDVYAYANKVRQRIESLNQSYNEIMKYIESDFDLSRLTQLRRSYLKSFNKINLIHTPKTFKPLSISLYKHPTENVSSEDFDAVINACHSYLVKSAPSNMTRVLNELKTEATDKKEEIIEKSIKIIDYYNSLKSPDLGTKLYIHDLLQINKLLLNNSHSNI
NP_110386.2 87 287 0.545601492537314 PF08961.10:NRBF2:4:197 Nuclear receptor-binding factor 2; NRBF-2; Comodulator of PPAR and RXR 287 194 10 201 0 Homo sapiens (Human) SwissProt::Q96F24 1 SwissProt QQNTDKDAAAHLQTSHKPSAEDAEGQSPLSQKYSPSTEKCLPEIQGIFDRDPDTLLYLLQQKSEPAEPCIGSKAPKDDKTIIEEQATKIADLKRHVEFLVAENERLRKENKQLKAEKARLLKGPIEKELDVDADFVETSELWSLPPHAETATASSTWQKFAANTGKAKDIPIPNLPPLDFPSPELPLMELSEDILKGFMNN
NP_112554.3 1 651 0.398427956989247 cadherin-related family member 5 isoform 3 precursor 651 0 10 628 1 Homo sapiens NP_112554.3 1 RefSeq MGSWALLWPPLLFTGLLVRPPGTMAQAQYCSVNKDIFEVEENTNVTEPLVDIHVPEGQEVTLGALSTPFAFRIQGNQLFLNVTPDYEEKSLLEAQLLCQSGGTLVTQLRVFVSVLDVNDNAPEFPFKTKEIRVEEDTKVNSTVIPETQLQAEDRDKDDILFYTLQEMTAGASDYFSLVSVNRPALRLDRPLDFYERPNMTFWLLVRDTPGENVEPSHTATATLVLNVVPADLRPPWFLPCTFSDGYVCIQAQYHGAVPTGHILPSPLVLRPGPIYAEDGDRGINQPIIYSIFRGNVNGTFIIHPDSGNLTVARSVPSPMTFLLLVKGQQADLARYSVTQVTVEAVAAAGSPPRFPQRLYRGTVARGAGAGVVVKDAAAPSQPLRIQAQDPEFSDLNSAITYRITNHSHFRMEGEVVLTTTTLAQAGAFYAEVEAHNTVTSGTATTVIEIQVSEQEPPSTGGGPSEDKRFSVVDMAALGGVLGALLLLALLGLAVLVHKHYGPRLKCCCGKAPEPQPQGFDNQAFLPDHKANWAPVPSPTHDPKPAEAPMPAEPAPPGPASPGGAPEPPAAARAGGSPTAVRSILTKERRPEGGYKAVWFGEDIGTEADVVVLNAPTLDVDGASDSGSGDEGEGAGRGGGPYDAPGGDDSYI
NP_114429.2 1 493 0.738614198782962 PF14893.6:PNMA:3:80 Coiled-coil domain-containing protein 8 538 78 10 493 0 Homo sapiens (Human) SwissProt::Q9H0W5 1 SwissProt MLQIGEDVDYLLIPREVRLAGGVWRVISKPATKEAEFRERLTQFLEEEGRTLEDVARIMEKSTPHPPQPPKKPKEPRVRRRVQQMVTPPPRLVVGTYDSSNASDSEFSDFETSRDKSRQGPRRGKKVRKMPVSYLGSKFLGSDLESEDDEELVEAFLRRQEKQPSAPPARRRVNLPVPMFEDNLGPQLSKADRWREYVSQVSWGKLKRRVKGWAPRAGPGVGEARLASTAVESAGVSSAPEGTSPGDRLGNAGDVCVPQASPRRWRPKINWASFRRRRKEQTAPTGQGADIEADQGGEAADSQREEAIADQREGAAGNQRAGAPADQGAEAADNQREEAADNQRAGAPAEEGAEAADNQREEAADNQRAEAPADQRSQGTDNHREEAADNQRAEAPADQGSEVTDNQREEAVHDQRERAPAVQGADNQRAQARAGQRAEAAHNQRAGAPGIQEAEVSAAQGTTGTAPGARARKQVKTVRFQTPGRFSWFCKRR
NP_115774.2 1 438 0.332700228310502 PF06637.11:PV-1:1:435 plasmalemma vesicle-associated protein 438 435 10 415 1 Mus musculus NP_115774.2 1 RefSeq MGLSMDRSPYARTGDQQRGCWYYLRYFFLFVSLIQFLIILGLVLFMIYGNVHATTESSLRATEIRADSLYSQVVGLSASQANLSKQLNISLLVKETVMQQLLTTRREMERINASFRQCQGDLITYINYNRFIAAIILSEKQCQEQLKEVNKTCEALLFKLGEKVKTLEMEVAKEKAVCSKDKESLLAGKRQAEEQLEACGKARERQQQEQQVTEENLRKVQSLCIPLDQEKFQADVLSAWRDSLIYRTLETLPYHYQLMPEYASLRRTCESLPGIMTTKIEELARGLRAGIERVTRENAELRRQKLELERAAQAAQEARARAGTEAQARETQLRAECARQTQLALEEKAALRAQRDNLERELEARKRELEQLRTEVDVRISALDTCVKAKSLPAVPPRVSGPPPNPPPIDPASLEEFKKRILESQRLPVVNPAAQPSG
NP_115980.1 300 725 0.226943896713615 PF00999.21:Na_H_Exchanger:8:235 Sodium/hydrogen exchanger 7; Na(+)/H(+) exchanger 7; NHE-7; Solute carrier family 9 member 7 725 228 10 311 5 Homo sapiens (Human) SwissProt::Q96T83 1 SwissProt SSIVAYQPAGLNTHAFDAAAFFKSVGIFLGIFSGSFTMGAVTGVNANVTKFTKLHCFPLLETALFFLMSWSTFLLAEACGFTGVVAVLFCGITQAHYTYNNLSVESRSRTKQLFEVLHFLAENFIFSYMGLALFTFQKHVFSPIFIIGAFVAIFLGRAAHIYPLSFFLNLGRRHKIGWNFQHMMMFSGLRGAMAFALAIRDTASYARQMMFTTTLLIVFFTVWIIGGGTTPMLSWLNIRVGVEEPSEEDQNEHHWQYFRVGVDPDQDPPPNNDSFQVLQGDGPDSARGNRTKQESAWIFRLWYSFDHNYLKPILTHSGPPLTTTLPAWCGLLARCLTSPQVYDNQEPLREEDSDFILTEGDLTLTYGDSTVTANGSSSSHTASTSLEGSRRTKSSSEEVLERDLGMGDQKVSSRGTRLVFPLEDNA
NP_116677.3 1 733 0.385956753069577 PF02752.22:Arrestin_C:232:393,PF00339.29:Arrestin_N:109:215 Protein ROG3; Revertant of glycogen synthase kinase mutation protein 3 733 269 10 733 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43602 1 SwissProt MGFSSGKSTKKKPLLFDIRLKNVDNDVILLKGPPNEAPSVLLSGCIVLSINEPMQIKSISLRLYGKIQIDVPLERPQDASSSSLSSSPPKIRKYNKVFYNYAWDNVNLKEYLSGLRGQSGLAGSSSSSNILGTRQRAQSTSSLKSLKGSSSPSSCTLDKGNYDFPFSAILPGSLPESVESLPNCFVTYSMESVIERSKNYSDLICRKNIRVLRTISPAAVELSETVCVDNSWPDKVDYSISVPNKAVAIGSATPINISIVPLSKGLKLGSIKVVLFENYQYCDPFPPVISENRQVTELNLEDPLNESSGEFNGNGCFVNNPFFQPDHSFQDKWEIDTILQIPNSLSNCVQDCDVRSNIKVRHKLKFFIILINPDGHKSELRASLPIQLFISPFVALSIKPLSSSNLYSLFSTTNQKDENSSQEEEEEYLFSRSASVTGLELLADMRSGGSVPTISDLMTPPNYEMHVYDRLYSGSFTRTAVETSGTCTPLGSECSTVEDQQQDLEDLRIRLTKIRNQRDNLGLPPSASSAAASRSLSPLLNVPAPEDGTERILPQSALGPNSGSVPGVHSNVSPVLLSRSPAPSVSAHEVLPVPSGLNYPETQNLNKVPSYGKAMKYDIIGEDLPPSYPCAIQNVQPRKPSRVHSRNSSTTLSSSIPTSFHSSSFMSSTASPISIINGSRSSSSGVSLNTLNELTSKTSNNPSSNSMKRSPTRRRATSLAGFMGGFLSKGNKR
NP_149097.1 1 116 0.347134482758621 PF01296.18:Galanin:33:45 Galanin-like peptide 116 13 10 116 0 Homo sapiens (Human) SwissProt::Q9UBC7 1 SwissProt MAPPSVPLVLLLVLLLSLAETPASAPAHRGRGGWTLNSAGYLLGPVLHLPQMGDQDGKRETALEILDLWKAIDGLPYSHPPQPSKRNVMETFAKPEIGDLGMLSMKIPKEEDVLKS
NP_171894.1 218 452 0.201875744680851 PF09328.10:Phytochelatin_C:3:160,PF09328.10:Phytochelatin_C:159:216 Glutathione gamma-glutamylcysteinyltransferase 2; Phytochelatin synthase 2; AtPCS2; EC 2.3.2.15 452 214 10 235 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZWB7 1 SwissProt PHREPGLLYTLSCKDESWISIAKYLKEDVPRLVSSQHVDTIERILYVVFKSLPANFNQFIKWMAEIRRTEDVNQNLSSEEKSRLKLKQELLKQVQETKLFKHVDKFLSSVYEDNLPYVAAKVYCDGDEILSGYESDESCCKETCVKCIKGLGEEKVTVVAYPSGNDVFTALLLALPPQTWSGIKDQSLLQEMKQLISMVSHPTLLQQEVLHLRRQLEMLKRCQENKEDEELSAPA
NP_172008.1 1 343 0.186930612244898 PF00067.22:p450:46:336 Ent-kaurenoic acid oxidase 1; AtKAO1; Cytochrome P450 88A3; EC 1.14.14.107 490 291 10 323 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23051 1 SwissProt MAETTSWIPVWFPLMVLGCFGLNWLVRKVNVWLYESSLGENRHYLPPGDLGWPFIGNMLSFLRAFKTSDPDSFTRTLIKRYGPKGIYKAHMFGNPSIIVTTSDTCRRVLTDDDAFKPGWPTSTMELIGRKSFVGISFEEHKRLRRLTAAPVNGHEALSTYIPYIEENVITVLDKWTKMGEFEFLTHLRKLTFRIIMYIFLSSESENVMDALEREYTALNYGVRAMAVNIPGFAYHRALKARKTLVAAFQSIVTERRNQRKQNILSNKKDMLDNLLNVKDEDGKTLDDEEIIDVLLMYLNAGHESSGHTIMWATVFLQEHPEVLQRAKAEQEMILKSRPEGQKG
NP_173092.1 148 381 0.377388034188035 PF00656.22:Peptidase_C14:59:231 Metacaspase-8; AtMC8; Metacaspase 2e; AtMCP2e; EC 3.4.22.- 381 173 10 234 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SA41 1 SwissProt EVKEQIGESHMKPVDKVKEQIEESHMKQPKLGIASYFLNIVMNLLATCGVSKSQRDRGGGEESFRGEIELEKDETLDIKTRYLPFESYLSLLKEQTGQTNIEPVRIRQTLLKLFGEDPSPNRQRGLSDLGNCEVDAGDSGASRLNAVTDNGILLSGCQTDQRSEDVYVTRTGKAYGAFSDAIQMILSAPRKDKKKITNKELVSEARVFLKKRGYSQRPGLYCHDRFVDKPFICY
NP_173666.1 179 381 0.560052709359606 E3 ubiquitin-protein ligase ATL15; RING-H2 finger protein ATL15; RING-type E3 ubiquitin transferase ATL15; EC 2.3.2.27 381 0 10 203 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SK92 1 SwissProt ARETGQNSLRTPIDDNRKRVLTSPDERLIDSVAWTGNQSMPRKSMSTGWKLAELYSPASSPGQPEENLDRYTLRLPQEIHDQLVNSSLGKQGSKGQLALPQERSSVRGFRTGSLGTEKNYFYFERFDQDGRLDRRPFSITPPYHTRSIQSPDEIINASGNYQDRAGAPKGLLLAIRSPFDRLFTGKKNAGERSYLQSGDASPV
NP_174236.1 1 141 0.144098581560284 PF02519.14:Auxin_inducible:13:103 Auxin-responsive protein SAUR62; Protein SMALL AUXIN UP RNA 62 141 91 10 141 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C7Q8 1 SwissProt MMINAKKLMKLAKKWQQRAALKRKRISFQRSSITTSSQTAVEKGCFVVYTADKIRFSFPLSYLSNTIVQELLKISEEEFGLPTEGPITLPFDSAFLEYLINLIQRRMDEDTEKALLLSISSARSSFQPQQHCSATQQLLVF
NP_174511.2 125 485 0.715063157894736 Heat stress transcription factor A-1d; AtHsfA1d; AtHsf-01; Heat shock factor protein 8; HSF 8; Heat shock transcription factor 8; HSTF 8 485 0 10 361 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQM7 1 SwissProt TRRKPAHGQGQGHQRSQHSNGQNSSVSACVEVGKFGLEEEVERLKRDKNVLMQELVRLRQQQQSTDNQLQTMVQRLQGMENRQQQLMSFLAKAVQSPHFLSQFLQQQNQQNESNRRISDTSKKRRFKRDGIVRNNDSATPDGQIVKYQPPMHEQAKAMFKQLMKMEPYKTGDDGFLLGNGTSTTEGTEMETSSNQVSGITLKEMPTASEIQSSSPIETTPENVSAASEATENCIPSPDDLTLPDFTHMLPENNSEKPPESFMEPNLGGSSPLLDPDLLIDDSLSFDIDDFPMDSDIDPVDYGLLERLLMSSPVPDNMDSTPVDNETEQEQNGWDKTKHMDNLTQQMGLLSPETLDLSRQNP
NP_175518.1 1 226 0.536638938053098 PF00010.26:HLH:70:116 Transcription factor bHLH115; Basic helix-loop-helix protein 115; AtbHLH115; bHLH 115; Transcription factor EN 134; bHLH transcription factor bHLH115 226 47 10 226 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C682 1 SwissProt MVSPENTNWLSDYPLIEGAFSDQNPTFPWQIDGSATVSVEVDGFLCDADVIKEPSSRKRIKTESCTGSNSKACREKQRRDRLNDKFTELSSVLEPGRTPKTDKVAIINDAIRMVNQARDEAQKLKDLNSSLQEKIKELKDEKNELRDEKQKLKVEKERIDQQLKAIKTQPQPQPCFLPNPQTLSQAQAPGSKLVPFTTYPGFAMWQFMPPAAVDTSQDHVLRPPVA
NP_175578.2 1 178 0.355316292134832 Protein HEAT-INDUCED TAS1 TARGET 5 178 0 10 178 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RY97 1 SwissProt MALPPYDPNFTLAFSYGRRDNVFENDPEHDESASAAIVAVELISSARLALKLDSVRTEYSAQYLVDKAGSRNLRRRRKLTVKDCLNFALKKGGIPRAEDWPPLGSESKTPSSYEPALVSMKGEVIEPKDMDEVPELLVHQSAVGAKLHVFTPHIELQQDAIYLPRQVSMRATLDLEMG
NP_176418.2 1 385 0.0548077922077922 PF03595.17:SLAC1:41:350 S-type anion channel SLAH1; SLAC1-homolog protein 1 385 310 10 167 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q5E930 0 SwissProt MEIPRQEIHIEIDNSIPSSKEFKTGLADAKPVVLMSALRSLHAGYFRISLSLCSQALLWKIMIAPESPSMSHMHSKLPSMAFHLLWYLALVTQVSLCFLYALKCIFFFDKVKEEFLHYIGVNYLYAPSISWLLMLQSAPMMEPNSVLYQTLFWIFAVPVLTLDIKLYGQWFTTEKRFLSMLANPASQVSVIANLVAARGAAEMGWNECALCMFSLGMVHYLVIFVTLYQRLPGGNNFPAKLRPIFFLFVAAPAMASLAWNSICGTFDAVAKMLFFLSLFIFMSLVCRPNLFKKSMKRFNVAWWAYSFPLTFLALDSVQYAQEVKDPVGSGLMLIFSSISVLIFLGMMVLTAANSNRLLRHDPVLGSATDPKDKQKTLSLNATNQN
NP_177227.5 1 217 0.410161751152074 PF06200.14:tify:116:147 Protein TIFY 7; Jasmonate ZIM domain-containing protein 9 267 32 10 217 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8W4J8 1 SwissProt MERDFLGLSDKQYLSNNVKHEVNDDAVEERGLSTKAAREWGKSKVFATSSFMPSSDFQEAKAFPGAYQWGSVSAANVFRRCQFGGAFQNATPLLLGGSVPLPTHPSLVPRVASSGSSPQLTIFYGGTISVFNDISPDKAQAIMLCAGNGLKGETGDSKPVREAERMYGKQIHNTAATSSSSATHTDNFSRCRDTPVAATNAMSMIESFNAAPRNMIP
NP_179778.1 1 240 0.3575275 PF15704.5:Mt_ATP_synt:33:219 MALE GAMETOPHYTE DEFECTIVE 1 240 187 10 240 0 Arabidopsis thaliana NP_179778.1 1 RefSeq MAYASRFLSRSKQLQGGLVILQQQHAIPVRAFAKEAARPTFKGDEMLKGVFFDIKNKFQAAVDILRKEKITLDPEDPAAVKQYANVMKTIRQKADMFSESQRIKHDIDTETQDIPDARAYLLKLQEIRTRRGLTDELGAEAMMFEALEKVEKDIKKPLLRSDKKGMDLLVAEFEKGNKKLGIRKEDLPKYEENLELSMAKAQLDELKSDAVEAMESQKKKEEFQDEEMPDVKSLDIRNFI
NP_181045.1 185 378 0.564388144329897 E3 ubiquitin-protein ligase ATL9; RING-H2 finger protein ATL9; RING-type E3 ubiquitin transferase ATL9; EC 2.3.2.27 378 0 10 194 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64763 1 SwissProt QGDDDDSTESYSGTDPGTISSSTDPERGMVLESSDAHLLDAVTWSNSNITPRSKSTGLSSWQITGILFPRSHSTGHSLIQPAGNLDRFTLRLPDDVRRQLMKTSRTMGHVALLPQARSSRSGYRSGSVGSERSAFPYGRKSNNNNRRLHSLSFSFSFRSGSVRSTFSGDAPKNLPTSIEAGERSFERLRPDERV
NP_189470.1 1 385 0.300641558441559 PF05055.12:DUF677:28:380 UPF0496 protein At3g28290; Protein At14a 385 353 10 339 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P0DI78 1 SwissProt MVLSKENMLKYSAHLRAYNSACGDHPELKSFDSELQQKTSNLINSFTSDAKTGLVPLPQHAAYKEFTKHLAEVNQQVSDYIIGYGEVVWENSTLRSLVETYFESAKKTLDIAENVTEYVDEAKRGERYIVAAVAQFEKDKENDVGKKTKRYENTLRELKKFEAMGNPFDGDKFTTLFKLMHKEQESLLERVRETKEKLDEELKNIEMEISSRKKWSIISNVLFIGAFVAVAVGSMVLVCTGVGAGVGVAGLLSLPLIAIGWVGVHTILENKIQAREKQEEALKKAHRIANEMDKGMETDKVDMNSISGKVHALKSKITSMLNAVKDATEDGANEVDTKQVMETLTGDVVELTEDIKAVGDDVAKYSKMIEETSYHVLQKITGSGK
NP_190327.1 1 227 0.0775651982378854 PF05648.14:PEX11:6:225 Peroxisomal membrane protein 11B; Peroxin-11B; AtPEX11b 227 220 10 181 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9STY0 1 SwissProt MSLDTVDKLVVFLAKRDGIDKLVKTFQYVAKLACWHVEATRPEAADRFKKWEVASGLSRKAFRTGRSLTGFNALRRNPGATPMIRFLAVLANSGEMVYFFFDHFLWLSRIGSIDAKLAKKMSFISAFGESFGYTFFIIIDCIFIKQRLKSLKKLQHSTDEPKEEIGAKISEIRGDIVMRLMGISANVADLLIALAEIHPNPFCNHTITLGISGLVSAWAGWYRNWPS
NP_192072.1 86 255 0.198308823529412 PF01357.21:Pollen_allerg_1:77:155,PF03330.18:DPBB_1:6:66 Putative expansin-A17; AtEXPA17; Alpha-expansin-17; At-EXP17; AtEx17; Ath-ExpAlpha-1.13 255 140 10 170 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZSI1 1 SwissProt DATKVPQWCLKGKSITITATNFCPPNFAQASDNGGWCNPPRPHFDMAQPAFLTIAKYKAGIVPILYKKVGCRRSGGMRFTINGRNYFELVLISNVAGGGEISKVWIKGSKSNKWETMSRNWGANYQSNTYLNGQSLSFKVQLSDGSIKAALNVVPSNWRFGQSFKSNVNF
NP_192421.1 1 418 0.0529583732057416 PF01733.18:Nucleoside_tran:121:410 Equilibrative nucleotide transporter 3; AtENT3; Nucleoside transporter ENT3; Protein FLUOROURIDINE RESISTANT 1 418 290 10 183 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M0Y3 1 SwissProt MADRYENQPPEKLQGKYQAMVVCCILGIGSLVSWNSMLTIADYYYKVFPDYHPSRVLTLVYQPFALGTILILAYHESKINTRKRNLIGYILFTISTFLLIVLDLATKGRGGIGPYIGLCAVVASFGLADATVQGGMIGDLSLMCPELVQSFMGGLAVSGALTSALRLITKAAFEKTNDGPRKGAMMFLAISTCIELLCVFLYAYVFPKLPIVKYYRRKAASEGSKTVSADLAAAGIQNQSDLTDDDSKNQRLSNKELLIQNIDYAVNLFLIYVCTLSIFPGFLYENTGQHGLGDWYALVLVAMYNCWDLVGRYTPLVKWLKIENRKLITIAVLSRYLLIPAFYFTAKYGDQGWMIMLISVLGLTNGHLTVCIMTIAPKGYKGPEQNALGNLLVIFLLGGIFAGVALDWLWLIGKKNAF
NP_193253.4 1 1850 0.438476 PF14237.6:GYF_2:983:1030 Histone-lysine N-methyltransferase ATXR3; Protein SET DOMAIN GROUP 2; Trithorax-related protein 3; TRX-related protein 3; EC 2.1.1.43 2335 48 10 1850 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O23372 1 SwissProt MSDGGVACMPLLNIMEKLPIVEKTTLCGGNESKTAATTENGHTSIATKVPESQPANKPSASSQPVKKKRIVKVIRKVVKRRPKQPQKQADEQLKDQPPSQVVQLPAESQLQIKEQDKKSEFKGGTSGVKEVENGGDSGFKDEVEEGELGTLKLHEDLENGEISPVKSLQKSEIEKGEIVGESWKKDEPTKGEFSHLKYHKGYVERRDFSADKNWKGGKEEREFRSWRDPSDEIEKGEFIPDRWQKMDTGKDDHSYIRSRRNGVDREKTWKYEYEYERTPPGGRFVNEDIYHQREFRSGLDRTTRISSKIVIEENLHKNEYNNSSNFVKEYSSTGNRLKRHGAEPDSIERKHSYADYGDYGSSKCRKLSDDCSRSLHSDHYSQHSAERLYRDSYPSKNSSLEKYPRKHQDASFPAKAFSDKHGHSPSRSDWSPHDRSRYHENRDRSPYARERSPYIFEKSSHARKRSPRDRRHHDYRRSPSYSEWSPHDRSRPSDRRDYIPNFMEDTQSDRNRRNGHREISRKSGVRERRDCQTGTELEIKHKYKESNGKESTSSSKELQGKNILYNNSLLVEKNSVCDSSKIPVPCATGKEPVQVGEAPTEELPSMEVDMDICDTPPHEPMASDSSLGKWFYLDYYGTEHGPARLSDLKALMEQGILFSDHMIKHSDNNRWLVNPPEAPGNLLEDIADTTEAVCIEQGAGDSLPELVSVRTLPDGKEIFVENREDFQIDMRVENLLDGRTITPGREFETLGEALKVNVEFEETRRCVTSEGVVGMFRPMKRAIEEFKSDDAYGSESDEIGSWFSGRWSCKGGDWIRQDEASQDRYYKKKIVLNDGFPLCLMQKSGHEDPRWHHKDDLYYPLSSSRLELPLWAFSVVDERNQTRGVKASLLSVVRLNSLVVNDQVPPIPDPRAKVRSKERCPSRPARPSPASSDSKRESVESHSQSTASTGQDSQGLWKTDTSVNTPRDRLCTVDDLQLHIGDWFYTDGAGQEQGPLSFSELQKLVEKGFIKSHSSVFRKSDKIWVPVTSITKSPETIAMLRGKTPALPSACQGLVVSETQDFKYSEMDTSLNSFHGVHPQFLGYFRGKLHQLVMKTFKSRDFSAAINDVVDSWIHARQPKKESEKYMYQSSELNSCYTKRARLMAGESGEDSEMEDTQMFQKDELTFEDLCGDLTFNIEGNRSAGTVGIYWGLLDGHALARVFHMLRYDVKSLAFASMTCRHWKATINSYKDISRQVDLSSLGPSCTDSRLRSIMNTYNKEKIDSIILVGCTNVTASMLEEILRLHPRISSVDITGCSQFGDLTVNYKNVSWLRCQNTRSGELHSRIRSLKQTTDVAKSKGLGGDTDDFGNLKDYFDRVEKRDSANQLFRRSLYKRSKLYDARRSSAILSRDARIRRWAIKKSEHGYKRVEEFLASSLRGIMKQNTFDFFALKVSQIEEKMKNGYYVSHGLRSVKEDISRMCREAIKDELMKSWQDGSGLSSATKYNKKLSKTVAEKKYMSRTSDTFGVNGASDYGEYASDREIKRRLSKLNRKSFSSESDTSSELSDNGKSDNYSSASASESESDIRSEGRSQDLRIEKYFTADDSFDSVTEEREWGARMTKASLVPPVTRKYEVIEKYAIVADEEEVQRKMRVSLPEDYGEKLNAQRNGIEELDMELPEVKEYKPRKLLGDEVLEQEVYGIDPYTHNLLLDSMPGELDWSLQDKHSFIEDVVLRTLNRQVRLFTGSGSTPMVFPLRPVIEELKESAREECDIRTMKMCQGVLKEIESRSDDKYVSYRKGLGVVCNKEGGFGEEDFVVEFLGEVYPVWKWFEKQDGIRSLQENKTDPAPEFYNIYLERPKGDADGYDLV
NP_193346.5 275 664 0.549798717948718 response regulator 2 664 0 10 390 0 Arabidopsis thaliana NP_193346.5 1 RefSeq GGVSQHQGNMNHSFMTGQDQSFGPLSSLNGFDLQSLAVTGQLPPQSLAQLQAAGLGRPTLAKPGMSVSPLVDQRSIFNFENPKIRFGDGHGQTMNNGNLLHGVPTGSHMRLRPGQNVQSSGMMLPVADQLPRGGPSMLPSLGQQPILSSSVSRRSDLTGALAVRNSIPETNSRVLPTTHSVFNNFPADLPRSSFPLASAPGISVPVSVSYQEEVNSSDAKGGSSAATAGFGNPSYDIFNDFPQHQQHNKNISNKLNDWDLRNMGLVFSSNQDAATATATAAFSTSEAYSSSSTQRKRRETDATVVGEHGQNLQSPSRNLYHLNHVFMDGGSVRVKSERVAETVTCPPANTLFHEQYNQEDLMSAFLKQEGIPSVDNEFEFDGYSIDNIQV
NP_193838.2 1 741 0.235904453441295 PF00337.22:Gal-bind_lectin:248:461,PF01762.21:Galactosyl_T:508:693 Galactosyltransferase family protein 741 400 10 718 1 Arabidopsis thaliana NP_193838.2 1 RefSeq MATSRLARFVSEVAPPQFVTVMRRHRAAKQKLDTIKEEENKEDSFNGGMVVMMKTSHQHTLLIFRSCRDLAAIVGFRILLFTGFSGFYLVFLAFKFPHFIEMVAMLSGDTGLDGALSDTSLDVSLSGSLRNDMLNRKLEDEDHQSGPSTTQKVSPEEKINGSKQIQPLLFRYGRISGEVMRRRNRTIHMSPFERMADEAWILGSKAWEDVDKFEVDKINESASIFEGKVESCPSQISMNGDDLNKANRIMLLPCGLAAGSSITILGTPQYAHKESVPQRSRLTRSYGMVLVSQFMVELQGLKTGDGEYPPKILHLNPRIKGDWNHRPVIEHNTCYRMQWGVAQRCDGTPSKKDADVLVDGFRRCEKWTQNDIIDMVDSKESKTTSWFKRFIGREQKPEVTWSFPFAEGKVFVLTLRAGIDGFHINVGGRHVSSFPYRPGFTIEDATGLAVTGDVDIHSIHATSLSTSHPSFSPQKAIEFSSEWKAPPLPGTPFRLFMGVLSATNHFSERMAVRKTWMQHPSIKSSDVVARFFVALNPRKEVNAMLKKEAEYFGDIVILPFMDRYELVVLKTIAICEFGVQNVTAPYIMKCDDDTFIRVESILKQIDGVSPEKSLYMGNLNLRHRPLRTGKWTVTWEEWPEAVYPPYANGPGYIISSNIAKYIVSQNSRHKLRLFKMEDVSMGLWVEQFNASMQPVEYSHSWKFCQYGCTLNYYTAHYQSPSQMMCLWDNLLKGRPQCCNFR
NP_194265.2 1 745 0.142898926174497 PF03124.14:EXS:381:718,PF03105.19:SPX:1:47,PF03105.19:SPX:55:292 Phosphate transporter PHO1 homolog 4; Protein PHO1 homolog 4; AtPHO1;H4 745 623 10 567 8 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6R8G6 1 SwissProt MRFGKEFVSQMIPEWQEAYIDYAYLKTILQDIQASRNRSDSNNQSSTPSFARNLTRRYNRDALVSENHDIVVNTVTRLEEGLETAAYETTFLKAGEAGGDFEVTFFRTLDREFNKVNNFYRLKVETARTEALALNKQMDALIAFRHKVMDQNQKNPSVFDSVSEDINGSASEVGSSSKCTEHNVALADLMRNEDTSNESILERIRMNKTREITPLSAIKTILKVHKQDELKFTRDNLKEVEKRLQVAFIEFYQKLRHLKNYSFLNASAVSKIMKKYDKIAKRNAAKLYMEMVDKSFLSSSEEVHKLLLKVESIFIEHFSNSNRREGMSHLRPKINKERHLITFSTGFFFGCGISLIVALGLIIHARNIMGTPGQRTYMETMFPLYRFFGFVVLHMDVYAANIYFWRRYRVNYSFIFGFKQGTELGYRHVLLLSFGLGTLSLCAVLLNLDMEMDAQTKDYRLVTELIPLFLLVLVIIIVLCPFNILYRSSRFFFLSVLFRCIAAPFYAVHLPDFFLGDQLTSQVQALRSLEFYICYYGFGDFRYRRRNTCTSNIGFRTFYFIVAVIPYWLRFLQCIRRMVEDRDLSHGYNGIKYLLTIVAASLRTAYTLNRGSNWNITAWVFSGVATFYGTYWDIVLDWGLLQRGCKNSFLRDKLLVPHKTVYYAAMVLNVLLRLVWLQTVLDLKFSFLHRETMVALMACLEIIRRGIWNFFRLENEHLNNVGRYRAFKTVPLPFNYEEDGDHHNN
NP_194433.1 1 323 0.241940557275542 PF10520.9:TMEM189_B_dmain:137:305 Fatty acid desaturase 4, chloroplastic; Fatty acid desaturase A; EC 1.14.19.43 323 169 10 323 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZ42 1 SwissProt MAVSLPTKYPLRPITNIPKSHRPSLLRVRVTCSVTTTKPQPNREKLLVEQRTVNLPLSNDQSLQSTKPRPNREKLVVEQRLASPPLSNDPTLKSTWTHRLWVAAGCTTLFVSLAKSVIGGFDSHLCLEPALAGYAGYILADLGSGVYHWAIDNYGDESTPVVGTQIEAFQGHHKWPWTITRRQFANNLHALAQVITFTVLPLDLAFNDPVFHGFVCTFAFCILFSQQFHAWAHGTKSKLPPLVVALQDMGLLVSRRQHAEHHRAPYNNNYCIVSGAWNNVLDESKVFEALEMVFYFQLGVRPRSWSEPNSDWIEETEISNNQA
NP_195093.1 125 319 0.258961538461538 PF00248.21:Aldo_ket_red:12:163 L-galactose dehydrogenase; At-GalDH; L-GalDH; EC 1.1.1.316 319 152 10 195 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81884 1 SwissProt DIEFGSLDQIVSETIPALQKLKQEGKTRFIGITGLPLDIFTYVLDRVPPGTVDVILSYCHYGVNDSTLLDLLPYLKSKGVGVISASPLAMGLLTEQGPPEWHPASPELKSASKAAVAHCKSKGKKITKLALQYSLANKEISSVLVGMSSVSQVEENVAAVTELESLGMDQETLSEVEAILEPVKNLTWPSGIHQN
NP_197062.1 1 927 0.560037971952535 PF14309.6:DUF4378:721:904 Protein LONGIFOLIA 1; Protein TON1 RECRUITING MOTIF 2 927 184 10 927 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LF24 1 SwissProt MSAKLLYNLSDENPNLNKQIGCMNGIFQVFYRQHYPPRRVTGDELKSLPSGKASDNVGDTNISADKKETEKSKKKKTAKEKQRGVSSESSSRLSFSSSPCSSSFSSADISTTASQFEQPGLSNGENPVREPTNGSPRWGGLMMPSDIRELVRSSIHKETRTRDEEALSQQPKSARANVSLLKESSPSRNSNEWSEGRRVVKLKDSPRFSYDERETRKTGAKLKETPRLSLDSRSNSFRSARSSCSPEPQELVTGHRRTTSSVVAKLMGLEVIPDEPVTIQNRENRFCDSPRPTSRVEVDLQRSRGFDSIKKMMPAKFPMKASPWAQVDGAKNQVKIPDATTLTVYGEIQKRLSQLEFKKSEKDLRALKQILEAMEKTQQLISKDDDDNKTLCSSNFMQRNNQPIPSAINTSSMNFKSSSIVVMKAATAPVFKDTGIAGSASFSPRNVALPNVKVGNLRQAQKVIPRKQSAMDVTPRPGYYKGQTESTMKNTSTRPLQSKSDMAKSGKIQKPSVSLRTPPKKLGFEKQSRPTSPKPELNKNQRQQLSRQQTESASPRRKPGIKSRGLQQSEDRLSDESSDLRSLRSDSNVSLASNLDTEVTSRYNYERNSDITEQHTPKQRSPDLGMRSLSKPLKVTVEQPSPVSVLDVAFDEDDSPSPVRKISIVFKEDDNLSSEESHWMNKNNNLCRSIVWPESNTSLKQPDAELTEGFMEDDAEFKNGDHKYISEIMLASGLLRDIDYSMISIQLHQAHLPINPSLFFVLEQNKTSNVSLQDNKHKGRGFGQQQTVNLVERSKRKLIFDTINEILAHRFAAEGCTKQPSITLSISTQRTHEKSSRGEELLQTLCSEIDRLQDNSKCILDEDDEDLIWEDLQSHGMNWKEIEGETPGLVLDIERLIFKDLIGEVVTSEFAAFPRMLSGQPRQLFHC
NP_197715.1 1 465 0.318681935483871 PF00226.31:DnaJ:50:110,PF13370.6:Fer4_13:143:197,PF13459.6:Fer4_15:143:197 Chaperone protein dnaJ C76, chloroplastic; atDjC76; AtDjC17; AtJ17 465 116 10 465 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMX6 1 SwissProt MTPAIFSPTTLPPSTATWPCSTSQKLITVRSPLKFKCRATSSSSSITDFDLYDLLGIDRSSDKSQIKSAYRALQKRCHPDIAGDPGHDMAIILNEAYQLLSDPISRQAYDKEQAKLEELRGYTGKPIYSVWCGPETEQRAAFVDEVKCVGCLKCALCAEKTFAIETAYGRARVVAQWADPESKIKEAIEACPVDCISMVERSDLAPLEFLMSKQPRGNVRIGVGNTVGERVSNVFVDVKKFQERYAKAMSRTTKETSQREVQISAVEAIRSISNWLYWRSSPYTKPLSPESNMSLTFTKRKKAVDPDIRKLQDVVAAMKQADQSGRTKEKGSAYLLGEDYWSPSNAALPSSGNNNGSKASSNPQVTRKTFPSEEKPTSRRENRRQFRIKKFPIGTAIVAVFLVQYQASYRAASELNDHIGGSLALSIVNSPWQQILLAGVTWYFIGAMLLQLVEAVQHKLEDKET
NP_197955.1 1 331 0.53892416918429 PF00320.27:GATA:221:254 GATA transcription factor 12 331 34 10 331 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P69781 1 SwissProt MEDEAHEFFHTSDFAVDDLLVDFSNDDDEENDVVADSTTTTTITDSSNFSAADLPSFHGDVQDGTSFSGDLCIPSDDLADELEWLSNIVDESLSPEDVHKLELISGFKSRPDPKSDTGSPENPNSSSPIFTTDVSVPAKARSKRSRAAACNWASRGLLKETFYDSPFTGETILSSQQHLSPPTSPPLLMAPLGKKQAVDGGHRRKKDVSSPESGGAEERRCLHCATDKTPQWRTGPMGPKTLCNACGVRYKSGRLVPEYRPAASPTFVLAKHSNSHRKVMELRRQKEMSRAHHEFIHHHHGTDTAMIFDVSSDGDDYLIHHNVGPDFRQLI
NP_199239.1 1 381 0.392890813648294 PF00642.24:zf-CCCH:104:126 Zinc finger CCCH domain-containing protein 61; AtC3H61; Tandem CCCH Zinc Finger protein 5; AtTZF5 381 23 10 381 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKW2 1 SwissProt MDVEHHKSGHISRPTVDIPPRKLLSSAKSPSSVSSPLRDYKEQKDYCYDSDSEDPYAGDHFRMYEFKIRRCTRSRSHDWTDCPFSHPGEKARRRDPRRFHYTGEVCPEFSRHGDCSRGDECGFAHGVFECWLHPSRYRTEACKDGKHCKRKVCFFAHSPRQLRVLPPSPENHISGGCGGSPSSSPASVLSNKNNRCCLFCSHSPTSTLLNLSRSPSSSPPLSPADKADAFSRLSRRRTAVLNELISSLDSLSLTEALAASSSSPVTMPISTATMIASSNLSSNHHHHRLPPWLDVGDRDLQLQQSSPLRFALSPSSTPSYLHGQLQPPPSSFFGDEFTPRGGRLSDFSVAAAAAAQARDKNSFEVGSSGDLDLGWVNDLLT
NP_199734.2 1 211 0.313837440758294 PF14571.6:Di19_C:116:206,PF05605.12:zf-Di19:42:94 Protein DEHYDRATION-INDUCED 19 homolog 7; AtDi19-7; Protein HYPERSENSITIVE TO RED AND BLUE 1 211 144 10 211 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJ17 1 SwissProt MDSNSWINCPPVFSSSPSSRRYQSRSDLYLGDVEGEDDLKAEFMCPFCADEFDIVGLCCHIDVNHPVEAKNGVCPVCTKKVGLDIVGHITTQHGNVFKVQRRRRLRKGGYSSTYLTLKKELREANLQSLGGSSTFIPSSNIDSDPLLSSFMFKPPSAIPITEGDSVAQVSPKDTSKSKIQQESFSNEDQEKAKKSKFVRGLLWSTMLEDKF 2
NP_200365.1 1 1380 0.192625217391304 PF16095.5:COR:757:931 Protein TORNADO 1; Protein LOPPED 1 1380 175 10 1380 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJ57 1 SwissProt MESEPDQSFKDLSWFLQAIKDPQQTFFNLQTLSFSSSGNTTHCQLITESSMNINVTRDNLTSLSQIFIELATSLETQTSLRNLEFEGIFWEIELLQSLGLLLDNTSKIKQLAFRKNRFSEQCLNELSEILKRNRFLKEVMFLESSIGYRGATLLGSALQVNDSLEELQIWEDSIGSKGAEELSRMIEMNSSLKLFSIFDSSPFTATPLISAVLGMNREMEVHMWSGDHKRDRSLKLVEFLPESKTLRIYQIDISGSCRVAAALGMNTTVRSLDMTGAKLNSRWAKEFRWVLEQNKTLREVKLSKTGLKDKAVVYIAAGLFKNKSLQSLYVDGNRFGSVGVEDLLCPLSRFSALQLQANITLRSIVFGGSNTKIGRDGLTAVLKMVTTNETVVHLGIHDDASLGPDDFIHIFKSLQKNASLRRFSLQGCKGVRGDRVLEAITETLQINPLIEEIDLARTPLQDSGKADEIYQKLGHNGRKIDEAETDDSLKDMPLTEPKSVRAFLCGQNYAGKTTLCNSILQSSSASGFPYVENVRNLMNPVEQVVKTVGGMKIKTFKDEETKISMWNLAGQHEFFALHDLMFPSPCFFLIVLSLFRKPSNKEPKTPAEVEEELEYWLRFIVSNSRKAIQQCMKPNVTIVLTHSEKINLQSESFQATVGCIQRLRDKFQALVEFYPTVFTVDARSSPSVSKLTHHIRMTSKAILQRVPRVYQLCNDIVQLLSDWRSENSNKPIMRWKAFADLCQFKVPSLRIKSRNENIQIVETRRHAIATCLHQMGEVIYFDDLGFLILDYEWFCGEVLTQLIKLDVRKQSTGERNGFVSRKELEKTLRSSLQSPIPGMTSKVLEHFDACDLVKMMKKVELCYEQDPSSPDSSLLVPSILEEGRGKTQKWQINTHDCVYSGRHLQCDDSSHMFLTAGFFPRLQVHLHNRIMELKNQHGATYSLEKYLIAITIHGINIRVELGGQLGNYIDVLACSSKSLTETLRLIHQLIIPAIQSSCRGVILLEHIIRPQCVQDLTPPRFRQSQFVSLHRLKEALSSVPAETMYDYQHTWDSVLDSGKTVLRAGFDLARNLLSDDDFREVLQRRYHDLHNLAQELQVPTDENPEADNHVPVTNELEKVDPSFGGIAKGVEAVLQRLKIIEQEIRDLKQEIQGLRYYEHRLLIQLHHKVNYLVNYNVQMDERKVPNMFYFIRAENYGRRLITSMVPGMVALRIHMLCEFRREMHVVEDQLGCDVMQIDNQAVKCLAPYMTNFMKLVTFALRIGANWAAGMGHMIPDLSHTIAHLANPAVMTGAAGAAGAIGVAAALGRNRGRDRDIQEQEQRAAQQWLIDYLREQTCSTGRDIAEKFGLWRVRYRDDGSIAWICKRHMITRAHEVIQVPL
NP_203744.1 222 683 0.905662554112555 MICAL-like protein 1; Molecule interacting with Rab13; MIRab13 863 0 10 462 0 Homo sapiens (Human) SwissProt::Q8N3F8 1 SwissProt GPGTRSGTRPGPFSQPKQQHQQQLAEDAKDVPGGGPSSSAPAGAEADGPKASPEARPQIPTKPRVPGKLQELASPPAGRPTPAPRKASESTTPAPPTPRPRSSLQQENLVEQAGSSSLVNGRLHELPVPKPRGTPKPSEGTPAPRKDPPWITLVQAEPKKKPAPLPPSSSPGPPSQDSRQVENGGTEEVAQPSPTASLESKPYNPFEEEEEDKEEEAPAAPSLATSPALGHPESTPKSLHPWYGITPTSSPKTKKRPAPRAPSASPLALHASRLSHSEPPSATPSPALSVESLSSESASQTAGAELLEPPAVPKSSSEPAVHAPGTPGNPVSLSTNSSLASSGELVEPRVEQMPQASPGLAPRTRGSSGPQPAKPCSGATPTPLLLVGDRSPVPSPGSSSPQLQVKSSCKENPFNRKPSPAASPATKKATKGSKPVRPPAPGHGFPLIKRKVQADQYIPEED
NP_215796.1 1 591 0.285946023688663 PF00496.22:SBP_bac_5:150:511 oligopeptide ABC transporter substrate-binding lipoprotein OppA 591 362 10 568 1 Mycobacterium tuberculosis H37Rv NP_215796.1 1 RefSeq MADRGQRRGCAPGIASALRASFQGKSRPWTQTRYWAFALLTPLVVAMVLTGCSASGTQLELAPTADRRAAVGTTSDINQQDPATLQDGGNLRLSLTDFPPNFNILHIDGNNAEVAAMMKATLPRAFIIGPDGSTTVDTNYFTSIELTRTAPQVVTYTINPEAVWSDGTPITWRDIASQIHAISGADKAFEIASSSGAERVASVTRGVDDRQAVVTFAKPYAEWRGMFAGNGMLLPASMTATPEAFNKGQLDGPGPSAGPFVVSALDRTAQRIVLTRNPRWWGARPRLDSITYLVLDDAARLPALQNNTIDATGVGTLDQLTIAARTKGISIRRAPGPSWYHFTLNGAPGSILADKALRLAIAKGIDRYTIARVAQYGLTSDPVPLNNHVFVAGQDGYQDNSGVVAYNPEQAKRELDALGWRRSGAFREKDGRQLVIRDLFYDAQSTRQFAQIAQHTLAQIGVKLELQAKSGSGFFSDYVNVGAFDIAQFGWVGDAFPLSSLTQIYASDGESNFGKIGSPQIDAAIERTLAELDPGKARALANQVDELIWAEGFSLPLTQSPGTVAVRSTLANFGATGLADLDYTAIGFMRR
NP_215833.1 72 410 0.270796460176991 PF06029.11:AlkA_N:131:245,PF12833.7:HTH_18:35:114 bifunctional regulatory protein/DNA repair enzyme AlkA 496 195 10 339 0 Mycobacterium tuberculosis H37Rv NP_215833.1 1 RefSeq ASPGSPEWNVRSDVVARAMRLIADGTVDRDGVSGLAAQLGYTIRQLERLLQAVVGAGPLALARAQRMQTARVLIETTNLPFGDVAFAAGFSSIRQFNDTVRLACDGTPTALRARAAARFESATASAGTVSLRLPVRAPFAFEGVFGHLAATAVPGCEEVRDGAYRRTLRLPWGNGIVSLTPAPDHVRCLLVLDDFRDLMTATARCRRLLDLDADPEAIVEALGADPDLRAVVGKAPGQRIPRTVDEAEFAVRAVLAQQVSTKAASTHAGRLVAAYGRPVHDRHGALTHTFPSIEQLAEIDPGHLAVPKARQRTINALVASLADKSLVLDAGCDWQRARG
NP_217076.1 76 325 0.0569156 hypothetical protein 325 0 10 149 4 Mycobacterium tuberculosis H37Rv NP_217076.1 0 RefSeq SSGFSVGDAISWSWNRFTQNAVTLVVPVLAYAVALAAVIGATAGLVVALSDRATTAYTNTSGVSSESVDITMTPAAGIVMFLGYIALFALVLYMHAGILTGCLDIADGKPVTIATFFRPRNLGLVLVTGLLIVAVTFIGGLLCVIPGLIFGFVAQFAVAFAVDRSTSPIDSVKASIETVGSNIGGSVLSWLAQLTAVLVGELLCFVGMLIGIPVAALIHVYTYRKLSGGQVVEAVRPAPPVGWPPGPQLA
NP_217149.1 1 161 0.369778260869565 PF01814.23:Hemerythrin:3:116 hypothetical protein 161 114 10 161 0 Mycobacterium tuberculosis H37Rv NP_217149.1 1 RefSeq MNAYDVLKRHHTVLKGLGRKVGEAPVNSEERHVLFDEMLIELDIHFRIEDDLYYPALSAAGKPITGTHAEHRQVVDQLATLLRTPQRAPGYEEEWNVFRTVLEAHADVEERDMIPAPTPVHITDAELEELGDKMAARIEQLRGSPLYTLRTKGKADLLKAI
NP_217237.1 1 699 0.402683261802575 PF08310.11:LGFP:62:107,PF08310.11:LGFP:112:168,PF08310.11:LGFP:246:289,PF08310.11:LGFP:294:350 hypothetical protein 699 204 10 653 2 Mycobacterium tuberculosis H37Rv NP_217237.1 1 RefSeq MNGQRGQLSTLIGRTLLGLAATAVTAVLLAPTVAASPMGDAEDAMMAAWEKAGGDTSTLGVRKGDVYPIGDGFALDFAGGKMFFTPATGAKYLYGPLLDKYESLGGAADSDLGFPTINEVPGLAGPDSRVSTFSAADNPVIFWTPEHGAFVVRGALNAAWDKLGSSGGVLGAPVGDETYDGEVTAQKFSGGEVSWNRATKEFTTVPAVLAEQLKGLQVAIDPSAAINMAWRAAGGAAGPLGAKKGGQYPIGGDGIAQDFVGGKVFFSPATGANAVEGEILAKYESLGGPVSSDLGFPIANETDGGFGPSSRIVRFSAADKPVIFWTPDHGAFVVRGAMVAAWDKLRGPNGKLGAPVGDQTVDGDVVSQKFTGGMISWNRAKNTFTTDPANLAPLLSGLQVSGQNQPSTSAMPPPGKKFTWHWWWLGAAALGVLLVVMVALVVFGLRRRRRGYDAAAYDDDRAGDVEYGTAADGDWPPDEDFGSEHFGFGDQFPPEPVAPDAGSTPRVSWPRGAGAAVGDAEHLPGEEGYGSDLLSGPSNVGVEEEDTDAVDTTPTPVVSQADLSEVGPDLIVPERVVPETFVPQAFVPEAVAPEAVPPDVHAADLADTGLPAAAVSAAEDRGGRHAAAEPPEPPSAGVRPAIHLPLEDPYQMPNGYPVKASVSFGLYYPPGSALYHDTLAELWFASEEVAQVNGFIRAD
NP_218222.1 1 214 0.301122897196262 PF14032.6:PknH_C:30:210 hypothetical protein 214 181 10 214 0 Mycobacterium tuberculosis H37Rv NP_218222.1 1 RefSeq MRIAAAVVSIGLAVIAGFAVPVADAHPSEPGVVSYAVLGKGSVGNIVGAPMGWEAVFTRPFQAFWVELPACNNWVDIGLPEVYDDPDLASFNGATTQTSATDQTHLVKQAVGVFASNDAADRAFHRVVDRTVGCSGQTTAIHLDDGTTQVWSFAGGPSTGTDEAWTKQEAGTDRRCFVQTRLRENVLLQAKVCQSGNAGPAVNVLAGAMQNTLG
NP_220332.1 1 1531 0.231310907903331 PF07548.11:ChlamPMP_M:1000:1201,PF03797.19:Autotransporter:1251:1504,PF02415.17:Chlam_PMP:529:571 outer membrane protein PmpD 1531 499 10 1531 0 Chlamydia trachomatis D/UW-3/CX NP_220332.1 1 RefSeq MSSEKDIKSTCSKFSLSVVAAILASVSGLASCVDLHAGGQSVNELVYVGPQAVLLLDQIRDLFVGSKDSQAEGQYRLIVGDPSSFQEKDADTLPGKVEQSTLFSVTNPVVFQGVDQQDQVSSQGLICSFTSSNLDSPRDGESFLGIAFVGDSSKAGITLTDVKASLSGAALYSTEDLIFEKIKGGLEFASCSSLEQGGACAAQSILIHDCQGLQVKHCTTAVNAEGSSANDHLGFGGGAFFVTGSLSGEKSLYMPAGDMVVANCDGAISFEGNSANFANGGAIAASGKVLFVANDKKTSFIENRALSGGAIAASSDIAFQNCAELVFKGNCAIGTEDKGSLGGGAISSLGTVLLQGNHGITCDKNESASQGGAIFGKNCQISDNEGPVVFRDSTACLGGGAIAAQEIVSIQNNQAGISFEGGKASFGGGIACGSFSSAGGASVLGTIDISKNLGAISFSRTLCTTSDLGQMEYQGGGALFGENISLSENAGVLTFKDNIVKTFASNGKILGGGAILATGKVEITNNSEGISFTGNARAPQALPTQEEFPLFSKKEGRPLSSGYSGGGAILGREVAILHNAAVVFEQNRLQCSEEEATLLGCCGGGAVHGMDSTSIVGNSSVRFGNNYAMGQGVSGGALLSKTVQLAGNGSVDFSRNIASLGGGALQASEGNCELVDNGYVLFRDNRGRVYGGAISCLRGDVVISGNKGRVEFKDNIATRLYVEETVEKVEEVEPAPEQKDNNELSFLGRAEQSFITAANQALFASEDGDLSPESSISSEELAKRRECAGGAIFAKRVRIVDNQEAVVFSNNFSDIYGGAIFTGSLREEDKLDGQIPEVLISGNAGDVVFSGNSSKRDEHLPHTGGGAICTQNLTISQNTGNVLFYNNVACSGGAVRIEDHGNVLLEAFGGDIVFKGNSSFRAQGSDAIYFAGKESHITALNATEGHAIVFHDALVFENLEERKSAEVLLINSRENPGYTGSIRFLEAESKVPQCIHVQQGSLELLNGATLCSYGFKQDAGAKLVLAAGAKLKILDSGTPVQQGHAISKPEAEIESSSEPEGAHSLWIAKNAQTTVPMVDIHTISVDLASFSSSQQEGTVEAPQVIVPGGSYVRSGELNLELVNTTGTGYENHALLKNEAKVPLMSFVASGDEASAEISNLSVSDLQIHVVTPEIEEDTYGHMGDWSEAKIQDGTLVISWNPTGYRLDPQKAGALVFNALWEEGAVLSALKNARFAHNLTAQRMEFDYSTNVWGFAFGGFRTLSAENLVAIDGYKGAYGGASAGVDIQLMEDFVLGVSGAAFLGKMDSQKFDAEVSRKGVVGSVYTGFLAGSWFFKGQYSLGETQNDMKTRYGVLGESSASWTSRGVLADALVEYRSLVGPVRPTFYALHFNPYVEVSYASMKFPGFTEQGREARSFEDASLTNITIPLGMKFELAFIKGQFSEVNSLGISYAWEAYRKVEGGAVQLLEAGFDWEGAPMDLPRQELRVALENNTEWSSYFSTVLGLTAFCGGFTSTDSKLGYEANTGLRLIF 2
NP_230474.1 1 221 0.120728959276018 PF00486.28:Trans_reg_C:40:107 toxin co-regulated pilus biosynthesis protein P 221 68 10 198 1 Vibrio cholerae O1 biovar El Tor str. N16961 NP_230474.1 1 RefSeq MGYVRVIYQFPDNLWWNECTNQVYYAQDPMKPERLIGTPSIIQTKLLKILCEYHPAPCPNDQIIKALWPHGFISSESLTQAIKRTRDFLNDEHKTLIENVKLQGYRINIIQVIVSENVVDEADCSQKKSVKERIKIEWGKINVVPYLVFSALYVALLPVIWWSYGQWYQHELAGITHDLRDLARLPGITIQKLSEQKLTFAIDQHQCSVNYEQKTLECTKN
NP_253083.1 1 594 0.0284079124579125 PF13000.7:Acatn:21:107 AmpG protein 594 87 10 284 14 Pseudomonas aeruginosa PAO1 NP_253083.1 1 RefSeq MTQQSWREALVAYKSPASLALLLLGFAAGLPTMLVFNTLSVWLREAGVARDTIGFASWLGLVYAFKWVWSPMLDQWRLPFVGRLGRRRSWLVFSQVLIALGLLGMALCNPQSHLPWLIGLALLVAFASATQDIAIDAYRLEIAEDSRQAALAACYMTGYRAAILLASAGALILAEWFGSTSLNYSQSAWGLTYALFALLILPGLVTSLLIREPAVDVPIHVNPSRFDFNHQLLSVLLLLILLISLPAMITALLDRAWPRAGLYALLMGICLSPWGRQQIRPVRELLATVRRPLLVAARGKEVPLFDFVHQAVSVMVLIILLVTVTAMCRAYYSGAWPRGTLFLLIAASCLSAPGRLLMAPVLTPITEFVQRYRWQALLLLGLISTYRLSDTVMGVMAGVFYIDMGFSKEVIASVSKVFGVLMTLIGAAAGGVLIARFSILSILFIGGAASAATNLLFAMLAQLGPLHAEALPSHDVISLVMALEPHVLMLVMTITLDNFSGGLAASAFVAYLSSLTNLKFSATQYAMLSSTMLLLPRFIGGYSGTMVESLGYEHFFYVTAVMGIPTLLLIGWLWLRRAPSSEAPAPGHQNAEQH
NP_253088.1 1 532 0.233153571428572 PF00512.25:HisKA:414:499,PF00989.25:PAS:289:394,PF08448.10:PAS_4:294:397,PF00672.25:HAMP:183:234,PF13188.7:PAS_8:288:343 two-component sensor 698 248 10 486 2 Pseudomonas aeruginosa PAO1 NP_253088.1 1 RefSeq MNLRQRLDNLPVGQKLLAALLILLATVLLVANLAFISAAYWISQESVAPQAMQTIGRLIANPALSQPALSSPQTAEVLLRQLNDYQPLRAAALYDSNGLMLADLQRGDTLKLPARLDRLEHWRRGEYRLNALIELPQENARPGYLLLVASGELPSAFYTGTLTASLAILGVSVLLWLLVAQQIRRLITRPIRDLEELSRQVTREENYALRAQRGNGDEIGRLADAFNTMLTRIEAREQQLKRARDDAQEAVEQAQSLAEETRRSNRKLELEVQVRSKIEKKLTGFQHYLNSIIDSMPSALIAVDEQLYVTQWNQEASQLSGTSLDDAVNQPVFLAFPSLKPFLPQLTRAAEKHSVERVERVTWALIDTPRHYALTFYPLMGGTGRGAVIRIDDITQRLSLEEVMVQSEKMLSVGSLAAGMAHEINNPLGAILHNVQNIKRRLSPELAKNVELAAEVGVPLEDINHYLDGRDIPRLLEGIQHAGSRAAKIVTHMLAFSRRSHRQMTACELPALLEQAVEIAGNDFDLAEGFDF
NP_253364.1 180 742 0.260185257548846 PF00593.24:TonB_dep_Rec:71:561 TonB-dependent receptor 742 491 10 563 0 Pseudomonas aeruginosa PAO1 NP_253364.1 1 RefSeq DHDLRAAQSISGGNDLFNGRLAIAYQKNGAAYDGSGDQVLTDITQTDLQYNRSVDLMGSLGFTFANGHSLDLGLQYYDSGYDGDRGLDLGRNFDALRGRAPYSIKGGVDLDREPESKRHQFNATYHAPEVLGHDLYLQAYYRNEKMAFNPFPTIRYSNTGAINYGTSYYSASQQDTDYYGMKLALVKTWERASLTYGVDLDREKFTSDQMLFNLPLAAASGGLVASEQAKLGRYPDIDTDSRAFFLQGSWKATDDLTLSAGVRRQSMSTDVSDFVAANQQILIANGLGKTADAVPGGSKDYDVNLVNVGAIYKLNLQQQVWANYSEGFELPDPAKYYGFGRYGAADGNGHYPLLQGVSVNDSPLDGIKTKQVELGWRHTDGALDTQVAAFYSWSDKSIKYDSKTLAVLQQDTKKRNYGLEGQATYWLDDHWQVGVNGLAIRSQEKVDGRWLKQDVTSASPSKAGAFVGWKDDQRSLRLQGVRTFNLNDEPGNKIDGYALFDLLGTQALPVGTLTAGIQNLLDKDYTTVWGQRAQVYYGGLAPAGLFDYKGRGRTYSLTYSVEF 4
NP_285470.1 1 361 0.378847091412743 hypothetical protein 361 0 10 361 0 Deinococcus radiodurans R1 NP_285470.1 1 RefSeq MNYVKYTPNIEVKQPNEDEQIDKIVEMMHAANVKAFDKHRHGIRDAHAKQHGTVVGTLEIPELPEHLAQGLFAKPGSYPVVIRFSSAPGELKDDSVPVPHGMAVKVIGVPGKKILPDKQDEVTQDFLMVTMPVIPFGDVEQYLKMQNVVALQDSTSEEGQRAFAALARGGQKVLGLLGISDPTLDGIAAENEHLLGQTYHTMAAIRYGDYIAKISAAPLSPEVKALEGQILDTKKSPSAQRDALVDFFHKHGAEYQLRAQLCTDLEKMPIEDASVEWDEKLSPQQPIGRLIIPQQEAYSPARRVFSDDKLEFNPWHALPEHQPLGSIMRVRIKAYESSTAFRHAMNVQPRVEPRDISEIPQ
NP_296238.1 276 668 0.3483 PF13570.6:PQQ_3:113:152,PF13570.6:PQQ_3:153:192,PF13570.6:PQQ_3:305:343,PF13570.6:PQQ_3:345:380,PF13360.6:PQQ_2:33:123,PF13360.6:PQQ_2:102:240,PF13360.6:PQQ_2:258:381,PF01011.21:PQQ:136:170,PF01011.21:PQQ:326:362 DNA damage-responsive serine/threonine-protein kinase RqkA; Radiation and pyrroloquinoline quinone inducible protein kinase; EC 2.7.11.1 668 332 10 393 0 Deinococcus radiodurans (strain ATCC 13939 / DSM 20539 / JCM 16871 / LMG 4051 / NBRC 15346 / NCIMB 9279 / R1 / VKM B-1422) SwissProt::Q9RRH3 1 SwissProt RDLWTTHARGQYRGGRARTGEHPDGPARVSDMQELWSVALPGEVTWPAAVVGEGDLVAVGTRGGQLVLTHTSGRPFATYAARDEVTAPATLIGGHVLYGAWDGTLRRVELQSGSEVWRHQARAEFTGAPTVWGGRLLAPSRDGHLHALSLRTGELAWAYRAGGSLAASPLVWAGAALQCDETGWLHALDARSGTPLWKVEVGTVHATPALLPGPPGTATLVIATWEGEVHAIGLEVQNGRAALAGEDAIRWTYDVEDEVWASPALTALDLPPDSGAAPDASAAPGGVVVVAGWGGKVRGLRLADGEDLWERTLDGRVTASPVISAGLVFLATEGGELLALDVRNGEVRWTCRERSGVQATPLAASGTLYVAFMDGTLRAYRNAHPEWRSEQEG
NP_389585.1 1 181 0.330332044198895 PF10628.9:CotE:3:175 Spore coat protein E 181 173 10 181 0 Bacillus subtilis (strain 168) SwissProt::P14016 1 SwissProt MSEYREIITKAVVAKGRKFTQCTNTISPEKKPSSILGGWIINHKYDAEKIGKTVEIEGYYDINVWYSYADNTKTEVVTERVKYVDVIKLRYRDNNYLDDEHEVIAKVLQQPNCLEVTISPNGNKIVVQAEREFLAEVVGETKVVVEVNPDWEEDDEEDWEDELDEELEDINPEFLVGDPEE
NP_391534.1 1 193 0.0236839378238342 PF01569.21:PAP2:55:163,PF14378.6:PAP2_3:11:155 Undecaprenyl-diphosphatase BcrC; Undecaprenyl pyrophosphate phosphatase; EC 3.6.1.27 193 153 10 109 4 Bacillus subtilis (strain 168) SwissProt::P94571 0 SwissProt MNYEIFKAIHGLSHHNSVLDSIMVFITEYAIVAYALILLAIWLFGNTQSRKHVLYAGITGIAGLVINYLITLVYFEPRPFVAHTVHTLIPHAADASFPSDHTTGALAISIAMLFRNRKIGWPLVIFGLLTGFSRIWVGHHYPVDVLGSLVVAIIIGFLFFRFSDLLRPFVDLVVRIYEAIINKLTKKPTDQNF
NP_414767.1 1 97 0.360331958762887 antitoxin YafN 97 0 10 97 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6116-MONOMER 1 ecocyc MHRILAEKSVNITELRKNPAKYFIDQPVAVLSNNRPAGYLLSASAFEALMDMLAEQEEKKPIKARFRPSAARLEEITRRAEQYLNDMTDDDFNDFKE
NP_414880.2 1 277 0.240961010830325 PF09339.10:HTH_IclR:15:65,PF01614.18:IclR:135:257,PF12802.7:MarR_2:20:67,PF13412.6:HTH_24:20:62 DNA-binding transcriptional activator MhpR 277 176 10 277 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6201-MONOMER 1 ecocyc MQNNEQTEYKTVRGLTRGLMLLNMLNKLDGGASVGLLAELSGLHRTTVRRLLETLQEEGYVRRSPSDDSFRLTIKVRQLSEGFRDEQWISALAAPLLGDLLREVVWPTDVSTLDVDAMVVRETTHRFSRLSFHRAMVGRRLPLLKTASGLTWLAFCPEQDRKELIEMLASRPGDDYQLAREPLKLEAILARARKEGYGQNYRGWDQEEKIASIAVPLRSEQRVIGCLNLVYMASAMTIEQAAEKHLPALQRVAKQIEEGVESQAILVAGRRSGMHLR
NP_415754.1 1 205 0.134527317073171 PF00265.18:TK:2:186 thymidine/deoxyuridine kinase (EC 2.7.1.145; EC 2.7.1.21) 205 185 10 205 0 Escherichia coli K-12 substr. MG1655 ecocyc::TDK-MONOMER 1 ecocyc MAQLYFYYSAMNAGKSTALLQSSYNYQERGMRTVVYTAEIDDRFGAGKVSSRIGLSSPAKLFNQNSSLFDEIRAEHEQQAIHCVLVDECQFLTRQQVYELSEVVDQLDIPVLCYGLRTDFRGELFIGSQYLLAWSDKLVELKTICFCGRKASMVLRLDQAGRPYNEGEQVVIGGNERYVSVCRKHYKEALQVDSLTAIQERHRHD
NP_415853.1 173 481 0.288756957928803 p-aminobenzoyl-glutamate hydrolase subunit B 481 0 10 309 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6669-MONOMER 1 ecocyc EAFAGMFNTRTLANIQASWRFKGIAAHAANSPHLGRSALDAVTLMTTGTNFLNEHIIEKARVHYAITNSGGISPNVVQAQAEVLYLIRAPEMTDVQHIYDRVAKIAEGAALMTETTVECRFDKACSSYLPNRTLENAMYQALSHFGTPEWNSEELAFAKQIQATLTSNDRQNSLNNIAATGGENGKVFALRHRETVLANEVAPYAATDNVLAASTDVGDVSWKLPVAQCFSPCFAVGTPLHTWQLVSQGRTSIAHKGMLLAAKTMAATTVNLFLDSGLLQECQQEHQQVTDTQPYHCPIPKNVTPSPLK
NP_415864.1 1 64 0.279571875 PF14354.6:Lar_restr_allev:5:54 Rac prophage; endodeoxyribonuclease toxin RalR 64 50 10 64 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11900-MONOMER 1 ecocyc MRYDNVKPCPFCGCPSVTVKAISGYYRAKCNGCESRTGYGGSEKEALERWNKRTTGNNNGGVHV
NP_416299.1 1 359 0.00572590529247911 PF17158.4:MASE4:88:319 putative c-di-GMP binding protein CdgI 491 232 10 184 8 Escherichia coli K-12 substr. MG1655 ecocyc::G6971-MONOMER 1 ecocyc MIQSTRISMGLFFKYFLSLTKIDPGQNYISLPSIKSSTHIALLFMVSMGTQKLKAQSFFIFSLLLTLILFCITTLYNENTNVKLIPQMNYLMVVVALFFLNAVIFLFMLMKYFTNKQILPTLILSLAFLSGLIYLVETIVIIHKPINGSTLIQTKSNDVSIFYIFRQLSFICLTSLALFCYGKDNILDNNKKKTGILLLALIPFLVFPLLAHNLSSYNADYSLYVVDYCPDNHTATWGINYTKILVCLWAFLLFFIIMRTRLASELWPLIALLCLASLCCNLLLLTLDEYNYTIWYISRGIEVSSKLFVVSFLIYNIFQELQLSSKLAVHDVLTNIYNRRYFFNSVESLLSRPVVKDFC
NP_416698.1 101 350 0.2613676 holocytochrome c synthetase - thiol:disulfide oxidoreductase CcmH 350 0 10 209 2 Escherichia coli K-12 substr. MG1655 ecocyc::EG12052-MONOMER 1 ecocyc TVLLWVLPVVAIGIGGWVIYARSRRRVRVVPEAFPEQSVPEGKRAGYVVYLPGIVVALIVAGVSYYQTGNYQQVKIWQQATAQAPALLDRALDPKADPLNEEEMSRLALGMRTQLQKNPGDIEGWIMLGRVGMALGNASIATDAYATAYRLDPKNSDAALGYAEALTRSSDPNDNRLGGELLRQLVRTDHSNIRVLSMYAFNAFEQQRFGEAVAAWEMMLKLLPANDTRRAVIERSIAQAMQHLSPQESK
NP_416817.2 1 220 0.61106090909091 PF05036.13:SPOR:141:214 cell division protein DedD 220 74 10 197 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG10218-MONOMER 1 ecocyc MASKFQNRLVGTIVLVALGVIVLPGLLDGQKKHYQDEFAAIPLVPKAGDRDEPDMMPAATQALPTQPPEGAAEEVRAGDAAAPSLDPATIAANNTEFEPEPAPVAPPKPKPVEPPKPKVEAPPAPKPEPKPVVEEKAAPTGKAYVVQLGALKNADKVNEIVGKLRGAGYRVYTSPSTPVQGKITRILVGPDASKDKLKGSLGELKQLSGLSGVVMGYTPN
NP_417095.1 1 248 0.156670564516129 PF17152.4:CHASE8:45:145 diguanylate cyclase DgcN (EC 2.7.7.65) 408 101 10 202 2 Escherichia coli K-12 substr. MG1655 ecocyc::EG12880-MONOMER 1 ecocyc MMDNDNSLNKRPTFKRALRNISMTSIFITMMLIWLLLSVTSVLTLKQYAQKNLALTAATMTYSLEAAVVFADGPAATETLAALGQQGQFSTAEVRDKQQNILASWHYTRKDPGDTFSNFISHWLFPAPIIQPIRHNGETIGEVRLTARDSSISHFIWFSLAVLTGCILLASGIAITLTRHLHNGLVEALKNITDVVHDVRSNRNFSRRVSEERIAEFHRFALDFNSLLDEMEEWQLRLQAKNAQLLRT
NP_417312.1 1 397 0.0214219143576826 PF07690.16:MFS_1:20:354 lysophospholipid transporter 397 335 10 172 10 Escherichia coli K-12 substr. MG1655 ecocyc::EG12455-MONOMER 0 ecocyc MSESVHTNTSLWSKGMKAVIVAQFLSAFGDNALLFATLALLKAQFYPEWSQPILQMVFVGAYILFAPFVGQVADSFAKGRVMMFANGLKLLGAASICFGINPFLGYTLVGVGAAAYSPAKYGILGELTTGSKLVKANGLMEASTIAAILLGSVAGGVLADWHVLVALAACALAYGGAVVANIYIPKLAAARPGQSWNLINMTRSFLNACTSLWRNGETRFSLVGTSLFWGAGVTLRFLLVLWVPVALGITDNATPTYLNAMVAIGIVVGAGAAAKLVTLETVSRCMPAGILIGVVVLIFSLQHELLPAYALLMLIGVMGGFFVVPLNALLQERGKKSVGAGNAIAVQNLGENSAMLLMLGIYSLAVMIGIPVVPIGIGFGALFALAITALWIWQRRH
NP_417948.4 1 350 0.00951314285714287 PF10951.8:DUF2776:1:347 inner membrane protein with a role in acid resistance 350 347 10 123 10 Escherichia coli K-12 substr. MG1655 ecocyc::MONOMER0-2489 0 ecocyc MNIYIGWLFKLIPLIMGLICIALGGFVLESSGQSEYFVAGHVLISLAAICLALFTTAFIIISQLTRGVNTFYNTLFPIIGYAGSIITMIWGWALLAGNDVMADEFVAGHVIFGVGMIAACVSTVAASSGHFLLIPKNAAGSKSDGTPVQAYSSLIGNCLIAVPVLLTLLGFIWSITLLRSADITPHYVAGHVLLGLTAICACLIGLVATIVHQTRNTFSTKEHWLWCYWVIFLGSITVLQGIYVLVSSDASARLAPGIILICLGMICYSIFSKVWLLALVWRRTCSLANRIPMIPVFTCLFCLFLASFLAEMAQTDMGYFIPSRVLVGLGAVCFTLFSIVSILEAGSAKK
NP_417979.1 1 337 0.142282789317507 PF03631.15:Virul_fac_BrkB:66:325 putative transporter YhjD 337 260 10 199 6 Escherichia coli K-12 substr. MG1655 ecocyc::EG12248-MONOMER 1 ecocyc MTQENEIKRPIQDLEHEPIKPLDNSEKGSKVSQALETVTTTAEKVQRQPVIAHLIRATERFNDRLGNQFGAAITYFSFLSMIPILMVSFAAGGFVLASHPMLLQDIFDKILQNISDPTLAATLKNTINTAVQQRTTVGLVGLAVALYSGINWMGNLREAIRAQSRDVWERSPQDQEKFWVKYLRDFISLIGLLIALIVTLSITSVAGSAQQMIISALHLNSIEWLKPTWRLIGLAISIFANYLLFFWIFWRLPRHRPRKKALIRGTFLAAIGFEVIKIVMTYTLPSLMKSPSGAAFGSVLGLMAFFYFFARLTLFCAAWIATAEYKDDPRMPGKTQP
NP_418217.1 1 87 0.25086091954023 PF13710.6:ACT_5:13:73 acetolactate synthase II subunit IlvM (EC 2.2.1.6) 87 61 10 87 0 Escherichia coli K-12 substr. MG1655 ecocyc::SMALLILVM-MONOMER 1 ecocyc MMQHQVNVSARFNPETLERVLRVVRHRGFHVCSMNMAAASDAQNINIELTVASPRSVDLLFSQLNKLVDVAHVAICQSTTTSQQIRA
NP_418785.1 1 241 0.210790041493776 PF00196.19:GerE:153:207 DNA-binding transcriptional repressor YjjQ 241 55 10 241 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7947-MONOMER 1 ecocyc MLPGCCKNGIVISKIPVMQAGLKEVMRTHFPEYEIISSASAEDLTLLQLRRSGLVIADLAGESEDPRSVCEHYYSLISQYREIHWVFMVSRSWYSQAVELLMCPTATLLSDVEPIENLVKTVRSGNTHAERISAMLTSPAMTETHDFSYRSVILTLSERKVLRLLGKGWGINQIASLLKKSNKTISAQKNSAMRRLAIHSNAEMYAWINSAQGARELNLPSVYGDAAEWNTAELRREMSHS
NP_418816.1 1 256 0.18591015625 PF00672.25:HAMP:202:251,PF17203.4:sCache_3_2:36:168 sensory histidine kinase CreC (EC 2.7.13.3) 474 183 10 213 2 Escherichia coli K-12 substr. MG1655 ecocyc::CREC-MONOMER 1 ecocyc MRIGMRLLLGYFLLVAVAAWFVLAIFVKEVKPGVRRATEGTLIDTATLLAELARPDLLSGDPTHGQLAQAFNQLQHRPFRANIGGINKVRNEYHVYMTDAQGKVLFDSANKAVGQDYSRWNDVWLTLRGQYGARSTLQNPADPESSVMYVAAPIMDGSRLIGVLSVGKPNAAMAPVIKRSERRILWASAILLGIALVIGAGMVWWINRSIARLTRYADSVTDNKPVPLPDLGSSELRKLAQALESMRVKLEGKNYI
NP_443747.2 1 376 0.0716845744680851 PF16076.5:Acyltransf_C:243:314,PF01553.21:Acyltransferase:87:231 1-acyl-sn-glycerol-3-phosphate acyltransferase gamma; 1-acylglycerol-3-phosphate O-acyltransferase 3; 1-AGP acyltransferase 3; 1-AGPAT 3; Lysophosphatidic acid acyltransferase gamma; LPAAT-gamma; EC 2.3.1.51 376 217 10 307 3 Mus musculus (Mouse) SwissProt::Q9D517 1 SwissProt MGLLAYLKTQFVVHLLIGFVFVVSGLIINFTQLCTLALWPISKHLYRRINCRLAYSLWSQLVMLLEWWSCTECTLFTDQATVDHFGKEHVVVILNHNFEIDFLCGWTMCERFGVLGSSKVLAKRELLCVPLIGWTWYFLEIVFCKRKWEEDRDTVIEGLRRLADYPEYMWFLLYCEGTRFTETKHRISMEVAASKGLPPLKYHLLPRTKGFTTAVQCLRGTVAAIYDVTLNFRGNKNPSLLGILYGKKYEADMCVRRFPLEDIPADETSAAQWLHKLYQEKDALQEMYKQKGVFPGEQFKPARRPWTLLNFLCWATILLSPLFSFVLGVFASGSPLLILTFLGFVGAASFGVRRLIGVTEIEKGSSYGNQELKKKE
NP_445824.1 1 77 0.107644155844156 PF00095.21:WAP:32:76 secretory leukocyte peptidase inhibitor precursor 130 45 10 77 0 Rattus norvegicus NP_445824.1 1 RefSeq MKSSGLFPLMVLLALGVLAPWSVEGGKNDAIKIGACPARKPAQCLKREKPECSTDWGCPGKQRCCQDTCGFKCLNPV
NP_445832.1 1 695 0.10954618705036 PF02690.15:Na_Pi_cotrans:110:213,PF02690.15:Na_Pi_cotrans:385:502 Sodium-dependent phosphate transport protein 2B; Sodium-phosphate transport protein 2B; Na(+)-dependent phosphate cotransporter 2B; Sodium/phosphate cotransporter 2B; Na(+)/Pi cotransporter 2B; NaPi-2b; Solute carrier family 34 member 2; rNaPi IIb 695 222 10 491 9 Rattus norvegicus (Rat) SwissProt::Q9JJ09 1 SwissProt MAPWPELENAHPNPNKFIEGASGPQSSIPDKDKGTSKTNDSGTPVAKIELLPSYSALVLIEEPPEGNDPWDLPELQDNGIKWSERDSKGKILCIFQGIGKFILLLGFLYLFVCSLDVLSSAFQLVGGKMAGQFFSNNSIMSNPVAGLVIGVLVTVMVQSSSTSSSIIVSMVASSLLSVRAAIPIIMGANIGTSITNTIVALMQAGDRNEFRRAFAGATVHDFFNWLSVLVLLPLEAATHYLEKLTNLVLETFSFQNGEDAPDILKVITDPFTKLIIQLDKKVIQQIAMGDSEAQNKSLIKIWCKTISNVIEENVTVPSPDNCTSPSYCWTDGIQTWTIQNVTEKENIAKCQHIFVNFSLPDLAVGIILLTVSLLILCGCLIMIVKLLGSVLRGQVATVIKKTLNTDFPFPFAWLTGYLAILVGAGMTFIVQSSSVFTSAMTPLIGIGVISIERAYPLTLGSNIGTTTTAILAALASPGNTLRSSLQIALCHFFFNISGILLWYPIPFTRLPIRLAKGLGNISAKYRWFAVFYLIFFFLLTPLTVFGLSLAGWPVLVGVGVPIILLILLVLCLRMLQARCPRILPLKLRDWNFLPLWMHSLKPWDNIISLATSCFQRRCCCCCRVCCRVCCMVCGCKCCRCSKCCKNLEEEEKEQDVPVKASGGFDNTAMSKECQDEGKGQVEVLGMKALSNTTVF
NP_446063.1 1 80 0.71029125 PF10195.9:Phospho_p8:23:77 Nuclear protein 1; Protein p8 80 55 10 80 0 Rattus norvegicus (Rat) SwissProt::O54842 1 SwissProt MATLPPTAHTSQQPVNIEDEDGILDEYDQYSLAQSYVVGGGRKGRTKREAAANTNRPSPGGHERKLLTKFQNSERKKAWR
NP_461948.1 1 178 0.257884831460674 PF06316.11:Ail_Lom:4:111,PF13505.6:OMP_b-brl:12:178 hypothetical protein 178 175 10 178 0 Salmonella enterica subsp. enterica serovar Typhimurium str. LT2 NP_461948.1 1 RefSeq MNKMLLAGSTGIVLLSAAASPVWADDNASTFSLGYAQSHTNHAGTLRGVRLANNYEMSPDWGLTTSFAWLNGSQRYSDESSNGRVTTRYYSLLAGPSWKINNQLSLYSQVGPVLLHQRDHGINESDSKVGYGYSAGVAYTPVSSVAITLGYEGADFDATHNSGSLNSNGFNLGVGYRF 5
NP_462516.3 1 394 0.110775888324873 PF17154.4:GAPES3:25:144,PF00990.21:GGDEF:236:383,PF00672.25:HAMP:167:217 putative diguanylate cyclase/phosphodiesterase 657 319 10 351 2 Salmonella enterica subsp. enterica serovar Typhimurium str. LT2 NP_462516.3 1 RefSeq MAMVAAVVMMFVFVFCTVLLFHLVQQNRYNTATQLESIARSVREPLSSAILKADLPGAETILESIKPAGVVSRADVVLPNQFQALRKRFIPERPVPVMVTRLFELPVQISLPVYSLERPANPQPLAYLVLQADSYRMYKFVMSALSTLVTIYLLLSLILTVAIAWCVNRLIVHPLRKIARELNDIPQQELIGHQLALPRLHQDDEIGMLVRSYNLNQQLMQRQREEQTDNAMRFPVSELPNKAFLMALLEQVITRQQTTALIIVTCETLRDTAGVLQETQREILLLTLVEKLKSVLAPRMVLTQVSGYDFAIIAHGVKEPWHAITLGQQILTIINERLPIQGIQLRPSCSIGIAMYYGDLTAEALYGRAVSAAFTARRKGKNQIQFFDPAQMEA
NP_465639.1 1 646 0.115057894736842 PF02687.21:FtsX:85:179 ABC transporter permease 646 95 10 419 10 Listeria monocytogenes EGD-e NP_465639.1 1 RefSeq MTLFDLAKKNIRHNFVHYFLYFASMIFSIMIYFTFLVLSKDPSVVARIDQSAKLSTAFSSSSVILLIFVAIFILYSNNFFTRKRKKEIGLYSLLGLRKKEIGRMLFYENFIMGLGALIIGIIAGTLLSKLFVTILLNLINLDSIGGFAFSWAAVIQTSIVFIIITLFTSFTGYRIIYRTTLLDLFHSESKREKSPKPSFILALLSILLIGLGYFIAGQPLDSKGSIWAQLGFSIGALVILASVIIGTALFITFFLPYLLTKLRNNKRIFYKGSNIISTSQLAFRISSNAKTLIIISILSATTLSAIGTISSVYYQANTSASTSAPSSFEYEIPKDSETNNKIIETAESDPDHPVEFKQKSTYYIVKAEGARPDFVEYDINEGFPVISESDYNSLVKEQGTPEKAASLKGNEAQMVLTITYDEDAQKEMVGEKFTLASPNKPNIKIKSVVQNSPISTIPGLLVLPDSQVAKIAADSSIAAHSVESISVKDAKQAQALDKKMRAVIPKDTNFISYTKMYQEIITVTGVLLFIGMFIGFVFLAATGSIIYFKQLTEAYNDIGTFDILKKIGLTRRDIRKVLAKQLLVVFLIPLIIGIAHSSFALLGLSHMLALDLTLPVVISTGVYTLMYIVYYFVTLNSYTNIVFGKK
NP_473382.1 219 500 0.28836170212766 PF05586.11:Ant_C:176:268,PF05587.13:Anth_Ig:1:99 anthrax toxin receptor 1 precursor 562 192 10 259 1 Mus musculus NP_473382.1 1 RefSeq IEILAAEPSTICAGESFQVVVRGNGFRHARNVDRVLCSFKINDSVTLNEKPFAVEDTYLLCPAPILKEVGMKAALQVSMNDGLSFISSSVIITTTHCSDGSILAIALLVLFLLLALALLWWFWPLCCTVIIKEVPPPPVEESEEEDDDGLPKKKWPTVDASYYGGRGVGGIKRMEVRWGEKGSTEEGAKLEKAKNARVKMPEQEYEFPEPRNLNNNMRRPSSPRKWYSPIKGKLDALWVLLRKGYDRVSVMRPQPGDTGRCINFTRVKNSQPAKYPLNNTYH
NP_476824.1 1 201 0.526987562189055 PF00010.26:HLH:28:91 achaete 201 64 10 201 0 Drosophila melanogaster NP_476824.1 1 RefSeq MALGSENHSVFNDDEESSSAFNGPSVIRRNARERNRVKQVNNGFSQLRQHIPAAVIADLSNGRRGIGPGANKKLSKVSTLKMAVEYIRRLQKVLHENDQQKQKQLHLQQQHLHFQQQQQHQHLYAWHQELQLQSPTGSTSSCNSISSYCKPATSTIPGATPPNNFHTKLEASFEDYRNNSCSSGTEDEDILDYISLWQDDL
NP_477232.1 1 606 0.118843564356436 PF00858.24:ASC:69:574 pickpocket 606 506 10 560 2 Drosophila melanogaster NP_477232.1 1 RefSeq MAEIREDEEEKKSGISILPGPELLALPGFDTRASIASAALSDVPSDVIIKSRIRYGSPLSACKGLLLEYAKSTTIHGIRYIFEVHRPIYEKLYWLFFTCISVYFAVSLIWDTYLKWQESPVILGFDETLVPVHKIPFPTITICPEIKMERNVFDYTNVSRQLWEEYKQNGNISDLDDEDLARMAVAMHICDSEVVQRFTPLLSQLNPPNVDVTQTLIDLSISKNETGPFCKWNGRFYFCDKIFDFVATDEGICYQFNGLRPKDIYRDEKFISYVDPDVVDFNKYFDVDLPPWNNITGNWSLDTGFVDQGQNAYPQRTVFSSVKNGFFAFLQGLQHNFDYDCRSFKQGYKVFLNSPESVPLTTGNYILVPHGDEVLVSVLPAYVVSTDNLHEITPEKRQCLFDDERSLRFFRSYSQSNCQTECLANYTVSKCGCAKFWMPKPLGTPVCGLKDINCYTSAQDELYTLMQNQTMAKSIDESVDITCNCMPACTSLEYNFEISRAKYDVAKTIRAFREEYEHTDAIGSRLSVYFKEHQFTAIKRTILFGVSTLISNCGGICGLFMGISCLSFLELIYFFCMRICGSCRDRRKHKIQQQNSVDLPEEKSEN
NP_492051.1 1 987 0.355572441742654 PF00069.25:Pkinase:691:903,PF07714.17:Pkinase_Tyr:690:899 Mitotic checkpoint serine/threonine-protein kinase bub-1; Budding uninhibited by benzimidazole 1; EC 2.7.11.1 987 214 10 987 0 Caenorhabditis elegans SwissProt::Q21776 1 SwissProt MSHIRVAFAPLDTNPSTCGLETFATQIETLSQMMTAEEMKAELYDCVRRILREQKFDQIRENEAMLRLYKVMGRSSTNLKGRGIYEQLFKKDHFTGSLKFYLQWAEECGKDQMLEEFKDVLKLARDRLSERIEMTAIESGFRDLVDEYFNGESGDMFTRPDETMDLFRFNAGSKKKRRSSVCFLQHNVPINNSGKAAFGPKTKTDLRQACIDRPNYHGISIEEFRFAKWKDTFGEDVDDDYRKRKDSGVVFVKHQVIDTDRQAREEVENRFNANLNPRRRHLSPVSEKTVDDEEEKRSRIYSPLVATKDAHRPALRSKIENPPATVTLSSDTKSASEKDVSDSDDADDDERLKIMTAGRKDGNPPDRSTSISSNYSTASARTSKSGAGLDLMAENKCLEAHAMFSDTVHLASEKTMVLGDDSVFVPERSLATTQIVTDFSVLCDPDPTMTITQERPKKVSNGLNVVYDEAAEPEESQKVEESEVQPEIVLVSPVTQTSPATMFNDIYDDEIEFGFFKPSRGNFVTSTPAQGVHLVNIDEYFGNKEEESTHEQEAPVFVAPTSSTFSKLTRRKSLAANQAVQPSVTESSKPERSDPKDSSIDCLTANLGRRLSIGADEIPNLTENNESEITGCKIRRRSEIIKQGDINPWDETLRKKLMCLVRPPQNMHEFQERAPKIQALRDCEVSGEKLHIQTLIGQGGYAKVYRAVTDDQRTVAVKYEVPSCSWEVYICDQMRNRLKDRGLELMAKCCIMEVMDAYVYSTASLLVNQYHEYGTLLEYANNMKDPNWHITCFLITQMARVVKEVHASKIIHGDIKPDNFMITRKIDDKWGKDALMSNDSFVIKIIDWGRAIDMMPLKNQRFKGRAGTEAFDCPEMVDGRSWTYQADYFGFAATMAVVVAGKYAQLTGASVGDYSLNVDIKRRNILRDACYDVINRFLNIPSCDSLPDWNILIKSFSEIWNEKFEASGWRQAVSKFNEACDLAANQK
NP_492153.2 1 964 0.361513278008299 PF02137.18:A_deamin:689:951,PF00035.26:dsrm:189:238,PF00035.26:dsrm:538:599 A-to-I RNA editing regulator adr-1 964 375 10 964 0 Caenorhabditis elegans SwissProt::Q9U3D6 1 SwissProt MDQNPNYNFGYGQAYGSGTDHTSDSTNNYNWASQWSQPESAASLATHTFPQYVSQQQQQQQQQAQQQAQNTYAAMNPISTFMQQQQRAQTFPQKKYGQQGGAPKPSAIRNNNFGAFGGGHALSQEWVQPMSQNQMGGPQGNRFFNNQKGGPFNQNKPNWRQNKPKGPAAPKKFDSTGKSPAMLLHELFKDVSEEYTEVEGVPKKYCCTLKVNGRTFQMESVNKKAAKQKCSELVVRDLRPDVHVTPFEEGVAAKAAAPVKKEIDAASGNGQNNKRNLLQADAISNQPTPKKVSAVKKAKLQLTPVESALSLLDLMQKIIAESAEKYSPVFEASEVPKDPEIPEVEVKKEEVDTNGENVANEKKSGWRKNETMHNVTLKFVEQNKQYTKMGPSRGVLKDMVIREALRDLFNVSHADITTVARRHASNRLGHDTTILQCLNTICSILNCTLTIECEPAEDRPLGIGRAYFMAKCTIIDHNENDLKFEVKSSSLASKAMAKDWVAQETLKNYFAIDPSSCVKTDAVSSQGPCALLHAMLNKQTKQKCKIAYEFKDNVPPVAGQATTTFYCECVIDETDRYIGVGRSKKLAKSEAAMQALKKLFKIDYDPAGNYPLALTSRAMTESKVSPLCRHIAEFCKREYHQMTEYYQIPPSNLFAAFLLVNAQEEKRVLAMGSSIQYIVEPDTLSGANGTSLLHLDAIILARRAMLKAFIHELSTVDSECSIFEKKEEGKAALKPNLRLVLYSNYSPPCIHAVDDAATKKLSYVTPTNLTCVPDDVLTYEQIKETKSLRVHCTADKLFKWNTLGIQGALLSNVLHPIFIDNIFFGSEAPVSDESLSYALQGRLGPNENEREIIVESMPVQMRMHMGISHLWHRGVDSVETLDYNTGRTSKGSPSRVCKAEIFEAYRKLNGVDQAVVNYAKAKEMASEYQYEKKVFYEKLEAAGLGKWQTKPAELVDSFTLAAFD
NP_495641.1 1 184 0.559639673913044 PF02234.19:CDI:7:58 Cyclin-dependent kinase inhibitor 1 184 52 10 184 0 Caenorhabditis elegans SwissProt::Q22197 1 SwissProt MSSARRCLFGRPTPEQRSRTRIWLEDAVKRMRQEESQKWGFDFELETPLPSSAGFVYEVIPENCVPEFYRTKVLTVRTTCSSLDISSTTLTPLSSPSTSDKEEPSLMDPNSSFEDEEEPKKWQFREPPTPRKTPTKRQQKMTDFMAVSRKKNSLSPNKLSPVNVIFTPKSRRPTIRTRSSCSPY
NP_496992.3 1 535 0.30641738317757 Histone-lysine N-methyltransferase mes-2; E(z) homolog; Maternal-effect sterile protein 2; EC 2.1.1.43 773 0 10 535 0 Caenorhabditis elegans SwissProt::O17514 1 SwissProt MSNSEPSTSTPSGKTKKRGKKCETSMGKSKKSKNLPRFVKIQPIFSSEKIKETVCEQGIEECKRMLKGHFNAIKDDYDIRVKDELDTDIKDWLKDASSSVNEYRRRLQENLGEGRTIAKFSFKNCEKYEENDYKVSDSTVTWIKPDRTEEGDLMKKFRAPCSRIEVGDISPPMIYWVPIEQSVATPDQLRLTHMPYFGDGIDDGNIYEHLIDMFPDGIHGFSDNWSYVNDWILYKLCRAALKDYQGSPDVFYYTLYRLWPNKSSQREFSSAFPVLCENFAEKGFDPSSLEPWKKTKIAEGAQNLRNPTCYACLAYTCAIHGFKAEIPIEFPNGEFYNAMLPLPNNPENDGKMCSGNCWKSVTMKEVSEVLVPDSEEILQKEVKIYFMKSRIAKMPIEDGALIVNIYVFNTYIPFCEFVKKYVDEDDEESKIRSCRDAYHLMMSMAENVSARRLKMGQPSNRLSIKDRVNNFRRNQLSQEKAKRKLRHDSLRIQALRDGLDAEKLIREDDMRDSQRNSEKVRMTAVTPITACRHAG
NP_498900.1 1 525 0.259581333333333 G-protein regulator 1 525 0 10 525 0 Caenorhabditis elegans SwissProt::Q95QJ7 1 SwissProt MDVSYYDGPKDEVAEAMLKSAVTAMRLGQYEDGKGRLEEIMEFGTSNFQLLGTIYMYYGRVCRHLNHDAKALEFFEHELNMFKLIFNYPEACDSTRRIVEQALKMGKFPKARRFAEDLIDYTSNKKNGEKYIGQARILFASVCLEGCERDVESNQDEKKKLLSICAEQIAAVKLFNENNTEGAVSETKIMLLEAKCLSLDEKYEESRRKYQECIDFAIKTDQFEAVHIAYYDKALYAETDLLFFIIRDLRSALFYATKFGKERDVVKYKSKLSEEMLRNGEFHEAYLYGLEALVSIRKLGLNEYIGDVLLTIAKCLIALGKRRQAAYFIILGSVLTINQNSFKLFYEQIDVAMNQERSETATDQDVCLAIDSSPDPTSSNDMINKFVVELEHATNVETWEMIVNGIIDDQKKPVAIEKKENEEPVDMMDLIFSMSSRMDDQRTELPAARFIPPRPVSSASKKTTKSHRILPGLRANWTKVQSMKFDGHTMNRILKRSKKSKSSLDSTNSMQGDDTRSDDVTMTSK
NP_499128.1 1 184 0.660909239130435 Histone H3-like centromeric protein hcp-3; CENP-A homolog; CeCENP-A; Holocentric chromosome-binding protein 3 288 0 10 184 0 Caenorhabditis elegans SwissProt::P34470 1 SwissProt MADDTPIIEEIAEQNESVTRIMQRLKHDMQRVTSVPGFNTSAAGVNDLIDILNQYKKELEDDAANDYTEAHIHKIRLVTGKRNQYVLKLKQAEDEYHARKEQARRRASSMDFTVGRNSTNLVDYSHGRHHMPSYRRHDSSDEENYSMDGTNGDGNRAGPSNPDRGNRTGPSSSDRVRMRAGRNR
NP_502407.1 1 698 0.33894340974212 PF00610.21:DEP:27:104 Protein let-99; Lethal protein 99 698 78 10 698 0 Caenorhabditis elegans SwissProt::Q21341 1 SwissProt MSADYSSEKFKATHLFDEILWHFRSNLSLKTNRRGLATAENSFSGKEAVDFLMIEMPRIIPNNVPERDKMQKFLEFMMDMNVISEAFPKKVKQRRPFSESRIYLFMKTLDELKHPKPRSRRSASFSGARKSAKVAQPASPAATMHRPPKARLPRRLSRSNGNIDKAGIDNSSGGVENHGFDDHKDDEIPKKQRTPKILNRSLESICTEEYTEKREVSEMKEKVYDWLPFFKSRRNHTKVNQPTRRSASLDRNHCVLEQEKAEAALRSQKVTTPPIREAPKDVVFMHPQGPLPAVPSRYQNHRTSIAGSNPALLSRGRMYESIMRRSSVVPVADSVQANNECSFWKTELLGRLEQIYDRTLPCEWASKVDGYDIQWNMIEIDHADGIVKSRCQGLQPDYPQTVIQFMDYLVRYPFVTHKKMDTGLEYNVNRIFITLVNRLEDLNAPLQFDECSLIVNLLCKIDSFAAMLDNGPARRWSKVMISSSASSIEEAGLMVDGFSRDLPACGIRASKYRRRALSPFDNRVNLEIQDEKSYEIREQWLIEAIQLVLLSLPTSRRRKLHKFVTFIQSIETNAVFDLADPSNGSSNNREAAIIGLWTGVCSKCRKQQGMLITAVLLANFQSLFAVPVEFIEQVKRLECEEKDRYSGPRYAKITRSRNDWQPPVPDKPQASPAVFKKPLREVACEKTKKGLFTRLLRK
NP_506281.1 1 473 0.326701479915434 PF07738.13:Sad1_UNC:313:441 Sun domain-containing protein 1 473 129 10 473 0 Caenorhabditis elegans SwissProt::Q20924 1 SwissProt MALRHTISPQFSNRHSPPVTRSVSRTGVHQPLDTSTPVTRRDSQPGTITGTIQRFHESADDSEIDLNSSKFIYKEHFSYKEITSMKKEMWYDWLEYRIRMVRRRFVPTWAQFKRTLMAVVLFAMLYKYARDCLFDGTHHNSEGSYADKDANWASEKQKFHQTISNLRAEFSAHDKQLDFKTDHLEKLLENVLEHSKGWKESAIEELKQIKLWQAEISDALQQMKKEIDDAKSTKIIHSTPEKAPETAPTASLPPSSQLQPMHITRRALLGVNVANSLIGASIDHSCSSRPVSAKDGFFYDFMSYFGTFQEGYALLDRDVLSPGEAWCTYDKRATLTVKLARFVIPKSVSYQHVRWSGIVPNHAPKLYDVVACTDSCCTKWQPLVANCEYKERDGSYDEQEQFCSVPTIQNHSPINHVQFRFRENHGDMPKTCAYLIRVYGEPVDPPKETQPMTDNGTESKLESAIVNSVSETA
NP_509889.1 1 174 0.31888275862069 PF01847.16:VHL:19:95 von Hippel-Lindau tumor suppressor homolog 174 77 10 174 0 Caenorhabditis elegans SwissProt::Q19213 1 SwissProt MSDGSMDDDGRLFPDLGSSTHDNREIRVRFLNRCAYPVDVFWLNPSKQPTKYGTLAQKKYLDIKTFKDHPWVARRSFDGCKVLVNEKEVFWPEPAPRMNLIVRNHCVITMKVQSLREIAGRSFLRHNPTEVPNKIKGLPRELQFEVKHFLDRKQEYSEIVCRSIPPPGPQRPQQ
NP_511160.2 1 677 0.515780206794682 PF14598.6:PAS_11:539:645,PF08447.12:PAS_3:550:638,PF00010.26:HLH:279:325 germ cell-expressed bHLH-PAS, isoform C 959 154 10 677 0 Drosophila melanogaster NP_511160.2 1 RefSeq MEGASRSRNSSTSHSQGRGQDIEDLKQDIPYFDEPPALDADLLVLGKSECQLDELAWDRDADGDADAPLETAPAVDLEEDNYPDENESSVLGSDYAPSGSGSGANSFYQSPTPSATGSGCDLMLRPPSNSMYHFNYRSPGSPMPVAPGVTNSRGLHPYAHSPAHGNPPGFYPNMWYPNAPYGSAGAAGSAGGAVSGGRYMGYGPGGVPGGTNSGPGAGPGAMQAAYPGHSAHMHALHHQYPQPHPHAHHPQHPHHSPHPHHPHPHETMMEMFQLSNSGREARNRAEKNRRDKLNGSIQELSTMVPHVAESPRRVDKTAVLRFAAHALRLKHAFGNSLMQQRPQITDTLMDMLDSFFLTLTCHGHILLISASIEQHLGHCQSDLYGQSIMQITHPEDQNMLKQQLIPTELENLFDAHGDSDAEGEPRQRSKAEEDAIDRKLREDRRSFRVRLARAGPRSEPTAYEVVKIDGCFRRSDEAPRGVRSNHFSSNLQLIRRTRGRDDVIPLHTISGNDIILTGCARIIRPPKIASRLIDANTLEYKTRHLIDGRIIDCDQRIGIVAGYMTDEVRNLSPFTFMHNDDVRWVIVALRQMYDCNSSYGESTYRLFTRNGNIIYLQSKGYLEIDKETNKVHSFVCVNTLLGEEEGKRRVQEMKKKFSVIINTQIPQSTIDVPASEH
NP_523416.2 1 180 0.149631111111111 Amnesiac neuropeptides 180 0 10 157 1 Drosophila melanogaster (Fruit fly) SwissProt::Q24049 1 SwissProt MRSFCCCFYPAAVALHCVLLFYTFFLLFRASALRRRVVSGSKGSAALALCRQFEQLSASRRERAEECRTTQLRYHYHRNGAQSRSLCAAVLCCKRSYIPRPNFSCFSLVFPVGQRFAAARTRFGPTLVASWPLCNDSETKVLTKWPSCSLIGRRSVPRGQPKFSRENPRALSPSLLGEMR
NP_523647.2 1 376 0.0280420212765957 PF02949.20:7tm_6:59:364 Odorant receptor 43a 376 306 10 218 7 Drosophila melanogaster (Fruit fly) SwissProt::P81917 0 SwissProt MTIEDIGLVGINVRMWRHLAVLYPTPGSSWRKFAFVLPVTAMNLMQFVYLLRMWGDLPAFILNMFFFSAIFNALMRTWLVIIKRRQFEEFLGQLATLFHSILDSTDEWGRGILRRAEREARNLAILNLSASFLDIVGALVSPLFREERAHPFGLALPGVSMTSSPVYEVIYLAQLPTPLLLSMMYMPFVSLFAGLAIFGKAMLQILVHRLGQIGGEEQSEEERFQRLASCIAYHTQVMRYVWQLNKLVANIVAVEAIIFGSIICSLLFCLNIITSPTQVISIVMYILTMLYVLFTYYNRANEICLENNRVAEAVYNVPWYEAGTRFRKTLLIFLMQTQHPMEIRVGNVYPMTLAMFQSLLNASYSYFTMLRGVTGK
NP_523689.1 1 385 0.0193394805194805 PF02949.20:7tm_6:57:375 Odorant receptor 47a 385 319 10 255 6 Drosophila melanogaster (Fruit fly) SwissProt::P81921 1 SwissProt MDSFLQVQKSTIALLGFDLFSENREMWKRPYRAMNVFSIAAIFPFILAAVLHNWKNVLLLADAMVALLITILGLFKFSMILYLRRDFKRLIDKFRLLMSNEAEQGEEYAEILNAANKQDQRMCTLFRTCFLLAWALNSVLPLVRMGLSYWLAGHAEPELPFPCLFPWNIHIIRNYVLSFIWSAFASTGVVLPAVSLDTIFCSFTSNLCAFFKIAQYKVVRFKGGSLKESQATLNKVFALYQTSLDMCNDLNQCYQPIICAQFFISSLQLCMLGYLFSITFAQTEGVYYASFIATIIIQAYIYCYCGENLKTESASFEWAIYDSPWHESLGAGGASTSICRSLLISMMRAHRGFRITGYFFEANMEAFSSIVRTAMSYITMLRSFS
NP_524245.3 225 485 0.215290421455939 PF00001.21:7tm_1:18:149 Neuropeptide F receptor; DmNPFR1 485 132 10 192 3 Drosophila melanogaster (Fruit fly) SwissProt::Q9VNM1 1 SwissProt KELINTDTPALLQQIGLQDTIPYCIEDWPSRNGRFYYSIFSLCVQYLVPILIVSVAYFGIYNKLKSRITVVAVQASSAQRKVERGRRMKRTNCLLISIAIIFGVSWLPLNFFNLYADMERSPVTQSMLVRYAICHMIGMSSACSNPLLYGWLNDNFRKEFQELLCRCSDTNVALNGHTTGCNVQAAARRRRKLGAELSKGELKLLGPGGAQSGTAGGEGGLAATDFMTGHHEGGLRSAITESVALTDHNPVPSEVTKLMPR
NP_536698.2 133 269 0.0789007299270073 PF00029.19:Connexin:10:94 gap junction gamma-3 protein 269 85 10 91 2 Mus musculus NP_536698.2 0 RefSeq HSKDVSGAKSLKLLWAYVAHLGVRLALEGAALGVQYNLYGFKMSSTFICREDPCIGSTTCFQSHPSEKTIFLNIMFGISGACFLFIFLELALLGLGRFWRIYKHKLSFLKKLPTSESSVRSKDTTDELSVVEAKEPF
NP_563636.1 1 235 0.150213191489362 PF05648.14:PEX11:13:225 Peroxisomal membrane protein 11C; Peroxin-11C; AtPEX11c 235 213 10 235 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQ73 1 SwissProt MSTLETTRAELGLVVVYLNKAEARDKICRAIQYGSKFLSDGQPGTAQNVDKNTSLARKVFRLFKFVNDLHALISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRTGIYKDKERAEILGRISLFCWMGSSVCTSLVEVGELGRLSASIKKLEKEIGNKDKHQNEQYRAKVEKSNERSLALIKAGMDVVVAFGLLQLAPKKVTPRVTGAFGFASSLISCYQLLPSHPKSKMV
NP_563994.1 1 232 0.386488362068966 PF05739.19:SNARE:173:224 Syntaxin-51; AtSYP51 232 52 10 209 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SA23 1 SwissProt MASSSDSWMRAYNEALKLSEEINGMISERSSSAVTGPDAQRRASAIRRKITIFGNKLDSLQSLLAEIHGKPISEKEMNRRKDMVGNLRSKANQMANALNMSNFANRDSLLGPDIKPDDSMSRVTGMDNQGIVGYQRQVMREQDEGLEQLEGTVMSTKHIALAVSEELDLQTRLIDDLDYHVDVTDSRLRRVQKSLAVMNKNMRSGCSCMSMLLSVLGIVGLAVVIWMLVKYM
NP_564956.1 1 345 0.65903304347826 PF16136.5:NINJA_B:110:223,PF07897.11:EAR:41:74,PF16135.5:Jas:272:335 Ninja-family protein AFP1; ABI five-binding protein 1; ABI5-binding protein 1 345 212 10 345 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQ98 1 SwissProt MAEANERSKEMARSNSCVFPRDLLQRFISNSVEGEDDDEEEDDDEIELNLGLSLGGRFGVDKSNKLVRSSSVVVTMPLFREDHHHHQAAAMITTKVSTETVAGATRGTGLMRTTSLPAESEEEWRKRKEMQTLRRMAAKRRRSEKLRTGVGGGNSNNPEEAATATASRRRGRPSSGLPRWSATANKSGLLRQHSAGLDSLQVSGESLGGGRAAGSSSSVSELETKASSDEARSLPSTTQPQQETTTKPTNRLRRLSSVDMNMKMEPQGKGKSEMPCVFTKGDGPNGKRVDGILYRYGSGEEVRIMCVCHGDFLSPADFVKHAGGPHVDHPLRHIVVNTSSPSNLL
NP_565254.1 1 516 0.033322480620155 PF13520.6:AA_permease_2:33:489,PF00324.21:AA_permease:50:500 Amino-acid permease BAT1; Bidirectional amino acid transporter 1; GABA permease; AtGABP 516 468 10 223 13 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZU50 0 SwissProt MGLGGDQSFVPVMDSGQVRLKELGYKQELKRDLSVFSNFAISFSIISVLTGITTTYNTGLRFGGTVTLVYGWFLAGSFTMCVGLSMAEICSSYPTSGGLYYWSAMLAGPRWAPLASWMTGWFNIVGQWAVTASVDFSLAQLIQVIVLLSTGGRNGGGYKGSDFVVIGIHGGILFIHALLNSLPISVLSFIGQLAALWNLLGVLVLMILIPLVSTERATTKFVFTNFNTDNGLGITSYAYIFVLGLLMSQYTITGYDASAHMTEETVDADKNGPRGIISAIGISILFGWGYILGISYAVTDIPSLLSETNNSGGYAIAEIFYLAFKNRFGSGTGGIVCLGVVAVAVFFCGMSSVTSNSRMAYAFSRDGAMPMSPLWHKVNSREVPINAVWLSALISFCMALTSLGSIVAFQAMVSIATIGLYIAYAIPIILRVTLARNTFVPGPFSLGKYGMVVGWVAVLWVVTISVLFSLPVAYPITAETLNYTPVAVAGLVAITLSYWLFSARHWFTGPISNILS
NP_565263.1 1 271 0.57840147601476 PF00046.29:Homeodomain:61:118 WUSCHEL-related homeobox 6; Protein PRETTY FEW SEEDS 2 271 58 10 271 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZVF5 1 SwissProt MGYISNNNLINYLPLSTTQPPLLLTHCDINGNDHHQLITASSGEHDIDERKNNIPAAATLRWNPTPEQITTLEELYRSGTRTPTTEQIQQIASKLRKYGRIEGKNVFYWFQNHKARERLKRRRREGGAIIKPHKDVKDSSSGGHRVDQTKLCPSFPHTNRPQPQHELDPASYNKDNNANNEDHGTTEESDQRASEVGKYATWRNLVTWSITQQPEEINIDENVNGEEEETRDNRTLNLFPVREYQEKTGRLIEKTKACNYCYYYEFMPLKN
NP_565264.1 1 151 0.285635761589404 PF00407.19:Bet_v_1:2:150 MLP-like protein 328 151 149 10 151 0 Arabidopsis thaliana NP_565264.1 1 RefSeq MATSGTYVTEVPLKGSAEKHYKRWRSENHLFPDAIGHHIQGVTIHDGEWDSHGAIKIWNYTCDGKPEVFKERREIDDENMAVTFRGLEGHVMEQLKVYDVIFQFIQKSPDDIICKITMIWEKQNDDMPEPSNYMKFVKSLAADMDDHVLKA
NP_565789.2 1 186 0.178606989247312 PF13460.6:NAD_binding_10:53:171,PF05368.13:NmrA:49:160,PF01370.21:Epimerase:49:166,PF01073.19:3Beta_HSD:51:170 Uncharacterized protein At2g34460, chloroplastic 280 123 10 186 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H124 1 SwissProt MATSLLLRHSSAVFFSQSSFFTKNKSFRSFTSIKMEKGEAENAVKTKKVFVAGATGQTGKRIVEQLLSRGFAVKAGVRDVEKAKTSFKDDPSLQIVRADVTEGPDKLAEVIGDDSQAVICATGFRPGFDIFTPWKVDNFGTVNLVDACRKQGVEKFVLVSSILVNGAAMGQILNPAYLFLNLFGLT
NP_565899.1 1 317 0.636637539432177 Ricin B-like lectin EULS3; Euonymus lectin S3; AtEULS3 317 0 10 317 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q945P1 1 SwissProt MEHHHQHHRHHQRDDGEDDRQSFGVPPPHVDAPPQPHGLYQSQPHFDPYAPTPQAPAPYRSETQFEPHAPPPYRSEPYFETPAPPPSFGHVSHVGHQSPNESYPPEHHRYGGYQQPSNSLLESHGDHSGVTHVAHHSSNQPQSSSGVYHKPDENRLPDNLAGLAGRATVKVYSKAEPNYNLTIRDGKVILAPADPSDEAQHWYKDEKYSTKVKDADGHPCFALVNKATGEAMKHSVGATHPVHLIRYVPDKLDESVLWTESKDFGDGYRTIRMVNNTRLNVDAYHGDSKSGGVRDGTTIVLWDWNKGDNQLWKIFPF
NP_565953.1 1 297 0.263779461279461 PF04759.13:DUF617:129:296 Protein MIZU-KUSSEI 1 297 168 10 297 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22227 1 SwissProt MVPYQELTLQRSFSYNSRKINPVTSPARSSHVRSPSSSALIPSIPEHELFLVPCRRCSYVPLSSSSSASHNIGKFHLKFSLLLRSFINIINIPACKMLSLPSPPSSSSSVSNQLISLVTGGSSSLGRRVTGTLYGHKRGHVTFSVQYNQRSDPVLLLDLAMSTATLVKEMSSGLVRIALECEKRHRSGTKLFQEPKWTMYCNGRKCGYAVSRGGACTDTDWRVLNTVSRVTVGAGVIPTPKTIDDVSGVGSGTELGELLYMRGKFERVVGSRDSEAFYMMNPDKNGGPELSIFLLRI
NP_566053.1 1 367 0.261970844686649 PF08610.10:Pex16:2:358 Peroxisome biogenesis protein 16; Peroxin-16; AtPEX16; AtPex16p; Protein SHRUNKEN SEED 1 367 357 10 367 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8S8S1 1 SwissProt MEAYKQWVWRNREYVQSFGSFANGLTWLLPEKFSASEIGPEAVTAFLGIFSTINEHIIENAPTPRGHVGSSGNDPSLSYPLLIAILKDLETVVEVAAEHFYGDKKWNYIILTEAMKAVIRLALFRNSGYKMLLQGGETPNEEKDSNQSESQNRAGNSGRNLGPHGLGNQNHHNPWNLEGRAMSALSSFGQNARTTTSSTPGWSRRIQHQQAVIEPPMIKERRRTMSELLTEKGVNGALFAIGEVLYITRPLIYVLFIRKYGVRSWIPWAISLSVDTLGMGLLANSKWWGEKSKQVHFSGPEKDELRRRKLIWALYLMRDPFFTKYTRQKLESSQKKLELIPLIGFLTEKIVELLEGAQSRYTYISGS
NP_568256.1 1 569 0.229637434094903 PF04124.12:Dor1:29:362,PF08700.11:Vps51:20:101 Conserved oligomeric Golgi complex subunit 8; COG complex subunit 8; Component of oligomeric Golgi complex 8 569 343 10 569 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84K25 1 SwissProt MAMEVGEMSQPEATASLLSLASATQQPYVSELLSFTLDRLHKEPELLRVDAERIQRQMQEVAVGNYRAFITAADALLAIRQEVSSIDKHLESLIGEVPKLTSGCTEFIDSAENILEKRKMNQALLANHSTLLDLLEIPQLMDTCVRNGNFDEALDLEAFVSKLATLHPKLPVIQALAAEVRQTTQSLLSQLLQKLRSNIQLPECLRIIGYLRRIGVFGEYEMRLQFLRCREAWLTGILEDLDQKNAYEYLKGMINCHRMHLFDVVNQYRAIFSDDTSGSEENYDGGLLFSWAMHQITSHLKTLKIMLPKITEGGSLSNILDQCMYCAMGLGGVGLDFRGLLPPLFEEAVLNLFSKNMSTAVENFQLVLDSHRWVPLPSVGFPSSGINEDSKDDVTPPSYLMEHPPLAVFINGVSSALNELRPCAPLSLKNVVAHELIKGLQAVSDSLLRYNTTRMLRLSESNLFLSLCRAFVEVVFPHCATCFGRCYPGGATIVMDAKSAYEGLGRILAASSSQEPSNKSPKVISTDTKDASENGVASQPEEKQAENPNAKEEDNSPIPLQTPEITPES
NP_569881.1 1 319 0.168736677115988 PF07165.11:DUF1397:55:271 uncharacterized protein 319 217 10 319 0 Drosophila melanogaster NP_569881.1 1 RefSeq MSSWSLCPLLLAFAVHAVLGSVDLAGSLDPSLLENVDVDQLRSNYLPQGLQNTNVTLADFQKWLQSKCEKANDHLPKGSVNASALSKSIEDAGIHLAECLSGLANMTEIQAEIEEASPKGDLDVVFEKYCLRLPQAKTCLKNFNDAILPCLTTDEKTHNAVLQRIADKLLEFICYKNGDQIALFIAEEGPECLQQSREGIANCLNSSFAGYLPKSISPEWDLPQLVLGPKQCVDLYAFETCTVSLLEKCDTITPSNIVESMFRYVRKESSCQPHIDRVKLQHRRALPLTSGSQSGSSVSLHLTWTSASLLMATFLARLA
NP_571153.1 68 328 0.528155938697318 PF07527.13:Hairy_orange:51:90 transcription factor HES-7 328 40 10 261 0 Danio rerio NP_571153.1 1 RefSeq TKTATARDQGDSSKDTHDPKPPPLLSRRPQMPCASIPESIQTHNSPSNPIYKAGFKECISRSASFIDCVEPSQRDSFVQGLCHHLDSYSSALPHGRVSSNPTHHPWIPNPELSCRTDVQSIGHMRANPEPYSYANSLYPKSFMHLHPTGQHPYLSPPYSISPPPSPGFSSSSPPFSSSPTYLSVPCQFPFPPSISPHSTDSSSSSTLSTVSLSTTSLPVVPGPHLQVSSPTRVRFSGSVQSSQPRTLRRALFHNQPLWRPW
NP_571221.2 90 340 0.265594422310757 PF00067.22:p450:33:241 cytochrome P450 26A1 492 209 10 251 0 Danio rerio NP_571221.2 1 RefSeq RVMGADNVRQILLGEHKLVSVQWPASVRTILGSDTLSNVHGVQHKNKKKAIMRAFSRDALEHYIPVIQQEVKSAIQEWLQKDSCVLVYPEMKKLMFRIAMRILLGFEPEQIKTDEQELVEAFEEMIKNLFSLPIDVPFSGLYRGLRARNFIHSKIEENIRKKIQDDDNENEQKYKDALQLLIENSRRSDEPFSLQAMKEAATELLFGGHETTASTATSLVMFLGLNTEVVQKVREEVQEKVEMGMYTPGKG
NP_572225.1 1 435 0.0774324137931035 PF10324.9:7TM_GPCR_Srw:98:405,PF00001.21:7tm_1:110:355 Sex peptide receptor 435 308 10 279 7 Drosophila melanogaster (Fruit fly) SwissProt::Q8SWR3 1 SwissProt MDNYTDVLYQYRLAPSASPEMEMELADPRQMVRGFHLPTNESQLEIPDYGNESLDYPNYQQMVGGPCRMEDNNISYWNLTCDSPLEYAMPLYGYCMPFLLIITIISNSLIVLVLSKKSMATPTNFVLMGMAICDMLTVIFPAPGLWYMYTFGNHYKPLHPVSMCLAYSIFNEIMPAMCHTISVWLTLALAVQRYIYVCHAPMARTWCTMPRVRRCTAYIALLAFLHQLPRFFDRTYMPLVIEWNGSPTEVCHLETSMWVHDYIGVDLYYTSYYLFRVLFVHLLPCIILVTLNILLFAAMRQAQERRKLLFRENRKKECKKLRETNCTTLMLIVVVSVFLLAEIPIAVVTAMHIVSSLIIEFLDYGLANICIMLTNFFLVFSYPINFGIYCGMSRQFRETFKEIFLGRLMAKKDSSTKYSIVNGARTCTNTNETVL
NP_572805.1 1 470 0.607247021276596 uncharacterized protein 470 0 10 470 0 Drosophila melanogaster NP_572805.1 1 RefSeq MQQKVEEKIDPLTRIQEEIKEVVRREEEYRQLATLSSSASITSASPDFETYTVNSNFEPKLQQDDEHDLVESPDQDQGMLVTAQPAAVQTTSLLLPIDELSNTPSLASSVNSAKEESLDGQHSDDSGISASSQSNILITGITTNVAKQQPLKLTVVTRQEQRYIGPNCYNLTPEPPQQKLITRTISTPQLSGLPQKRQFAFGGATKGVMQRFIASHGKLGNTSPLPAASPMTLSLGAANGNGGLNVNGQINNISSSNNNNNNNNNTLKLNTRTAMAFLESGGSTGGINSSSAALSSAAIERDSEGRPLRRGYVPVEQKIQRELQDLKSRESELKRLRKINRQNTLKASLDKLQLSTDDEADADDDDEDSEVEHCYGPGKLRNAQSTQELDRNGNEQDIVHKPSGNRSLNATAYIANGISNGNGNGMRPAMSLAQLCDLTPEEAPSSRGLIAQWENLIKKNAEVGTVEAII
NP_587877.1 355 920 0.27306890459364 PF00328.22:His_Phos_2:34:507 Inositol hexakisphosphate and diphosphoinositol-pentakisphosphate kinase; Cortical actin cytoskeleton protein asp1; InsP6 and PP-IP5 kinase; EC 2.7.4.21; EC 2.7.4.24 920 474 10 566 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O74429 1 SwissProt KQMFHVAERHRRNRVPSVQEVLNPPPRESEAWRLKSLVGVLRHADRTPKQKFKFSFTSDPFVKLLQGHTEEVILRNEQLNSVLAATNLATELKCEDINKLKQLRLALETKKDLPGTKVQLKPAYSPEGKLLKLQLIIKWGGEFTHSARYQSKDLGEQFHKDLYIMNRDCLKDVEIYTSSERRVSASAEIFAMAFLEQETIPSDLLKVRKDLLDDSNAAKDTMDKVKKHLKSLLRVGDTARKEFTWPENMPKPCEVMQQVVQLMKYHRAVMRENFIILGPEVEQVQSRWCCNENPALFRERWEKLFSEFCDSEKADPSKVSELYDTLKYDALHNRQFLERIFTPYQYLKLPQSPSLIAKEPPQRTDSNGNLVGMTGANTNHTERPLEKLYELYDLAKVLFDFVSPQEYGIEPKEKLEIGLLTSVPLLRQIIHDIKEARDSDHASTRMYFTKESHIYTLLNCILESGLPMKLPRNQIPELDYLTQICFELFERTNPSGNKEFSVRITLSPGCYAQCPLDMNLDAKHCISVSPRRSLTRHLDLQQFITKTEDLCNSVHLPKRFIPVNIN
NP_588152.1 1 577 0.107560485268631 PF12937.7:F-box-like:139:177,PF00646.33:F-box:138:173 F-box/TPR repeat protein pof3 577 40 10 577 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O74991 1 SwissProt MNNYQVKAIKEKTQQYLSKRKFEDALTFITKTIEQEPNPTIDLFELRAQVYEKSGQYSQAELDAKRMIHLNARNARGYLRLGKLLQLDGFDKKADQLYTQGLRMVHKMDPLRPVLKKVSQRLNERILRTRPVLDLFRILPREVLLCILQQLNFKSIVQCMQVCKHWRDCIKKEPSLFCCLDFSCASPRSVNSRDRNVMAVARYSVYSKDNIQEVIGLEKLGILTPTKALLRSVKSLKVYKTISPLHTQSTDKLYTIWTPFSELHYFYCATPITFSIASKILSCCKKLKQVELVDLIPDLIFDSMDWDKLFNAESVPLALKSLTFIRNQKFPFHHKEQQFLKDLLSASPYLEYLEASYQSDLVAAIKKYKINLRSLIIIDEGVSNTVKDLAFLPQSLTTLIVKPCNPASTILCPYLFPTNVRMESLINLELFLYLRLSQNDIDNVVKFLTSCYKLKKLVLHDSLALAPHFFEIFASLPELEHLEIPDNVALQNKHAIHITDCCPNLKYVNFSNSISLDGSGFIAVLRGLKELKRIDIINCDSVSRDAIDWARSKGMQVTVASSLPNSQPLGTKKIRLI
NP_594856.2 256 1123 0.147573271889401 MAP kinase kinase kinase win1; EC 2.7.11.25 1436 0 10 868 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O74304 1 SwissProt YNDPSLSIRRDSSTYYFSNVNETYDEEDSDLDSETSTVNWVQSVLNLPSLLSDDLMANPKNKERFEWQYMLTSVLTGDIVRSEKLRLRKIASSREGRNSDYSDNLWMEIWCWLTHRSVDSYRENLKHLRTGMVDVLLAIMNFHWDESNELTPIVAVDNMLQKLDKYERLYPSRRSILQEHSLYASESFQHKLDVLTAYSNVTHALEIQVNIIRSWVGNEEMDITKNTTNSINNVSQISNGPFVERFYRETGLIRAFEQRIMTNMNSVLSKVCNTIVTYADDLKSYGLPLIADDYMRLLSFPFRLIKEFLNLRLSCAENITSISLFTIDSLLDDLRNTMKVAVHIIQQHTVLIKPFRDDSKFVDENQSLNNILVASLKFYFNLLHRKVRNGCALLHFKETEILEGEWDFLLAVCPHIEHGFQIMSKSLSSLVGEILTNINRYLKDQLQGPDTDDSALITSFYIKVLDCVRIRFRKLMSFTRILKAHLENSCEYVIKENSLSLLIQRLEESNHVLTYTASIEHEGAYVIVPGHLVDSPNILREVLSMTFNKGDNNFESVPPYAVVLAPDSSICWNGHVTDLDIPEVSISIAPNCVRLVTLATANQLSVIEDYFISIVGDTVSLVDSAKANSSKINKQMTKIKRNSLKLALSLLDVIQTIRTRYHGMNCQNLIHYSFSYAIEFAQRLMRLSILDASSIGLIRRKMIQLAISWVGFIYEDCSPTDRNTFRWTVTALEFAMIMTYGSNILMIDKKSFEELKEKVGKCVALLLLHFDVMGTKHAGRSMDQQAGDIPARLVRNNSDRSRLSDNELASFVKEEVMHRIIELESNRRDRLYKSQLIGRVLDDTTKENRLLKELASSKSNITIRWQQG
NP_594981.1 1 124 0.565196774193548 PF08591.10:RNR_inhib:32:61 S-phase delaying protein 1; Protein p14 124 30 10 124 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q10585 1 SwissProt MHSSKRVMTTKTHVEQPESSMRPQLPESIQGSLMDVGMRVRKSISTGYKSKQTTFPAYNPPLYNTVSENIALKNTAFSYEPNGTKRPFEQAIPNYNWANPPQDFEEPEWLKPFDVVMEGTNERL
NP_596050.1 196 571 0.432755585106383 Exodeoxyribonuclease 1; Exodeoxyribonuclease I; EXO I; Exonuclease I; EC 3.1.-.- 571 0 10 376 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::P53695 1 SwissProt RRNDIANAQDLNLRLPIEKLRHMAIFSGCDYTDGVAGMGLKTALRYLQKYPEPRAAIRAMRLDKSLKVPVSFEKEFALADLAFRHQRVYCPKDKTLVHLSPPERELSVHEDAFIGSFFDNQLAIDIAEGRSNPITKCAFDIKDSSMQSFTKTTITISKRKGISKTDISNFFMKSIPPSKRPTKSTSLIDVTNVKVQRTHLANDISSEKQSIKSANEKAYVTPKSNSLKPGFGKSLSDISNSATKNENVPFLPPRTGVSKYFKLQKNTEKEIDEQVPSQSNNTTPTSAKSDSASPQNWFSSFSYQTPNSASPPFSSLSHTLPISALAKIGHDALNRKNHASLPSRRIVYKPPSSPSTPISMNPRPKGILSLQQYKFR
NP_608631.1 1 310 0.643148709677419 Fez family zinc finger protein erm; Earmuff 611 0 10 310 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9VQ56 1 SwissProt MVYFSPRGMQPCSPAGEIAMMPPSKSPVMESAASEQNPAQQSQQQDEQSAKRACPLKFSIAKIMEPDHRPSQVPPPQPAPVSFATNDDDEDEDPEIDADSERSCSPIEVISLDQSPSTVNYDSAFKKYVPGPCSGATSSVASPPSTAAVQQFVSSRHQELLSQYPLLYYAPNQLMCAAAAAQYAALTAQQQSLASAAHLSSFTASLNASLHHSQSLRRNLGHPLAAAAAVAAVAQSQAVPNLQHTLEKSPVAQRTAQSSGLQANLKRKRSPQDQGEVTPPPASTATSATGARSRSPSPQGSIEDSSPGSA
NP_609141.1 1 142 0.259490845070423 PF15955.5:Cuticle_4:63:141 uncharacterized protein 142 79 10 142 0 Drosophila melanogaster NP_609141.1 1 RefSeq MKFAVAVVMFVCALAGAHASWAQIAPGVSYVSPVAHGAGLWNGAWNGAWNGAWNGAWNGAPWGAPAAVAVHASAAPWGLTGAGWHGAAVPGINLAQGPGLAAGHGHEGVYVAKTRGAIHTAPLAGHINSATSVNVAPAPGTL
NP_609429.1 1 728 0.584985302197802 uncharacterized protein 1087 0 10 728 0 Drosophila melanogaster NP_609429.1 1 RefSeq MTIVLNLPVCVLFLLGTLVPSNSQKWIPYANPLDEQSQLQEIGLSDQNPDNFEQSQSQSQDQIVAQILPSDASLSGGQPPNNIIQLVDEGMDRPLLLQPQNRPIETVDQSQNLIQRKLQSQSQAQALAESQAQSQAQSQAQSQAQSQAQLQAQLQAQSQAQSQAQSQAQLQSQLQSQIQSQLQSDLQSQSQLQAQLQSQLDALKQQSGLQKLAFGQLKPQVSDQPILILDSIPKPRPQFVLLPPQNGPVQQDPNLRYVIIPSPPKRKRRISPDSSTIPKVYILTPDKQIKSNTSINIDQLLKLYKYKTDKERKIAELKRQIKELKKQNLFNLVPALQLRPAIPAIELQLPVESQSEIQSQIASQQSGSLSQLQSQQNSKSSGSQSNAQSSGSQSQYQLQSDSQSSGSQSQLQSQSNPQSSGTQSQLQSQNRRLQSQLQSQNRGSQSQLQSQINPQSSGSQSQLQSQINPQSSGSQSQLQSQNRGSQSQLQSQNRGSQSQLQSEISPQSSGSQSQLQSQIDLHSDASQSQLQSQLGYDSTGSQSQLQSQLDSQLSGAQSQLQSQFNSQSSESQSQLQSQAKFRSLGAQSQSQSQLNSQSLGSQSQSQSQLNSQSSGSQSQSQSQLNSQSSGSQSQSQSQLNSQSLGSQSQSQLQIRSQLGTQTLESQSPSEVLLHIQGPSTYQDNLAESNIQTQKNRINVVTPEYKVFKTPNYLTQEDILDNTKLTTVD
NP_610695.1 1 555 0.353412432432432 uncharacterized protein 555 0 10 532 1 Drosophila melanogaster NP_610695.1 1 RefSeq MSTKRIIALFGLLTIHQTHADVSHFFASPLEHYGNYLHGQVPFQVGLSPSNLYGPPPAAPITPAPVAPVATTEFSLPEIIENRSVKIQGSGHGNFIPLSQHYLPPAVDERPNYESPKPSEESYPAYYYPQPGGSIITTSTPTTTTTSRPIIVQDPGDDVETIHSPGYDYHAPVAVPVFPQKPSTPAPVYLPPSDGNQDQNQLRLRLKDMRCLSAGYFRAVLKLDSFLGAAPTVDQDNDDQQDKRCELRLSRSFLLLDISGENFERCGVRSCGQDLCLRLRFPAIRGLRTSGDSILTLHCKAQERVAVKTHALKMGVANDVQARSGGSYAHGGDQNAFRTHVELLRKGSTGYTRHLENNGAVQLGEELLLRAHVLAGDGWNYTKLSDVQLQRIATGGEILNTVQLVSSRGCLNPAMQAICAHPPILEPPLGQRLHFKAVMFPGMRSGEVLVISMRITGCLEREDCQVTAQDCLPSVGQRRRRNVSHGNSTEVSELSHLTFRVLMPGEEDVSPKDRDIEIGDSGIRETSKSLALFGSLGFVVMLMGVAVVALYKFGK
NP_610912.2 1 377 0.453711671087533 PF00130.22:C1_1:319:368 tumbleweed 625 50 10 377 0 Drosophila melanogaster NP_610912.2 1 RefSeq MALSALASFDDLRRCMQVLTDGTPEEEFLRFLRMFEQYHEKCAGYAAETARIQNELDKSLTKMGDLEGKLFHARRIIDMEIKARRQAEHERDAMESKIMAVADLLRHERNLNNETRDKLAFLHTLPSSRKRKSLNAVREDKSYGDINSTGSLLSDLSITHSEDDFLDVRTSKSWREHRPSLPKNQIPSVGNKRSRLSTGLNGSMSGTTPTTGKSRRSSVGIGVEQHTVDVGQGAERFCATTKVTIPQDGQGVIRAESTIESLPVIAGNERIGDGLSSTPRRSVLKEATAPPLTPVNAMAPHVVAESGTPLQHRPLMRNHTFSQKTFLRGDNCVQCQKRIRFGAVGLRCRDCPVRCHIDCRYLLTVSCVPQTGTPTTK
NP_647924.2 104 311 0.138242788461538 PF00153.27:Mito_carr:7:99,PF00153.27:Mito_carr:107:197 uncharacterized protein 311 184 10 188 1 Drosophila melanogaster NP_647924.2 1 RefSeq NFGNYPSMVASMTMGIVAGAFGAMCGNPAEVALIRMMSDNRLMPEDRRNYKNVGDAFVRIVKDEGVVALWRGCLPTVGRAMVVNMVQLASYSLMKNQLHGYLSEGIPLHLTAALVSGLLTSVTSMPLDMAKTRIQQMKVIDGKPEYSGTIDVLKKVLKNEGAFAVWKGFTPYLMRMGPHTIFSFVFLEQMNKAYSKHMLSDSLSDSVP
NP_648431.3 1 708 0.391972598870056 PF03914.17:CBF:583:681 uncharacterized protein 1174 99 10 708 0 Drosophila melanogaster NP_648431.3 1 RefSeq MPAAVATGVQFGGPPKNKKIVFDDSGEAVVKQNKKEHPQRPKFEGKEQVKKPQKIKFGEDGKAKGAKSFNKNHQKPDFANKPQRIKFGDDGEQVASKSFNQNHKNGPKPQKIKFGEDREAVHQKPFNKNNHKHNGQKSDFANKPQKIKFTDDGEDEVTANSSNTKTEPTKKSQKIKFGDDGESKENFKKPQRIKFDEDGAGKNVSDSDGDSDEELGDSISKKHNKYQSKIDEDEESQKKWYHVHPDYPSTDEVLDMKENDQLELYNLCKNSFEAEKITFNKRNPSDARWLQTALHKGTAKDRANAGALLVTSNPLGNLEALSTLIGFCKISNKASNDVIAVLTDLWQEVLLPPNRKLLAVHTRGADWKKLKKDENLRNEQKRRIYAYWHFESELKDQYHEFLKNVMQGLQTGQEHNKNSSIVSAARLLAYAPEKEQLLLTMLVNKLGDPIAKIASKALHHLSEVAQKHPNMCGVIVAEAEKLLFRNNISERAQHFALCFLSSIAPSGRPEVCTKLVNICFALFKVLVQKGAVNNRTMQAILRCLQKAIVEAKPAKDSNGELLTKEMQDTIYRLVHLADIRVAVQTLGLLLQLVAVKTEKSDRFYNALYVKLLDLNLINVGSKTAAHLLHIVHRAIHIDNHVARAQAFVKRLLQLTLYAPPHIAAGCLIVIHKLLRMRRELIGGTGASEEVEEGSKVVLPISADLDKFG
NP_649509.1 1 246 0.145384959349593 PF06585.11:JHBP:6:244 uncharacterized protein 246 239 10 246 0 Drosophila melanogaster NP_649509.1 1 RefSeq MNKAVCLVIVIQALRMVQAETPPYIKQCHRNDPKLVDCFIGAIEHLKPYLANGIPDIQLPSVEPFKMDTLALQLTEGPQGYKITLKNMEAFGASNFKVTSLKLSEGSEPFKAKIVMPKLKIEAKYTSSGVLLILPASGGGDFHANFEGVSADLTGKTSIHAFKGANYLHIDALSLVLDVKDVKMSISGAFNNNRILLEATNLFLRENSQVVLEAMQAQLQKKLASEFGKLANQLLKNVPVEQFYVD
NP_653189.3 1 340 0.307708529411765 Protrudin; Spastic paraplegia 33 protein; Zinc finger FYVE domain-containing protein 27 411 0 10 274 3 Homo sapiens (Human) SwissProt::Q5T4F4 1 SwissProt MQTSEREGSGPELSPSVMPEAPLESPPFPTKSPAFDLFNLVLSYKRLEIYLEPLKDAGDGVRYLLRWQMPLCSLLTCLGLNVLFLTLNEGAWYSVGALMISVPALLGYLQEVCRARLPDSELMRRKYHSVRQEDLQRGRLSRPEAVAEVKSFLIQLEAFLSRLCCTCEAAYRVLHWENPVVSSQFYGALLGTVCMLYLLPLCWVLTLLNSTLFLGNVEFFRVVSEYRASLQQRMNPKQEEHAFESPPPPDVGGKDGLMDSTPALTPTEDLTPGSVEEAEEAEPDEEFKDAIEETHLVVLEDDEGAPCPAEDELALQDNGFLSKNEVLRSKVSRLTERLRK
NP_653269.3 1 1149 0.276067624020888 PF00400.32:WD40:290:329 Cilia- and flagella-associated protein 251; CFAP251; WD repeat-containing protein 66 1149 40 10 1149 0 Homo sapiens (Human) SwissProt::Q8TBY9 1 SwissProt MSDAAEAPREATGENGETEMKEEEEPNPNYKEVEDPQQESKDDTIAWRESQEEERKTGEEEGEEEGKEDKKIVMEETEEKAGEVQEKEASGIQEETTVEPQEVTASMIRLETQITDSQSITSGIFPKTQRGSKSKLSLQLEDAETDELLRDLSTQIEFLDLDQISPEEQQISSPERQPSGELEEKTDRMPQDELGQERRDLEPENREEGQERRVSDIQSKAGISRESLVSSTTEDILFQKDKSTPVYPLTMTWSFGWNSSLPVYYIREERQRVLLYVCAHTAIIYNVFRNNQYHLQGHANIISCLCVSEDRRWIATADKGPDCLVIIWDSFTGIPVHTIFDSCPEGNGIMAMAMTHDAKYLATISDAEVQKVCIWKWTLAVETPACTLELPTEYGVQNYVTFNPTNNKELVSNSKTRAIYYAWYEERDTLAHSAPLLTEKTFNKLVGKFSQSIFHLNLTQILSATMEGKLVVWDIHRPPSSASTFLGFPYIKPCKLVHLQKEGITVLTTIDSYIVTGDIKGNIKFYDHTLSIVNWYSHLKLGAIRTLSFSKTPATPPTEKSNYPPDCTLKGDLFVLRNFIIGTSDAAVYHLTTDGTKLEKLFVEPKDAICAISCHPYQPLIAIGSICGMIKVWNYENKQYLFSRVFEKGLGVQSLTYNPEGALLGAGFTEGTVYILDAMSLENESPEPFKYSRTSVTHISFSHDSQYMATADRSFTVAVYMLVVRNGQRVWEYLARLRSHRKSIRSLLFGVYLDSNEPRLLSLGTDRLLIEYDLLRSYKDHLEVLDIHHTDQGCYPTCMVWYPPLTRELFLLICNSGYKVKLFNATTKMCRKTLLGPAYGSPIEQTQVLPVRSMAELQKRYLVFINRDKVGLQILPVDGNPHKTSAIVCHPNGVAGMAVSYDGCYAFTAGGHDRSVVQWKITLSVLEAAVSLGGEDLTPFYGLLSGGREGKFYRELEDYFYYSQLRSQGIDTMETRKVSEHICLSELPFVMRAIGFYPSEEKIDDIFNEIKFGEYVDTGKLIDKINLPDFLKVYLNHKPPFGNTMSGIHKSFEVLGYTNSKGKKAIRREDFLRLLVTKGEHMTEEEMLDCFASLFGLNPEGWKSEPATCSVKGSEICLEEELPDEITAEIFATEILGLTISEDSGQDGQ
NP_665684.2 1 359 0.453879944289694 Growth/differentiation factor 10; GDF-10; Bone morphogenetic protein 3B; BMP-3B 476 0 10 359 0 Mus musculus (Mouse) SwissProt::P97737 1 SwissProt MAPGPARISLGSQLLPMVPLLLLLRGAGCGHRGPSWSSLPSAAAGLQGDRDSQQSPGDAAAALGPGAQDMVAIHMLRLYEKYNRRGAPPGGGNTVRSFRARLEMIDQKPVYFFNLTSMQDSEMILTAAFHFYSEPPRWPRAREVFCKPRAKNASCRLLTPGLPARLHLIFRSLSQNTATQGLLRGAMALTPPPRGLWQAKDISSIIKAARRDGELLLSAQLDTGEKDPGVPRPSSHMPYILVYANDLAISEPNSVAVSLQRYDPFPAGDFEPGAAPNSSADPRVRRAAQVSKPLQDNELPGLDERPAPALHAQNFHKHEFWSSPFRALKPRTGRKDRKKKDQDTFTAASSQVLDFDEKT
NP_666206.1 1 447 0.10899932885906 PF00487.24:FA_desaturase:161:419,PF00173.28:Cyt-b5:23:97 Acyl-CoA (8-3)-desaturase; Delta(5) fatty acid desaturase; D5D; Delta(5) desaturase; Delta-5 desaturase; Fatty acid desaturase 1; EC 1.14.19.44 447 334 10 358 4 Mus musculus (Mouse) SwissProt::Q920L1 1 SwissProt MAPDPVPTPGPASAQLRQTRYFTWEEVAQRSGREKERWLVIDRKVYNISDFSRRHPGGSRVISHYAGQDATDPFVAFHINKGLVRKYMNSLLIGELAPEQPSFEPTKNKALTDEFRELRATVERMGLMKANHLFFLVYLLHILLLDVAAWLTLWIFGTSLVPFILCAVLLSTVQAQAGWLQHDFGHLSVFGTSTWNHLLHHFVIGHLKGAPASWWNHMHFQHHAKPNCFRKDPDINMHPLFFALGKVLPVELGREKKKHMPYNHQHKYFFLIGPPALLPLYFQWYIFYFVVQRKKWVDLAWMLSFYARIFFTYMPLLGLKGFLGLFFIVRFLESNWFVWVTQMNHIPMHIDHDRNVDWVSTQLQATCNVHQSAFNNWFSGHLNFQIEHHLFPTMPRHNYHKVAPLVQSLCAKYGIKYESKPLLTAFADIVYSLKESGQLWLDAYLHQ
NP_671717.1 1 403 0.149967245657568 PF10442.9:FIST_C:301:364,PF00646.33:F-box:28:63 F-box only protein 22; F-box protein FBX22p44 403 100 10 403 0 Homo sapiens (Human) SwissProt::Q8NEZ5 1 SwissProt MEPVGCCGECRGSSVDPRSTFVLSNLAEVVERVLTFLPAKALLRVACVCRLWRECVRRVLRTHRSVTWISAGLAEAGHLEGHCLVRVVAEELENVRILPHTVLYMADSETFISLEECRGHKRARKRTSMETALALEKLFPKQCQVLGIVTPGIVVTPMGSGSNRPQEIEIGESGFALLFPQIEGIKIQPFHFIKDPKNLTLERHQLTEVGLLDNPELRVVLVFGYNCCKVGASNYLQQVVSTFSDMNIILAGGQVDNLSSLTSEKNPLDIDASGVVGLSFSGHRIQSATVLLNEDVSDEKTAEAAMQRLKAANIPEHNTIGFMFACVGRGFQYYRAKGNVEADAFRKFFPSVPLFGFFGNGEIGCDRIVTGNFILRKCNEVKDDDLFHSYTTIMALIHLGSSK
NP_680175.2 1 251 0.177390039840638 PF00631.22:G-gamma:52:126 Guanine nucleotide-binding protein subunit gamma 3; Ggamma-subunit 3; Heterotrimeric G protein gamma-subunit 3; AtAGG3 251 75 10 251 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6AWT8 1 SwissProt MSAPSGGGEGGGKESAAGGVSSSSLAPSSLPPPRPKSPPEYPDLYGKRREAARVQMLEREIGFLEGEIKFIEGVQPASRCIKEVSDFVVANSDPLIPAQRKSRRSFRFWKWLCGPCLSLVSFCCCCQSKCSCHLRKPKCCNCTSCSCIGSKCCDGSCCSNICCCPRLSCPSCSCFRGCWCSCPDMSCCIPSCFRSCSCTRPSCLNKKKSSCCSCNCKIRWSSCFSCPKVRLCSCCFCNCKNLCSNPCCLAF
NP_694542.1 1 85 0.227498823529412 PF15215.6:FDC-SP:18:82 Follicular dendritic cell secreted peptide; FDC secreted protein; FDC-SP 85 65 10 67 1 Homo sapiens (Human) SwissProt::Q8NFU4 1 SwissProt MKKVLLLITAILAVAVGFPVSQDQEREKRSISDSDELASGFFVFPYPYPFRPLPPIPFPRFPWFRRNFPIPIPESAPTTPLPSEK
NP_700435.1 1 172 0.0497156976744186 clarin-1 isoform 3 172 0 10 126 2 Mus musculus NP_700435.1 1 RefSeq MPSQQKKIIFCMAGVLSFLCALGVVTAVGTPLWVKATILCKTGALLVNASGKELDKFMGEMQYGLFHGEGVRQCGLGARPFRFSCSCGCLVMILFASEVKVHRLSEKIANFKEGTYAYRTQNENYTTSFWVVFICFFVHFLNGLLIRLAGFQFPFTKSKETETTNVASDLMY
NP_705780.1 1 557 0.296643087971275 PF11957.8:efThoc1:72:537 THO complex subunit 1; Tho1; Nuclear matrix protein p84 657 466 10 557 0 Mus musculus (Mouse) SwissProt::Q8R3N6 1 SwissProt MSPTPALFSLPEARTRFTKSTREALNNKNIKPLLTAFSQLPGSENEKKCTLDQAFRGVLEEEIINHSACENVLAIISLAIGGVTESVCTASTPFVLLGDVLDCLPLDQCDTIFTFVEKNVATWKSNTFYSAGKNYLLRMCNDLLRRLSKSQNTVFCGRIQLFLARLFPLSEKSGLNLQSQFNLENVTVFNTNEQESTLGQKHTEDREEGMDVEEGEMGDDEAPTTCSIPIDYNLYRKFWSLQDYFRNPVQCYEKISWKTFLKYSEEVLAVFKSYKLDDTQASRKKMEELKTGGEHVYFAKFLTSEKLMDLQLSDSNFRRHILLQYLILFQYLKGQVKFKSSNYVLTDEQSLWIEDTTKSVYQLLSENPPDGERFSKMVEHILNTEENWNSWKNEGCPSFVKERASDTKPTRVVRKRAAPEDFLGKGPNKKILIGNEELTRLWNLCPDNMEACKSETREYMPTLEEFFEEAIEQADPENMVESEYKAVNNSNYGWRALRLLARRSPHFFQPTNQQFKSLPEYLENMVIKLAKELPPPSEEIKTGEDEDEEDNDALLKE
NP_725394.1 130 400 0.574464944649447 Protein phyllopod 400 0 10 271 0 Drosophila melanogaster (Fruit fly) SwissProt::Q27934 1 SwissProt SQPQLQPQVPINPTPAPIVYSKRRASRRSASVSRMSRVLRQCCVESLRRSPKKRNQQSVFVCLRPQGQKRSNAICKVECVAPRRKPVERLVKDVAATATPTPVLNTQSTPTYQRFPQPSVDGKVVAMFRRLGTTLSREEPAAYSAESNPACSKLPQIMSPLKEAPRWTRDLDDDEILLEFDTAISEVLPTARYQVTHEENKENQQAQEMELELEEEEEVDGRAELEVVQEAEAPLEPQSHHKQGNSHQNSHQASIQLAGLRLPMGLSISLV
NP_729956.1 1 542 0.292907564575646 PF13927.6:Ig_3:247:333,PF07679.16:I-set:394:479,PF13895.6:Ig_2:404:472,PF00047.25:ig:150:216 Fibroblast growth factor receptor homolog 2; Protein breathless; Tyrosine kinase 2; dTk2; Tyrosine kinase receptor HD-311; dFGF-R1; EC 2.7.10.1 1052 240 10 542 0 Drosophila melanogaster (Fruit fly) SwissProt::Q09147 1 SwissProt MAKVPITLVMIIAIVSAAADLGCDYGHHRCYIDVTVENSPRQRHLLSDMDITLQCVRPMAKWFYEDKFQLRATLLRLERAQSGNSGNYGCLDSQNRWYNISLVVGHKEPVGNDIASFVKLEDAPALPESDLFFQPLNESRSLKLLQPLPKTVQRTAGGLFQLNCSPMDPDAKGVNISWLHNDTQILGGRGRIKLKRWSLTVGQLQPEDAGSYHCELCVEQDCQRSNPTQLEVISRKHTVPMLKPGYPRNTSIALGDNVSIECLLEDSALEPKITWLHKGNADNIDDLLQRLREQSQLPVDVTRLITRMDEPQVLRLGNVLMEDGGWYICIAENQVGRTVAASYVDLYSPSDTTTVRTTTTTTVASPIPTASTGEDNDDDVENPAAEASGGVGPPVFRKELKRLQHSLSGNTVNLACPVYGKANITWTKDKKPLNRELGVYVQKNWTLRFVEATSEDSGLYNCKVCNAWGCIQFDFSVQINDRTRSAPIIVVPQNQTVKVNGSLVMKCTVYSDLHPTVSWKRVVLKNASLDGLKSVEIQNLNF
NP_741151.1 1 777 0.716980180180179 PF09606.10:Med15:13:776 Mediator of RNA polymerase II transcription subunit 15 777 764 10 777 0 Caenorhabditis elegans NP_741151.1 1 RefSeq MSEEDWPSPKFREHVIQRLEPELARNRQNAPNLPVPGDARQVEEYVFAKCMSKDEYMRTIAKVINAINCNSKSAAVPSVLQPSQFHSPPCTTAGNTPAGGTPGYRAPVPPDPQPTSAQARNPPVTVATTQASTTPSAPNPPGGLPAPSASATAAVAAAVASFPSPDTSIRPGGQITPGSQAPGGGPTPAPNVPFPNGSSQMNGGPAMGQPPPQMGAPNMGGPPNGYGGYGMMNGPPGSGAPMGGNPYNQQIKKDMDQARPWDPSAHMYQQQPQWGAMPPQQPHGYPGRPMNGQGATPTGPSSVLESLINQPQQYPGHHNQMGPPGDRNVAAQRAAAQQQQQQQQQQQQQRPGMVPNQGMMSSEDQTVYSAKLRNMRGSCDSLRTRARQCRHEGNHEAAHKLEVMLSVLEGKRVVSLEYLNHLEMWIARKQDFLNIAPMSQNQNHMGMNDPMMNGEHAMLGNGQVPNPYGGHPGYGHQQYMGPPPPHMQMHQPPMWHQQQHQQQQRMMPQDHMMMQGGGGPVHGMYRGDMGHDPMTSPVNNHRHAPYPNPAAMRNNMRMPNGPGPIGRDRNSMSGSSMSGPSSGAPSMNPMGTPNQKMGTPGSMGGMSGLDDLNYDDFLPNPTPIDALQPTLHVGQNSMNAGPPVQRSNLNETARKELQILDARFEIDPNHQRHDANHIIVVCKIRNQQFPPLRLVVPTTYPAGNVTVDRAVIDLDAYLYDDLQNSVYERLSRPGLSSITDYLNAWEEQVNQYQNQTSGGLDVAFNVGNDFFYDNLNL
NP_775740.1 1 195 0.442798974358974 PF05773.22:RWD:8:99 Ubiquitin-conjugating enzyme E2 Q2; E2 ubiquitin-conjugating enzyme Q2; Ubiquitin carrier protein Q2; Ubiquitin-protein ligase Q2; EC 2.3.2.23 375 92 10 195 0 Homo sapiens (Human) SwissProt::Q8WVN8 1 SwissProt MSVSGLKAELKFLASIFDKNHERFRIVSWKLDELHCQFLVPQQGSPHSLPPPLTLHCNITESYPSSSPIWFVDSEDPNLTSVLERLEDTKNNNLLRQQLKWLICELCSLYNLPKHLDVEMLDQPLPTGQNGTTEEVTSEEEEEEEEMAEDIEDLDHYEMKEEEPISGKKSEDEGIEKENLAILEKIRKTQRQDHL
NP_775864.3 1 998 0.335569338677355 PF05679.16:CHGN:668:986,PF02709.14:Glyco_transf_7C:909:984,PF07691.12:PA14:160:269 Beta-1,4-N-acetylgalactosaminyltransferase 3; B4GalNAcT3; Beta4GalNAc-T3; Beta4GalNAcT3; Beta-1,4-N-acetylgalactosaminyltransferase III; N-acetyl-beta-glucosaminyl-glycoprotein 4-beta-N-acetylgalactosaminyltransferase 2; NGalNAc-T2; EC 2.4.1.244 998 429 10 975 1 Homo sapiens (Human) SwissProt::Q6L9W6 1 SwissProt MGSPRAARPPLLLRPVKLLRRRFRLLLALAVVSVGLWTLYLELVASAQVGGNPLNRRYGSWRELAKALASRNIPAVDPHLQFYHPQRLSLEDHDIDQGVSSNSSYLKWNKPVPWLSEFRGRANLHVFEDWCGSSIQQLRRNLHFPLYPHIRTTLRKLAVSPKWTNYGLRIFGYLHPFTDGKIQFAIAADDNAEFWLSLDDQVSGLQLLASVGKTGKEWTAPGEFGKFRSQISKPVSLSASHRYYFEVLHKQNEEGTDHVEVAWRRNDPGAKFTIIDSLSLSLFTNETFLQMDEVGHIPQTAASHVDSSNALPRDEQPPADMLRPDPRDTLYRVPLIPKSHLRHVLPDCPYKPSYLVDGLPLQRYQGLRFVHLSFVYPNDYTRLSHMETHNKCFYQENAYYQDRFSFQEYIKIDQPEKQGLEQPGFEENLLEESQYGEVAEETPASNNQNARMLEGRQTPASTLEQDATDYRLRSLRKLLAQPREGLLAPFSKRNSTASFPGRTSHIPVQQPEKRKQKPSPEPSQDSPHSDKWPPGHPVKNLPQMRGPRPRPAGDSPRKTQWLNQVESYIAEQRRGDRMRPQAPGRGWHGEEEVVAAAGQEGQVEGEEEGEEEEEEEDMSEVFEYVPVFDPVVNWDQTFSARNLDFQALRTDWIDLSCNTSGNLLLPEQEALEVTRVFLKKLNQRSRGRYQLQRIVNVEKRQDQLRGGRYLLELELLEQGQRVVRLSEYVSARGWQGIDPAGGEEVEARNLQGLVWDPHNRRRQVLNTRAQEPKLCWPQGFSWSHRAVVHFVVPVKNQARWVQQFIKDMENLFQVTGDPHFNIVITDYSSEDMDVEMALKRSKLRSYQYVKLSGNFERSAGLQAGIDLVKDPHSIIFLCDLHIHFPAGVIDAIRKHCVEGKMAFAPMVMRLHCGATPQWPEGYWEVNGFGLLGIYKSDLDRIGGMNTKEFRDRWGGEDWELLDRILQAGLDVERLSLRNFFHHFHSKRGMWSRRQMKTL
NP_776315.1 1 575 0.353746260869565 PF04709.12:AMH_N:91:456,PF00019.20:TGF_beta:476:574 muellerian-inhibiting factor precursor 575 465 10 575 0 Bos taurus NP_776315.1 1 RefSeq MPGPSLSLALVLSAMGALLRPGTPREEVFSTSALPREQATGSGALIFQQAWDWPLSSLWLPGSPLDPLCLVTLHGSGNGSRAPLRVVGVLSSYEQAFLEAVRRTHWGLSDLTTFAVCPAGNGQPVLPHLQRLQAWLGEPGGRWLVVLHLEEVTWEPTPLLRFQEPPPGGASPPELALLVVYPGPGLEVTVTGAGLPGTQSLCLTADSDFLALVVDHPEGAWRRPGLALTLRRRGNGALLSTAQLQALLFGADSRCFTRKTPALLLLLPARSSAPMPAHGRLDLVPFPQPRASPEPEEAPPSADPFLETLTRLVRALAGPPARASPPRLALDPGALAGFPQGQVNLSDPAALERLLDGEEPLLLLLPPTAATTGVPATPQGPKSPLWAAGLARRVAAELQAVAAELRALPGLPPAAPPLLARLLALCPGNPDSPGGPLRALLLLKALQGLRAEWRGRERSGSARAQRSAGAAAADGPCALRELSVDLRAERSVLIPETYQANNCQGACGWPQSDRNPRYGNHVVLLLKMQARGATLARPPCCVPTAYTGKLLISLSEERISAHHVPNMVATECGCR
NP_788541.1 444 977 0.696231647940075 wallenda, isoform C 977 0 10 534 0 Drosophila melanogaster NP_788541.1 1 RefSeq EQDLIKRRTAEWRHAQDIRMVYEDKLQKTNQLFFELSECMSQLQEKEKEIAERERKLPGSGYKPNRRFGNTIRKMQHYRRRLNPAPAAIQQQSTTPDPETTPESPVKCMLYAQLDSNCQPKSYLANIIPSSGLGAPMPNKNKKVFRHRRNASGSFGAPPKYSPTRDRRYQSEPENRKVQLVERQTQTDAMDVSETDISPSAEAPRSQPIDVPVPNHRQLPLQLQRVQKIAQAQARARSGSTSSAAGAVNPACPSNGNSLSTSELTYQDACSSPDQLIDDVMNSNERLDMTECCSDNENLERLGRKVIEFINENRLSIQSNTNSVSNADNGNGGASPLELRESGNSPCLSRCSSTHSKRRKHPLGDNPNGSSIGNANGESHEQDSWSDEEGETTDYKYALRRRSIGRQPIARGMRPRRSYKAPLSQKIAIHKRNVVIVSDEEENTSEYSHSPSSQHSTLESNTDIADMKKTQATSTSSNSYSEPEDDSSDSSDEEQGNRPTEAKAEGPALPMGAVRSSDIISIPTFEADGAVNMV
NP_798060.1 1 152 0.206442763157895 PF05932.13:CesT:7:126 hypothetical protein 152 120 10 152 0 Vibrio parahaemolyticus RIMD 2210633 NP_798060.1 1 RefSeq MNTIQPLLDEFCRLNELPPLILEDGNRCQLLVDDRFVLYFTATEDDALMLSVAFGGLEKSGELRVRGLELLARANYQRVGSGNLALSLAPNGRQLVLAGRQPTEHLNSANLTVWFHEIIEQTELWQARFAMLDQDLSATSNHEQSHVQPLRV
NP_810674.1 1 461 0.193906290672451 PF16324.5:DUF4960:209:459 hypothetical protein 461 251 10 461 0 Bacteroides thetaiotaomicron VPI-5482 NP_810674.1 1 RefSeq MKSIIKQLYTILLVTVACLTVTGCSDDFKSGLRLDGDVWVNSIRLDEYAGTVDYQNKAIVVGVPYDYDITRMVVTEMNLSEGAKASIAIGETIDFSLPVSLTVKNGDVQMSYTITVKRDEAKILTFKLNDTYVGKVDQLSKTISVVVPLTVDITQLKGTFTVTDGATVTPASGSIQDFTNPVTYTATYRSAVTPYVVTVTQGNVIPTAFVGTASSVSLLTSPEEKAAAQWMMDNVSMSEYISFKDVVDGKVDLGKYTAIWWHFHADNGDNPPLPDDAKAAAEKFKVYYQNGGNLLLTRYATFYIANLGIAKDERVPNNSWGGNEDSPEITSAPWSFLITGSESHPLFQDLRWKDGDKSTVYTCDAGYAITNSTAQWHIGTDWGGYDDLNAWRNLTGGIDLAHGGDGAVVIAEFEPRSNSGRTLCIGSGCYDWYGKGVDASADYYHYNVEQMTLNAINYLCK 1
NP_839988.1 228 581 0.421269774011299 Interleukin-22 receptor subunit alpha-1; IL-22 receptor subunit alpha-1; IL-22R-alpha-1; IL-22RA1 581 0 10 331 1 Mus musculus (Mouse) SwissProt::Q80XZ4 1 SwissProt AYSFSGAVLFSMGFLVGLLCYLGYKYITKPPVPPNSLNVQRVLTFQPLRFIQEHVLIPVLDLSGPSSLPQPIQYSQVVVSGPREPPGAVWRQSLSDLTYVGQSDVSILQPTNVPAQQTLSPPSYAPKAVPEVQPPSYAPQVASDAKALFYSPQQGMKTRPATYDPQDILDSCPASYAVCVEDSGKDSTPGILSTPKYLKTKGQLQEDTLVRSCLPGDLSLQKVTSLGEGETQRPKSLPSPLGFCTDRGPDLHTLRSEEPETPRYLKGALSLLSSVQIEGHPVSLPLHVHSVSCSPSDEGPSPWGLLDSLVCPKDEGPAVETEAMCPSAAASELEQSTELDSLFKGLALTVQWES
NP_848509.1 1 247 0.0223546558704453 PF03006.20:HlyIII:66:247 Membrane progestin receptor alpha; mPR alpha; Membrane progesterone P4 receptor alpha; Membrane progesterone receptor alpha; Progesterone and adipoQ receptor family member 7; Progestin and adipoQ receptor family member 7; Progestin and adipoQ receptor family member VII 346 182 10 155 4 Homo sapiens (Human) SwissProt::Q86WK9 1 SwissProt MAMAQKLSHLLPSLRQVIQEPQLSLQPEPVFTVDRAEVPPLFWKPYIYAGYRPLHQTWRFYFRTLFQQHNEAVNVWTHLLAALVLLLRLALFVETVDFWGDPHALPLFIIVLASFTYLSFSALAHLLQAKSEFWHYSFFFLDYVGVAVYQFGSALAHFYYAIEPAWHAQVQAVFLPMAAFLAWLSCIGSCYNKYIQKPGLLGRTCQEVPSVLAYALDISPVVHRIFVSSDPTTDDPALLYHKCQVVF
NP_848715.1 1 161 0.0236937888198758 PF07884.14:VKOR:11:149 Vitamin K epoxide reductase complex subunit 1; Vitamin K1 2,3-epoxide reductase subunit 1; EC 1.17.4.4 161 139 10 95 3 Mus musculus (Mouse) SwissProt::Q9CRC0 1 SwissProt MGTTWRSPGLVRLALCLAGLALSLYALHVKAARARDENYRALCDVGTAISCSRVFSSRWGRGFGLVEHMLGADSVLNQSNSIFGCLFYTLQLLLGCLRGRWASILLVLSSLVSVAGSVYLAWILFFVLYDFCIVCITTYAINVGLMLLSFQKVPEHKTKKH
NP_850468.1 186 881 0.299478017241379 Squamosa promoter-binding-like protein 1 881 0 10 673 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SMX9 1 SwissProt ANGNPSDDHSSNYLLITLLKILSNMHNHTGDQDLMSHLLKSLVSHAGEQLGKNLVELLLQGGGSQGSLNIGNSALLGIEQAPQEELKQFSARQDGTATENRSEKQVKMNDFDLNDIYIDSDDTDVERSPPPTNPATSSLDYPSWIHQSSPPQTSRNSDSASDQSPSSSSEDAQMRTGRIVFKLFGKEPNEFPIVLRGQILDWLSHSPTDMESYIRPGCIVLTIYLRQAETAWEELSDDLGFSLGKLLDLSDDPLWTTGWIYVRVQNQLAFVYNGQVVVDTSLSLKSRDYSHIISVKPLAIAATEKAQFTVKGMNLRQRGTRLLCSVEGKYLIQETTHDSTTREDDDFKDNSEIVECVNFSCDMPILSGRGFMEIEDQGLSSSFFPFLVVEDDDVCSEIRILETTLEFTGTDSAKQAMDFIHEIGWLLHRSKLGESDPNPGVFPLIRFQWLIEFSMDREWCAVIRKLLNMFFDGAVGEFSSSSNATLSELCLLHRAVRKNSKPMVEMLLRYIPKQQRNSLFRPDAAGPAGLTPLHIAAGKDGSEDVLDALTEDPAMVGIEAWKTCRDSTGFTPEDYARLRGHFSYIHLIQRKINKKSTTEDHVVVNIPVSFSDREQKEPKSGPMASALEITQIPCKLCDHKLVYGTTRRSVAYRPAMLSMVAIAAVCVCVALLFKSCPEVLYVFQPFRWELLDYGTS
NP_850951.2 1 244 0.239259016393443 PF03791.13:KNOX2:138:184,PF03790.13:KNOX1:85:126,PF03789.13:ELK:224:244 Homeobox protein knotted-1-like 6; Protein KNAT6 327 110 10 244 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84JS6 1 SwissProt MDGMYNFHSAGDYSDKSVLMMSPESLMFPSDYQALLCSSAGENRVSDVFGSDELLSVAVSALSSEAASIAPEIRRNDDNVSLTVIKAKIACHPSYPRLLQAYIDCQKVGAPPEIACLLEEIQRESDVYKQEVVPSSCFGADPELDEFMETYCDILVKYKSDLARPFDEATCFLNKIEMQLRNLCTGVESARGVSEDGVISSDEELSGGDHEVAEDGRQRCEDRDLKDRLLRKFGSRISTLKLEF
NP_850987.1 1 696 0.320190229885057 PF10150.9:RNase_E_G:562:695,PF00686.19:CBM_20:81:170 RNAse E/G-like protein 996 224 10 696 0 Arabidopsis thaliana NP_850987.1 1 RefSeq MDVTEVPWRRLPQFSVSSRASWLVSSGFPLSSYMFSHVERGKTFRLTLCFGVSRLRPRSAIVSAQQEQPPSRLKGLCEVVWIVEADLAANEHLYVTGDPSTLGSWEPDCAISMYPTENDNEWEAKVKIASGVNFRYNYLLKAGYGSSSDVIWRPGPQFSLSVPSSVNQDRKIIIRDSWMSMSISSKSQESYGWGSWIDDAYLFPNCVTPAQSEDECTSADSAIEVPRTHLNDKQVGAESFLCDELAAFSSENSNLSALFSDNYQPIEEPWLIQESITLQHERNMQTDSEQDVESCDDNENNLNTDEQNHQLTETLLPDGGFFQSESIATTILINSSICTVQRIAVLEGGKLVELLLEPVKTNVQCDSVYLGVITKFVPHMGGAFVNIGSARHSFMDIKSNREPFIFPPFCDGSKKQAADGSPILSMNDIPAPHEIEHASYDFEASSLLDIDSNDPGESFHDDDDEHENDEYHVSDHLAGLVNGTVVNHGAVEVGSENGHIPMERGHSADSLDSNASVAKASKVMSSKDNKWIQVRKGTKIIVQVVKEGLGTKGPTLTAYPKLRSRFWVLLTRCKRIGVSKKISGVERTRLKVIAKTLQPQGFGLTVRTVAAGHSLEELQKDLDGLLLTWKNITDEAKSAALAADEGVEGAIPALLHRAMGQTLSVVQDYFNDKVEKMVVDSPRTYHEVTHYLQDMA
NP_859422.2 1 839 0.228101430274135 PF10392.9:COG5:66:189,PF08700.11:Vps51:69:150 Conserved oligomeric Golgi complex subunit 5; COG complex subunit 5; 13S Golgi transport complex 90 kDa subunit; GTC-90; Component of oligomeric Golgi complex 5; Golgi transport complex 1 839 124 10 839 0 Homo sapiens (Human) SwissProt::Q9UP83 1 SwissProt MGWVGGRRRDSASPPGRSRSAADDINPAPANMEGGGGSVAVAGLGARGSGAAAATVRELLQDGCYSDFLNEDFDVKTYTSQSIHQAVIAEQLAKLAQGISQLDRELHLQVVARHEDLLAQATGIESLEGVLQMMQTRIGALQGAVDRIKAKIVEPYNKIVARTAQLARLQVACDLLRRIIRILNLSKRLQGQLQGGSREITKAAQSLNELDYLSQGIDLSGIEVIENDLLFIARARLEVENQAKRLLEQGLETQNPTQVGTALQVFYNLGTLKDTITSVVDGYCATLEENINSALDIKVLTQPSQSAVRGGPGRSTMPTPGNTAALRASFWTNMEKLMDHIYAVCGQVQHLQKVLAKKRDPVSHICFIEEIVKDGQPEIFYTFWNSVTQALSSQFHMATNSSMFLKQAFEGEYPKLLRLYNDLWKRLQQYSQHIQGNFNASGTTDLYVDLQHMEDDAQDIFIPKKPDYDPEKALKDSLQPYEAAYLSKSLSRLFDPINLVFPPGGRNPPSSDELDGIIKTIASELNVAAVDTNLTLAVSKNVAKTIQLYSVKSEQLLSTQGDASQVIGPLTEGQRRNVAVVNSLYKLHQSVTKAIHALMENAVQPLLTSVGDAIEAIIITMHQEDFSGSLSSSGKPDVPCSLYMKELQGFIARVMSDYFKHFECLDFVFDNTEAIAQRAVELFIRHASLIRPLGEGGKMRLAADFAQMELAVGPFCRRVSDLGKSYRMLRSFRPLLFQASEHVASSPALGDVIPFSIIIQFLFTRAPAELKSPFQRAEWSHTRFSQWLDDHPSEKDRLLLIRGALEAYVQSVRSREGKEFAPVYPIMVQLLQKAMSALQ
NP_878307.2 1 94 0.243290425531915 PF00446.17:GnRH:24:33 gonadotropin-releasing hormone 3 precursor 94 10 10 94 0 Danio rerio NP_878307.2 1 RefSeq MEWKGRLLVQLLLLVCVLEVSLCQHWSYGWLPGGKRSVGEMEATFRMLDPGDTVLSIPADSPMEQLSPIHIVNEVDAEGLPLKGQRFPDRRGRV
NP_879974.1 1 364 0.857666483516484 Autotransporter TcfA 647 0 10 364 0 Bordetella pertussis O86135 1 SwissProt/TReMBL MHIYGNMNRATPCRGAVRALALALLGAGMWTLSPPSAWALKLPSLLTDDELKLVLPTGMSLEDFKRSLQESAPSALATPPSSSPPVAKPGPGSVAEAPSGSGHKDNPSPPVVGVGPGMAESSGGHNPGVGGGTHENGLPGIGKVGGSAPGPDTSTGSGPDAGMASGAGSTSPGASGGAGKDAMPPSEGERPDSGMSDSGRGGESSAGGLNPDGAGKPPREEGEPGSKSPADGGQDGPPPPRDGGDADPQPPRDDGNGEQQPPKGGGDEGQRPPPAAGNGGNGGNGNAQLPERGDDAGPKPPEGEGGDEGPQPPQGGGEQDAPEVPPVAPAPPAGNGVYDPGTHTLTTPASAAVSLASSSHGVWQ
NP_899230.2 1 356 0.198478651685393 PF00067.22:p450:50:326 Cytochrome P450 26C1; EC 1.14.-.- 522 277 10 310 2 Homo sapiens (Human) SwissProt::Q6V0L0 1 SwissProt MFPWGLSCLSVLGAAGTALLCAGLLLSLAQHLWTLRWMLSRDRASTLPLPKGSMGWPFFGETLHWLVQGSRFHSSRRERYGTVFKTHLLGRPVIRVSGAENVRTILLGEHRLVRSQWPQSAHILLGSHTLLGAVGEPHRRRRKVLARVFSRAALERYVPRLQGALRHEVRSWCAAGGPVSVYDASKALTFRMAARILLGLRLDEAQCATLARTFEQLVENLFSLPLDVPFSGLRKGIRARDQLHRHLEGAISEKLHEDKAAEPGDALDLIIHSARELGHEPSMQELKESAVELLFAAFFTTASASTSLVLLLLQHPAAIAKIREELVAQGLGRACGCAPGAAGGSEGPPPDCGCEP
NP_906269.1 1 124 0.485983870967742 PF11109.8:RFamide_26RFa:8:124 Orexigenic neuropeptide QRFP; P518 124 117 10 124 0 Mus musculus (Mouse) SwissProt::Q8CE23 1 SwissProt MRGFRPLLSLLLPLSACFPLLDRRGPTDIGDIGARMNWAQLAEGHPPNSVQNPQPQALLVVAREQQASHREHTGFRLGRQDGSSEAAGFLPADSEKASGPLGTLAEELSSYSRRKGGFSFRFGR
NP_940853.1 1 188 0.602484042553192 Forkhead box protein R2; Forkhead box protein N6 311 0 10 188 0 Homo sapiens (Human) SwissProt::Q6PJQ5 1 SwissProt MDLKLKDCEFWYSLHGQVPGLLDWDMRNELFLPCTTDQCSLAEQILAKYRVGVMKPPEMPQKRRPSPDGDGPPCEPNLWMWVDPNILCPLGSQEAPKPSGKEDLTNISPFPQPPQKDEGSNCSEDKVVESLPSSSSEQSPLQKQGIHSPSDFELTEEEAEEPDDNSLQSPEMKCYQSQKLWQINNQEK
NP_996087.2 1 217 0.509598617511521 intermediate neuroblasts defective 320 0 10 217 0 Drosophila melanogaster NP_996087.2 1 RefSeq MSRSFLMDSLLSDRPNLSQKKEKLGSPGGSPTAAAAVAAAAMLPSIPMLPYPASYVGSYLFSLGIQQQQQQQQQQQQHAAAAAAAAAAAAALQQHPHVSSSPGSLYHPYAQLFASKRKSSGFSNYEGCYPSPPLSANPNSQQLPPIHNLYGSPVVGGLPLPEPGSFCTSPSASSSASLDYTNNFDEPQGKRFKHESSCSPNSSPLKNHSSGGPVEIT
NP_996330.1 1 487 0.338560780287474 uncharacterized protein 487 0 10 487 0 Drosophila melanogaster NP_996330.1 1 RefSeq MADPRRRFRNKKRDEACSGLLAPVTIARREDAARMMQPKILLKKDRDREQETWDRERDKDRKLERDREAEPSPSCYPDTPPALKTMIVNRTGEVRPADRCQMPLAGGALVQGSGQLSAVPSSSVCAALVSSVPTSRDKGSCSGGAGTAGTSAGAPNALQELQPPRMNRPTPLIVANGIFNANARKLFHKTNTDFTVIGVLGGQSSGKSTLLNLLAAERSLDYDYYQHLFSPEADECIFATRHKLKPNNGQKSILRPRTETLQFFITRERHILLDTPPLMPVGKDSDHQDLYSLGTMAQLLSVCHILILVIDGLALEQLRLINAALRLRPTLHCKGYVRDHMPQVVFVRARAHRIDFEIQQRERLDKKLAYLYGPTGLPIYRGRGDARCLNTFLLPEVSSNKATAFHSCLGELVRQFRERILGCTRISMCHTSTELSEAIWFEILAESARKAAPHFEKIYAEIKLRHLDTRCQWRSDNWRTFSSNAES
NP_997095.1 1 253 0.562537944664032 PF00412.22:LIM:193:247 Wilms tumor protein 1-interacting protein; WT1-interacting protein 398 55 10 253 0 Mus musculus (Mouse) SwissProt::Q7TQJ8 1 SwissProt MQRSRTAADDAALLLAGLGLRESEPTAGSPGRVRRGPRAVDEAAPASGRRGKGGCGGPEAAPDVPSRPERGPRASLAGSDGGSARSSGISLGYDQRHGPGPGPPSGGSARSSVSSLGSRGSAGACADLLPPGVGPAPARSPEPAQFPFPLPSLPLPPGREGGPSAAERRLEALTRELERALEARTARDYFGICIKCGLGIYGARQACQAMGSLYHTDCFICDSCGRRLRGKAFYNVGEKVYCQEDFLYSGFQQ
NP_997229.2 1 526 0.443728897338403 PF12928.7:tRNA_int_end_N2:64:129 tRNA-splicing endonuclease subunit Sen54; SEN54 homolog; HsSEN54; tRNA-intron endonuclease Sen54 526 66 10 526 0 Homo sapiens (Human) SwissProt::Q7Z6J9 1 SwissProt MEPEPEPAAVEVPAGRVLSARELFAARSRSQKLPQRSHGPKDFLPDGSAAQAERLRRCREELWQLLAEQRVERLGSLVAAEWRPEEGFVELKSPAGKFWQTMGFSEQGRQRLHPEEALYLLECGSIHLFHQDLPLSIQEAYQLLLTDHTVTFLQYQVFSHLKRLGYVVRRFQPSSVLSPYERQLNLDASVQHLEDGDGKRKRSSSSPRSINKKAKALDNSLQPKSLAASSPPPCSQPSQCPEEKPQESSPMKGPGGPFQLLGSLGPSPGPAREGVGCSWESGRAENGVTGAGKRRWNFEQISFPNMASDSRHTLLRAPAPELLPANVAGRETDAESWCQKLNQRKEKLSRREREHHAEAAQFQEDVNADPEVQRCSSWREYKELLQRRQVQRSQRRAPHLWGQPVTPLLSPGQASSPAVVLQHISVLQTTHLPDGGARLLEKSGGLEIIFDVYQADAVATFRKNNPGKPYARMCISGFDEPVPDLCSLKRLSYQSGDVPLIFALVDHGDISFYSFRDFTLPQDVGH
NP_997960.1 137 411 0.305684 PF14709.7:DND1_DSRM:188:267 Dead end protein 1 411 80 10 275 0 Danio rerio (Zebrafish) (Brachydanio rerio) SwissProt::Q7T1H5 1 SwissProt TEKRQLRLGDLPVSMNESKLLMVLQMLSDGVEDVLLKPPGPKGKEVVALVNYTSHYAASMAKKVLVEAFRNRYGISITVRWTSFSKSKRVEDTPQEDSCVTPLVLKPLSKPSLLHYDVPAHQSLLPLFRAVGGPTTSEQRDEMIPQPTIMSRNELIPQSSIRQRDEMVPQLPIRPRDGMAPQSPISLDAVSHLQWMCEVNRLGSPQYEVHFHHAAPDGFLYFAFKVLIPGLPLPLYGFVQILPGTSARAMKSEVYRAAAEQVIQTLCRVSNLRPF
O00623 1 359 0.145500278551532 PF04757.14:Pex2_Pex12:26:267 Peroxisome assembly protein 12; Peroxin-12; Peroxisome assembly factor 3; PAF-3 359 242 10 359 0 Homo sapiens (Human) SwissProt::O00623 1 SwissProt MAEHGAHFTAASVADDQPSIFEVVAQDSLMTAVRPALQHVVKVLAESNPTHYGFLWRWFDEIFTLLDLLLQQHYLSRTSASFSENFYGLKRIVMGDTHKSQRLASAGLPKQQLWKSIMFLVLLPYLKVKLEKLVSSLREEDEYSIHPPSSRWKRFYRAFLAAYPFVNMAWEGWFLVQQLRYILGKAQHHSPLLRLAGVQLGRLTVQDIQALEHKPAKASMMQQPARSVSEKINSALKKAVGGVALSLSTGLSVGVFFLQFLDWWYSSENQETIKSLTALPTPPPPVHLDYNSDSPLLPKMKTVCPLCRKTRVNDTVLATSGYVFCYRCVFHYVRSHQACPITGYPTEVQHLIKLYSPEN
O14791 1 398 0.281136180904523 PF05461.11:ApoL:78:392 Apolipoprotein L1; Apolipoprotein L; Apo-L; ApoL; Apolipoprotein L-I; ApoL-I 398 315 10 352 2 Homo sapiens (Human) SwissProt::O14791 1 SwissProt MEGAALLRVSVLCIWMSALFLGVGVRAEEAGARVQQNVPSGTDTGDPQSKPLGDWAAGTMDPESSIFIEDAIKYFKEKVSTQNLLLLLTDNEAWNGFVAAAELPRNEADELRKALDNLARQMIMKDKNWHDKGQQYRNWFLKEFPRLKSELEDNIRRLRALADGVQKVHKGTTIANVVSGSLSISSGILTLVGMGLAPFTEGGSLVLLEPGMELGITAALTGITSSTMDYGKKWWTQAQAHDLVIKSLDKLKEVREFLGENISNFLSLAGNTYQLTRGIGKDIRALRRARANLQSVPHASASRPRVTEPISAESGEQVERVNEPSILEMSRGVKLTDVAPVSFFLVLDVVYLVYESKHLHEGAKSETAEELKKVAQELEEKLNILNNNYKILQADQEL
O15061 321 1565 0.629096465863455 Synemin; Desmuslin 1565 0 10 1245 0 Homo sapiens (Human) SwissProt::O15061 1 SwissProt PEIVIWAEHVENMPSEFRNKSYHYTDSLLQRENERNLFSRQKAPLASFNHSSALYSNLSGHRGSQTGTSIGGDARRGFLGSGYSSSATTQQENSYGKAVSSQTNVRTFSPTYGLLRNTEAQVKTFPDRPKAGDTREVPVYIGEDSTIARESYRDRRDKVAAGASESTRSNERTVILGKKTEVKATREQERNRPETIRTKPEEKMFDSKEKASEERNLRWEELTKLDKEARQRESQQMKEKAKEKDSPKEKSVREREVPISLEVSQDRRAEVSPKGLQTPVKDAGGGTGREAEARELRFRLGTSDATGSLQGDSMTETVAENIVTSILKQFTQSPETEASADSFPDTKVTYVDRKELPGERKTKTEIVVESKLTEDVDVSDEAGLDYLLSKDIKEVGLKGKSAEQMIGDIINLGLKGREGRAKVVNVEIVEEPVSYVSGEKPEEFSVPFKVEEVEDVSPGPWGLVKEEEGYGESDVTFSVNQHRRTKQPQENTTHVEEVTEAGDSEGEQSYFVSTPDEHPGGHDRDDGSVYGQIHIEEESTIRYSWQDEIVQGTRRRTQKDGAVGEKVVKPLDVPAPSLEGDLGSTHWKEQARSGEFHAEPTVIEKEIKIPHEFHTSMKGISSKEPRQQLVEVIGQLEETLPERMREELSALTREGQGGPGSVSVDVKKVQGAGGSSVTLVAEVNVSQTVDADRLDLEELSKDEASEMEKAVESVVRESLSRQRSPAPGSPDEEGGAEAPAAGIRFRRWATRELYIPSGESEVAGGASHSSGQRTPQGPVSATVEVSSPTGFAQSQVLEDVSQAARHIKLGPSEVWRTERMSYEGPTAEVVEVSAGGDLSQAASPTGASRSVRHVTLGPGQSPLSREVIFLGPAPACPEAWGSPEPGPAESSADMDGSGRHSTFGCRQFHAEKEIIFQGPISAAGKVGDYFATEESVGTQTSVRQLQLGPKEGFSGQIQFTAPLSDKVELGVIGDSVHMEGLPGSSTSIRHISIGPQRHQTTQQIVYHGLVPQLGESGDSESTVHGEGSADVHQATHSHTSGRQTVMTEKSTFQSVVSESPQEDSAGDTSGAEMTSGVSRSFRHIRLGPTETETSEHIAIRGPVSRTFVLAGSADSPELGKLADSSRTLRHIAPGPKETSFTFQMDVSNVEAIRSRTQEAGALGVSDRGSWRDADSRNDQAVGVSFKASAGEGDQAHREQGKEQAMFDKKVQLQRMVDQRSVISDEKKVALLYLDNEEEENDGHWF
O35095 1 729 0.146467078189301 PF05536.11:Neurochondrin:31:637 Neurochondrin; Neurite outgrowth-related protein from the rat brain; Norbin 729 607 10 729 0 Rattus norvegicus (Rat) SwissProt::O35095 1 SwissProt MSCCDLAAAGQLGKAGIMASDCEPALNQAESRNPTLERYLGALREAKNDSEQFAALLLVTKAVKAGDIDAKTRRRIFDAVGFTFPNRLLTTKEAPDGCPDHVLRALGVALLACFCSDPELASHPQVLNKIPILCTFLTARGDPDDAARRSMIDDTYQCLTAVAGTPRGPRHLIAGGTVSALCQAYLGHGYGFDQALALLVGLLAAAETQCWKEAEPDLLAVLRGLSEDFQRAEDASKFELCQLLPLFLPPTTVPPECHRDLQAGLARILGSKLSSWQRNPALKLAARLAHACGSDWIPVGSSGSKFLALLVNLACVEVRLALEETGTEVKEDVVTACYALMELGIQECTRCEQSLLKEPQKVQLVSIMKEAIGAVIHYLLRVGPEKQKEPFVFASVRILGAWLAEETSSLRKEVCQLLPFLVRYAKTLYEEAEEASDISQQVANLAISPTTPGPAWPGDALRLLLPGWCHLTVEDGPREILIKEGAPSLLCKYFLQQWELTSPGHDTSVLPDSVEIGLQTCCHIFLNLVVTAPGLIKRDACFTSLMNTLMTSLPSLVQQQGRLLLAANVATLGLLMARLLSTSPALQGTPASRGFFAAAILFLSQSHVARATPGSDQAVLALSPDYEGIWADLQELWFLGMQAFTGCVPLLPWLAPAALRSRWPQELLQLLGSVSPNSVKPEMVAAYQGVLVELARANRLCREAMRLQAGEETASHYRMAALEQCLSEP
O42804 1 945 0.388333015873016 PF04082.18:Fungal_trans:388:722,PF00172.18:Zn_clus:123:160 Xylanolytic transcriptional activator xlnR; Xylanase regulator 945 373 10 945 0 Aspergillus niger SwissProt::O42804 1 SwissProt MSTPSIPQFTSSFSPFSSGSHSTGMAPSQTVGLDTLAEGSQYVLEQLQLSRDAAGTGAGDGATSTSLRNSMSHTKDQPPFDNEKNQSTGSGFRDALQRDPLVEARSAVRKTSSSAPVRRRISRACDQCNQLRTKCDGQHPCAHCIEFGLTCEYARERKKRGKASKKDLAAAAAAATQGSNGHSGQANASLMGERTSEDSRPGQDVNGTYDSAFESHHLSSQPSHMQHASTAGISGLHESQTAPSHSQSSLGTTIDAMHLNHFNTMNDSGRPAMSISDLRSLPPSVLPPQGLSSGYNASAFALVNPQEPGSPANQFRLGSSAENPTAPFLGLSPPGQSPGWLPLPSPSPANFPSFSLHPFSSTLRYPVLQPVLPHIASIIPQSLACDLLDVYFTSSSSSHLSPLSPYVVGYIFRKQSFLHPTKPRICSPGLLASMLWVAAQTSEAAFLTSPPSARGRVCQKLLELTIGLLRPLVHGPATGEASPNYAANMVINGVALGGFGVSMDQLGAQSSATGAVDDVATYVHLATVVSASEYKAASMRWWTAAWSLARELKLGRELPPNVSHARQDGERDGDGEADKRHPPTLITSLGHGSGSSGINVTEEEREERRRLWWLLYATDRHLALCYNRPLTLLDKECGGLLQPMNDDLWQVGDFAAAAYRQVGPPVECTGHSMYGYFLPLMTILGGIVDLHHAENHPRFGLAFRNSPEWERQVLDVTRQLDTYGRSLKEFEARYTSNLTLGATDNEPVVEGAHLDHTSPSGRSSSTVGSRVSESIVHTRMVVAYGTHIMHVLHILLAGKWDPVNLLEDHDLWISSESFVSAMSHAVGAAEAAAEILEYDPDLSFMPFFFGIYLLQGSFLLLLAADKLQGDASPSVVRACETIVRAHEACVVTLNTEYQRTFRKVMRSALAQVRGRIPEDFGEQQQRRREVLALYRWSGDGSGLAL
O49498 1 1044 0.339074712643678 PF15628.6:RRM_DME:929:1029,PF15629.6:Perm-CXXC:896:924 DEMETER-like protein 3 1044 130 10 1044 0 Arabidopsis thaliana O49498 1 SwissProt/TReMBL MLTDGSQHTYQNGETKNSKEHERKCDESAHLQDNSQTTHKKKEKKNSKEKHGIKHSESEHLQDDISQRVTGKGRRRNSKGTPKKLRFNRPRILEDGKKPRNPATTRLRTISNKRRKKDIDSEDEVIPELATPTKESFPKRRKNEKIKRSVARTLNFKQEIVLSCLEFDKICGPIFPRGKKRTTTRRRYDFLCFLLPMPVWKKQSRRSKRRKNMVRWARIASSSKLLEETLPLIVSHPTINGQADASLHIDDTLVRHVVSKQTKKSANNVIEHLNRQITYQKDHGLSSLADVPLHIEDTLIKSASSVLSERPIKKTKDIAKLIKDMGRLKINKKVTTMIKADKKLVTAKVNLDPETIKEWDVLMVNDSPSRSYDDKETEAKWKKEREIFQTRIDLFINRMHRLQGNRKFKQWKGSVVDSVVGVFLTQNTTDYLSSNAFMSVAAKFPVDAREGLSYYIEEPQDAKSSECIILSDESISKVEDHENTAKRKNEKTGIIEDEIVDWNNLRRMYTKEGSRPEMHMDSVNWSDVRLSGQNVLETTIKKRGQFRILSERILKFLNDEVNQNGNIDLEWLRNAPSHLVKRYLLEIEGIGLKSAECVRLLGLKHHAFPVDTNVGRIAVRLGLVPLEPLPNGVQMHQLFEYPSMDSIQKYLWPRLCKLPQETLYELHYQMITFGKVFCTKTIPNCNACPMKSECKYFASAYVSSKVLLESPEEKMHEPNTFMNAHSQDVAVDMTSNINLVEECVSSGCSDQAICYKPLVEFPSSPRAEIPESTDIEDVPFMNLYQSYASVPKIDFDLDALKKSVEDALVISGRMSSSDEEISKALVIPTPENACIPIKPPRKMKYYNRLRTEHVVYVLPDNHELLHDFERRKLDDPSPYLLAIWQPGETSSSFVPPKKKCSSDGSKLCKIKNCSYCWTIREQNSNIFRGTILIPCRTAMRGAFPLNGTYFQTNEVFADHETSLNPIVFRRELCKGLEKRALYCGSTVTSIFKLLDTRRIELCFWTGFLCLRAFDRKQRDPKELVRRLHTPPDERGPKFMSDDDI
O64698 1 381 0.166253280839895 PF00067.22:p450:44:378 Cytochrome P450 710A2; C-22 sterol desaturase; EC 1.14.19.41 499 335 10 358 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64698 1 SwissProt MVFSVSIFASLAPYLVSALLLFFLIEQLSYLVKKRNLPGPLFVPPIIGNAISLVRDPTSFWFKQSDTAGTSPGLAANYLIGKFIIYIRDTELSHQIFSNVRLEAFHPLGHPFGKQLFGDHSLIYLFGEDHKTVRRHLAPNFTPKALSTYSDLQQIVMLRHLRQWEESFSGGTKPVSMRDLVRELNLETSQTVFVGPYLDKEARNTFCTDYNLFNLGSMALPINLPGFAFNKARRAVMNLEKTLSVCAGKSKKRMATGEEPTCLIDFWMHAFVTEIESGNPPPLHSEDEAIGGLLFDFLFAAQDASTSSLLWAVTFLESHPKVLSKVREEVAKIWSPQSGHLITADQLAEMKYTRAVAREVVRYRPPATMVPHIATNDFPLT
O75339 1 1184 0.304027618243243 PF13330.6:Mucin2_WxxW:56:139,PF13927.6:Ig_3:309:379,PF13895.6:Ig_2:314:394,PF00090.19:TSP_1:153:200,PF07679.16:I-set:311:389 Cartilage intermediate layer protein 1; CILP-1; Cartilage intermediate-layer protein 1184 218 10 1184 0 Homo sapiens (Human) SwissProt::O75339 1 SwissProt MVGTKAWVFSFLVLEVTSVLGRQTMLTQSVRRVQPGKKNPSIFAKPADTLESPGEWTTWFNIDYPGGKGDYERLDAIRFYYGDRVCARPLRLEARTTDWTPAGSTGQVVHGSPREGFWCLNREQRPGQNCSNYTVRFLCPPGSLRRDTERIWSPWSPWSKCSAACGQTGVQTRTRICLAEMVSLCSEASEEGQHCMGQDCTACDLTCPMGQVNADCDACMCQDFMLHGAVSLPGGAPASGAAIYLLTKTPKLLTQTDSDGRFRIPGLCPDGKSILKITKVKFAPIVLTMPKTSLKAATIKAEFVRAETPYMVMNPETKARRAGQSVSLCCKATGKPRPDKYFWYHNDTLLDPSLYKHESKLVLRKLQQHQAGEYFCKAQSDAGAVKSKVAQLIVIASDETPCNPVPESYLIRLPHDCFQNATNSFYYDVGRCPVKTCAGQQDNGIRCRDAVQNCCGISKTEEREIQCSGYTLPTKVAKECSCQRCTETRSIVRGRVSAADNGEPMRFGHVYMGNSRVSMTGYKGTFTLHVPQDTERLVLTFVDRLQKFVNTTKVLPFNKKGSAVFHEIKMLRRKKPITLEAMETNIIPLGEVVGEDPMAELEIPSRSFYRQNGEPYIGKVKASVTFLDPRNISTATAAQTDLNFINDEGDTFPLRTYGMFSVDFRDEVTSEPLNAGKVKVHLDSTQVKMPEHISTVKLWSLNPDTGLWEEEGDFKFENQRRNKREDRTFLVGNLEIRERRLFNLDVPESRRCFVKVRAYRSERFLPSEQIQGVVISVINLEPRTGFLSNPRAWGRFDSVITGPNGACVPAFCDDQSPDAYSAYVLASLAGEELQAVESSPKFNPNAIGVPQPYLNKLNYRRTDHEDPRVKKTAFQISMAKPRPNSAEESNGPIYAFENLRACEEAPPSAAHFRFYQIEGDRYDYNTVPFNEDDPMSWTEDYLAWWPKPMEFRACYIKVKIVGPLEVNVRSRNMGGTHRQTVGKLYGIRDVRSTRDRDQPNVSAACLEFKCSGMLYDQDRVDRTLVKVIPQGSCRRASVNPMLHEYLVNHLPLAVNNDTSEYTMLAPLDPLGHNYGIYTVTDQDPRTAKEIALGRCFDGTSDGSSRIMKSNVGVALTFNCVERQVGRQSAFQYLQSTPAQSPAAGTVQGRVPSRRQQRASRGGQRQGGVVASLRFPRVAQQPLIN
O75592 1887 4415 0.387062119414789 PF08239.11:SH3_3:592:644,PF03256.16:ANAPC10:1870:1968 E3 ubiquitin-protein ligase MYCBP2; Myc-binding protein 2; Protein associated with Myc; EC 2.3.2.- 4678 152 10 2529 0 Homo sapiens (Human) SwissProt::O75592 1 SwissProt FDGDLQSQLLSKANEEDKNCSRALSVVSTVVRASKDLLHRALAVDADDIPELLSSSSLFSMLLPLIIAYIGPVAAAIPKVAVEVFGLVQQLLPSVAILNQKYAPPAFNPNQSTDSTTGNQPEQGLSACTTSSHYAVIESEHPYKPACVMHYKVTFPECVRWMTIEFDPQCGTAQSEDVLRLLIPVRTVQNSGYGPKLTSVHENLNSWIELKKFSGSSGWPTMVLVLPGNEALFSLETASDYVKDDKASFYGFKCFAIGYEFSPGPDEGVIQLEKELANLGGVCAAALMKKDLALPIGNELEEDLEILEEAALQVCKTHSGILGKGLALSHSPTILEALEGNLPLQIQSNEQSFLDDFIACVPGSSGGRLARWLQPDSYADPQKTSLILNKDDIRCGWPTTITVQTKDQYGDVVHVPNMKVEVKAVPVSQKKMSLQQDQAKKPQRIPGSPAVTAASSNTDMTYGGLASPKLDVSYEPMIVKEARYIAITMMKVYENYSFEELRFASPTPKRPSENMLIRVNNDGTYCANWTPGAIGLYTLHVTIDGIEIDAGLEVKVKDPPKGMIPPGTQLVKPKSEPQPNKVRKFVAKDSAGLRIRSHPSLQSEQIGIVKVNGTITFIDEIHNDDGVWLRLNDETIKKYVPNMNGYTEAWCLSFNQHLGKSLLVPVDESKTNTDDFFKDINSCCPQEATMQEQDMPFLRGGPGMYKVVKTGPSGHNIRSCPNLRGIPIGMLVLGNKVKAVGEVTNSEGTWVQLDQNSMVEFCESDEGEAWSLARDRGGNQYLRHEDEQALLDQNSQTPPPSPFSVQAFNKGASCSAQGFDYGLGNSKGDRGNISTSSKPASTSGKSELSSKHSRSLKPDGRMSRTTADQKKPRGTESLSASESLILKSDAAKLRSDSHSRSLSPNHNTLQTLKSDGRMPSSSRAESPGPGSRLSSPKPKTLPANRSSPSGASSPRSSSPHDKNLPQKSTAPVKTKLDPPRERSKSDSYTLDPDTLRKKKMPLTEPLRGRSTSPKPKSVPKDSTDSPGSENRAPSPHVVQENLHSEVVEVCTSSTLKTNSLTDSTCDDSSEFKSVDEGSNKVHFSIGKAPLKDEQEMRASPKISRKCANRHTRPKKEKSSFLFKGDGSKPLEPAKQAMSPSVAECARAVFASFLWHEGIVHDAMACSSFLKFHPELSKEHAPIRSSLNSQQPTEEKETKLKNRHSLEISSALNMFNIAPHGPDISKMGSINKNKVLSMLKEPPLHEKCEDGKTETTFEMSMHNTMKSKSPLPLTLQHLVAFWEDISLATIKAASQNMIFPSPGSCAVLKKKECEKENKKSKKEKKKKEKAEVRPRGNLFGEMAQLAVGGPEKDTICELCGESHPYPVTYHMRQAHPGCGRYAGGQGYNSIGHFCGGWAGNCGDGGIGGSTWYLVCDRCREKYLREKQAAAREKVKQSRRKPMQVKTPRALPTMEAHQVIKANALFLLSLSSAAEPSILCYHPAKPFQSQLPSVKEGISEDLPVKMPCLYLQTLARHHHENFVGYQDDNLFQDEMRYLRSTSVPAPYISVTPDASPNVFEEPESNMKSMPPSLETSPITDTDLAKRTVFQRSYSVVASEYDKQHSILPARVKAIPRRRVNSGDTEVGSSLLRHPSPELSRLISAHSSLSKGERNFQWPVLAFVIQHHDLEGLEIAMKQALRKSACRVFAMEAFNWLLCNVIQTTSLHDILWHFVASLTPAPVEPEEEEDEENKTSKENSEQEKDTRVCEHPLSDIVIAGEAAHPLPHTFHRLLQTISDLMMSLPSGSSLQQMALRCWSLKFKQSDHQFLHQSNVFHHINNILSKSDDGDSEESFSISIQSGFEAMSQELCIVMCLKDLTSIVDIKTSSRPAMIGSLTDGSTETFWESGDEDKNKTKNITINCVKGINARYVSVHVDNSRDLGNKVTSMTFLTGKAVEDLCRIKQVDLDSRHIGWVTSELPGGDNHIIKIELKGPENTLRVRQVKVLGWKDGESTKIAGQISASVAQQRNCEAETLRVFRLITSQVFGKLISGDAEPTPEQEEKALLSSPEGEEKVYNATSDADLKEHMVGIIFSRSKLTNLQKQVCAHIVQAIRMEATRVREEWEHAISSKENANSQPNDEDASSDAYCFELLSMVLALSGSNVGRQYLAQQLTLLQDLFSLLHTASPRVQRQVTSLLRRVLPEVTPSRLASIIGVKSLPPADISDIIHSTEKGDWNKLGILDMFLGCIAKALTVQLKAKGTTITGTAGTTVGKGVTTVTLPMIFNSSYLRRGESHWWMKGSTPTQISEIIIKLIKDMAAGHLSEAWSRVTKNAIAETIIALTKMEEEFRSPVRCIATTRLWLALASLCVLDQDHVDRLSSGRWMGKDGQQKQMPMCDNHDDGETAAIILCNVCGNLCTDCDRFLHLHRRTKTHQRQVFKEEEEAIKVDLHEGCGRTKLFWLMALADSKTMKAMVEFREHTGKPTTSSSEACRFCGSRSGTELSAVGSVCSDADCQEYAKIACSKTHPCGHPCGGVKNEEHCLPCLHGCDK
O82575 1 110 0.774262727272727 PF02496.16:ABA_WDS:24:100 Fruit-ripening protein 110 77 10 110 0 Solanum lycopersicum O82575 1 SwissProt/TReMBL MEEEKHHHHHLFHHKDKAEEGPVDYEKEIKHHKHLEQIGKLGTVAAGAYALHEKHEAKKDPEHAHKHKIEEEIAAAAAVGAGGFAFHEHHEKKDAKKEEKKAEGGHHHLF
O82803 1 204 0.145007843137255 PF05755.12:REF:5:200 Small rubber particle protein; HbSRPP; 22 kDa rubber particle protein; 22 kDa RPP; 27 kDa natural rubber allergen; Latex allergen Hev b 3; Allergen Hev b 3 204 196 10 204 0 Hevea brasiliensis (Para rubber tree) (Siphonia brasiliensis) SwissProt::O82803 1 SwissProt MAEEVEEERLKYLDFVRAAGVYAVDSFSTLYLYAKDISGPLKPGVDTIENVVKTVVTPVYYIPLEAVKFVDKTVDVSVTSLDGVVPPVIKQVSAQTYSVAQDAPRIVLDVASSVFNTGVQEGAKALYANLEPKAEQYAVITWRALNKLPLVPQVANVVVPTAVYFSEKYNDVVRGTTEQGYRVSSYLPLLPTEKITKVFGDEAS
O94603 435 948 0.329525875486381 Putative JmjC domain-containing histone demethylation protein 1; Heterochromatin-destabilizing protein epe1; [Histone-H3]-lysine-36 demethylase 1; EC 1.14.11.27 948 0 10 514 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O94603 1 SwissProt SRDGIDDIIAEYETGRLFDINAFTEQELDGFEELLNYLYIRAQILRDCDIIIDIYNEPVKISKNNGYNSAYTMVPPDLDEICVDFVQKFGAWITYHHRRSAKHPSCNCFSHLQTKLIDSGPKPANNSYQHQSNFIGVVISTNHNIIKKCQESQIQTGKNNCSFQLVKKRIKSTKKAPSWRSIIKAFKKRENTRCNFLSSLHATTFREDIVVRPKIKSFVLEQLIFQALFSFAINWTPSFFLNHSNFENIALSKETFNFGGEANCENTDTTLFTTWGDQGFRPSDSICYNDFNLLETANSDAEASIHELELQPLNAVNEREVDISQTDMTPSTALDTRVDTRVDSLPEFSNLILSPSSNDDSFQLDDLLSPSSSNLKQQIQKVVPQNSLEFSVGEKEKKAAEYSLLHTFSYKRLSMENEKPDTTKVPLKYNIQHEEMKAYRRKNDLEYIDQHFASSKSGISNGRNNNKEVNLTKAENVGIKKRRIMKNENNIYDFEDHSPVREKWGHRLRSRGAS
O94874 1 794 0.25477040302267 PF09743.9:E3_UFM1_ligase:7:284 E3 UFM1-protein ligase 1; E3 UFM1-protein transferase 1; Multiple alpha-helix protein located at ER; Novel LZAP-binding protein; Regulator of C53/LZAP and DDRGK1; EC 2.3.2.- 794 278 10 794 0 Homo sapiens (Human) SwissProt::O94874 1 SwissProt MADAWEEIRRLAADFQRAQFAEATQRLSERNCIEIVNKLIAQKQLEVVHTLDGKEYITPAQISKEMRDELHVRGGRVNIVDLQQVINVDLIHIENRIGDIIKSEKHVQLVLGQLIDENYLDRLAEEVNDKLQESGQVTISELCKTYDLPGNFLTQALTQRLGRIISGHIDLDNRGVIFTEAFVARHKARIRGLFSAITRPTAVNSLISKYGFQEQLLYSVLEELVNSGRLRGTVVGGRQDKAVFVPDIYSRTQSTWVDSFFRQNGYLEFDALSRLGIPDAVSYIKKRYKTTQLLFLKAACVGQGLVDQVEASVEEAISSGTWVDIAPLLPTSLSVEDAAILLQQVMRAFSKQASTVVFSDTVVVSEKFINDCTELFRELMHQKAEKEMKNNPVHLITEEDLKQISTLESVSTSKKDKKDERRRKATEGSGSMRGGGGGNAREYKIKKVKKKGRKDDDSDDESQSSHTGKKKPEISFMFQDEIEDFLRKHIQDAPEEFISELAEYLIKPLNKTYLEVVRSVFMSSTTSASGTGRKRTIKDLQEEVSNLYNNIRLFEKGMKFFADDTQAALTKHLLKSVCTDITNLIFNFLASDLMMAVDDPAAITSEIRKKILSKLSEETKVALTKLHNSLNEKSIEDFISCLDSAAEACDIMVKRGDKKRERQILFQHRQALAEQLKVTEDPALILHLTSVLLFQFSTHSMLHAPGRCVPQIIAFLNSKIPEDQHALLVKYQGLVVKQLVSQSKKTGQGDYPLNNELDKEQEDVASTTRKELQELSSSIKDLVLKSRKSSVTEE
O94985 1 915 0.296717595628415 PF00028.17:Cadherin:169:257,PF13385.6:Laminin_G_3:366:487 Calsyntenin-1; Alcadein-alpha; Alc-alpha; Alzheimer-related cadherin-like protein; Non-classical cadherin XB31alpha 981 211 10 892 1 Homo sapiens (Human) SwissProt::O94985 1 SwissProt MLRRPAPALAPAARLLLAGLLCGGGVWAARVNKHKPWLEPTYHGIVTENDNTVLLDPPLIALDKDAPLRFAESFEVTVTKEGEICGFKIHGQNVPFDAVVVDKSTGEGVIRSKEKLDCELQKDYSFTIQAYDCGKGPDGTNVKKSHKATVHIQVNDVNEYAPVFKEKSYKATVIEGKQYDSILRVEAVDADCSPQFSQICSYEIITPDVPFTVDKDGYIKNTEKLNYGKEHQYKLTVTAYDCGKKRATEDVLVKISIKPTCTPGWQGWNNRIEYEPGTGALAVFPNIHLETCDEPVASVQATVELETSHIGKGCDRDTYSEKSLHRLCGAAAGTAELLPSPSGSLNWTMGLPTDNGHDSDQVFEFNGTQAVRIPDGVVSVSPKEPFTISVWMRHGPFGRKKETILCSSDKTDMNRHHYSLYVHGCRLIFLFRQDPSEEKKYRPAEFHWKLNQVCDEEWHHYVLNVEFPSVTLYVDGTSHEPFSVTEDYPLHPSKIETQLVVGACWQEFSGVENDNETEPVTVASAGGDLHMTQFFRGNLAGLTLRSGKLADKKVIDCLYTCKEGLDLQVLEDSGRGVQIQAHPSQLVLTLEGEDLGELDKAMQHISYLNSRQFPTPGIRRLKITSTIKCFNEATCISVPPVDGYVMVLQPEEPKISLSGVHHFARAASEFESSEGVFLFPELRIISTITREVEPEGDGAEDPTVQESLVSEEIVHDLDTCEVTVEGEELNHEQESLEVDMARLQQKGIEVSSSELGMTFTGVDTMASYEEVLHLLRYRNWHARSLLDRKFKLICSELNGRYISNEFKVEVNVIHTANPMEHANHMAAQPQFVHPEHRSFVDLSGHNLANPHPFAVVPSTATVVIVVCVSFLVFMIILGVFRIRAAHRRTMRDQDTGKENEMDWDDSALTITVNPM
P01160 1 123 0.4622 Natriuretic peptides A; CDD-ANF; Cardiodilatin; CDD; Cardiodilatin-related peptide; CDP; Prepronatriodilatin 153 0 10 105 1 Homo sapiens (Human) SwissProt::P01160 1 SwissProt MSSFSTTTVSFLLLLAFQLLGQTRANPMYNAVSNADLMDFKNLLDHLEEKMPLEDEVVPPQVLSEPNEEAGAALSPLPEVPPWTGEVSPAQRDGGALGRGPWDSSDRSALLKSKLRALLTAPR
P02662 1 214 0.346951869158878 PF00363.18:Casein:112:203 Alpha-S1-casein; Allergen Bos d 8 214 92 10 214 0 Bos taurus (Bovine) SwissProt::P02662 1 SwissProt MKLLILTCLVAVALARPKHPIKHQGLPQEVLNENLLRFFVAPFPEVFGKEKVNELSKDIGSESTEDQAMEDIKQMEAESISSSEEIVPNSVEQKHIQKEDVPSERYLGYLEQLLRLKKYKVPQLEIVPNSAEERLHSMKEGIHAQQKEPMIGVNQELAYFYPELFRQFYQLDAYPSGAWYYVPLGTQYTDAPSFSDIPNPIGSENSEKTTMPLW
P03169 1 491 0.41155132382892 PF07340.11:Herpes_IE1:1:391 55 kDa immediate-early protein 1; IE1 491 391 10 491 0 Human cytomegalovirus (strain Towne) (HHV-5) (Human herpesvirus 5) SwissProt::P03169 1 SwissProt MESSAKRKMDPDNPDEGPSSKVPRPETPVTKATTFLQTMLRKEVNSQLSLGDPLFPELAEESLKTFERVTEDCNENPEKDVLAELVKQIKVRVDMVRHRIKEHMLKKYTQTEEKFTGAFNMMGGCLQNALDILDKVHEPFEEMKCIGLTMQSMYENYIVPEDKREMWMACIKELHDVSKGAANKLGGALQAKARAKKDELRRKMMYMCYRNIEFFTKNSAFPKTTNGCSQAMAALQNLPQCSPDEIMAYAQKIFKILDEERDKVLTHIDHIFMDILTTCVETMCNEYKVTSDACMMTMYGGISLLSEFCRVLSCYVLEETSVMLAKRPLITKPEVISVMKRRIEEICMKVFAQYILGADPLRVCSPSVDDLRAIAEESDEEEAIVAYTLATRGASSSDSLVSPPESPVPATIPLSSVIVAENSDQEESEQSDEEEEEGAQEEREDTVSVKSEPVSEIEEVAPEEEEDGAEEPTASGGKSTHPMVTRSKADQ
P09727 1 215 0.117407441860465 PF08001.11:CMV_US:38:200 Unique short US11 glycoprotein; Protein HXLF1; gpUS11 215 163 10 192 1 Human cytomegalovirus (strain AD169) (HHV-5) (Human herpesvirus 5) SwissProt::P09727 1 SwissProt MNLVMLILALWAPVAGSMPELSLTLFDEPPPLVETEPLPPLSDVSEYRVEYSEARCVLRSGGRLEALWTLRGNLSVPTPTPRVYYQTLEGYADRVPTPVEDVSESLVAKRYWLRDYRVPQRTKLVLFYFSPCHQCQTYYVECEPRCLVPWVPLWSSLEDIERLLFEDRRLMAYYALTIKSAQYTLMMVAVIQVFWGLYVKGWLHRHFPWMFSDQW 2
P10225 1 489 0.303582004089979 PF00867.18:XPG_I:181:256 Virion host shutoff protein; Vhs; EC 3.1.27.- 489 76 10 489 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P10225 1 SwissProt MGLFGMMKFAHTHHLVKRRGLGAPAGYFTPIAVDLWNVMYTLVVKYQRRYPSYDREAITLHCLCRLLKVFTQKSLFPIFVTDRGVNCMEPVVFGAKAILARTTAQCRTDEEASDVDASPPPSPITDSRPSSAFSNMRRRGTSLASGTRGTAGSGAALPSAAPSKPALRLAHLFCIRVLRALGYAYINSGQLEADDACANLYHTNTVAYVYTTDTDLLLMGCDIVLDISACYIPTINCRDILKYFKMSYPQFLALFVRCHTDLHPNNTYASVEDVLRECHWTPPSRSQTRRAIRREHTSSRSTETRPPLPPAAGGTETRVSWTEILTQQIAGGYEDDEDLPLDPRDVTGGHPGPRSSSSEILTPPELVQVPNAQLLEEHRSYVANPRRHVIHDAPESLDWLPDPMTITELVEHRYIKYVISLIGPKERGPWTLLKRLPIYQDIRDENLARSIVTRHITAPDIADRFLEQLRTQAPPPAFYKDVLAKFWDE
P11067 1 502 0.338137848605578 PF04055.21:Radical_SAM:63:241,PF02579.17:Nitro_FeMo-Co:384:481 FeMo cofactor biosynthesis protein NifB 502 277 10 502 0 Azotobacter vinelandii metacyc::MONOMER-19485 1 metacyc MELSVLGQNNGGQHSAGGCSSSSCGSTHDQLSHLPENIRAKVQNHPCYSEEAHHYFARMHVAVAPACNIQCHYCNRKYDCANESRPGVVSEVLTPEQAVKKVKAVAAAIPQMSVLGIAGPGDPLANPKRTLDTFRMLSEQAPDMKLCVSTNGLALPECVEELAKHNIDHVTITINCVDPEIGAKIYPDLLEQQAHPRRQGRKILIEQQQKGLEMLVARGILVKVNSVMIPGVNDEHLKEVSKIVKAKGAFLHNVMPLIAEPEHGTFYGVMGQRSPEPEELQDLQDACAGDMNMMRHCRQCRADAVGMLGEDRGDEFTLDKIESMEIDYEAAMVKRAAIHAAIKEELDEKAAKKERLAGLSVASVQNGTSGRYRPVLMAVATSGGGLINQHFGHATEFLVYEASPSGVRFIGHRRVDQYCVGNDTCGEKESALAGSIRALKGCEAVLCSKIGFEPWSDLETAGIQPNGEHAMEPIEEAVMAVYREMIESGRLENDGALLQAKA
P13801 1 309 0.0961521035598705 PF03419.13:Peptidase_U4:3:299 Sporulation sigma-E factor-processing peptidase; Membrane-associated aspartic protease; Stage II sporulation protein GA; EC 3.4.23.- 309 297 10 197 5 Bacillus subtilis (strain 168) SwissProt::P13801 1 SwissProt MKIYLDVIWLLNFCFDALLLLLTAFILKRHVKKRRLVGGAFIGSSIVLLMFTPFSPIVEHPAGKLAFSVVIVVVTFGFKRFRFFFQNLFSFYFATFLMGGGIIGAHSLLQSNSIVQNGVMITNQTGFGDPISWLFIVGGFPALWFFSKRRIEDIETKNIQYEERVSVQADLGSQTLHVRGLIDSGNQLYDPLTKTPVMIIYIDKLEPIFGTAETMIIRNTDPLEAIEQLDDSFRFLDKMRLIPYRGVGQQNQFLLCVKPDHVTIMTKEEMISADKCLIGISTTKLSADGEFDAIIHPKMLSGKAVKHVS
P14060 1 373 0.138795174262735 PF01073.19:3Beta_HSD:7:288,PF01370.21:Epimerase:7:242,PF07993.12:NAD_binding_4:8:208,PF16363.5:GDP_Man_Dehyd:7:167,PF02719.15:Polysacc_synt_2:7:126,PF13460.6:NAD_binding_10:10:165,PF04321.17:RmlD_sub_bind:6:170,PF05368.13:NmrA:7:125,PF08659.10:KR:6:127 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type 1; 3 beta-hydroxysteroid dehydrogenase/Delta 5-->4-isomerase type I; 3-beta-HSD I; 3-beta-hydroxy-5-ene steroid dehydrogenase; 3-beta-hydroxy-Delta(5)-steroid dehydrogenase; 3-beta-hydroxysteroid 3-dehydrogenase; Delta-5-3-ketosteroid isomerase; Dihydrotestosterone oxidoreductase; Steroid Delta-isomerase; Trophoblast antigen FDO161G; EC 1.1.1.145; EC 1.1.1.270; EC 1.1.1.210; EC 5.3.3.1 373 283 10 350 1 Homo sapiens (Human) SwissProt::P14060 1 SwissProt MTGWSCLVTGAGGFLGQRIIRLLVKEKELKEIRVLDKAFGPELREEFSKLQNKTKLTVLEGDILDEPFLKRACQDVSVIIHTACIIDVFGVTHRESIMNVNVKGTQLLLEACVQASVPVFIYTSSIEVAGPNSYKEIIQNGHEEEPLENTWPAPYPHSKKLAEKAVLAANGWNLKNGGTLYTCALRPMYIYGEGSRFLSASINEALNNNGILSSVGKFSTVNPVYVGNVAWAHILALRALQDPKKAPSIRGQFYYISDDTPHQSYDNLNYTLSKEFGLRLDSRWSFPLSLMYWIGFLLEIVSFLLRPIYTYRPPFNRHIVTLSNSVFTFSYKKAQRDLAYKPLYSWEEAKQKTVEWVGSLVDRHKETLKSKTQ
P15314 114 329 0.546383796296296 Interferon regulatory factor 1; IRF-1 329 0 10 216 0 Mus musculus (Mouse) SwissProt::P15314 1 SwissProt PLTRNQRKERKSKSSRDTKSKTKRKLCGDVSPDTFSDGLSSSTLPDDHSSYTTQGYLGQDLDMERDITPALSPCVVSSSLSEWHMQMDIIPDSTTDLYNLQVSPMPSTSEAATDEDEEGKIAEDLMKLFEQSEWQPTHIDGKGYLLNEPGTQLSSVYGDFSCKEEPEIDSPRGDIGIGIQHVFTEMKNMDSIMWMDSLLGNSVRLPPSIQAIPCAP
P15702 1 271 0.688329889298893 Leukosialin; B-cell differentiation antigen LP-3; Leukocyte sialoglycoprotein; Lymphocyte antigen 48; Ly-48; Sialophorin; CD43 antigen 395 0 10 248 1 Mus musculus (Mouse) SwissProt::P15702 1 SwissProt MALHLLLLFGACWVQVASPDSLQRTTMLPSTPHITAPSTSEAQNASPSVSVGSGTVDSKETISPWGQTTIPVSLTPLETTELSSLETSAGASMSTPVPEPTASQEVSSKTSALLPEPSNVASDPPVTAANPVTDGPAANPVTDGTAASTSISKGTSAPPTTVTTSSNETSGPSVATTVSSKTSGPPVTTATGSLGPSSEMHGLPATTATSSVESSSVARGTSVSSRKTSTTSTQDPITTRSPSQESSGMLLVPMLIALVVVLALVALLLLW 2
P15905 1 117 0.0851948717948718 PF01022.20:HTH_5:15:60,PF12840.7:HTH_20:8:66 Arsenical resistance operon repressor 117 59 10 117 0 Escherichia coli SwissProt::P15905 1 SwissProt MLQLTPLQLFKNLSDETRLGIVLLLREMGELCVCDLCMALDQSQPKISRHLAMLRESGILLDRKQGKWVHYRLSPHIPSWAAQIIEQAWLSQQDDVQVIARKLASVNCSGSSKAVCI
P16689 1 378 0.358689153439153 PF07969.11:Amidohydro_3:284:378,PF01979.20:Amidohydro_1:76:375 RPnTP hydrolase (EC 3.6.1.63) 378 303 10 378 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10722-MONOMER 1 ecocyc MIINNVKLVLENEVVSGSLEVQNGEIRAFAESQSRLPEAMDGEGGWLLPGLIELHTDNLDKFFTPRPKVDWPAHSAMSSHDALMVASGITTVLDAVAIGDVRDGGDRLENLEKMINAIEETQKRGVNRAEHRLHLRCELPHHTTLPLFEKLVQREPVTLVSLMDHSPGQRQFANREKYREYYQGKYSLTDAQMQQYEEEQLALAARWSQPNRESIAALCRARKIALASHDDATHAHVAESHQLGSVIAEFPTTFEAAEASRKHGMNVLMGAPNIVRGGSHSGNVAASELAQLGLLDILSSDYYPASLLDAAFRVADDQSNRFTLPQAVKLVTKNPAQALNLQDRGVIGEGKRADLVLAHRKDNHIHIDHVWRQGKRVF
P16727 1 586 0.443832593856654 PF06284.11:Cytomega_UL84:1:585,PF06501.11:Herpes_U55:423:570 Protein UL84 586 585 10 586 0 Human cytomegalovirus (strain AD169) (HHV-5) (Human herpesvirus 5) SwissProt::P16727 1 SwissProt MPRVDPNLRNRARRPRARRGGGGGVGSNSSRHSGKCRRQRRALSAPPLTFLATTTTTTMMGVASTDDDSLLLKTPDELDKYSGSPQTILTLTDKHDIRQPRVHRGTYHLIQLHLDLRPEELRDPFQILLSTPLQLGEANDESQTAPATLQEEETAASHEPEKKKEKQEKKEEDEDDRNDDRERGILCVVSNEDSDVRPAFSLFPARPGCHILRSVIDQQLTRMAIVRLSLNLFALRIITPLLKRLPLRRKAAHHTALHDCLALHLPELTFEPTLDINNVTENAASVADTAESTDADLTPTLTVRVRHALCWHRVEGGISGPRGLTSRISARLSETTAKTLGPSVFGRLELDPNESPPDLTLSSLTLYQDGILRFNVTCDRTEAPADPVAFRLRLRRETVRRPFFSDAPLPYFVPPRSGAADEGLEVRVPYELTLKNSHTLRIYRRFYGPYLGVFVPHNRQGLKMPVTVWLPRSWLELTVLVSDENGATFPRDALLGRLYFISSKHTLNRGCLSAMTHQVKSTLHSRSTSHSPSQQQLSVLGASIALEDLLPMRLASPETEPQDCKLTENTTEKTSPVTLAMVCGDL
P21256 1 643 0.170419751166408 PF03945.14:Endotoxin_N:58:239 Pesticidal crystal protein Cry11Aa 643 182 10 643 0 Bacillus thuringiensis subsp. israelensis P21256 1 SwissProt/TReMBL MEDSSLDTLSIVNETDFPLYNNYTEPTIAPALIAVAPIAQYLATAIGKWAAKAAFSKVLSLIFPGSQPATMEKVRTEVETLINQKLSQDRVNILNAEYRGIIEVSDVFDAYIKQPGFTPATAKGYFLNLSGAIIQRLPQFEVQTYEGVSIALFTQMCTLHLTLLKDGILAGSAWGFTQADVDSFIKLFNQKVLDYRTRLMRMYTEEFGRLCKVSLKDGLTFRNMCNLYVFPFAEAWSLMRYEGLKLQSSLSLWDYVGVSIPVNYNEWGGLVYKLLMGEVNQRLTTVKFNYSFTNEPADIPARENIRGVHPIYDPSSGLTGWIGNGRTNNFNFADNNGNEIMEVRTQTFYQNPNNEPIAPRDIINQILTAPAPADLFFKNADINVKFTQWFQSTLYGWNIKLGTQTVLSSRTGTIPPNYLAYDGYYIRAISACPRGVSLAYNHDLTTLTYNRIEYDSPTTENIIVGFAPDNTKDFYSKKSHYLSETNDSYVIPALQFAEVSDRSFLEDTPDQATDGSIKFARTFISNEAKYSIRLNTGFNTATRYKLIIRVRVPYRLPAGIRVQSQNSGNNRMLGSFTANANPEWVDFVTDAFTFNDLGITTSSTNALFSISSDSLNSGEEWYLSQLFLVKESAFTTQINPLLK
P21560 1 335 0.203969253731343 PF03981.12:Ubiq_cyt_C_chap:145:288 Protein CBP3, mitochondrial 335 144 10 335 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P21560 1 SwissProt MMSVNRFTSGRLPVFLRKSPFYYSRAYLHQTCVFKQNKETAQDSPELLAKSSHLNSKPLDVSNKAPVKTAQNKIPLAHSKYESSKYELPKWKEALGELVIRAFHLDMDRVRAGPVAGSYYYKICKEQGLQYEDEPLSETAKYFYEDLKLPRTFSQWFQITVLHEWILFVRMRAMPFKYGRNYQQKLVDRTFSDIELRLFEEMKVNSGRIADQYLKDFNTQLRGAIFAYDEGFATDDGTLATAVWRNLFGGRKNIDMVHLESVVRYIYSQLYVLSRLSDREFATGKFKFVPPGVKVEKLTPKQEEELKAKTIAKYEALDKDPKTLPSERSRLSYTN
P21574 126 336 0.952088625592417 Y-box-binding protein 2-A; Cytoplasmic RNA-binding protein p56; Frog Y-box protein 2; FRG Y2; Frog Y-box protein 2-A; FRGY2a; Messenger ribonucleoprotein particle 4; mRNP4 336 0 10 211 0 Xenopus laevis (African clawed frog) SwissProt::P21574 1 SwissProt RRFRRRFYRPRADTAGESGGEGVSPEQMSEGERGEETSPQQRPQRRRPPPFFYRRRFRRGPRPNNQQNQGAEVTEQSENKDPVAPTSEALASGDDPQRPPPRRFRQRFRRPFRPRPAPQQTPEGGDGETKAESGEDPRPEPQRQRNRPYVQRRRRQGATQVAATAQGEGKAEPTQHPASEEGTPSDSPTDDGAPVQSSAPDPGIADTPAPE
P22561 77 309 0.484143776824035 PF02165.15:WT1:1:233 Wilms tumor protein homolog 449 233 10 233 0 Mus musculus (Mouse) SwissProt::P22561 1 SwissProt SWGGAEPHEEQCLSAFTLHFSGQFTGTAGACRYGPFGPPPPSQASSGQARMFPNAPYLPSCLESQPTIRNQGYSTVTFDGAPSYGHTPSHHAAQFPNHSFKHEDPMGQQGSLGEQQYSVPPPVYGCHTPTDSCTGSQALLLRTPYSSDNLYQMTSQLECMTWNQMNLGATLKGMAAGSSSSVKWTEGQSNHGIGYESENHTAPILCGAQYRIHTHGVFRGIQDVRRVSGVAPT
P25560 1 188 0.103034574468085 PF03248.13:Rer1:21:184 Protein RER1; Retention of ER proteins 1 188 164 10 142 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25560 1 SwissProt MDYDSSDTMNGGSSNPLITKMNTMKLLYQHYLDKVTPHAKERWAVLGGLLCLFMVRITMAEGWYVICYGLGLFLLNQFLAFLTPKFDMSLQQDEENNELEAGEKSEEFRPFIRRLPEFKFWYNSIRATVISLLLSLFSIFDIPVFWPILLMYFILLFFLTMRRQIQHMIKYRYIPLDIGKKKYSHSSN
P37263 1 153 0.418263398692811 PF08790.11:zf-LYAR:30:58 UPF0743 protein YCR087C-A 153 29 10 153 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P37263 1 SwissProt MVTFNCEVCNDTVPKKNTEKHYYRCPNAYYTCIDCSKTFEDGVSYKNHTSCISEDEKYQKALYKGNKKQKQKQQQKQQQKQHQHQPVATPAKKVEKPVIKKAEKVEKTSNGIELHKGKSLYKILKTMKDKGAKKTFLKSLVVDSEGQIRYAKE
P38795 59 481 0.183332624113475 PF00795.22:CN_hydrolase:10:224 Glutamine-dependent NAD(+) synthetase; NAD(+) synthase [glutamine-hydrolyzing]; EC 6.3.5.1 714 215 10 423 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38795 1 SwissProt ENDVCLHSWEMYAQIIKNKETHGLILDIGMPVLHKNVRYNCRLLSLDGEILFIRPKIWLANDGNYREMRFFTPWMKPGVVEDFILPPEIQKVTGQRLVPFGDAVINSLDTCIGTETCEELFTPQSPHIAMSLDGVEIMTNSSGSHHELRKLNKRLDLILNATKRCGGVYLYANQRGCDGDRLYYDGCALIAINGTIVAQGSQFSLDDVEVVTATVDLEEVRSYRAAVMSRGLQASLAEIKFKRIDIPVELALMTSRFDPTVCPTKVREPFYHSPEEEIALGPACWMWDYLRRCNGTGFFLPLSGGIDSCATAMIVHSMCRLVTDAAQNGNEQVIKDVRKITRSGDDWIPDSPQDLASKIFHSCFMGTENSSKETRNRAKDLSNAIGSYHVDLKMDSLVSSVVSLFEVATGKKPIYKIFGGSQI
P39103 1 70 0.391295714285714 PF14880.6:COX14:2:56 Cytochrome c oxidase assembly protein COX14 70 55 10 47 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39103 0 SwissProt MSKYAWYTRVTDTLHRLTVLTLVGGTLYMSGGLAYTLYMNGKKYEQQVTQQKALEEDNQQLQSPTAPPTE
P39280 1 219 0.220047488584475 PF04055.21:Radical_SAM:118:186,PF13353.6:Fer4_12:112:176,PF13394.6:Fer4_14:117:186 lysine 2,3-aminomutase 342 75 10 219 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7836-MONOMER 1 ecocyc MAHIVTLNTPSREDWLTQLADVVTDPDELLRLLNIDAEEKLLAGRSAKKLFALRVPRSFIDRMEKGNPDDPLLRQVLTSQDEFVIAPGFSTDPLEEQHSVVPGLLHKYHNRALLLVKGGCAVNCRYCFRRHFPYAENQGNKRNWQTALEYVAAHPELDEMIFSGGDPLMAKDHELDWLLTQLEAIPHIKRLRIHSRLPIVIPARITEALVECFARSTLQ
P40357 1 432 0.767562037037037 Protein transport protein SEC9 651 0 10 432 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40357 1 SwissProt MGLKKFFKIKPPEEATPEQNKDTLMELGISVKNPSKKRKEKFAAYGKFANDKAEDKVYAPPGYEQYARPQDELEDLNASPLDANANEATAGSNRGSSGTQDLGNGAESNSMQDPYAIENDDYRYDDDPYARFQANKSNGRGSVNAAPYGDYGGGYNGTSLNSYNNDGPYSNQNTSNSWVNANGRNSLNHSNSTLNVGPSRQTRQPPVSTSTNSLSLDQRSPLANPMQEKRNPYADMNSYGGAYDSNTNRSSGTRQGSSKNANPYASMANDSYSNGNLNRSANPYSSRSVRQPQSQQAPMTYTPSFIASDEAARNSEVDLNEEPRTGEFDFEEVYADKSAENRAALDEPDLNAVMTNEDSIDLNASEVDHSSRQQQQQQWFMDEQQQQQQHFNATNNQYGDQRGYKTFEEIQKEEEARQQQEEDEAVDEIKQE
P40468 1 2376 0.195017550505051 PF14222.6:MOR2-PAG1_N:348:887,PF14225.6:MOR2-PAG1_C:1903:2153,PF14228.6:MOR2-PAG1_mid:936:1312,PF14228.6:MOR2-PAG1_mid:1406:1634,PF14228.6:MOR2-PAG1_mid:1701:1857 Cell morphogenesis protein PAG1; Protein TAO3 2376 1554 10 2376 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40468 1 SwissProt MASRFTFPPQRDQGIGFTFPPTNKAEGSSNNNQISIDIDPSGQDVLEEINEAPLNTFPLHQSVTDAPIIDIPSPTDMSEGTSLNNQLLLRQQQQQGTGEGQALPPTFVEEQSDQNKISMLLPEQKQQRMQESAPPDITAKSVAEDYVTTLRQQMATDWKSPSEYALHILFTKFIRYAENKLNMCLQQLDMAEPPIVEILGEGVDPSFDEIIKSLGHIAKKKPKPVIDAMMFWRKTKSEAANSASEEMEKLLKEYEFEKAHPSQAHFLMNRRLSRSSSNTTSKYKHNNNTNNLPGMKRHVSSSFNNKVPLIKASSSNNSATSSPSIANSQLKSLENTIEVAKEEAFLADRKSLISIYILCRVLNEIVKQASSNEEEDLSDKLEEIVFTQLKTTDPLSISTSLIKSSNWNSFAELLGSMSEKKFLSVSDRFIADLEKIPAYIPPELEPSTHLLILGMRYLKLRNYPLEKFEESADFMKSLSKFFAKTENFPVCLAYAEVTNQLLLPLAGSLTAEVNHPTWVEAMSTLLNTAKRLQADSKYWVSGFKLTVSILCASPPDLFSKQWLSLLEANASKVKSKSLNERIIFAVGLSRLVWVYLYRCPETLNNTTRTLTKLLQLYLNTRKKENWITGDFGLLNPLTDALISIGFLHPNFLMEQALIPLIRQSFNGSNLENINYEKLILTINTYKGLLVTKERPRFPEDDNRLYELNLNNITVNQVQEASSINHTEISDYFYKLFLLLDSSIGSEVWSPENQHQKQSSNAFSPFGFSFSNDNDSSKNKSLYVILFGTIIEAIPCCLSISRTIPYKSTIEILSRNAVHSEVIISSSSQNALRALASKKNPYTLITWFAKYSFDFDEKTQSSYNMSYLSSKEYNRLLILYVELLECWLEEFQSSNKEENKKETGLDGIRLLPIDAEQEESNETEKLEWKNTVTVIEEVEGNGLFFLCSHDAKIRRLGIQILRIIFKFDEAMMEKTEKLSNGHSRSSSHFAADRGTRLIDLLNECNTTTLINPHKATLSAVEKTRFSRLNSKYKRGLLIKLAESEYGVDAALWQRAFPKLLALVFKTCPMAMALCRSIVCIRLVQVHEIILRVANDVDFKLKNVLPETIVNQWKLYLIAACTSLTSTFDQKLHIPSNIPQHGRKKSQQIFTVQHQKIKSAKSIFKMVLPLLNAKYIMIRDAIITGLSSMNINIFKAYVEAIDVFLVAWKEGSSNNQIRVEMFHILTILSPYLKSDMIFNDEWILRKLSEFLQKTKQFLEKDSVQISYEYQSLRSYFAGLILSYYMAVREHPLIDELFPFQARASCFNFLKEWCGYGEYEPISEERYAIMIKNTESGRDRTAITTGIEFQKNRLQMIVLETMVVLCSDPITQTLDDDLELPIVISFDTEDLLAWIEALFDSDNTTVKNLGVRALENLLDKNRENFKLFRDVAFQCVSHHSHPSVAVLYYTTLCKSVLKLDNLVLDEDELVSLGLYGLVADKEDTRTFAVDLLSAVETKLHNSSYTKVFKERLANSSKTVYKSTAKEISSIFAELLSQDLCLRIFSSLVRILDLFPFEIKRDLLVLMVPWVNKFTLKSLEELDTFMVLNNLFYITIDLNDSLPNEVEQLWISLGKGNSFQNIHVSLEYIINSSMNHCNPLFVQYARDIVLYLANIPGGIGLLDTLLNNLEPKYMVPLAKHTFNEPMNNNKYSFLGNIWERLNYNGKRIIFSKAQLSIIFLVNLLTNLSESVKAKIPLLLHMSICLLDHYVPLIHESACKIASTLIFGLAPSHEKSEETVKLLRNKHALWSYDNLMKKGARSPKTMDLLIRNIISIFSDLDEFQVTWQRIALKWATTCSVRHIACRSFQIFRSLLTFLDQEMLRDMLHRLSNTISDGNVDIQGFAMQILMTLNAIMAELDPTNLISFPQLFWSITACLSSIHEQEFIEVLSCLSKFISKIDLDSPDTVQCLVAIFPSNWEGRFDGLQQIVMTGLRSANSLEITWKFLDKLNLLKDSRIIANTESRLLFALIANLPRFLNAMDRKDFTGIQVAADSLIELANAYKQPSLSRLIDSLAKNKFRSKKDFMSQVVSFISRNYFPSYSAQTLVFLLGLLFNKIGWIRVQTLEILKYVFPLIDLRRPEFIGVGADLISPLLRLLFTEYEAKALEVLDCVPNVSGSKMDKDVLRITMGNKDVKDGDNATTTLFGLPEDSGWSVPMPTMTAATTRHNVHAVFMTCGTGKSDEVSAHGSDDMDAVIEFHADGDYELGRMDTIVEFHADGDYDLGRMDTNDSISVAEEKDASLSHMWAELDNLDSFFTKDTNVPNISSKMGMGIPHGRSDSIETTRTDQTFSFESAPQLYDKKVSVILNRSLSRTPSNVSFKTHLADSFAVKINRNGKPRI
P42695 1 1498 0.232449465954606 PF12717.7:Cnd1:956:1126 Condensin-2 complex subunit D3; Non-SMC condensin II complex subunit D3; hCAP-D3 1498 171 10 1498 0 Homo sapiens (Human) SwissProt::P42695 1 SwissProt MVALRGLGSGLQPWCPLDLRLEWVDTVWELDFTETEPLDPSIEAEIIETGLAAFTKLYESLLPFATGEHGSMESIWTFFIENNVSHSTLVALFYHFVQIVHKKNVSVQYREYGLHAAGLYFLLLEVPGSVANQVFHPVMFDKCIQTLKKSWPQESNLNRKRKKEQPKSSQANPGRHRKRGKPPRREDIEMDEIIEEQEDENICFSARDLSQIRNAIFHLLKNFLRLLPKFSLKEKPQCVQNCIEVFVSLTNFEPVLHECHVTQARALNQAKYIPELAYYGLYLLCSPIHGEGDKVISCVFHQMLSVILMLEVGEGSHRAPLAVTSQVINCRNQAVQFISALVDELKESIFPVVRILLQHICAKVVDKSEYRTFAAQSLVQLLSKLPCGEYAMFIAWLYKYSRSSKIPHRVFTLDVVLALLELPEREVDNTLSLEHQKFLKHKFLVQEIMFDRCLDKAPTVRSKALSSFAHCLELTVTSASESILELLINSPTFSVIESHPGTLLRNSSAFSYQRQTSNRSEPSGEINIDSSGETVGSGERCVMAMLRRRIRDEKTNVRKSALQVLVSILKHCDVSGMKEDLWILQDQCRDPAVSVRKQALQSLTELLMAQPRCVQIQKAWLRGVVPVVMDCESTVQEKALEFLDQLLLQNIRHHSHFHSGDDSQVLAWALLTLLTTESQELSRYLNKAFHIWSKKEKFSPTFINNVISHTGTEHSAPAWMLLSKIAGSSPRLDYSRIIQSWEKISSQQNPNSNTLGHILCVIGHIAKHLPKSTRDKVTDAVKCKLNGFQWSLEVISSAVDALQRLCRASAETPAEEQELLTQVCGDVLSTCEHRLSNIVLKENGTGNMDEDLLVKYIFTLGDIAQLCPARVEKRIFLLIQSVLASSADADHSPSSQGSSEAPASQPPPQVRGSVMPSVIRAHAIITLGKLCLQHEDLAKKSIPALVRELEVCEDVAVRNNVIIVMCDLCIRYTIMVDKYIPNISMCLKDSDPFIRKQTLILLTNLLQEEFVKWKGSLFFRFVSTLIDSHPDIASFGEFCLAHLLLKRNPVMFFQHFIECIFHFNNYEKHEKYNKFPQSEREKRLFSLKGKSNKERRMKIYKFLLEHFTDEQRFNITSKICLSILACFADGILPLDLDASELLSDTFEVLSSKEIKLLAMRSKPDKDLLMEEDDMALANVVMQEAQKKLISQVQKRNFIENIIPIIISLKTVLEKNKIPALRELMHYLREVMQDYRDELKDFFAVDKQLASELEYDMKKYQEQLVQEQELAKHADVAGTAGGAEVAPVAQVALCLETVPVPAGQENPAMSPAVSQPCTPRASAGHVAVSSPTPETGPLQRLLPKARPMSLSTIAILNSVKKAVESKSRHRSRSLGVLPFTLNSGSPEKTCSQVSSYSLEQESNGEIEHVTKRAISTPEKSISDVTFGAGVSYIGTPRTPSSAKEKIEGRSQGNDILCLSLPDKPPPQPQQWNVRSPARNKDTPACSRRSLRKTPLKTAN
P43387 110 267 0.154303164556962 PF00043.25:GST_C:34:99,PF14497.6:GST_C_3:38:100 dichloromethane dehalogenase (EC 4.5.1.3) 267 67 10 158 0 Methylophilus sp. BRENDA::P43387 1 BRENDA RLGGGAFHWTIFAPMIYGYDKDFTVEVTKGRFLLYESFDILEKYWLKDGDYLCGNTLSYPDLATCQDLVSHDAGRIIPTSMWDSHPKVKAWFARMMDREHAKTVSAWQYENVRKYLDDGVKLNFQRKTAVLKGTEVYSGHNNGIIYNGDDDSFVTQHG
P45522 98 401 0.0561927631578947 PF00999.21:Na_H_Exchanger:5:276 K+ : H+ antiporter KefB 601 272 10 150 7 Escherichia coli K-12 substr. MG1655 ecocyc::KEFB-MONOMER 1 ecocyc SAALLAGLLMLTDFAWQAAVVGGIGLAMSSTAMALQLMREKGMNRSESGQLGFSVLLFQDLAVIPALALVPLLAGSADEHFDWMKVGMKVLAFVGMLIGGRYLLRPVFRFIAASGVREVFTAATLLLVLGSALFMDALGLSMALGTFIAGVLLAESEYRHELETAIDPFKGLLLGLFFISVGMSLNLGVLYTHLLWVVISVVVLVAVKILVLYLLARLYGVRSSERMQFAGVLSQGGEFAFVLFSTASSQRLFQGDQMALLLVTVTLSMMTTPLLMKLVDKWLSRQFNGPEEEDEKPWVNDDKP
P56182 182 461 0.695767142857143 Ribosomal RNA processing protein 1 homolog A; Novel nuclear protein 1; NNP-1; Nucleolar protein Nop52; RRP1-like protein 461 0 10 280 0 Homo sapiens (Human) SwissProt::P56182 1 SwissProt AEELTADQNLKFIDPFCRIAARTKDSLVLNNITRGIFETIVEQAPLAIEDLLNELDTQDEEVASDSDESSEGGERGDALSQKRSEKPPAGSICRAEPEAGEEQAGDDRDSGGPVLQFDYEAVANRLFEMASRQSTPSQNRKRLYKVIRKLQDLAGGIFPEDEIPEKACRRLLEGRRQKKTKKQKRLLRLQQERGKGEKEPPSPGMERKRSRRRGVGADPEARAEAGEQPGTAERALLRDQPRGRGQRGARQRRRTPRPLTSARAKAANVQEPEKKKKRRE
P63030 1 109 0.0708834862385321 PF03650.13:MPC:21:106 Mitochondrial pyruvate carrier 1; Brain protein 44-like protein 109 86 10 109 0 Mus musculus (Mouse) SwissProt::P63030 1 SwissProt MAGALVRKAADYVRSKDFRDYLMSTHFWGPVANWGLPIAAINDMKKSPEIISGRMTFALCCYSLTFMRFAYKVQPRNWLLFACHVTNEVAQLIQGGRLINYEMSKRPSA
P68515 1 208 0.675057692307692 Bradykinin-potentiating and C-type natriuretic peptides; Angiotensin-converting enzyme inhibitor; BPP-CNP homolog 265 0 10 208 0 Bothrops insularis (Golden lancehead) (Lachesis insularis) SwissProt::P68515 1 SwissProt MVLSRLAASGLLLLALLALSVDGKPVQQWAQGGWPRPGPEIPPLKVQQWAQGGWPRPGPEIPPLTVQQWAQNWPHPQIPPLTVQQWAQLGPPPRPQIPPLEVQQWAQGRAPHPPIPPAPLQKWAPVQKWAPLLQPHESPASGTTALREELSLGPEAASGVPSAGAEVGRSGSKAPAAPHRLSKSKGAAATSAASRPMRDLRPDGKQAR
P70428 1 455 0.168309230769231 PF03016.15:Exostosin:101:380 Exostosin-2; Glucuronosyl-N-acetylglucosaminyl-proteoglycan/N-acetylglucosaminyl-proteoglycan 4-alpha-N-acetylglucosaminyltransferase; Multiple exostoses protein 2 homolog; EC 2.4.1.224; EC 2.4.1.225 718 280 10 432 1 Mus musculus (Mouse) SwissProt::P70428 1 SwissProt MCASVKSNIRGPALIPRMKTKHRIYYVTLFSIVLLGLIATGMFQFWPHSIESSSDGGVEKRSIREVPVVRLPTDSPIPERGDLSCRMHTCFDVYRCGFNPKNKIKVYIYPLKKYVDDAGVPVSSAISREYNELLTAISDSDYYTDDINRACLFVPSIDVLNQNPLRIKETAQALAQLSRWDRGTNHLLFNMLPGAPPDYNTALDVPRDRALLAGGGFSTWTYRQGYDVSIPVFSPLSAEMALPEKAPGPRRYFLLSSQMAIHPEYREELEALQAKHQESVLVLDKCTNLSEGVLSVRKRCHQHQVFDYPQVLQEATFCTVLRGARLGQAVLSDVLQAGCVPVVIADSYILPFSEVLDWKRASVVVPEEKMSDVYSILQNIPQRQIEEMQRQARWFWEAYFQSIKAIALATLQIINDRIYPYAAISYEEWNDPPAVKWASVSNPLFLPLIPPQSQG
P70670 24 1973 0.727677076923079 Nascent polypeptide-associated complex subunit alpha, muscle-specific form; Alpha-NAC, muscle-specific form; skNAC 2187 0 10 1950 0 Mus musculus (Mouse) SwissProt::P70670 1 SwissProt AVLPMSSALKVAAVGQPGPTPPSSLGPQQSPIVTAHQPSPLPSSVSSTPFEVPFAQPITAETALPSGTAPPTPTFLPHLIGPPISPAALALASPMIGLAQKGARSSSAPLSLVALAPHSVQKSSVCPPHPLTSPPSAAGAELGALTASIPPLEPKTSTSQVPSQGTLNLKGTAPCPPDVVRAFPSHLENPLASVQPGLMSCPQTLSNTSPVKGVPISSALTQSRLSLNLKGPVSPPARNTAAPSIPLAPSTSLGCHLPLLHHSSVDSPIQPPGQSGLAVSNPTSVGHSGIAASCPPERCVVPALPSRLLAVDSGAAPSDDKGSSAVTNELCSPPGSSNVAGTSLSPKASLVPKGSNVALQPLVTQVPASQKTGLKEIPVSCIGATHHALDNPSAISVAPATHVPPPTSSGLVSSKDPASPVTSLVVPAAHKQFPAPPASATLGVPVSPLPATEGLKNLPISALVNVGAPVSPAQAGLPTRKDTTLQPLAPIALKESPSSQSASSLEVLSEDTVTKKTTGGPAPVVRPAIAGVATTTSLRADSPPAVIRADSCVSPNTVSQPLKRSVTDPAMAPRTAKNTAPSTTSPLVPLASEGCPVASSMALSPQNASVSETALALSPEIPKSVPFPDPPLAEISFSNARKVDAVSHMESSGSSRQGHPDASVTAKGTVVCLADSSLDTSVSASKGSALSGASSPLYPLEVSFLPEAGLAVQGPKGSLNKLSPTPPSSKGAPVPSTGAPPSPKGAPIVPTESSISSKQVPAEILPSPQKTPEVTASRLISAVQSPKVDPIMSDVTPTSPKKTSATAVPKDTSATLSLKSVPAVTSLSPPKAPVAPSNEATIVPTEIPTSLKNALAAATPKETLATSIPKVTSPSPQKTPKSVSLKGAPAMTSKKATEIAASKDVSPSQFPKEVPLLPHVPPTSPPKSPVSDTLSGALTSPPPKGPPATLAETPTYPKKSPKPAASKKTPATPSPEGVTAVPLEIPPCSKKAPKTAAPKESSATSSSKRAPKTAVSKEIPSKGVTAVPLEISLPLKETSKSATPGEKSASSPKRSPKTAGPKETPPGGVTAVPPEISLPPKETPQNATPNESLAASSQKRSPKTSVPKETPPGGVTAMPLEIPSAPQKAPKTAVPKQIPTPEDAVTILAGSPLSPKKASKTAAPKEAPATPSVGVIAVSGEISPSPKKTSKTAAPKENSATLPPKRSPKTAAPKETPATSSEGVTAVPSEISPSPPTPASKGVPVTLTPKGAPNALAESPASPKKVPKTAAPEETSTTPSPQKIPKVAGPKEASATPPSKKTPKTAVPKETSAPSEGVTAVPLEIPPSPRKAPKTAAPKETPAPSPEGATTAPVQIPPSPRKGSKKAGSKETPTTPSPEGVTAAPLEIPISSKKTSKMASPKETLVTPSSKKLSQTVGPKETSLEGATAVPLEIPPSHKKAPKTVDPKQVPLTPSPKDAPTTLAESPSSPKKAPKTAAPPSERVTTVPPEKPATPQKASATTASKVPVPAETQEVAVSSRETPVTPAVPPVKNPSSHKKTSKTIELKEAPATLPPSPTKSPKIPSSKKAPRTSAPKEFPASPSIKPVTTSLAQTAPPSLQKAPSTTIPKENLAAPAVLPVSSKSPAAPAAASASLSPATAAPQTAPKEATTIPSCKKAAATETPIETSTAPSLEGAPKETSETSVSKVLMSSPPKKASSSKRASTLPATTLPSLKEASVLSPTATSSGKDSHISPVSDACSTGTTTPQASEKLPSKKGPTAFTEMLAAPAPESALAITAPIQKSPGANSNSASSPKCPDPSSKKDTKGLPSAVALAPQTVPVEKDTSKAIETLLVSPAKGSDCLHSPKGPVGSQVATPLAAFTSDKVPPEAVSASVAPKPAPAASLTLAPSPVAPLPPKQPLLESAPGSVLESPSKLPVPAEEDELPPLIPPEAVSGGEPFQPILVNMPA
P71241 1 266 0.0747443609022556 PF13727.6:CoA_binding_3:65:238 UDP-glucose:undecaprenyl-phosphate glucose-1-phosphate transferase (EC 2.7.8.31) 464 174 10 177 4 Escherichia coli K-12 substr. MG1655 ecocyc::G7098-MONOMER 1 ecocyc MTNLKKRERAKTNASLISMVQRFSDITIMFAGLWLVCEVSGLSFLYMHLLVALITLVVFQMLGGITDFYRSWRGVRAATEFALLLQNWTLSVIFSAGLVAFNNDFDTQLKIWLAWYALTSIGLVVCRSCIRIGAGWLRNHGYNKRMVAVAGDLAAGQMLMESFRNQPWLGFEVVGVYHDPKPGGVSNDWAGNLQQLVEDAKAGKIHNVYIAMQMCDGARVKKLVHQLADTTCSVLLIPDVFTFNILHSRLEEMNGVPVVPLYDTPL
P72360 1 224 0.251657589285714 PF04405.14:ScdA_N:5:59,PF01814.23:Hemerythrin:85:222 Iron-sulfur cluster repair protein ScdA; Cell wall-related protein ScdA 224 193 10 224 0 Staphylococcus aureus (strain NCTC 8325) SwissProt::P72360 1 SwissProt MINKNDIVADVVTDYPKAADIFRSVGIDFCCGGQVSIEAAALEKKNVDLNELLQRLNDVEQTNTPGSLNPKFLNVSSLIQYIQSAYHEPLREEFKNLTPYVTKLSKVHGPNHPYLVELKETYDTFKNGMLEHMQKEDDVDFPKLIKYEQGEVVDDINTVIDDLVSDHIATGELLVKMSELTSSYEPPIEACGTWRLVYQRLKALEVLTHEHVHLENHVLFKKVS
P75719 1 153 0.308690849673202 PF03245.13:Phage_lysis:30:153 DLP12 prophage; putative prophage endopeptidase RzpD 153 124 10 130 1 Escherichia coli K-12 substr. MG1655 ecocyc::G6311-MONOMER 1 ecocyc MSRVTAIISALIICIIVSLSWAVNHYRDNAIAYKVQRDKNARELKLANAAITDMQMRQRDVAALDAKYTKELADAKAENDALRDDVAAGRRRLHIKAVCQSVREATTASGVDNAASPRLADTAERDYFTLRERLITMQKQLEGTQKYINEQCR
P76550 1 269 0.416418587360595 CPZ-55 prophage; uncharacterized protein YffS 269 0 10 269 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7280-MONOMER 1 ecocyc MSYEIKICDILKGAAMEGQYKGAQRGAKCEEIANELTRRGVKNNKGEVITKGGVSHWLEGRREPNFDTLAELCDMFGVYALMPMRGGKWIRVHPEDRGEMELREAVAERDAIIDDLKARIAELEAALANKQVPAEAEEMGGEKVEEVAAEQAPNDEKEMGAKEWVNPNPKKYSVGMLCQVLAAMGGEYLGNNAGLQQKITVLDNDGNRKPISNGAFYRLIEQAKGRGLISVEQEIKHKKDENGNQIGKGKKGDKLITLLPNWIDKLGDE
P77306 1 553 0.382859674502712 PF15975.5:Flot:406:530,PF01145.25:Band_7:39:215 protein YqiK 553 302 10 507 2 Escherichia coli K-12 substr. MG1655 ecocyc::G7589-MONOMER 1 ecocyc MDDIVNSVPSWMFTAIIAVCILFIIGIIFARLYRRASAEQAFVRTGLGGQKVVMSGGAIVMPIFHEIIPINMNTLKLEVSRSTIDSLITKDRMRVDVVVAFFVRVKPSVEGIATAAQTLGQRTLSPEDLRMLVEDKFVDALRATAAQMTMHELQDTRENFVQGVQNTVAEDLSKNGLELESVSLTNFNQTSKEHFNPNNAFDAEGLTKLTQETERRRRERNEVEQDVEVAVREKNRDALSRKLEIEQQEAFMTLEQEQQVKTRTAEQNARIAAFEAERRREAEQTRILAERQIQETEIDREQAVRSRKVEAEREVRIKEIEQQQVTEIANQTKSIAIAAKSEQQSQAEARANLALAEAVSAQQNVETTRQTAEADRAKQVALIAAAQDAETKAVELTVRAKAEKEAAEMQAAAIVELAEATRKKGLAEAEAQRALNDAINVLSDEQTSLKFKLALLQALPAVIEKSVEPMKSIDGIKIIQVDGLNRGGAAGDANTGNVGGGNLAEQALSAALSYRTQAPLIDSLLNEIGVSGGSLAALTSPLTSTTPVEEKAE
P77589 1 403 0.0316151364764268 PF07690.16:MFS_1:21:301,PF00083.24:Sugar_tr:48:199,PF06779.14:MFS_4:46:386 3-hydroxyphenylpropionate/3-hydroxycinnamate:H+ symporter 403 366 10 136 12 Escherichia coli K-12 substr. MG1655 ecocyc::MHPT-MONOMER 0 ecocyc MSTRTPSSSSSRLMLTIGLCFLVALMEGLDLQAAGIAAGGIAQAFALDKMQMGWIFSAGILGLLPGALVGGMLADRYGRKRILIGSVALFGLFSLATAIAWDFPSLVFARLMTGVGLGAALPNLIALTSEAAGPRFRGTAVSLMYCGVPIGAALAATLGFAGANLAWQTVFWVGGVVPLILVPLLMRWLPESAVFAGEKQSAPPLRALFAPETATATLLLWLCYFFTLLVVYMLINWLPLLLVEQGFQPSQAAGVMFALQMGAASGTLMLGALMDKLRPVTMSLLIYSGMLASLLALGTVSSFNGMLLAGFVAGLFATGGQSVLYALAPLFYSSQIRATGVGTAVAVGRLGAMSGPLLAGKMLALGTGTVGVMAASAPGILVAGLAVFILMSRRSRIQPCADA
P82715 1 297 0.292517508417509 PF01789.16:PsbP:119:278 PsbP domain-containing protein 5, chloroplastic; OEC23-like protein 6; PsbP-related thylakoid lumenal protein 4; Thylakoid lumenal 35.8 kDa protein 297 160 10 297 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P82715 1 SwissProt MALLCPSLPSPNSRLFRCRSSNISSKYHGASKELMIARSGVSTRSISSEKGLSRRDLVLIGLSSPLSMFLPLSSPVTHAEEDVKMSGEELKMGTMVDDINAYSYAYPLDYPSEKLVFKWVESRKPERYSSAAPLSPDARLRIVSERVDLTDNLVISISIGPPNSRLTSKEKKTWSAKEVADSVLSDKSALRVTSSQRLEESSVLDAHASDIDGEPYWYYEYLVRKSPTKIAEASKLYRHYISSTAERDGYLYTINASTLGKQWDKMGPVLERAVGSFRLLPPTDSYVPPYKDPWRFW
P83436 1 770 0.199551688311688 PF10191.9:COG7:2:767 Conserved oligomeric Golgi complex subunit 7; COG complex subunit 7; Component of oligomeric Golgi complex 7 770 766 10 770 0 Homo sapiens (Human) SwissProt::P83436 1 SwissProt MDFSKFLADDFDVKEWINAAFRAGSKEAASGKADGHAATLVMKLQLFIQEVNHAVEETSHQALQNMPKVLRDVEALKQEASFLKEQMILVKEDIKKFEQDTSQSMQVLVEIDQVKSRMQLAAESLQEADKWSTLSADIEETFKTQDIAVISAKLTGMQNSLMMLVDTPDYSEKCVHLEALKNRLEALASPQIVAAFTSQAVDQSKVFVKVFTEIDRMPQLLAYYYKCHKVQLLAAWQELCQSDLSLDRQLTGLYDALLGAWHTQIQWATQVFQKPHEVVMVLLIQTLGALMPSLPSCLSNGVERAGPEQELTRLLEFYDATAHFAKGLEMALLPHLHEHNLVKVTELVDAVYDPYKPYQLKYGDMEESNLLIQMSAVPLEHGEVIDCVQELSHSVNKLFGLASAAVDRCVRFTNGLGTCGLLSALKSLFAKYVSDFTSTLQSIRKKCKLDHIPPNSLFQEDWTAFQNSIRIIATCGELLRHCGDFEQQLANRILSTAGKYLSDSCSPRSLAGFQESILTDKKNSAKNPWQEYNYLQKDNPAEYASLMEILYTLKEKGSSNHNLLAAPRAALTRLNQQAHQLAFDSVFLRIKQQLLLISKMDSWNTAGIGETLTDELPAFSLTPLEYISNIGQYIMSLPLNLEPFVTQEDSALELALHAGKLPFPPEQGDELPELDNMADNWLGSIARATMQTYCDAILQIPELSPHSAKQLATDIDYLINVMDALGLQPSRTLQHIVTLLKTRPEDYRQVSKGLPRRLATTVATMRSVNY
Q00873 1 224 0.405651339285714 PF01265.17:Cyto_heme_lyase:5:218 Cytochrome c1 heme lyase 224 214 10 224 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) Q00873 1 SwissProt/TReMBL MMSSDQQGKCPVDEETKKLWLREHGNEAHPGATAPGNQLECSANPQDNDKTPEYHTTVDLSQSREVSTIPRTNSDRNWIYPSEKQFYEAMMKKNWDPNSDDMKVVVPLHNSINERVWNYIKSWEDKQGGEACGGIKLTNFKGDSKKLTPRAWFRSRILHLAKPFDRHDWQIDRCGKTVDYVIDFYSTDLNDANSQQQPLIYLDVRPKLNSFEGFRLRFWKSLGF 2
Q04323 56 297 0.692235950413223 PF00789.20:UBX:154:237 UBX domain-containing protein 1; SAPK substrate protein 1; UBA/UBX 33.3 kDa protein 297 84 10 242 0 Homo sapiens (Human) SwissProt::Q04323 1 SwissProt LGHILGREPTSSEQGGLEGSGSAAGEGKPALSEEERQEQTKRMLELVAQKQREREEREEREALERERQRRRQGQELSAARQRLQEDEMRRAAEERRREKAEELAARQRVREKIERDKAERAKKYGGSVGSQPPPVAPEPGPVPSSPSQEPPTKREYDQCRIQVRLPDGTSLTQTFRAREQLAAVRLYVELHRGEELGGGQDPVQLLSGFPRRAFSEADMERPLQELGLVPSAVLIVAKKCPS
Q05212 138 310 0.311305780346821 PF07883.11:Cupin_2:82:145,PF12973.7:Cupin_7:77:149 DNA damage-repair/toleration protein DRT102 310 73 10 173 0 Arabidopsis thaliana Q05212 1 SwissProt/TReMBL SPCPASGSEPWSSVISSFLDNSLSEMSQIGKSTAGDSTTKKIDETTASCVICCLAKNREFTPVDIMPGGSMKIVRETPTSAIVRFKAGSVEPAHHHTFGHDLVVIKGKKSVWNLSKKERADLVDGDYLFTPAGDVHRVKYHEDTEFFITWDGHWDIFLDEDLETAKKAIEEEA
Q07949 1 215 0.865601860465116 Probable phosphatase PSR2; Plasma membrane sodium response protein 2; EC 3.1.3.- 397 0 10 215 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07949 1 SwissProt MGFIANILCCSSDTSKTHRQRQPPETNHNRNRNRKHSSNKAQTQGRKQKATPNGDKMQYSTPEILLSSSDSGSNAGSKTMQENGNSGNGKLAPLSRDHSNNSYDEEKEYEDYNEGDVEMTEVNNAGEEEEEDDEAKEKQDHVVHEYNVDADRNSSINDEAPPQQGLYQVGQEDMNPQYVASSPDNDLNLIPTTEEDFSDLTHLQPDQYHAPGYDT
Q08108 1 591 0.196222673434857 PF01735.18:PLA2_B:105:590 Lysophospholipase 3; Phospholipase B 3; EC 3.1.1.5 686 486 10 591 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08108 1 SwissProt MIRPLCSKIIISYIFAISQFLLAANAWSPTDSYVPGTVSCPDDINLVREATSISQNESAWLEKRNKVTSVALKDFLTRATANFSDSSEVLSKLFNDGNSENLPKIAVAVSGGGYRSMLTGAGVLAAMDNRTEGAYEHGLGGLLQSTTYLSGASGGNWLVGTLALNNWTSVQDILNNMQNDDSIWDLSDSIVTPGGINIFKTAKRWDHISNAVESKQNADYNTSLADIWGRALAYNFFPSLNRGGIGLTWSSIRDFPVFQNAEMPFPISVADGRYPGTKVINLNATVFEFNPFEMGSWDPSLNSFANVKYLGTNVSNGVPLERGKCTAGFDNAGFIMGTSSTLFNQFLLRINSTHLPSFITRLARHFLKDLSQDFNDIAVYSPNPFKDTKFLDSDYTTSIVDSDSLFLVDGGEDDENVPVLPLIQKERDVDIIFAVDNSADMRLAWPDGSSLVHTYERQFVKQGQGMSFPYVPDTNTFVNLGLNKKPTFFGCDANNLTDLQYIPPLVVYLPNAEYSFNSNQSAFKLSYSESQRRSMIQNGFEIATRNNFTDDPEFMGCVGCAIIRRKQQALNITLPPECETCFKNYCWNGTL
Q13137 142 387 0.568557723577236 Calcium-binding and coiled-coil domain-containing protein 2; Antigen nuclear dot 52 kDa protein; Nuclear domain 10 protein NDP52; Nuclear domain 10 protein 52; Nuclear dot protein 52 446 0 10 246 0 Homo sapiens (Human) SwissProt::Q13137 1 SwissProt VEEIEQHNKELCKENQELKDSCISLQKQNSDMQAELQKKQEELETLQSINKKLELKVKEQKDYWETELLQLKEQNQKMSSENEKMGIRVDQLQAQLSTQEKEMEKLVQGDQDKTEQLEQLKKENDHLFLSLTEQRKDQKKLEQTVEQMKQNETTAMKKQQELMDENFDLSKRLSENEIICNALQRQKERLEGENDLLKRENSRLLSYMGLDFNSLPYQVPTSDEGGARQNPGLAYGNPYSGIQESS
Q13751 575 1172 0.400594816053512 Laminin subunit beta-3; Epiligrin subunit bata; Kalinin B1 chain; Kalinin subunit beta; Laminin B1k chain; Laminin-5 subunit beta; Nicein subunit beta 1172 0 10 598 0 Homo sapiens (Human) SwissProt::Q13751 1 SwissProt YPVCVACHPCFQTYDADLREQALRFGRLRNATASLWSGPGLEDRGLASRILDAKSKIEQIRAVLSSPAVTEQEVAQVASAILSLRRTLQGLQLDLPLEEETLSLPRDLESLDRSFNGLLTMYQRKREQFEKISSADPSGAFRMLSTAYEQSAQAAQQVSDSSRLLDQLRDSRREAERLVRQAGGGGGTGSPKLVALRLEMSSLPDLTPTFNKLCGNSRQMACTPISCPGELCPQDNGTACGSRCRGVLPRAGGAFLMAGQVAEQLRGFNAQLQRTRQMIRAAEESASQIQSSAQRLETQVSASRSQMEEDVRRTRLLIQQVRDFLTDPDTDAATIQEVSEAVLALWLPTDSATVLQKMNEIQAIAARLPNVDLVLSQTKQDIARARRLQAEAEEARSRAHAVEGQVEDVVGNLRQGTVALQEAQDTMQGTSRSLRLIQDRVAEVQQVLRPAEKLVTSMTKQLGDFWTRMEELRHQARQQGAEAVQAQQLAEGASEQALSAQEGFERIKQKYAELKDRLGQSSMLGEQGARIQSVKTEAEELFGETMEMMDRMKDMELELLRGSQAIMLRSADLTGLEKRVEQIRDHINGRVLYYATCK
Q14674 1 1942 0.241961946446962 PF03568.17:Peptidase_C50:1722:1929 Separin; Caspase-like protein ESPL1; Extra spindle poles-like 1 protein; Separase; EC 3.4.22.49 2120 208 10 1942 0 Homo sapiens (Human) SwissProt::Q14674 1 SwissProt MRSFKRVNFGTLLSSQKEAEELLPALKEFLSNPPAGFPSSRSDAERRQACDAILRACNQQLTAKLACPRHLGSLLELAELACDGYLVSTPQRPPLYLERILFVLLRNAAAQGSPEATLRLAQPLHACLVQCSREAAPQDYEAVARGSFSLLWKGAEALLERRAAFAARLKALSFLVLLEDESTPCEVPHFASPTACRAVAAHQLFDASGHGLNEADADFLDDLLSRHVIRALVGERGSSSGLLSPQRALCLLELTLEHCRRFCWSRHHDKAISAVEKAHSYLRNTNLAPSLQLCQLGVKLLQVGEEGPQAVAKLLIKASAVLSKSMEAPSPPLRALYESCQFFLSGLERGTKRRYRLDAILSLFAFLGGYCSLLQQLRDDGVYGGSSKQQQSFLQMYFQGLHLYTVVVYDFAQGCQIVDLADLTQLVDSCKSTVVWMLEALEGLSGQELTDHMGMTASYTSNLAYSFYSHKLYAEACAISEPLCQHLGLVKPGTYPEVPPEKLHRCFRLQVESLKKLGKQAQGCKMVILWLAALQPCSPEHMAEPVTFWVRVKMDAARAGDKELQLKTLRDSLSGWDPETLALLLREELQAYKAVRADTGQERFNIICDLLELSPEETPAGAWARATHLVELAQVLCYHDFTQQTNCSALDAIREALQLLDSVRPEAQARDQLLDDKAQALLWLYICTLEAKMQEGIERDRRAQAPGNLEEFEVNDLNYEDKLQEDRFLYSNIAFNLAADAAQSKCLDQALALWKELLTKGQAPAVRCLQQTAASLQILAALYQLVAKPMQALEVLLLLRIVSERLKDHSKAAGSSCHITQLLLTLGCPSYAQLHLEEAASSLKHLDQTTDTYLLLSLTCDLLRSQLYWTHQKVTKGVSLLLSVLRDPALQKSSKAWYLLRVQVLQLVAAYLSLPSNNLSHSLWEQLCAQGWQTPEIALIDSHKLLRSIILLLMGSDILSTQKAAVETSFLDYGENLVQKWQVLSEVLSCSEKLVCHLGRLGSVSEAKAFCLEALKLTTKLQIPRQCALFLVLKGELELARNDIDLCQSDLQQVLFLLESCTEFGGVTQHLDSVKKVHLQKGKQQAQVPCPPQLPEEELFLRGPALELVATVAKEPGPIAPSTNSSPVLKTKPQPIPNFLSHSPTCDCSLCASPVLTAVCLRWVLVTAGVRLAMGHQAQGLDLLQVVLKGCPEAAERLTQALQASLNHKTPPSLVPSLLDEILAQAYTLLALEGLNQPSNESLQKVLQSGLKFVAARIPHLEPWRASLLLIWALTKLGGLSCCTTQLFASSWGWQPPLIKSVPGSEPSKTQGQKRSGRGRQKLASAPLRLNNTSQKGLEGRGLPCTPKPPDRIRQAGPHVPFTVFEEVCPTESKPEVPQAPRVQQRVQTRLKVNFSDDSDLEDPVSAEAWLAEEPKRRGTASRGRGRARKGLSLKTDAVVAPGSAPGNPGLNGRSRRAKKVASRHCEERRPQRASDQARPGPEIMRTIPEEELTDNWRKMSFEILRGSDGEDSASGGKTPAPGPEAASGEWELLRLDSSKKKLPSPCPDKESDKDLGPRLRLPSAPVATGLSTLDSICDSLSVAFRGISHCPPSGLYAHLCRFLALCLGHRDPYATAFLVTESVSITCRHQLLTHLHRQLSKAQKHRGSLEIADQLQGLSLQEMPGDVPLARIQRLFSFRALESGHFPQPEKESFQERLALIPSGVTVCVLALATLQPGTVGNTLLLTRLEKDSPPVSVQIPTGQNKLHLRSVLNEFDAIQKAQKENSSCTDKREWWTGRLALDHRMEVLIASLEKSVLGCWKGLLLPSSEEPGPAQEASRLQELLQDCGWKYPDRTLLKIMLSGAGALTPQDIQALAYGLCPTQPERAQELLNEAVGRLQGLTVPSNSHLVLVLDKDLQKLPWESMPSLQALPVTRLPSFRFLLSYSIIKEYGASPVLSQG
Q60865 250 707 0.788717903930131 PF12287.8:Caprin-1_C:108:434 Caprin-1; Cytoplasmic activation- and proliferation-associated protein 1; GPI-anchored membrane protein 1; GPI-anchored protein p137; GPI-p137; p137GPI; Membrane component chromosome 11 surface marker 1; RNA granule protein 105 707 327 10 458 0 Mus musculus (Mouse) SwissProt::Q60865 1 SwissProt HQNGLCEEEEAASAPTVEDQVAEAEPEPAEEYTEQSEVESTEYVNRQFMAETQFSSGEKEQVDEWTVETVEVVNSLQQQPQAASPSVPEPHSLTPVAQSDPLVRRQRVQDLMAQMQGPYNFIQDSMLDFENQTLDPAIVSAQPMNPTQNMDMPQLVCPQVHSESRLAQSNQVPVQPEATQVPLVSSTSEGYTASQPLYQPSHATEQRPQKEPMDQIQATISLNTDQTTASSSLPAASQPQVFQAGTSKPLHSSGINVNAAPFQSMQTVFNMNAPVPPANEPETLKQQSQYQATYNQSFSSQPHQVEQTELQQDQLQTVVGTYHGSQDQPHQVPGNHQQPPQQNTGFPRSSQPYYNSRGVSRGGSRGARGLMNGYRGPANGFRGGYDGYRPSFSNTPNSGYSQSQFTAPRDYSGYQRDGYQQNFKRGSGQSGPRGAPRGRGGPPRPNRGMPQMNTQQVN
Q61543 105 1175 0.174829038281979 PF00839.17:Cys_rich_FGFR:46:102,PF00839.17:Cys_rich_FGFR:107:166,PF00839.17:Cys_rich_FGFR:183:237,PF00839.17:Cys_rich_FGFR:241:303,PF00839.17:Cys_rich_FGFR:310:362,PF00839.17:Cys_rich_FGFR:371:428,PF00839.17:Cys_rich_FGFR:432:494,PF00839.17:Cys_rich_FGFR:505:559,PF00839.17:Cys_rich_FGFR:562:620,PF00839.17:Cys_rich_FGFR:624:678,PF00839.17:Cys_rich_FGFR:692:747,PF00839.17:Cys_rich_FGFR:750:802,PF00839.17:Cys_rich_FGFR:807:866,PF00839.17:Cys_rich_FGFR:875:930,PF00839.17:Cys_rich_FGFR:938:992 Golgi apparatus protein 1; E-selectin ligand 1; ESL-1; Selel; Golgi sialoglycoprotein MG-160 1175 858 10 1048 1 Mus musculus (Mouse) SwissProt::Q61543 1 SwissProt GWKLAEEESCREDVTRVCPKHTWSNNLAVLECLQDVREPENEISSDCNHLLWNYKLNLTTDPKFESVAREVCKSTISEIKECAEEPVGKGYMVSCLVDHRGNITEYQCHQYITKMTAIIFSDYRLICGFMDDCKNDINLLKCGSIRLGEKDAHSQGEVVSCLEKGLVKEAEEKEPKIQVSELCKKAILRVAELSSDDFHLDRHLYFACRDDRERFCENTQAGEGRVYKCLFNHKFEESMSEKCREALTTRQKLIAQDYKVSYSLAKSCKSDLKKYRCNVENLPRSREARLSYLLMCLESAVHRGRQVSSECQGEMLDYRRMLMEDFSLSPEIILSCRGEIEHHCSGLHRKGRTLHCLMKVVRGEKGNLGMNCQQALQTLIQETDPGADYRIDRALNEACESVIQTACKHIRSGDPMILSCLMEHLYTEKMVEDCEHRLLELQYFISRDWKLDPVLYRKCQGDASRLCHTHGWNETSELMPPGAVFSCLYRHAYRTEEQGRRLSRECRAEVQRILHQRAMDVKLDPALQDKCLIDLGKWCSEKTETGQELECLQDHLDDLAVECRDIVGNLTELESEDIQIEALLMRACEPIIQNFCHDVADNQIDSGDLMECLIQNKHQKDMNEKCAIGVTHFQLVQMKDFRFSYKFKMACKEDVLKLCPNIKKKVDVVICLSTTVRNDTLQEAKEHRVSLKCRKQLRVEELEMTEDIRLEPDLYEACKSDIKNYCSTVQYGNAQIIECLKENKKQLSTRCHQKVFKLQETEMMDPELDYTLMRVCKQMIKRFCPEADSKTMLQCLKQNKNSELMDPKCKQMITKRQITQNTDYRLNPVLRKACKADIPKFCHGILTKAKDDSELEGQVISCLKLRYADQRLSSDCEDQIRIIIQESALDYRLDPQLQLHCSDEIANLCAEEAAAQEQTGQVEECLKVNLLKIKTELCKKEVLNMLKESKADIFVDPVLHTACALDIKHHCAAITPGRGRQMSCLMEALEDKRVRLQPECKKRLNDRIEMWSYAAKVAPADGFSDLAMQVMTSPSKNYILSVISGSICILFLIGLMCGRITKRVTRELKDR
Q61792 31 199 0.683226627218935 PF00880.18:Nebulin:37:65,PF00880.18:Nebulin:73:100 LIM and SH3 domain protein 1; LASP-1; Metastatic lymph node gene 50 protein; MLN 50 263 57 10 169 0 Mus musculus (Mouse) SwissProt::Q61792 1 SwissProt HCETCKMTLNMKNYKGYEKKPYCNAHYPKQSFTMVADTPENLRLKQQSELQSQVRYKEEFEKNKGKGFSVVADTPELQRIKKTQDQISNIKYHEEFEKSRMGPSGGEGVEPERREAQDSSSYRRPTEQQQPQPHHIPTSAPVYQQPQQQQMTSSYGGYKEPAAPVSIQR
Q6N021 1 1128 0.660713563829788 Methylcytosine dioxygenase TET2; EC 1.14.11.n2 2002 0 10 1128 0 Homo sapiens (Human) SwissProt::Q6N021 1 SwissProt MEQDRTNHVEGNRLSPFLIPSPPICQTEPLATKLQNGSPLPERAHPEVNGDTKWHSFKSYYGIPCMKGSQNSRVSPDFTQESRGYSKCLQNGGIKRTVSEPSLSGLLQIKKLKQDQKANGERRNFGVSQERNPGESSQPNVSDLSDKKESVSSVAQENAVKDFTSFSTHNCSGPENPELQILNEQEGKSANYHDKNIVLLKNKAVLMPNGATVSASSVEHTHGELLEKTLSQYYPDCVSIAVQKTTSHINAINSQATNELSCEITHPSHTSGQINSAQTSNSELPPKPAAVVSEACDADDADNASKLAAMLNTCSFQKPEQLQQQKSVFEICPSPAENNIQGTTKLASGEEFCSGSSSNLQAPGGSSERYLKQNEMNGAYFKQSSVFTKDSFSATTTPPPPSQLLLSPPPPLPQVPQLPSEGKSTLNGGVLEEHHHYPNQSNTTLLREVKIEGKPEAPPSQSPNPSTHVCSPSPMLSERPQNNCVNRNDIQTAGTMTVPLCSEKTRPMSEHLKHNPPIFGSSGELQDNCQQLMRNKEQEILKGRDKEQTRDLVPPTQHYLKPGWIELKAPRFHQAESHLKRNEASLPSILQYQPNLSNQMTSKQYTGNSNMPGGLPRQAYTQKTTQLEHKSQMYQVEMNQGQSQGTVDQHLQFQKPSHQVHFSKTDHLPKAHVQSLCGTRFHFQQRADSQTEKLMSPVLKQHLNQQASETEPFSNSHLLQHKPHKQAAQTQPSQSSHLPQNQQQQQKLQIKNKEEILQTFPHPQSNNDQQREGSFFGQTKVEECFHGENQYSKSSEFETHNVQMGLEEVQNINRRNSPYSQTMKSSACKIQVSCSNNTHLVSENKEQTTHPELFAGNKTQNLHHMQYFPNNVIPKQDLLHRCFQEQEQKSQQASVLQGYKNRNQDMSGQQAAQLAQQRYLIHNHANVFPVPDQGGSHTQTPPQKDTQKHAALRWHLLQKQEQQQTQQPQTESCHSQMHRPIKVEPGCKPHACMHTAPPENKTWKKVTKQENPPASCDNVQQKSIIETMEQHLKQFHAKSLFDHKALTLKSQKQVKVEMSGPVTVLTRQTTAAELDSHTPALEQQTTSSEKTPTKRTAASVLNNFIESPSKLLDTPIKNLLDTPVKTQY 2
Q83883 1 981 0.351079816513761 PF08405.11:Calici_PP_N:183:540,PF05416.12:Peptidase_C37:706:978,PF00910.22:RNA_helicase:556:657 Genome polyprotein; EC 3.6.1.15; EC 3.4.22.66; EC 2.7.7.48 1789 733 10 981 0 Norwalk virus (strain GI/Human/United States/Norwalk/1968) (Hu/NV/NV/1968/US) SwissProt::Q83883 1 SwissProt MMMASKDVVPTAASSENANNNSSIKSRLLARLKGSGGATSPPNSIKITNQDMALGLIGQVPAPKATSVDVPKQQRDRPPRTVAEVQQNLRWTERPQDQNVKTWDELDHTTKQQILDEHAEWFDAGGLGPSTLPTSHERYTHENDEGHQVKWSAREGVDLGISGLTTVSGPEWNMCPLPPVDQRSTTPATEPTIGDMIEFYEGHIYHYAIYIGQGKTVGVHSPQAAFSITRITIQPISAWWRVCYVPQPKQRLTYDQLKELENEPWPYAAVTNNCFEFCCQVMCLEDTWLQRKLISSGRFYHPTQDWSRDTPEFQQDSKLEMVRDAVLAAINGLVSRPFKDLLGKLKPLNVLNLLSNCDWTFMGVVEMVVLLLELFGIFWNPPDVSNFIASLLPDFHLQGPEDLARDLVPIVLGGIGLAIGFTRDKVSKMMKNAVDGLRAATQLGQYGLEIFSLLKKYFFGGDQTEKTLKDIESAVIDMEVLSSTSVTQLVRDKQSARAYMAILDNEEEKARKLSVRNADPHVVSSTNALISRISMARAALAKAQAEMTSRMRPVVIMMCGPPGIGKTKAAEHLAKRLANEIRPGGKVGLVPREAVDHWDGYHGEEVMLWDDYGMTKIQEDCNKLQAIADSAPLTLNCDRIENKGMQFVSDAIVITTNAPGPAPVDFVNLGPVCRRVDFLVYCTAPEVEHTRKVSPGDTTALKDCFKPDFSHLKMELAPQGGFDNQGNTPFGKGVMKPTTINRLLIQAVALTMERQDEFQLQGPTYDFDTDRVAAFTRMARANGLGLISMASLGKKLRSVTTIEGLKNALSGYKISKCSIQWQSRVYIIESDGASVQIKEDKQALTPLQQTINTASLAITRLKAARAVAYASCFQSAITTILQMAGSALVINRAVKRMFGTRTAAMALEGPGKEHNCRVHKAKEAGKGPIGHDDMVERFGLCETEEEESEDQIQMVPSDAVPEGKNKGKTKKGRGRKNNYNA
Q99624 1 504 0.0791785714285714 PF01490.18:Aa_trans:65:491 Sodium-coupled neutral amino acid transporter 3; N-system amino acid transporter 1; Na(+)-coupled neutral amino acid transporter 3; Solute carrier family 38 member 3; System N amino acid transporter 1 504 427 10 275 10 Homo sapiens (Human) SwissProt::Q99624 1 SwissProt MEAPLQTEMVELVPNGKHSEGLLPVITPMAGNQRVEDPARSCMEGKSFLQKSPSKEPHFTDFEGKTSFGMSVFNLSNAIMGSGILGLAYAMANTGIILFLFLLTAVALLSSYSIHLLLKSSGVVGIRAYEQLGYRAFGTPGKLAAALAITLQNIGAMSSYLYIIKSELPLVIQTFLNLEEKTSDWYMNGNYLVILVSVTIILPLALMRQLGYLGYSSGFSLSCMVFFLIAVIYKKFHVPCPLPPNFNNTTGNFSHVEIVKEKVQLQVEPEASAFCTPSYFTLNSQTAYTIPIMAFAFVCHPEVLPIYTELKDPSKKKMQHISNLSIAVMYIMYFLAALFGYLTFYNGVESELLHTYSKVDPFDVLILCVRVAVLTAVTLTVPIVLFPVRRAIQQMLFPNQEFSWLRHVLIAVGLLTCINLLVIFAPNILGIFGVIGATSAPFLIFIFPAIFYFRIMPTEKEPARSTPKILALCFAMLGFLLMTMSLSFIIIDWASGTSRHGGNH
Q99650 473 979 0.287275147928994 PF00041.21:fn3:67:135 Oncostatin-M-specific receptor subunit beta; Interleukin-31 receptor subunit beta; IL-31 receptor subunit beta; IL-31R subunit beta; IL-31R-beta; IL-31RB 979 69 10 484 1 Homo sapiens (Human) SwissProt::Q99650 1 SwissProt ENLDKPSSSELHSIPAPANSTKLILDRCSYQICVIANNSVGASPASVIVISADPENKEVEEERIAGTEGGFSLSWKPQPGDVIGYVVDWCDHTQDVLGDFQWKNVGPNTTSTVISTDAFRPGVRYDFRIYGLSTKRIACLLEKKTGYSQELAPSDNPHVLVDTLTSHSFTLSWKDYSTESQPGFIQGYHVYLKSKARQCHPRFEKAVLSDGSECCKYKIDNPEEKALIVDNLKPESFYEFFITPFTSAGEGPSATFTKVTTPDEHSSMLIHILLPMVFCVLLIMVMCYLKSQWIKETCYPDIPDPYKSSILSLIKFKENPHLIIMNVSDCIPDAIEVVSKPEGTKIQFLGTRKSLTETELTKPNYLYLLPTEKNHSGPGPCICFENLTYNQAASDSGSCGHVPVSPKAPSMLGLMTSPENVLKALEKNYMNSLGEIPAGETSLNYVSQLASPMFGDKDSLPTNPVEAPHCSEYKMQMAVSLRLALPPPTENSSLSSITLLDPGEHYC
Q9Y580 98 266 0.667123076923077 RNA-binding protein 7; RNA-binding motif protein 7 266 0 10 169 0 Homo sapiens (Human) SwissProt::Q9Y580 1 SwissProt SYPQHHVGNSSPTSTSPSRYERTMDNMTSSAQIIQRSFSSPENFQRQAVMNSALRQMSYGGKFGSSPLDQSGFSPSVQSHSHSFNQSSSSQWRQGTPSSQRKVRMNSYPYLADRHYSREQRYTDHGSDHHYRGKRDDFFYEDRNHDDWSHDYDNRRDSSRDGKWRSSRH
SwissProt::B3FWS0 1 534 0.488875093632959 Hypothemycin biosynthesis cluster protein hpm4 534 0 10 534 0 Hypomyces subiculosus (Nectria subiculosa) SwissProt::B3FWS0 1 SwissProt MSWESPVNYSVGGEDLDLDLGAFLGSLSDFDGQIEPAHSLEDEYSGQSGQGADDDPDSDGPKVLTIMASIDAIHRHLENERKKGNGKANVAIKLKDPTMRKSITFSIPELQSPTTTASSAGSPSCAPLRLPSPEAFDLGEAIFSHPTAGSSFPEEVTPAAEDDVLSSIASPFATPEGLFFPQDEDVLPSIAPLLKAANLAHATEPSLGLISPRATASESSMMPDEEAMADVVQYRDGTGTTPESLSPGDMEQDEWPHTVSSRQTRSRQAARACQTPSTMSTKDKKCIDSSSCSLKQMNSQHQKRNSIETAPSRTIKRPRVESPDLLTLIPNHDEYQRVQELTAALDPLLAYKMVRNARAILPQSVAEDMAHSADMEVDGPLHYQNNQQSPSSQEEIMQQFCETVRRIEWVERAAFKSMVEYRVLFVQLYQHYLRLQEIVVTRKGERRVTLAKEQLYRTLYPGVEKMTSSGLTSDEWEKFNRCIRRGKQWNTIASKLGVGILQRMPSSICHSWVEQKLQTKEQLHIWIEIVSLLA
SwissProt::B3FWS1 1 262 0.185940839694657 O-methyltransferase hmp5; Hypothemycin biosynthesis cluster protein hpm5; EC 2.1.1.- 398 0 10 262 0 Hypomyces subiculosus (Nectria subiculosa) SwissProt::B3FWS1 1 SwissProt MSASNGEIVQRIESLLASAKKLQGDDQYGRFGLLKEIDLLYQDVEPPINTFFKQWTSLTFFSCIDIAIKLGLFEHMKGRESITAKELGALVNVDDDVIARVMRVLVASRFVASKGEDAYAHTHKSLVYVKGEHTAVDSFNLISLLAVSYITIPEYLKTRSADELVDIRKTPYACAYGMEGKTFYEVLSTNPDHLDTFNRSMSEPGPEWGMFPFESLRENVLAEPERPFVVDIGGGKGQALLRIQEETGKVFGTSSQLILQER
SwissProt::E9PUL5 1 346 0.753668786127167 PF04505.12:CD225:270:336 Proline-rich transmembrane protein 2; Dispanin subfamily B member 3; DSPB3 346 67 10 300 2 Mus musculus (Mouse) SwissProt::E9PUL5 1 SwissProt MAASSSQVSEMKGVEDSSKTQTEGPRHSEEGLGPVQVVAEIPDQPEALQPGPGITAAPVDSGPKAELAPETTETPVETPETVQATDLSLNPEEGSKASPSPSPSEARQEPASKPDVNRETAAEEGSEPQSTAPPEPTSEPAFQINTQSDPQPTSQPPPKPPLQAEPPTQEDPTTEVLTESTGEKQENGAVVPLQAGDGEEGPAPQPHSPPSTKTPPANGAPPRVLQKLVEEDRIGRAHGGHPGSPRGSLSRHPSSQLAGPGVEGGEGTQKPRDYIILAILSCFCPMWPVNIVAFAYAVMSRNSLQQGDVDGAQRLGRVAKLLSIVALVGGVLIIIASCVINLGVYK
SwissProt::G1UB37 1 610 0.207807049180328 PF07690.16:MFS_1:173:559,PF00083.24:Sugar_tr:204:413 Major facilitator superfamily multidrug transporter FLU1; Fluconazole resistance protein 1 610 387 10 337 12 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::G1UB37 1 SwissProt MNNNTNSNHNDIAPEATITQNTTTSVSNDELQHITNNNNVNVQSYVGPTDSVESSSNTADEENEINSFNAQNVKDYEANVGGELPPDDELSRIESNTELSRRATRSIMNTESLLRTASQSSKPLPPMGGGKEYPPMLGSRDPYVVAFDGPDDPDHPHNYPTWKKILYCASVGLAALSVSMGSAMFSQASADIMQIYHIGWTPATLTTSLFVFGFASGPVIYGPLSELFGRKLVMVPSCLGYVCFSFAVATAKDIQTIMICRFFAGFIGAAPLVVAPAVMADMFNNRYRGTAIAIFSMLLFGGPMLAPILGAFTVKNSALGWRWTSYFCGIIGSLALFMNTFLLQETHHPLILTRRAEELRRRTGNWGIYAPHEELKLSMKEIVENNIARPLKMLFTEPILFLVSLYNAFIYGMLYLFLTAIPLIFLGEYHFVQGVAELPYLAMLIGILIGGGMIMLFEKRYIKAMEDNGGKIIPEKRLEPMMVGGFTFVIGIFWLGWTGNYPQHVHWIVPVIGAAFVGNGLMLIFLPCFNYIIDCYLLYAATALAGNTFIRSAFGAVFPLFARQMFTNLTIKWASTLLGCIGILLLPMPFVFYYYGKSLRHKSKFAFVLE
SwissProt::O01700 403 928 0.614897718631178 Mitogen-activated protein kinase kinase kinase dlk-1; DAP kinase-like kinase; Death-associated protein kinase-like kinase; EC 2.7.11.25 928 0 10 526 0 Caenorhabditis elegans SwissProt::O01700 1 SwissProt CIQYPSTVTRDHGGPKSAFAMEEEIQRKRHEQLNHIKDIRNMYEMKLKRTNKMYDKLQGCFTELKLKESELAEWEKDLTEREQWHNQNSPKAVAAPRAQLRGYPNEGYDDMSSDEDVQPCRGSPYRCSNTSSSSGVQSSPFSRQSSSRSSAGQQTRRSEGANPPKILRNDAIRHSGSYWETLGGARGSPARDSGFSQDSGMWSAGAGSCTAINGGGQQVCYSQTLYRNGDGRWSDGRIASRRRVSTSVNKSTAVPGQPVFFTRDSPSRVPHGVISCSSPRSSSKLNRSSYPSRNAPHQLEDGCCCAHARAPRAKSIAVPMTSSSRARSPTPYDNDFENAESFVDPESPKNLKNLEKIVNLPESTSYDEALCNSDVTMNPIYTSPITTYSNPCHVELVDEENANDVDLTSSMDSRRSRSDDADVESSEEDEGNGNNILNTSMESEDLRYRIDTSQSTMMSSLERSLEIGATRSDGLSDNEMRVQAVKMSIKTHRRTGSNPQALIHQCIDEYTTSATDDSDDAGAVRI
SwissProt::O43556 1 437 0.274114645308925 PF05510.13:Sarcoglycan_2:33:419 Epsilon-sarcoglycan; Epsilon-SG 437 387 10 414 1 Homo sapiens (Human) SwissProt::O43556 1 SwissProt MQLPRWWELGDPCAWTGQGRGTRRMSPATTGTFLLTVYSIFSKVHSDRNVYPSAGVLFVHVLEREYFKGEFPPYPKPGEISNDPITFNTNLMGYPDRPGWLRYIQRTPYSDGVLYGSPTAENVGKPTIIEITAYNRRTFETARHNLIINIMSAEDFPLPYQAEFFIKNMNVEEMLASEVLGDFLGAVKNVWQPERLNAINITSALDRGGRVPLPINDLKEGVYVMVGADVPFSSCLREVENPQNQLRCSQEMEPVITCDKKFRTQFYIDWCKISLVDKTKQVSTYQEVIRGEGILPDGGEYKPPSDSLKSRDYYTDFLITLAVPSAVALVLFLILAYIMCCRREGVEKRNMQTPDIQLVHHSAIQKSTKELRDMSKNREIAWPLSTLPVFHPVTGEIIPPLHTDNYDSTNMPLMQTQQNLPHQTQIPQQQTTGKWYP
SwissProt::O60443 1 496 0.157902822580645 PF04598.12:Gasdermin:1:246,PF17708.1:Gasdermin_C:280:467 Gasdermin-E; Inversely correlated with estrogen receptor expression 1; ICERE-1; Non-syndromic hearing impairment protein 5 496 434 10 496 0 Homo sapiens (Human) SwissProt::O60443 1 SwissProt MFAKATRNFLREVDADGDLIAVSNLNDSDKLQLLSLVTKKKRFWCWQRPKYQFLSLTLGDVLIEDQFPSPVVVESDFVKYEGKFANHVSGTLETALGKVKLNLGGSSRVESQSSFGTLRKQEVDLQQLIRDSAERTINLRNPVLQQVLEGRNEVLCVLTQKITTMQKCVISEHMQVEEKCGGIVGIQTKTVQVSATEDGNVTKDSNVVLEIPAATTIAYGVIELYVKLDGQFEFCLLRGKQGGFENKKRIDSVYLDPLVFREFAFIDMPDAAHGISSQDGPLSVLKQATLLLERNFHPFAELPEPQQTALSDIFQAVLFDDELLMVLEPVCDDLVSGLSPTVAVLGELKPRQQQDLVAFLQLVGCSLQGGCPGPEDAGSKQLFMTAYFLVSALAEMPDSAAALLGTCCKLQIIPTLCHLLRALSDDGVSDLEDPTLTPLKDTERFGIVQRLFASADISLERLKSSVKAVILKDSKVFPLLLCITLNGLCALGREHS
SwissProt::P03107 1 473 0.446244820295983 PF00513.18:Late_protein_L2:8:461 Minor capsid protein L2 473 454 10 450 1 Human papillomavirus type 16 SwissProt::P03107 1 SwissProt MRHKRSAKRTKRASATQLYKTCKQAGTCPPDIIPKVEGKTIAEQILQYGSMGVFFGGLGIGTGSGTGGRTGYIPLGTRPPTATDTLAPVRPPLTVDPVGPSDPSIVSLVEETSFIDAGAPTSVPSIPPDVSGFSITTSTDTTPAILDINNTVTTVTTHNNPTFTDPSVLQPPTPAETGGHFTLSSSTISTHNYEEIPMDTFIVSTNPNTVTSSTPIPGSRPVARLGLYSRTTQQVKVVDPAFVTTPTKLITYDNPAYEGIDVDNTLYFSSNDNSINIAPDPDFLDIVALHRPALTSRRTGIRYSRIGNKQTLRTRSGKSIGAKVHYYYDLSTIDPAEEIELQTITPSTYTTTSHAASPTSINNGLYDIYADDFITDTSTTPVPSVPSTSLSGYIPANTTIPFGGAYNIPLVSGPDIPINITDQAPSLIPIVPGSPQYTIIADAGDFYLHPSYYMLRKRRKRLPYFFSDVSLAA
SwissProt::P04289 1 96 0.63253125 PF11094.8:UL11:1:39 Cytoplasmic envelopment protein 3 96 39 10 96 0 Human herpesvirus 1 (strain 17) (HHV-1) (Human herpes simplex virus 1) SwissProt::P04289 1 SwissProt MGLSFSGARPCCCRNNVLITDDGEVVSLTAHDFDVVDIESEEEGNFYVPPDMRGVTRAPGRQRLRSSDPPSRHTHRRTPGGACPATQFPPPMSDSE
SwissProt::P15806 39 537 0.680485771543085 Transcription factor E2-alpha; Immunoglobulin enhancer-binding factor E12/E47; Transcription factor 3; TCF-3; Transcription factor A1 651 0 10 499 0 Mus musculus (Mouse) SwissProt::P15806 1 SwissProt ASLGGTQFAGSGLEDRPSSGSWGSSDQNSSSFDPSRTYSEGAHFSDSHSSLPPSTFLGAGLGGKGSERNAYATFGRDTSVGTLSQAGFLPGELSLSSPGPLSPSGIKSSSQYYPSFPSNPRRRAADGGLDTQPKKVRKVPPGLPSSVYPPSSGDSYSRDAAAYPSAKTPSSAYPSPFYVADGSLHPSAELWSTPSQVGFGPMLGDGSSPLPLAPGSSSVGSGTFGGLQQQDRMGYQLHGSEVNGSLPAVSSFSAAPGTYSGTSGHTPPVSGAAAESLLGTRGTTASSSGDALGKALASIYSPDHSSNNFSPSPSTPVGSPQGLPGTSQWPRAGAPSALSPNYDAGLHGLSKMEDRLDEAIHVLRSHAVGTASDLHGLLPGHGALTTSFTGPMSLGGRHAGLVGGSHPEEGLTSGASLLHNHASLPSQPSSLPDLSQRPPDSYSGLGRAGTTAGASEIKREEKEDEEIASVADAEEDKKDLKVPRTRTSPDEDEDDLLPP
SwissProt::P21237 1 130 0.381054615384615 Brain-derived neurotrophic factor; BDNF 249 0 10 130 0 Mus musculus (Mouse) SwissProt::P21237 1 SwissProt MTILFLTMVISYFGCMKAAPMKEVNVHGQGNLAYPGVRTHGTLESVNGPRAGSRGLTTTSLADTFEHVIEELLDEDQKVRPNEENHKDADLYTSRVMLSSQVPLEPPLLFLLEEYKNYLDAANMSMRVRR
SwissProt::P36011 1 622 0.709990192926046 PF04383.13:KilA-N:151:228 Cell pattern formation-associated protein stuA; Stunted protein A 622 78 10 622 0 Emericella nidulans (strain FGSC A4 / ATCC 38163 / CBS 112.46 / NRRL 194 / M139) (Aspergillus nidulans) SwissProt::P36011 1 SwissProt MASMNQPQPYMDVHSHLSSGQTYASHPATAGALTHYQYPQQPPVLQPTSTYGPASSYSQYPYPNSVASSQSVPPPTTSISSQVPAQLLPLPVTNHPVPTHGYGNNSGTPMQGYVYDPTGQMAPPGAKPRVTATLWEDEGSLCYQVEAKGVCVARREDNGMINGTKLLNVAGMTRGRRDGILKSEKVRNVVKIGPMHLKGVWIPFDRALEFANKEKITDLLYPLFVQHISNLLYHPANQNQRNMTVPDSRRLEGPQPVVRTPQAQQPPSLHHHSLQTPVPSHMSQPGGRPSLDRAHTFPTPPASASSLIGITSQNNSYDWNPGMNSSVPNTQPLSIDTSLSNARSMPTTPATTPPGNNLQGMQSYQPQSGYDSKPYYSAAPSTHPQYAPQQPLPQQSMAQYGHSMPTSSYRDMAPPSSQRGSVTEIESDVKTERYGQGTVAKTEPEQEQEYAQPDSGYNTGRGSYYTTNPSVGGLAHDHSQLTPDMTGSPQQNGSGRMTPRTSNTAPQWAPGYTTPPRPAAASSLYNIVSDTRGTSGANGSTSDNYSVASNSGYSTGMNGSMGSNKRMRDDDDDRIVPPDSRGEFDTKRRKTLTETPVGGPVGGVPLGLQPMKAGGSLISARR
SwissProt::P42581 1 219 0.743531506849314 Homeobox protein HMX3; Homeobox protein H6 family member 3; Homeobox protein Nkx-5.1 356 0 10 219 0 Mus musculus (Mouse) SwissProt::P42581 1 SwissProt MPEPGPDASGTASAPPPQPPPQPPAPKESPFSIRNLLNGDHHRPPPKPQPPPRTLFAPASAAAAAAAAAAAAAKGALEGAAGFALSQVGDLAFPRFEIPAQRFALPAHYLERSPAWWYPYTLTPAGGHLPRPEASEKALLRDSSPASGTDRDSPEPLLKADPDHKELDSKSPDEIILEESDSEEGKKEGEAVPGAAGTTVGATTATPGSEDWKAGAESP
SwissProt::P52848 1 557 0.121621543985637 PF12062.8:HSNSD:25:515 Bifunctional heparan sulfate N-deacetylase/N-sulfotransferase 1; Glucosaminyl N-deacetylase/N-sulfotransferase 1; NDST-1; N-heparan sulfate sulfotransferase 1; N-HSST 1; [Heparan sulfate]-glucosamine N-sulfotransferase 1; HSNST 1; EC 2.8.2.8; EC 3.-.-.-; EC 2.8.2.- 882 491 10 534 1 Homo sapiens (Human) SwissProt::P52848 1 SwissProt MPALACLRRLCRHVSPQAVLFLLFIFCLFSVFISAYYLYGWKRGLEPSADAPEPDCGDPPPVAPSRLLPLKPVQAATPSRTDPLVLVFVESLYSQLGQEVVAILESSRFKYRTEIAPGKGDMPTLTDKGRGRFALIIYENILKYVNLDAWNRELLDKYCVAYGVGIIGFFKANENSLLSAQLKGFPLFLHSNLGLKDCSINPKSPLLYVTRPSEVEKGVLPGEDWTVFQSNHSTYEPVLLAKTRSSESIPHLGADAGLHAALHATVVQDLGLHDGIQRVLFGNNLNFWLHKLVFVDAVAFLTGKRLSLPLDRYILVDIDDIFVGKEGTRMKVEDVKALFDTQNELRAHIPNFTFNLGYSGKFFHTGTNAEDAGDDLLLSYVKEFWWFPHMWSHMQPHLFHNQSVLAEQMALNKKFAVEHGIPTDMGYAVAPHHSGVYPVHVQLYEAWKQVWSIRVTSTEEYPHLKPARYRRGFIHNGIMVLPRQTCGLFTHTIFYNEYPGGSSELDKIINGGELFLTVLLNPISIFMTHLSNYGNDRLGLYTFKHLVRFLHSWTNLR
SwissProt::P97767 1 306 0.669951960784314 Eyes absent homolog 1; EC 3.1.3.16; EC 3.1.3.48 591 0 10 306 0 Mus musculus (Mouse) SwissProt::P97767 1 SwissProt MEMQDLTSPHSRLSGSSESPSGPKLDSSHINSTSMTPNGTEVKTEPMSSSEIASTAADGSLDSFSGSALGSSSFSPRPAHPFSPPQIYPSKSYPHILPTPSSQTMAAYGQTQFTTGMQQATAYATYPQPGQPYGISSYGALWAGIKTESGLSQSQSPGQTGFLSYGTSFGTPQPGQAPYSYQMQGSSFTTSSGLYSGNNSLTNSSGFNSSQQDYPSYPGFGQGQYAQYYNSSPYPAHYMTSSNTSPTTPSTNATYQLQEPPSGVTSQAVTDPTAEYSTIHSPSTPIKETDSERLRRGSDGKSRGRG
SwissProt::Q08495 1 338 0.711557100591716 PF16182.5:AbLIM_anchor:10:86,PF16182.5:AbLIM_anchor:85:315 Dematin; Dematin actin-binding protein; Erythrocyte membrane protein band 4.9 405 306 10 338 0 Homo sapiens (Human) SwissProt::Q08495 1 SwissProt MERLQKQPLTSPGSVSPSRDSSVPGSPSSIVAKMDNQVLGYKDLAAIPKDKAILDIERPDLMIYEPHFTYSLLEHVELPRSRERSLSPKSTSPPPSPEVWADSRSPGIISQASAPRTTGTPRTSLPHFHHPETSRPDSNIYKKPPIYKQRESVGGSPQTKHLIEDLIIESSKFPAAQPPDPNQPAKIETDYWPCPPSLAVVETEWRKRKASRRGAEEEEEEEDDDSGEEMKALRERQREELSKVTSNLGKMILKEEMEKSLPIRRKTRSLPDRTPFHTSLHQGTSKSSSLPAYGRTTLSRLQSTEFSPSGSETGSPGLQNGEGQRGRMDRGNSLPCVL
SwissProt::Q0JFZ0 1 247 0.352535627530364 PF00010.26:HLH:69:120 Protein IRON-RELATED TRANSCRIPTION FACTOR 2; OsIRO2; Basic helix-loop-helix protein 56; OsbHLH056 247 52 10 247 0 Oryza sativa subsp. japonica (Rice) SwissProt::Q0JFZ0 1 SwissProt MEQLFVDDPAFASSMSSLEADIFSGAGQLPSSPWLDLDLDDDVQDLSMAPTTANAVSSGYGSGGSGSHRKLSHNAYERDRRKQLNELYSSLRALLPDADHTKLSIPTTVSRVLKYIPELQKQVENLERKKKELTTTSTTNCKPGVLGSQLMSEGMAPIVSATCINDMEIMVQVSLLSNVAGSVLPLSKCIKVLENEGLHFISSSTSSGFGNRTFYSIHLQRSEGTINEECPAFCERLEKVVRNKAKL
SwissProt::Q13352 1 177 0.488306779661017 PF06729.12:CENP-R:25:161 Centromere protein R; CENP-R; Beta-3-endonexin; Integrin beta-3-binding protein; Nuclear receptor-interacting factor 3 177 137 10 177 0 Homo sapiens (Human) SwissProt::Q13352 1 SwissProt MPVKRSLKLDGLLEENSFDPSKITRKKSVITYSPTTGTCQMSLFASPTSSEEQKHRNGLSNEKRKKLNHPSLTESKESTTKDNDEFMMLLSKVEKLSEEIMEIMQNLSSIQALEGSRELENLIGISCASHFLKREMQKTKELMTKVNKQKLFEKSTGLPHKASRHLDSYEFLKAILN
SwissProt::Q13574 1 1117 0.433728021486123 PF00609.19:DAGK_acc:635:792,PF00781.24:DAGK_cat:484:597,PF00130.22:C1_1:361:419,PF12796.7:Ank_2:983:1077,PF13637.6:Ank_4:1013:1062,PF13606.6:Ank_3:1013:1036 Diacylglycerol kinase zeta; DAG kinase zeta; Diglyceride kinase zeta; DGK-zeta; EC 2.7.1.107 1117 426 10 1117 0 Homo sapiens (Human) SwissProt::Q13574 1 SwissProt METFFRRHFRGKVPGPGEGQQRPSSVGLPTGKARRRSPAGQASSSLAQRRRSSAQLQGCLLSCGVRAQGSSRRRSSTVPPSCNPRFIVDKVLTPQPTTVGAQLLGAPLLLTGLVGMNEEEGVQEDVVAEASSAIQPGTKTPGPPPPRGAQPLLPLPRYLRRASSHLLPADAVYDHALWGLHGYYRRLSQRRPSGQHPGPGGRRASGTTAGTMLPTRVRPLSRRRQVALRRKAAGPQAWSALLAKAITKSGLQHLAPPPPTPGAPCSESERQIRSTVDWSESATYGEHIWFETNVSGDFCYVGEQYCVARMLKSVSRRKCAACKIVVHTPCIEQLEKINFRCKPSFRESGSRNVREPTFVRHHWVHRRRQDGKCRHCGKGFQQKFTFHSKEIVAISCSWCKQAYHSKVSCFMLQQIEEPCSLGVHAAVVIPPTWILRARRPQNTLKASKKKKRASFKRKSSKKGPEEGRWRPFIIRPTPSPLMKPLLVFVNPKSGGNQGAKIIQSFLWYLNPRQVFDLSQGGPKEALEMYRKVHNLRILACGGDGTVGWILSTLDQLRLKPPPPVAILPLGTGNDLARTLNWGGGYTDEPVSKILSHVEEGNVVQLDRWDLHAEPNPEAGPEDRDEGATDRLPLDVFNNYFSLGFDAHVTLEFHESREANPEKFNSRFRNKMFYAGTAFSDFLMGSSKDLAKHIRVVCDGMDLTPKIQDLKPQCVVFLNIPRYCAGTMPWGHPGEHHDFEPQRHDDGYLEVIGFTMTSLAALQVGGHGERLTQCREVVLTTSKAIPVQVDGEPCKLAASRIRIALRNQATMVQKAKRRSAAPLHSDQQPVPEQLRIQVSRVSMHDYEALHYDKEQLKEASVPLGTVVVPGDSDLELCRAHIERLQQEPDGAGAKSPTCQKLSPKWCFLDATTASRFYRIDRAQEHLNYVTEIAQDEIYILDPELLGASARPDLPTPTSPLPTSPCSPTPRSLQGDAAPPQGEELIEAAKRNDFCKLQELHRAGGDLMHRDEQSRTLLHHAVSTGSKDVVRYLLDHAPPEILDAVEENGETCLHQAAALGQRTICHYIVEAGASLMKTDQQGDTPRQRAEKAQDTELAAYLENRQHYQMIQREDQETAV
SwissProt::Q13625 84 722 0.684253208137715 Apoptosis-stimulating of p53 protein 2; Bcl2-binding protein; Bbp; Renal carcinoma antigen NY-REN-51; Tumor suppressor p53-binding protein 2; 53BP2; p53-binding protein 2; p53BP2 1128 0 10 639 0 Homo sapiens (Human) SwissProt::Q13625 1 SwissProt RPPGRDIVSGPRSQDPSLKRNGVKVPGEYRRKENGVNSPRMDLTLAELQEMASRQQQQIEAQQQLLATKEQRLKFLKQQDQRQQQQVAEQEKLKRLKEIAENQEAKLKKVRALKGHVEQKRLSNGKLVEEIEQMNNLFQQKQRELVLAVSKVEELTRQLEMLKNGRIDSHHDNQSAVAELDRLYKELQLRNKLNQEQNAKLQQQRECLNKRNSEVAVMDKRVNELRDRLWKKKAALQQKENLPVSSDGNLPQQAASAPSRVAAVGPYIQSSTMPRMPSRPELLVKPALPDGSLVIQASEGPMKIQTLPNMRSGAASQTKGSKIHPVGPDWSPSNADLFPSQGSASVPQSTGNALDQVDDGEVPLREKEKKVRPFSMFDAVDQSNAPPSFGTLRKNQSSEDILRDAQVANKNVAKVPPPVPTKPKQINLPYFGQTNQPPSDIKPDGSSQQLSTVVPSMGTKPKPAGQQPRVLLSPSIPSVGQDQTLSPGSKQESPPAAAVRPFTPQPSKDTLLPPFRKPQTVAASSIYSMYTQQQAPGKNFQQAVQSALTKTHTRGPHFSSVYGKPVIAAAQNQQQHPENIYSNSQGKPGSPEPETEPVSSVQENHENERIPRPLSPTKLLPFLSNPYRNQSDADLEALR
SwissProt::Q13769 1 683 0.314491361639824 PF09766.9:FmiP_Thoc5:97:452 THO complex subunit 5 homolog; Functional spliceosome-associated protein 79; fSAP79; NF2/meningioma region protein pK1.3; Placental protein 39.2; PP39.2; hTREX90 683 356 10 683 0 Homo sapiens (Human) SwissProt::Q13769 1 SwissProt MSSESSKKRKPKVIRSDGAPAEGKRNRSDTEQEGKYYSEEAEVDLRDPGRDYELYKYTCQELQRLMAEIQDLKSRGGKDVAIEIEERRIQSCVHFMTLKKLNRLAHIRLKKGRDQTHEAKQKVDAYHLQLQNLLYEVMHLQKEITKCLEFKSKHEEIDLVSLEEFYKEAPPDISKAEVTMGDPHQQTLARLDWELEQRKRLAEKYRECLSNKEKILKEIEVKKEYLSSLQPRLNSIMQASLPVQEYLFMPFDQAHKQYETARHLPPPLYVLFVQATAYGQACDKTLSVAIEGSVDEAKALFKPPEDSQDDESDSDAEEEQTTKRRRPTLGVQLDDKRKEMLKRHPLSVMLDLKCKDDSVLHLTFYYLMNLNIMTVKAKVTTAMELITPISAGDLLSPDSVLSCLYPGDHGKKTPNPANQYQFDKVGILTLSDYVLELGHPYLWVQKLGGLHFPKEQPQQTVIADHSLSASHMETTMKLLKTRVQSRLALHKQFASLEHGIVPVTSDCQYLFPAKVVSRLVKWVTVAHEDYMELHFTKDIVDAGLAGDTNLYYMALIERGTAKLQAAVVLNPGYSSIPPVFQLCLNWKGEKTNSNDDNIRAMEGEVNVCYKELCGPWPSHQLLTNQLQRLCVLLDVYLETESHDDSVEGPKEFPQEKMCLRLFRGPSRMKPFKYNHPQGFFSHR
SwissProt::Q46833 1 286 0.180520629370629 PF12693.7:GspL_C:128:285 putative type II secretion system L-type protein YghE 286 158 10 266 1 Escherichia coli K-12 substr. MG1655 ecocyc::G7536-MONOMER 1 ecocyc MIHQQHMRNIAQWLQENGITRATVAPDWMSIPCGFMACDAQRVICRIDECRGWSAGLALAPVMFRAQLNEQDLPLSLTVVGIAPEKLSAWAGADAERLTVTALPAITTYGEPEGNLLTGPWQPRVSYRKQWARWRVMILPILLILVALAVERGVTLWSVSEQVAQSRTQAEEQFLTLFPEQKRIVNLRSQVTMALKKYRPQADDTRLLAELSAIASTLKSASLSDIEMRGFTFDQKRQILHLQLRAANFASFDKLRSVLATDYVVQQDALQKEGDAVSGGVTLRRK
SwissProt::Q4JK59 1 1053 0.714756125356126 Methylcytosine dioxygenase TET2; Protein Ayu17-449; EC 1.14.11.n2 1912 0 10 1053 0 Mus musculus (Mouse) SwissProt::Q4JK59 1 SwissProt MEQDRTTHAEGTRLSPFLIAPPSPISHTEPLAVKLQNGSPLAERPHPEVNGDTKWQSSQSCYGISHMKGSQSSHESPHEDRGYSRCLQNGGIKRTVSEPSLSGLHPNKILKLDQKAKGESNIFEESQERNHGKSSRQPNVSGLSDNGEPVTSTTQESSGADAFPTRNYNGVEIQVLNEQEGEKGRSVTLLKNKIVLMPNGATVSAHSEENTRGELLEKTQCYPDCVSIAVQSTASHVNTPSSQAAIELSHEIPQPSLTSAQINFSQTSSLQLPPEPAAMVTKACDADNASKPAIVPGTCPFQKAEHQQKSALDIGPSRAENKTIQGSMELFAEEYYPSSDRNLQASHGSSEQYSKQKETNGAYFRQSSKFPKDSISPTTVTPPSQSLLAPRLVLQPPLEGKGALNDVALEEHHDYPNRSNRTLLREGKIDHQPKTSSSQSLNPSVHTPNPPLMLPEQHQNDCGSPSPEKSRKMSEYLMYYLPNHGHSGGLQEHSQYLMGHREQEIPKDANGKQTQGSVQAAPGWIELKAPNLHEALHQTKRKDISLHSVLHSQTGPVNQMSSKQSTGNVNMPGGFQRLPYLQKTAQPEQKAQMYQVQVNQGPSPGMGDQHLQFQKALYQECIPRTDPSSEAHPQAPSVPQYHFQQRVNPSSDKHLSQQATETQRLSGFLQHTPQTQASQTPASQNSNFPQICQQQQQQQLQRKNKEQMPQTFSHLQGSNDKQREGSCFGQIKVEESFCVGNQYSKSSNFQTHNNTQGGLEQVQNINKNFPYSKILTPNSSNLQILPSNDTHPACEREQALHPVGSKTSNLQNMQYFPNNVTPNQDVHRCFQEQAQKPQQASSLQGLKDRSQGESPAPPAEAAQQRYLVHNEAKALPVPEQGGSQTQTPPQKDTQKHAALRWLLLQKQEQQQTQQSQPGHNQMLRPIKTEPVSKPSSYRYPLSPPQENMSSRIKQEISSPSRDNGQPKSIIETMEQHLKQFQLKSLCDYKALTLKSQKHVKVPTDIQAAESENHARAAEPQATKSTDCSVLDDVSESDTPGEQSQNGKCEGCNP
SwissProt::Q53GL0 171 409 0.698830962343097 Pleckstrin homology domain-containing family O member 1; PH domain-containing family O member 1; C-Jun-binding protein; JBP; Casein kinase 2-interacting protein 1; CK2-interacting protein 1; CKIP-1; Osteoclast maturation-associated gene 120 protein 409 0 10 239 0 Homo sapiens (Human) SwissProt::Q53GL0 1 SwissProt HLMAVASTSTSDGMLTLDLIQEEDPSPEEPTSCAESFRVDLDKSVAQLAGSRRRADSDRIQPSADRASSLSRPWEKTDKGATYTPQAPKKLTPTEKGRCASLEEILSQRDAASARTLQLRAEEPPTPALPNPGQLSRIQDLVARKLEETQELLAEVQGLGDGKRKAKDPPRSPPDSESEQLLLETERLLGEASSNWSQAKRVLQEVRELRDLYRQMDLQTPDSHLRQTTPHSQYRKSLM
SwissProt::Q59NP5 1 418 0.380165550239234 PF03856.13:SUN:163:405 Secreted beta-glucosidase SUN41; EC 3.2.1.- 418 243 10 418 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q59NP5 1 SwissProt MRFSQATVLAFAALSLAAPAFEADNKNIKREDCDKTSFHGHHKHKRAVAYDYAYVTVTVDGNGNPITTVSPVLSIETIAKSEETSSTSTSISSTTTIVQNDSLTSDEPKTLSLPSGTIKPSSFATESQSQSQSSSTGGSGSGSTNGIEGDLAAFEDPTEEFEDGVLSCSDFPSGQGVIPLDHLGFGGWSGIENSDGSTGGNCKEGSYCSYACQSGMSKTQWPEDQPSNGVSIGGLLCKNGKLYKSSTRSNYLCEWGVKKANVVNKLSETVAICRTDYPGTENMVIPTVVGGGSTSVITVVDQSTYYTWRGGATSAQYYVNNAGVSWEDGCVWGTPGSGVGNWAPLNFGAGYANGIAYLSLIPNPNNRDSLNFKVKIVGESGSTVSGSCSYANGKFNGNSDDGCTVGVTSGEADFVLYN
SwissProt::Q5AFN8 1 234 0.429564957264957 PF05730.11:CFEM:21:83 Covalently-linked cell wall protein 14 234 63 10 234 0 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q5AFN8 1 SwissProt MASFLKISTLIAIVSTLQTTLAAPPACLLACVAKVEKGSKCSGLNDLSCICTTKNSDVEKCLKEICPNGDADTAISAFKSSCSGYSSQSSSSESESESASSEESSASASASASSSAGKSSNVEASTTKESSSAKASSSAAGSSEAVSSATETASTEESSSAAASASASASATKESSSEAASSTSSTLKESKTSTTAAASSSESTTATGVLTQSEGSAAKVGLGALVGLVGAVLL
SwissProt::Q5R372 1 506 0.399806916996047 PF12473.8:DUF3694:290:421,PF00640.23:PID:136:253 Rab GTPase-activating protein 1-like 815 250 10 506 0 Homo sapiens (Human) SwissProt::Q5R372 1 SwissProt MEVRASLQKVSGSSDSVATMNSEEFVLVPQYADDNSTKHEEKPQLKIVSNGDEQLEKAMEEILRDSEKRPSSLLVDCQSSSEISDHSFGDIPASQTNKPSLQLILDPSNTEISTPRPSSPGGLPEEDSVLFNKLTYLGCMKVSSPRNEVEALRAMATMKSSSQYPFPVTLYVPNVPEGSVRIIDQSSNVEIASFPIYKVLFCARGHDGTTESNCFAFTESSHGSEEFQIHVFSCEIKEAVSRILYSFCTAFKRSSRQVSDVKDSVIPTPDSDVFTFSVSLEVKEDDGKGNFSPVPKDRDKFYFKLKQGIEKKVVITVQQLSNKELAIERCFGMLLSPGRNVKNSDMHLLDMESMGKSYDGRAYVITGMWNPNAPVFLALNEETPKDKQVYMTVAVDMVVTEVVEPVRFLLETVVRVYPANERFWYFSRKTFTETFFMRLKQSEGKGHTNAGDAIYEVVSLQRESDKEEPVTPTSGGGPMSPQDDEAEEESDNELSSGTGDVSKDCP
SwissProt::Q62011 1 172 0.561144767441861 PF05808.11:Podoplanin:1:171 Podoplanin; Glycoprotein 38; Gp38; OTS-8; PA2.26 antigen; Transmembrane glycoprotein E11; E11 172 171 10 149 1 Mus musculus (Mouse) SwissProt::Q62011 1 SwissProt MWTVPVLFWVLGSVWFWDSAQGGTIGVNEDDIVTPGTGDGMVPPGIEDKITTTGATGGLNESTGKAPLVPTQRERGTKPPLEELSTSATSDHDHREHESTTTVKVVTSHSVDKKTSHPNRDNAGDETQTTDKKDGLPVVTLVGIIVGVLLAIGFVGGIFIVVMKKISGRFSP
SwissProt::Q62417 1 1044 0.634561398467433 PF02208.16:Sorb:206:246 Sorbin and SH3 domain-containing protein 1; Ponsin; SH3 domain protein 5; SH3P12; c-Cbl-associated protein; CAP 1290 41 10 1044 0 Mus musculus (Mouse) SwissProt::Q62417 1 SwissProt MSSECDVGSSKAVVNGLASGNHGPDKDMDPTKICTGKGTVTLRASSSYRGTPSSSPVSPQESPKHESKSGLEPEDPSADEWKLSSSADTNGNAQPSPLAAKGYRSVHPSLSADKPQGSPLLNEVSSSHIETDSQDFPPTSRPSSAYPSTTIVNPTIVLLQHNRDPASERRAGEQDPVPTPAELTSPGRASERRAKDASRRVVRSAQDLSDVSTDEVGIPLRNTERSKDWYKTMFKQIHKLNRDDDSDVHSPRYSFSDDTKSPLSVPRSKSEMNYIEGEKVVKRSATLPLPARSSSLKSSPERNDWEPLDKKVDTRKYRAEPKSIYEYQPGKSSVLTNEKMSRDISPEEIDLKNEPWYKFFSELEFGRPTNLEKDLSFCQAELEADLEKVETVNKSPSANSPQSSAVSPTPDITSEPPGYIYSSNFHAVKRESDGTPGGLASLENERQIYKSVLEGGDIPLQGLSGLKRPSSSASTKVDRKGGNAHMISSSSVHSRTFHTSNALGPGCKHKKPLSAAKACISEILPSKFKPRLSAPSALLQEQKSVLLPSEKAQSCENLCVSLNDSKRGLPLRVGGSIENLLMRSRRDYDSKSSSTMSLQEYGTSSRRPCPLSRKAGLHFSMFYRDMHQINRAGLSLGSISSSSVRDLASHFERSSLTLARGELGASQEGSEHIPKHTVSSRITAFEQLIQRSRSMPSLDFSGRLSKSPTPVLSRSGLTSARSAESLLESTKLRPREMDGMDSGGVYASPTCSNMADHALSFRSLVPSEPLSICSDELDHCSNVSNDSREGSGGSVHGDFPKHRLNKCKGTCPASYTRFTTIRKHEQQSSRQSDWRSDSRGDKNSLLRNIHLMSPLPFRLKKPLQQHPRQPPPSDSSESPAGQKADLPCHDPQDQPHSAGKPQVPTRLSSRHTMARLSHNSEPPLDRPAGLEDCTRAINNGNPVPYSDHGLDRNNNPQSELAAAHGDSESPRHFIPADYLESTEEFIRRRHDDKEKLLADQRRLKREQEEADIAARRHTGVIPTHHQFITNERFGDLLNIDDTAK
SwissProt::Q66K74 1 1059 0.523241454202078 Microtubule-associated protein 1S; MAP-1S; BPY2-interacting protein 1; Microtubule-associated protein 8; Variable charge Y chromosome 2-interacting protein 1; VCY2-interacting protein 1; VCY2IP-1 1059 0 10 1059 0 Homo sapiens (Human) SwissProt::Q66K74 1 SwissProt MAAVAGSGAAAAPSSLLLVVGSEFGSPGLLTYVLEELERGIRSWDVDPGVCNLDEQLKVFVSRHSATFSSIVKGQRSLHHRGDNLETLVLLNPSDKSLYDELRNLLLDPASHKLLVLAGPCLEETGELLLQTGGFSPHHFLQVLKDREIRDILATTPPPVQPPILTITCPTFGDWAQLAPAVPGLQGALRLQLRLNPPAQLPNSEGLCEFLEYVAESLEPPSPFELLEPPTSGGFLRLGRPCCYIFPGGLGDAAFFAVNGFTVLVNGGSNPKSSFWKLVRHLDRVDAVLVTHPGADSLPGLNSLLRRKLAERSEVAAGGGSWDDRLRRLISPNLGVVFFNACEAASRLARGEDEAELALSLLAQLGITPLPLSRGPVPAKPTVLFEKMGVGRLDMYVLHPPSAGAERTLASVCALLVWHPAGPGEKVVRVLFPGCTPPACLLDGLVRLQHLRFLREPVVTPQDLEGPGRAESKESVGSRDSSKREGLLATHPRPGQERPGVARKEPARAEAPRKTEKEAKTPRELKKDPKPSVSRTQPREVRRAASSVPNLKKTNAQAAPKPRKAPSTSHSGFPPVANGPRSPPSLRCGEASPPSAACGSPASQLVATPSLELGPIPAGEEKALELPLAASSIPRPRTPSPESHRSPAEGSERLSLSPLRGGEAGPDASPTVTTPTVTTPSLPAEVGSPHSTEVDESLSVSFEQVLPPSAPTSEAGLSLPLRGPRARRSASPHDVDLCLVSPCEFEHRKAVPMAPAPASPGSSNDSSARSQERAGGLGAEETPPTSVSESLPTLSDSDPVPLAPGAADSDEDTEGFGVPRHDPLPDPLKVPPPLPDPSSICMVDPEMLPPKTARQTENVSRTRKPLARPNSRAAAPKATPVAAAKTKGLAGGDRASRPLSARSEPSEKGGRAPLSRKSSTPKTATRGPSGSASSRPGVSATPPKSPVYLDLAYLPSGSSAHLVDEEFFQRVRALCYVISGQDQRKEEGMRAVLDALLASKQHWDRDLQVTLIPTFDSVAMHTWYAETHARHQALGITVLGSNSMVSMQDDAFPACKVEF
SwissProt::Q6LEM5 1 232 0.683411637931034 Bradykinin-potentiating and C-type natriuretic peptides; BPP-CNP 256 0 10 232 0 Bothrops jararaca (Jararaca) (Bothrops jajaraca) SwissProt::Q6LEM5 1 SwissProt MVLSRLAASGLLLLALLALSVDGKPVQQWAQSWPGPNIPPLKVQQWAQGGWPRPGPEIPPLTVQQWAQNWPHPQIPPLTVQQWAQGRAPGPPIPPLTVQQWAQGRAPHPPIPPAPLQKWAPLQKWAPLLQPHESPASGTTALREELSLGPEAASGVPSAGAEVGRSGSKAPAAPHRLSKSKGAAATRPMRDLRPDGKQARQNWGRMAHHDHHAAAGGGGGGGGGARRLKGLA
SwissProt::Q7Z6L0 170 340 0.49865730994152 PF04505.12:CD225:95:161 Proline-rich transmembrane protein 2; Dispanin subfamily B member 3; DSPB3 340 67 10 125 2 Homo sapiens (Human) SwissProt::Q7Z6L0 1 SwissProt ILSESVGEKQENGAVVPLQAGDGEEGPAPEPHSPPSKKSPPANGAPPRVLQQLVEEDRMRRAHSGHPGSPRGSLSRHPSSQLAGPGVEGGEGTQKPRDYIILAILSCFCPMWPVNIVAFAYAVMSRNSLQQGDVDGAQRLGRVAKLLSIVALVGGVLIIIASCVINLGVYK
SwissProt::Q8CBD1 393 1161 0.623726527958387 PF15690.5:NRIP1_repr_4:457:769,PF15688.5:NRIP1_repr_2:20:345,PF15689.5:NRIP1_repr_3:362:449 Nuclear receptor-interacting protein 1; Nuclear factor RIP140; Receptor-interacting protein 140 1161 727 10 769 0 Mus musculus (Mouse) SwissProt::Q8CBD1 1 SwissProt TPMNGHSQNERASSFESSTPTTIDEYSDNNPSFTDDSSGDESSYSNCVPIDLSCKHRIEKPEAERPVSLENLTQSLLNTWDPKIPGVDIKEDQDTSTNSKLNSHQKVTLLQLLLGHKSEETVERNASPQDIHSDGTKFSPQNYTRTSVIESPSTNRTTPVSTPPLYTASQAESPINLSQHSLVIKWNSPPYACSTPASKLTNTAPSHLMDLTKGKESQAEKPAPSEGAQNSATFSASKLLQNLAQCGLQSSGPGEEQRPCKQLLSGNPDKPLGLIDRLNSPLLSNKTNAAEESKAFSSQPAGPEPGLPGCEIENLLERRTVLQLLLGNSSKGKNEKKEKTPARDEAPQEHSERAANEQILMVKIKSEPCDDFQTHNTNLPLNHDAKSAPFLGVTPAIHRSTAALPVSEDFKSEPASPQDFSFSKNGLLSRLLRQNQESYPADEQDKSHRNSELPTLESKNICMVPKKRKLYTEPLENPFKKMKNTAVDTANHHSGPEVLYGSLLHQEELKFSRNELDYKYPAGHSSASDGDHRSWARESKSFNVLKQLLLSENCVRDLSPHRSDSVPDTKKKGHKNNAPGSKPEFGISSLNGLMYSSPQPGSCVTDHRTFSYPGMVKTPLSPPFPEHLGCVGSRPEPGLLNGCSVPGEKGPIKWVIADMDKNEYEKDSPRLTKTNPILYYMLQKGGGNSVTTQETQDKDIWREPASAESLSQVTVKEELLPAAETKASFFNLRSPYNSHMGNNASRPHSTNGEVYGLLGNALTIKKESE
SwissProt::Q8CE22 275 761 0.482501232032854 PF00249.31:Myb_DNA-binding:1:55,PF13921.6:Myb_DNA-bind_6:2:73 Cyclin-D-binding Myb-like transcription factor 1; Cyclin-D-interacting Myb-like protein 1; mDmp1 761 73 10 487 0 Mus musculus (Mouse) SwissProt::Q8CE22 1 SwissProt KWTEEEEKRLAEVVHELTSTEPGDIVTQGVSWAAVAERVGTRSEKQCRSKWLNYLNWKQSGGTEWTKEDEINLILRIAELDVADENDINWDLLAEGWSSVRSPQWLRSKWWTIKRQIANHKDVSFPVLIKGLKQLHENQKNNPVLLENKSGSGVPNSNCNSSVQHVQIRVARLEDNTAISPSPMAALQIPVQITHVSSTDSPAASVDSETITLNSGTLQTFEILPSFHLQPTGTPGTYLLQTSSSQGLPLTLTTNPTVTLAAAAPASPEQIIVHALSPEHLLNTSDNVTVQCHTPRVIIQTVATEDITSSLSQEELTVDSDLHSSDFPEPPDALEADTFPDEIPRPKMTIQPSFNNAHVSKFSDQNSTELMNSVMVRTEEEIADTDLKQEEPPSDLASAYVTEDLESPTIVHQVHQTIDDETILIVPSPHGFIQASDVIDTESVLPLTTLTDPIFQHHQEASNIIGSSLGSPVSEDSKDVEDLVNCH
SwissProt::Q8GXR9 1 519 0.20058978805395 PF07992.14:Pyr_redox_2:82:419,PF00070.27:Pyr_redox:247:319 Alternative NAD(P)H-ubiquinone oxidoreductase C1, chloroplastic/mitochondrial; Alternative NADH dehydrogenase NDC1; Demethylphylloquinone reductase NDC1; NADH:ubiquinone reductase (non-electrogenic) NDC1; EC 1.6.5.9; EC 1.6.5.12 519 338 10 519 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GXR9 1 SwissProt MAVLSSVSSLIPFSYGATRLTSKASLASRTSGFNLSSRWNSTRNSPMLYLSRAVTNNSGTTEISDNETAPRTYSWPDNKRPRVCILGGGFGGLYTALRLESLVWPEDKKPQVVLVDQSERFVFKPMLYELLSGEVDVWEIAPRFSDLLTNTGIQFLRDRVKTLLPCDHLGVNGSEISVTGGTVLLESGFKIEYDWLVLALGAESKLDVVPGAMELAFPFYTLEDAIRVNEKLSKLERKNFKDGSAIKVAVVGCGYAGVELAATISERLQDRGIVQSINVSKNILTSAPDGNREAAMKVLTSRKVQLLLGYLVQSIKRASNLEEDEGYFLELQPAERGLESQIIEADIVLWTVGAKPLLTKLEPSGPNVLPLNARGQAETDETLRVKGHPRIFALGDSSSLRDSNGKILPTTAQVAFQEADFTGWNIWAAINNRPLLPFRFQNLGEMMTLGRYDAAISPSFIEGLTLEGPIGHAARKLAYLIRLPTDEHRFKVGISWFAKSAVDSIALLQSNLTKVLSGS
SwissProt::Q8J2Q2 1 427 0.0875843091334895 PF03798.16:TRAM_LAG1_CLN8:128:357,PF08390.11:TRAM1:66:123 Sphingosine N-acyltransferase-like protein FUM18; Fumonisin biosynthesis cluster protein 18; EC 2.3.1.- 427 288 10 271 7 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::Q8J2Q2 1 SwissProt MSIVFSVVRSRIPSHYGHYNHSHHPHFEDLVVKPLTNILPLLTGFTLLAGVILLIHISIPQAEPYTSKFLFLSHRQPSTGLYSVGDGDVCFVLFEVLTLAGLREGCMKYLLAPFARVMGVSKERKVVRFSEQGWILMYYSVFWPLGMLIWAKSPHFSDMDQLWIHWPQRDIDGLIKFYILTQLAYWIQQVISVNIEARRKDYWLNVVHHFITITLILLCYVYHHTRVGSLILVMMDAIEILFPFAKCLRYLGFTTLCDLVFFLFFVTWIVSRHVLYLMTCWSVYSDVPRIIEPSCFMGSANDLHGPLPVPDDWWHLIEPWIYPKGKVCHSDSFRVSILAYLLLLQVLMMIWFGFICKVAIGVLDGRAAEDVRSDVESDEEDSEPVANGSGWQQSQLQPGRRVGSNGAAQMVDGVKKDLRCNIHCNEE
SwissProt::Q8J2Q6 1 548 0.249490875912409 PF00668.20:Condensation:122:537,PF00550.25:PP-binding:8:59 Nonribosomal peptide synthetase 8; Fumonisin biosynthesis cluster protein 14; EC 6.3.2.- 548 468 10 548 0 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::Q8J2Q6 1 SwissProt MNSLDQWRDTWAKVLSIQPSEIDDQDSFFDLGGDSVKAIQLLSEAAQSAINVDLQTFYDNFWNIISPQLRGENRSGPIDRGGSIATGEADDSIGLLKTDVNLEVVEKALSKVNIARESVCRMAPISSIQEFFLYLGLNGHVGLINYVYQVEGRDLKQGLARLVKHLEAKNPIFRTVMIEDDTGKFTQVQLSHSISTWTYPTDLQAYLDETMTGRQRLGASPVRYSLVLKDERHKGQNFFVISLDHTHCDAFSRYLIDKEILQILKQPTEYASLQNPERPWYGDFVKHNRAHILDEQLSRYWASYMQGATLANVHPLSEAVVGGELDGEMVEIVPIPVVSQSRGTRGQTNPSHVILAAWAMALSKHSGLKDITFGLARHGRSSDSFTDLRRVMGPLVTGTPFRVTLNDTQERTEQLLNRVKEEVLKTARWEQGMVPNIHPDAEGNPWVQSMVNLKSELYGFGNESWTGDEAEADITAIKMRRDLQQYEFKSNWAILLSTLQKQGQLRLRMYYQSQLLSHDKAQALFASFKSLIAELAAADGSLVTGLLD
SwissProt::Q8J2Q9 1 306 0.143276797385621 PF00153.27:Mito_carr:20:101,PF00153.27:Mito_carr:109:197,PF00153.27:Mito_carr:205:291 Tricarboxylate transporter FUM11; Fumonisin biosynthesis cluster protein 11 306 258 10 306 0 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::Q8J2Q9 1 SwissProt MSLYDKSVTATRSAHRTSDTLHSLVAGSVAGGLEIAITYPAEFAKTRLQLNQVSGRNKQNVPWPRFGLQWYSGCIPFLIGNSVKTSIRFVSFDGYQKLLADDDGNISRFGILLAGFGAGATESLLAVTPSERIKTIIIEDRRLEKPRIRNSFHAMSIIARDHGLSGFFQGFWPTTARQSAGSAIRLGSYTFLKQVVQSRTPQGGKIGTVKTFIIGSLAGLITVYLTQPLDTIKTRMQRLEARTRYGNAFICARGILEQEGFTAFWSGAVARSLRLVMSGGIVFMVYEKVVEGLDVISPAKRYEIAA
SwissProt::Q8NFZ0 1 1043 0.225546212847555 PF13361.6:UvrD_C:869:934,PF13245.6:AAA_19:457:681,PF00580.21:UvrD-helicase:640:688,PF13538.6:UvrD_C_2:871:931,PF12937.7:F-box-like:212:251,PF00646.33:F-box:211:256 F-box DNA helicase 1; hFBH1; F-box only protein 18; EC 3.6.4.12 1043 344 10 1043 0 Homo sapiens (Human) SwissProt::Q8NFZ0 1 SwissProt MRRFKRKHLTAIDCQHLARSHLAVTQPFGQRWTNRDPNHGLYPKPRTKRGSRGQGSQRCIPEFFLAGKQPCTNDMAKSNSVGQDSCQDSEGDMIFPAESSCALPQEGSAGPGSPGSAPPSRKRSWSSEEESNQATGTSRWDGVSKKAPRHHLSVPCTRPREARQEAEDSTSRLSAESGETDQDAGDVGPDPIPDSYYGLLGTLPCQEALSHICSLPSEVLRHVFAFLPVEDLYWNLSLVCHLWREIISDPLFIPWKKLYHRYLMNEEQAVSKVDGILSNCGIEKESDLCVLNLIRYTATTKCSPSVDPERVLWSLRDHPLLPEAEACVRQHLPDLYAAAGGVNIWALVAAVVLLSSSVNDIQRLLFCLRRPSSTVTMPDVTETLYCIAVLLYAMREKGINISNRIHYNIFYCLYLQENSCTQATKVKEEPSVWPGKKTIQLTHEQQLILNHKMEPLQVVKIMAFAGTGKTSTLVKYAEKWSQSRFLYVTFNKSIAKQAERVFPSNVICKTFHSMAYGHIGRKYQSKKKLNLFKLTPFMVNSVLAEGKGGFIRAKLVCKTLENFFASADEELTIDHVPIWCKNSQGQRVMVEQSEKLNGVLEASRLWDNMRKLGECTEEAHQMTHDGYLKLWQLSKPSLASFDAIFVDEAQDCTPAIMNIVLSQPCGKIFVGDPHQQIYTFRGAVNALFTVPHTHVFYLTQSFRFGVEIAYVGATILDVCKRVRKKTLVGGNHQSGIRGDAKGQVALLSRTNANVFDEAVRVTEGEFPSRIHLIGGIKSFGLDRIIDIWILLQPEEERRKQNLVIKDKFIRRWVHKEGFSGFKRYVTAAEDKELEAKIAVVEKYNIRIPELVQRIEKCHIEDLDFAEYILGTVHKAKGLEFDTVHVLDDFVKVPCARHNLPQLPHFRVESFSEDEWNLLYVAVTRAKKRLIMTKSLENILTLAGEYFLQAELTSNVLKTGVVRCCVGQCNNAIPVDTVLTMKKLPITYSNRKENKGGYLCHSCAEQRIGPLAFLTASPEQVRAMERTVENIVLPRHEALLFLVF
SwissProt::Q921N8 91 327 0.716318143459915 PF15991.5:G_path_suppress:1:202 G protein pathway suppressor 2; GPS-2 327 202 10 237 0 Mus musculus (Mouse) SwissProt::Q921N8 1 SwissProt KKVLHEEEKRRRKEQSDLTTLTSAAYQQSLTVHTGTHLLSMQGSPGGHNRPGTLMAADRAKQMFGPQVLTTRHYVGSAAAFAGTPEHGQFQGSPGGAYGTAQPPPHYGPTQPAYSPSQQLRAPSAFPAVQYLSQPQPQPYAVHGHFQPTQTGFLQPGSTLSLQKQMEHANQQTSFSDSSSLRPMHPQALHPAPGLLASPQLPVQIQAAGKSGFATTSQPGPRLPFIQHSQNPRFYHK
SwissProt::Q92839 1 578 0.0764273356401386 PF13641.6:Glyco_tranf_2_3:204:380,PF03142.15:Chitin_synth_2:228:382,PF13632.6:Glyco_trans_2_3:233:439,PF13506.6:Glyco_transf_21:219:381 Hyaluronan synthase 1; Hyaluronate synthase 1; Hyaluronic acid synthase 1; HA synthase 1; HuHAS1; EC 2.4.1.212 578 236 10 417 7 Homo sapiens (Human) SwissProt::Q92839 1 SwissProt MRQQDAPKPTPAACRCSGLARRVLTIAFALLILGLMTWAYAAGVPLASDRYGLLAFGLYGAFLSAHLVAQSLFAYLEHRRVAAAARGPLDAATARSVALTISAYQEDPAYLRQCLASARALLYPRARLRVLMVVDGNRAEDLYMVDMFREVFADEDPATYVWDGNYHQPWEPAAAGAVGAGAYREVEAEDPGRLAVEALVRTRRCVCVAQRWGGKREVMYTAFKALGDSVDYVQVCDSDTRLDPMALLELVRVLDEDPRVGAVGGDVRILNPLDSWVSFLSSLRYWVAFNVERACQSYFHCVSCISGPLGLYRNNLLQQFLEAWYNQKFLGTHCTFGDDRHLTNRMLSMGYATKYTSRSRCYSETPSSFLRWLSQQTRWSKSYFREWLYNALWWHRHHAWMTYEAVVSGLFPFFVAATVLRLFYAGRPWALLWVLLCVQGVALAKAAFAAWLRGCLRMVLLSLYAPLYMCGLLPAKFLALVTMNQSGWGTSGRRKLAANYVPLLPLALWALLLLGGLVRSVAHEARADWSGPSRAAEAYHLAAGAGAYVGYWVAMLTLYWVGVRRLCRRRTGGYRVQV
SwissProt::Q96C12 1 935 0.324819465240642 Armadillo repeat-containing protein 5 935 0 10 935 0 Homo sapiens (Human) SwissProt::Q96C12 1 SwissProt MAAAKPTLTDSLSFCLAQLAAAAGEALGGEKDPATNETPLSRALLALRTRHIKAAGGIERFRARGGLRPLLALLRRAAAAGSAPSQAGPGSAPSSAASGASSPAPASGPAPSAVSSSSPTPPVRLRKTLDLALSILADCCTEGACRTEVRRLGGILPLVTILQCMKTDSIQNRTARALGNLAMEPESCGDIHCAGAVPLLVESLTACQDSQCLQSVVRALRNLADSPQHRLALAQQGAVRPLAELLATAPDAALTLALVRALLELSRGCSRACAEQLSLGGGLGPLVSLASHPKRAVREGTILILANLCAQGLIRPALGNAGGVEVLVDELRQRRDPNGASPTSQQPLVRAVCLLCREAINRARLRDAGGLDLLMGLLRDPRASAWHPRIVAALVGFLYDTGALGRLQALGLVPLLAGQLCGEAGEEEEEGREAASWDFPEERTPERAQGGSFRSLRSWLISEGYATGPDDISPDWSPEQCPPEPMEPASPAPTPTSLRAPRTQRTPGRSPAAAIEEPWGREGPALLLLSRFSQAPDPSGALVTGPALYGLLTYVTGAPGPPSPRALRILSRLTCNPACLEAFVRSYGAALLRAWLVLGVAPDDWPAPRARPTLHSRHRELGERLLQNLTVQAESPFGVGALTHLLLSGSPEDRVACALTLPFICRKPSLWRRLLLEQGGLRLLLAALTRPAPHPLFLFFAADSLSCLQDLVSPTVSPAVPQAVPMDLDSPSPCLYEPLLGPAPVPAPDLHFLLDSGLQLPAQRAASATASPFFRALLSGSFAEAQMDLVPLRGLSPGAAWPVLHHLHGCRGCGAALGPVPPPGQPLLGSEAEEALEAAGRFLLPGLEEELEEAVGRIHLGPQGGPESVGEVFRLGRPRLAAHCARWTLGSEQCPRKRGLALVGLVEAAGEEAGPLTEALLAVVMGIELGARVPA
SwissProt::Q99549 118 572 0.685437802197802 M-phase phosphoprotein 8; Two hybrid-associated protein 3 with RanBPM; Twa3 860 0 10 455 0 Homo sapiens (Human) SwissProt::Q99549 1 SwissProt VRKDIQRLSLNNDIFEANSDSDQQSETKEDTSPKKKKKKLRQREEKSPDDLKKKKAKAGKLKDKSKPDLESSLESLVFDLRTKKRISEAKEELKESKKPKKDEVKETKELKKVKKGEIRDLKTKTREDPKENRKTKKEKFVESQVESESSVLNDSPFPEDDSEGLHSDSREEKQNTKSARERAGQDMGLEHGFEKPLDSAMSAEEDTDVRGRRKKKTPRKAEDTRENRKLENKNAFLEKKTVPKKQRNQDRSKSAAELEKLMPVSAQTPKGRRLSGEERGLWSTDSAEEDKETKRNESKEKYQKRHDSDKEEKGRKEPKGLKTLKEIRNAFDLFKLTPEEKNDVSENNRKREEIPLDFKTIDDHKTKENKQSLKERRNTRDETDTWAYIAAEGDQEVLDSVCQADENSDGRQQILSLGMDLQLEWMKLEDFQKHLDGKDENFAATDAIPSNVLRD
SwissProt::Q9BTW9 1 1192 0.150617533557047 PF12612.8:TFCD_C:901:1087 Tubulin-specific chaperone D; Beta-tubulin cofactor D; tfcD; SSD-1; Tubulin-folding cofactor D 1192 187 10 1192 0 Homo sapiens (Human) SwissProt::Q9BTW9 1 SwissProt MALSDEPAAGGPEEEAEDETLAFGAALEAFGESAETRALLGRLREVHGGGAEREVALERFRVIMDKYQEQPHLLDPHLEWMMNLLLDIVQDQTSPASLVHLAFKFLYIITKVRGYKTFLRLFPHEVADVEPVLDLVTIQNPKDHEAWETRYMLLLWLSVTCLIPFDFSRLDGNLLTQPGQARMSIMDRILQIAESYLIVSDKARDAAAVLVSRFITRPDVKQSKMAEFLDWSLCNLARSSFQTMQGVITMDGTLQALAQIFKHGKREDCLPYAATVLRCLDGCRLPESNQTLLRKLGVKLVQRLGLTFLKPKVAAWRYQRGCRSLAANLQLLTQGQSEQKPLILTEDDDEDDDVPEGVERVIEQLLVGLKDKDTVVRWSAAKGIGRMAGRLPRALADDVVGSVLDCFSFQETDKAWHGGCLALAELGRRGLLLPSRLVDVVAVILKALTYDEKRGACSVGTNVRDAACYVCWAFARAYEPQELKPFVTAISSALVIAAVFDRDINCRRAASAAFQENVGRQGTFPHGIDILTTADYFAVGNRSNCFLVISVFIAGFPEYTQPMIDHLVTMKISHWDGVIRELAARALHNLAQQAPEFSATQVFPRLLSMTLSPDLHMRHGSILACAEVAYALYKLAAQENRPVTDHLDEQAVQGLKQIHQQLYDRQLYRGLGGQLMRQAVCVLIEKLSLSKMPFRGDTVIDGWQWLINDTLRHLHLISSHSRQQMKDAAVSALAALCSEYYMKEPGEADPAIQEELITQYLAELRNPEEMTRCGFSLALGALPGFLLKGRLQQVLTGLRAVTHTSPEDVSFAESRRDGLKAIARICQTVGVKAGAPDEAVCGENVSQIYCALLGCMDDYTTDSRGDVGTWVRKAAMTSLMDLTLLLARSQPELIEAHTCERIMCCVAQQASEKIDRFRAHAASVFLTLLHFDSPPIPHVPHRGELEKLFPRSDVASVNWSAPSQAFPRITQLLGLPTYRYHVLLGLVVSLGGLTESTIRHSTQSLFEYMKGIQSDPQALGSFSGTLLQIFEDNLLNERVSVPLLKTLDHVLTHGCFDIFTTEEDHPFAVKLLALCKKEIKNSKDIQKLLSGIAVFCEMVQFPGDVRRQALLQLCLLLCHRFPLIRKTTASQVYETLLTYSDVVGADVLDEVVTVLSDTAWDAELAVVREQRNRLCDLLGVPRPQLVPQPGAC
SwissProt::Q9BZV1 1 286 0.474113286713287 PF09409.10:PUB:169:252 UBX domain-containing protein 6; UBX domain-containing protein 1 441 84 10 286 0 Homo sapiens (Human) SwissProt::Q9BZV1 1 SwissProt MKKFFQEFKADIKFKSAGPGQKLKESVGEKAHKEKPNQPAPRPPRQGPTNEAQMAAAAALARLEQKQSRAWGPTSQDTIRNQVRKELQAEATVSGSPEAPGTNVVSEPREEGSAHLAVPGVYFTCPLTGATLRKDQRDACIKEAILLHFSTDPVAASIMKIYTFNKDQDRVKLGVDTIAKYLDNIHLHPEEEKYRKIKLQNKVFQERINCLEGTHEFFEAIGFQKVLLPAQDQEDPEEFYVLSETTLAQPQSLERHKEQLLAAEPVRAKLDRQRRVFQPSPLASQF
SwissProt::Q9HD26 1 276 0.408316304347826 Golgi-associated PDZ and coiled-coil motif-containing protein; CFTR-associated ligand; Fused in glioblastoma; PDZ protein interacting specifically with TC10; PIST 462 0 10 276 0 Homo sapiens (Human) SwissProt::Q9HD26 1 SwissProt MSAGGPCPAAAGGGPGGASCSVGAPGGVSMFRWLEVLEKEFDKAFVDVDLLLGEIDPDQADITYEGRQKMTSLSSCFAQLCHKAQSVSQINHKLEAQLVDLKSELTETQAEKVVLEKEVHDQLLQLHSIQLQLHAKTGQSADSGTIKAKLSGPSVEELERELEANKKEKMKEAQLEAEVKLLRKENEALRRHIAVLQAEVYGARLAAKYLDKELAGRVQQIQLLGRDMKGPAHDKLWNQLEAEIHLHRHKTVIRACRGRNDLKRPMQAPPGHDQDS
SwissProt::Q9NYJ8 71 661 0.67781269035533 TGF-beta-activated kinase 1 and MAP3K7-binding protein 2; Mitogen-activated protein kinase kinase kinase 7-interacting protein 2; TAK1-binding protein 2; TAB-2; TGF-beta-activated kinase 1-binding protein 2 693 0 10 591 0 Homo sapiens (Human) SwissProt::Q9NYJ8 1 SwissProt LRNHMTSLNLDLQSQNIYHHGREGSRMNGSRTLTHSISDGQLQGGQSNSELFQQEPQTAPAQVPQGFNVFGMSSSSGASNSAPHLGFHLGSKGTSSLSQQTPRFNPIMVTLAPNIQTGRNTPTSLHIHGVPPPVLNSPQGNSIYIRPYITTPGGTTRQTQQHSGWVSQFNPMNPQQVYQPSQPGPWTTCPASNPLSHTSSQQPNQQGHQTSHVYMPISSPTTSQPPTIHSSGSSQSSAHSQYNIQNISTGPRKNQIEIKLEPPQRNNSSKLRSSGPRTSSTSSSVNSQTLNRNQPTVYIAASPPNTDELMSRSQPKVYISANAATGDEQVMRNQPTLFISTNSGASAASRNMSGQVSMGPAFIHHHPPKSRAIGNNSATSPRVVVTQPNTKYTFKITVSPNKPPAVSPGVVSPTFELTNLLNHPDHYVETENIQHLTDPTLAHVDRISETRKLSMGSDDAAYTQALLVHQKARMERLQRELEIQKKKLDKLKSEVNEMENNLTRRRLKRSNSISQIPSLEEMQQLRSCNRQLQIDIDCLTKEIDLFQARGPHFNPSAIHNFYDNIGFVGPVPPKPKDQRSIIKTPKTQDTE
SwissProt::Q9NYP7 1 299 0.0683401337792642 PF01151.18:ELO:28:259 Elongation of very long chain fatty acids protein 5; 3-keto acyl-CoA synthase ELOVL5; ELOVL fatty acid elongase 5; ELOVL FA elongase 5; Fatty acid elongase 1; hELO1; Very long chain 3-ketoacyl-CoA synthase 5; Very long chain 3-oxoacyl-CoA synthase 5; EC 2.3.1.199 299 232 10 149 7 Homo sapiens (Human) SwissProt::Q9NYP7 0 SwissProt MEHFDASLSTYFKALLGPRDTRVKGWFLLDNYIPTFICSVIYLLIVWLGPKYMRNKQPFSCRGILVVYNLGLTLLSLYMFCELVTGVWEGKYNFFCQGTRTAGESDMKIIRVLWWYYFSKLIEFMDTFFFILRKNNHQITVLHVYHHASMLNIWWFVMNWVPCGHSYFGATLNSFIHVLMYSYYGLSSVPSMRPYLWWKKYITQGQLLQFVLTIIQTSCGVIWPCTFPLGWLYFQIGYMISLIALFTNFYIQTYNKKGASRRKDHLKDHQNGSMAAVNGHTNSFSPLENNVKPRKLRKD
SwissProt::Q9U1K1 486 1020 0.596276261682243 Protein spire 1020 0 10 535 0 Drosophila melanogaster (Fruit fly) SwissProt::Q9U1K1 1 SwissProt PTLRERVLPSANSTLSRSRQRLIKVDFSKFQDDDLFYDENSISSSHSTAATHQHHPHFAEMHRCSQPKMPPYPFGGYMVPSQARQDCRETASLMRPRRTMEPAKQVPPPEEPSFTKDEYHKFYDTALESYDLATQCESRRASLRRHTIVGCQSNLDETHSMPPTRPESRQSDDVSKETPKRSPAEQTHPSDEGSSTSSLGPWNKSFMDKQTWMERGDDRLSVTLAEIVHIRSVMTKAELEGLPMDVRVKEDVEKRRVCFLCLRTRFSFFGPWGIQCKLCQRTVCAKCYTKMRIPSEHFRNVPLVLISPSLLSSPASSSTPSPSHHAQQAHSSSTGNIMDDQFPKSLIERLLRSESDRKTRSTVGSAPSSPKHQRSNMSTPGISVGPGASSSSAAATGQAVEALHDQATMSSSYSAAMRPSGVHQQQKQHYNNAMSRSMEGPRSLPVHSPAYRPLSNNSTLERKSRFSRGFNLFSSGSHLAQTQEQKENLRGEQVTVCNDCQGLVNEITSSVKQKRSSARNRTIQNLTLDLTPVWK
SwissProt::Q9UGP4 1 471 0.683425265392781 LIM domain-containing protein 1 676 0 10 471 0 Homo sapiens (Human) SwissProt::Q9UGP4 1 SwissProt MDKYDDLGLEASKFIEDLNMYEASKDGLFRVDKGAGNNPEFEETRRVFATKMAKIHLQQQQQQLLQEETLPRGSRGPVNGGGRLGPQARWEVVGSKLTVDGAAKPPLAASTGAPGAVTTLAAGQPPYPPQEQRSRPYLHGTRHGSQDCGSRESLATSEMSAFHQPGPCEDPSCLTHGDYYDNLSLASPKWGDKPGVSPSIGLSVGSGWPSSPGSDPPLPKPCGDHPLNHRQLSLSSSRSSEGSLGGQNSGIGGRSSEKPTGLWSTASSQRVSPGLPSPNLENGAPAVGPVQPRTPSVSAPLALSCPRQGGLPRSNSGLGGEVSGVMSKPNVDPQPWFQDGPKSYLSSSAPSSSPAGLDGSQQGAVPGLGPKPGCTDLGTGPKLSPTSLVHPVMSTLPELSCKEGPLGWSSDGSLGSVLLDSPSSPRVRLPCQPLVPGPELRPSAAELKLEALTQRLEREMDAHPKADYFGA
SwissProt::Q9UI12 1 268 0.145041044776119 PF03224.14:V-ATPase_H_N:19:267 V-type proton ATPase subunit H; V-ATPase subunit H; Nef-binding protein 1; NBP1; Protein VMA13 homolog; V-ATPase 50/57 kDa subunits; Vacuolar proton pump subunit H; Vacuolar proton pump subunit SFD 483 249 10 268 0 Homo sapiens (Human) SwissProt::Q9UI12 1 SwissProt MTKMDIRGAVDAAVPTNIIAAKAAEVRANKVNWQSYLQGQMISAEDCEFIQRFEMKRSPEEKQEMLQTEGSQCAKTFINLMTHICKEQTVQYILTMVDDMLQENHQRVSIFFDYARCSKNTAWPYFLPMLNRQDPFTVHMAARIIAKLAAWGKELMEGSDLNYYFNWIKTQLSSQKLRGSGVAVETGTVSSSDSSQYVQCVAGCLQLMLRVNEYRFAWVEADGVNCIMGVLSNKCGFQLQYQMIFSIWLLAFSPQMCEHLRRYNIIPV
SwissProt::Q9UMX9 1 530 0.109937924528302 PF07690.16:MFS_1:61:343,PF13347.6:MFS_2:38:234 Membrane-associated transporter protein; Melanoma antigen AIM1; Protein AIM-1; Solute carrier family 45 member 2 530 306 10 255 12 Homo sapiens (Human) SwissProt::Q9UMX9 1 SwissProt MGSNSGQAGRHIYKSLADDGPFDSVEPPKRPTSRLIMHSMAMFGREFCYAVEAAYVTPVLLSVGLPSSLYSIVWFLSPILGFLLQPVVGSASDHCRSRWGRRRPYILTLGVMMLVGMALYLNGATVVAALIANPRRKLVWAISVTMIGVVLFDFAADFIDGPIKAYLFDVCSHQDKEKGLHYHALFTGFGGALGYLLGAIDWAHLELGRLLGTEFQVMFFFSALVLTLCFTVHLCSISEAPLTEVAKGIPPQQTPQDPPLSSDGMYEYGSIEKVKNGYVNPELAMQGAKNKNHAEQTRRAMTLKSLLRALVNMPPHYRYLCISHLIGWTAFLSNMLFFTDFMGQIVYRGDPYSAHNSTEFLIYERGVEVGCWGLCINSVFSSLYSYFQKVLVSYIGLKGLYFTGYLLFGLGTGFIGLFPNVYSTLVLCSLFGVMSSTLYTVPFNLITEYHREEEKERQQAPGGDPDNSVRGKGMDCATLTCMVQLAQILVGGGLGFLVNTAGTVVVVVITASAVALIGCCFVALFVRYVD
SwissProt::Q9UNH7 182 406 0.214468444444445 PF09325.10:Vps5:6:163,PF09325.10:Vps5:147:210 Sorting nexin-6; TRAF4-associated factor 2 406 205 10 225 0 Homo sapiens (Human) SwissProt::Q9UNH7 1 SwissProt EKLEDFFKNMVKSADGVIVSGVKDVDDFFEHERTFLLEYHNRVKDASAKSDRMTRSHKSAADDYNRIGSSLYALGTQDSTDICKFFLKVSELFDKTRKIEARVSADEDLKLSDLLKYYLRESQAAKDLLYRRSRSLVDYENANKALDKARAKNKDVLQAETSQQLCCQKFEKISESAKQELIDFKTRRVAAFRKNLVELAELELKHAKGNLQLLQNCLAVLNGDT
SwissProt::Q9VPT1 1 454 0.0887843612334802 PF08395.12:7tm_7:82:445 Gustatory and odorant receptor 21a 454 364 10 321 6 Drosophila melanogaster (Fruit fly) SwissProt::Q9VPT1 1 SwissProt MTFLDRTMSFWAVSRGLTPPSKVVPMLNPNQRQFLEDEVRYREKLKLMARGDAMEEVYVRKQETVDDPLELDKHDSFYQTTKSLLVLFQIMGVMPIHRNPPEKNLPRTGYSWGSKQVMWAIFIYSCQTTIVVLVLRERVKKFVTSPDKRFDEAIYNVIFISLLFTNFLLPVASWRHGPQVAIFKNMWTNYQYKFFKTTGSPIVFPNLYPLTWSLCVFSWLLSIAINLSQYFLQPDFRLWYTFAYYPIIAMLNCFCSLWYINCNAFGTASRALSDALQTTIRGEKPAQKLTEYRHLWVDLSHMMQQLGRAYSNMYGMYCLVIFFTTIIATYGSISEIIDHGATYKEVGLFVIVFYCMGLLYIICNEAHYASRKVGLDFQTKLLNINLTAVDAATQKEVEMLLVAINKNPPIMNLDGYANINRELITTNISFMATYLVVLLQFKITEQRRIGQQQA
SwissProt::Q9W497 1 444 0.0467907657657658 PF06151.13:Trehalose_recp:40:438,PF08395.12:7tm_7:59:430 Gustatory receptor 5a for trehalose; Trehalose receptor 444 399 10 335 5 Drosophila melanogaster (Fruit fly) SwissProt::Q9W497 1 SwissProt MRQLKGRNRCNRAVRHLKIQGKMWLKNLKSGLEQIRESQVRGTRKNFLHDGSFHEAVAPVLAVAQCFCLMPVCGISAPTYRGLSFNRRSWRFWYSSLYLCSTSVDLAFSIRRVAHSVLDVRSVEPIVFHVSILIASWQFLNLAQLWPGLMRHWAAVERRLPGYTCCLQRARPARRLKLVAFVLLVVSLMEHLLSIISVVYYDFCPRRSDPVESYLLGASAQLFEVFPYSNWLAWLGKIQNVLLTFGWSYMDIFLMMLGMGLSEMLARLNRSLEQQVRQPMPEAYWTWSRTLYRSIVELIREVDDAVSGIMLISFGSNLYFICLQLLKSINTMPSSAHAVYFYFSLLFLLSRSTAVLLFVSAINDQAREPLRLLRLVPLKGYHPEVFRFAAELASDQVALTGLKFFNVTRKLFLAMAGTVATYELVLIQFHEDKKTWDCSPFNLD
SwissProt::Q9WTN3 394 1134 0.286071659919028 Sterol regulatory element-binding protein 1; SREBP-1; Sterol regulatory element-binding transcription factor 1 1134 0 10 695 2 Mus musculus (Mouse) SwissProt::Q9WTN3 1 SwissProt VSACGSGGGTDVSMEGMKPEVVETLTPPPSDAGSPSQSSPLSFGSRASSSGGSDSEPDSPAFEDSQVKAQRLPSHSRGMLDRSRLALCVLAFLCLTCNPLASLFGWGILTPSDATGTHRSSGRSMLEAESRDGSNWTQWLLPPLVWLANGLLVLACLALLFVYGEPVTRPHSGPAVHFWRHRKQADLDLARGDFPQAAQQLWLALQALGRPLPTSNLDLACSLLWNLIRHLLQRLWVGRWLAGQAGGLLRDRGLRKDARASARDAAVVYHKLHQLHAMGKYTGGHLAASNLALSALNLAECAGDAISMATLAEIYVAAALRVKTSLPRALHFLTRFFLSSARQACLAQSGSVPLAMQWLCHPVGHRFFVDGDWAVHGAPPESLYSVAGNPVDPLAQVTRLFREHLLERALNCIAQPSPGAADGDREFSDALGYLQLLNSCSDAAGAPACSFSVSSSMAATTGPDPVAKWWASLTAVVIHWLRRDEEAAERLYPLVEHIPQVLQDTERPLPRAALYSFKAARALLDHRKVESSPASLAICEKASGYLRDSLASTPTGSSIDKAMQLLLCDLLLVARTSLWQRQQSPASVQVAHGTSNGPQASALELRGFQHDLSSLRRLAQSFRPAMRRVFLHEATARLMAGASPARTHQLLDRSLRRRAGSSGKGGTTAELEPRPTWREHTEALLLASCYLPPAFLSAPGQRMSMLAEAARTVEKLGDHRLLLDCQQMLLRLGGGTTVTSS
SwissProt::S0E2Y2 1 527 0.217620683111955 PF00067.22:p450:170:507 Cytochrome P450 monooygenase 3; P450-3; Gibberellin 13-hydroxylase P450-3; EC 1.-.-.- 527 338 10 505 1 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0E2Y2 1 SwissProt MSNFVTLIEPLELTGSRVLRIAVAFAALCGATGLLAFSWWIYKQSSSKPTLPYPVVGDTHAQSLEKNLIKGMQQYRDSPFFLAGSRPPLLILPMSVFHEIHNMPNEYISIIVEHEDKFQGKYTHITTIRPEIPATIRQDLTRNMPNIILELQDELTYASDQWPRTSKWSSVSLYDMMLRTVALLSGRAFVGLPLCRDEGWLQASIGYTVQCVSIRDQLFTWSPVLRPIIGPFLPSVRSVRRHLRFAAEIMAPLISQALQDEKQHRADTLLADQTEGRGTFISWLLRHLPEELRTPEQVGLDQMLVSFAAIHTTTMALTKVVWELVKRPEYIEPLRTEMQDVFGPDAVSPDICINKEALSRLHKLDSFIREVQRWCPSTFVTPSRRVMKSMTLSNGIKLQRGTSIAFPAHAIHMSEETPTFSPDFSSDFENPSPRIFDGFRYLNLRSIKGQGSQHQAATTGPDYLIFNHGKHACPGRFFAISEIKMILIELLAKYDFRLEDGKPGPELMRVGTETRLDTKAGLEMRRR
SwissProt::S0E2Y4 1 342 0.459637719298246 Gibberellin cluster GA4 desaturase; DES; EC 1.3.-.- 342 0 10 342 0 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0E2Y4 1 SwissProt MPHKDNLLESPVGKSVTATIAYHSGPALPTSPIAGVTTLQDCTQQAVAVTDIRPSVSSFTLDGNGFQVVKHTSAVGSPPYDHSSWTDPVVRKEVYDPEIIELAKSLTGAKKVMILLASSRNVPFKEPELAPPYPMPGKSSSGSKEREAIPANELPTTRAKGFQKGEEEGPVRKPHKDWGPSGAWNTLRNWSQELIDEAGDIIKAGDEAAKLPGGRAKNYQGRRWALYTTWRPLKTVKRDPMAYVDYWTADEEDGVSFWRNPPGVHGTFESDVLLTKANPKHKWYWISDQTPDEVLLMKIMDTESEKDGSEIAGGVHHCSFHLPGTEKEEVRESIETKFIAFW
SwissProt::V6F235 1 214 0.039457476635514 PF01545.21:Cation_efflux:15:209 Magnetosome protein MamM; Probable iron transporter MamM 318 195 10 126 4 Magnetospirillum gryphiswaldense (strain DSM 6361 / JCM 21280 / NBRC 15271 / MSR-1) SwissProt::V6F235 1 SwissProt MRKSGCAVCSRSIGWVGLAVSTVLMVMKAFVGLIGGSQAMLADAMYSLKDMLNALMVIIGTTISSKPLDAEHPYGHGKVEFILSMVVSVVFIVLTGYLLVHAVQILLDESLHRTPHLIVLWAALVSIGVNVGMYFYSRCVAIETNSPLIKTMAKHHHGDATASGAVALGIIGAHYLNMPWIDPAVALWETIDLLLLGKVVFMDAYRGLMDHTAG
SwissProt::W7LC91 1 327 0.179007033639144 PF00067.22:p450:112:316 Cytochrome P450 monooxygenase FUM15; Fumonisin biosynthesis cluster protein 15; EC 1.-.-.- 596 205 10 327 0 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::W7LC91 1 SwissProt MRGLNNIAVALALSAIWSFGLDLGRHGTSGSSLLLALFRTLCKAYPFVVISHFVWSAIIWPTFFSPLRQLPNVPSDGWLSKETLRLVSEPRGVPQSDWINSLSNRPVDLARYRSFLGFERLLIISPKALAEVLTTKSYDFRKPGLIVSELKQATGMGVLLAEGSEHKSQRKALQTAFNYRHIKNLYPVFWDVAGEFATVLEKQIPTGTPRTSDTTAVIDIVDWASRATLDIIGRAGMGQGFDAIQNDDSRLHQAYRMIFEPSRGAIFLALLRLIFPERLVNWLPLRRNKRMRHGIQVIRSKCQELIRERKEKIKRQKAGVDNSGNDI
SwissProt::W7LKY5 1 388 0.0790806701030928 PF03798.16:TRAM_LAG1_CLN8:155:361,PF08390.11:TRAM1:87:148 Sphingosine N-acyltransferase-like protein FUM17; Fumonisin biosynthesis cluster protein 17; EC 2.3.1.- 388 269 10 262 6 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::W7LKY5 1 SwissProt MFKATTSARHRPGQGNSLSTLMARYNNQGLQRYSLKDKEHKIRRRKRSWGFQHSVILKNSWALPLLLTIVLPVIYAIHPVESKFFGHFILLSYRHGSVTDGMAFPVAQYKKGLWDLAFVAFYANALFLARKFIMKRLLRPLALKNNVSTMGKQQRFMEQMYTACYFAVMGPFGLYVMKTTPGLWIFQTHGMYDSYPHRSLGPAIKFYYLLQAAYWVQQSVVLVLRLEKPRKDHMELTVHHIITITLIALSYRFHFTHIGISMYITHDISDLFLATSKSLNYLSHRLQTPAFCLCVIAWIYLRHYTNWRILYSVLTEFRTVGPFELDWEAEQYKCQLSQFITFGLLATLQTLNIIWLYCLLRNAYRLLFLRIAKDDRSDTDKSEIEHGD
SwissProt::W7LL82 1 369 0.217781571815718 PF01370.21:Epimerase:8:271,PF01073.19:3Beta_HSD:10:140,PF07993.12:NAD_binding_4:10:183 NAD-dependent epimerase/dehydratase FUM13; Fumonisin biosynthesis cluster protein 13; EC 1.1.1.- 369 264 10 369 0 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::W7LL82 1 SwissProt MSRGQELVLLTGATGHVGYAVLVKTLQAGYNVRATLRDMSRADAILSSGPVQEALSAQDLDLSFVRVPNFTAPDAFGLVLSNVTHVVHVASALRRGTSTDLKEAIIDVAVQGTRNILRAAHNCPSVRRVVITSSVSAIVDQHPVVSQSPAGRCVTPLDRHADYDAEYYKGDSLKAYTAAKTAALNATDAFLATADGGPTTLPLHFDVINIMPSFVFGPKGLAATPSDVTNGSNIFGIGLVMRHKPWDGIRLEAVCCHVDDVAQVHVNALNDHELLPLKVGAHRDFILGVKFKPEEIGEIVRRRFPREWWESESATFGARGTYDWYHTDYDVGSAERLLGRPFKCLEEQIYDSGSQVMEMLKGMTTRSYN
SwissProt::W7MT28 1 267 0.199687640449438 PF03959.13:FSH1:2:250 Hydrolase FUB4; Fusaric acid biosynthesis protein 4; EC 3.1.-.- 267 249 10 267 0 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::W7MT28 1 SwissProt MRFLCLHGYAFSVEVLQQQMEPITAHLPSDWEYEFLEAGMEPTQLMLPNLKQVPKPNSSWYNFPYPEDVEEAYERLAAYVESEGPFDGIWGFSQGGSMAALLLLMHQAEHPDTPYPFKMAIFTSAFLPHSFDNGVISWDLTEKNTLEPAYLPGRIDVSHGKKLDWKKDLHTSIEYDMINAVKDELDFPVDLLLRWRPSDIPEKIPVPSVHVRGLKDHYSFVDESVYELFDPDMARKMTHRGGHNFPRYNEELVHFAELIIETVVSLH
SwissProt::W7N2B2 1 104 0.190347115384615 PF03795.14:YCII:8:91 Fusaric acid biosynthesis protein 2 104 84 10 104 0 Gibberella moniliformis (strain M3125 / FGSC 7600) (Maize ear and stalk rot fungus) (Fusarium verticillioides) SwissProt::W7N2B2 1 SwissProt MASELKEYLVIIPDLPDVLAKRQVLLKPHNQDAAPLVKAGRVPFFGSTLAHHSAEGQQVAENGTVMIIKAESEEEIKEIIRKDIFTIEGVWDFGRLSIWPFKSK
VIMSS10078200 167 358 0.4793734375 B3 domain-containing transcription factor NGA3; Protein NGATHA3 358 0 10 192 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MAN1 1 SwissProt VQAHQFGNFGFNFNFPTTSQYSNRFHPLPEYNSVPIHRGLNIGNHQRSYYNTQRQEFVGYGYGNLAGRCYYTGSPLDHRNIVGSEPLVIDSVPVVPGRLTPVMLPPLPPPPSTAGKRLRLFGVNMECGNDYNQQEESWLVPRGEIGASSSSSSALRLNLSTDHDDDNDDGDDGDDDQFAKKGKSSLSLNFNP
VIMSS10078352 1 530 0.0911605660377358 PF01553.21:Acyltransferase:323:418 Probable glycerol-3-phosphate acyltransferase 2; AtGPAT2; EC 2.3.1.15 530 96 10 507 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FZ22 1 SwissProt MSGNKISTLQALVFFLYRFFILRRWCHRSPKQKYQKCPSHGLHQYQDLSNHTLIFNVEGALLKSNSLFPYFMVVAFEAGGVIRSLFLLVLYPFISLMSYEMGLKTMVMLSFFGVKKESFRVGKSVLPKYFLEDVGLEMFQVLKRGGKRVAVSDLPQVMIDVFLRDYLEIEVVVGRDMKMVGGYYLGIVEDKKNLEIAFDKVVQEERLGSGRRLIGITSFNSPSHRSLFSQFCQEIYFVRNSDKKSWQTLPQDQYPKPLIFHDGRLAVKPTPLNTLVLFMWAPFAAVLAAARLVFGLNLPYSLANPFLAFSGIHLTLTVNNHNDLISADRKRGCLFVCNHRTLLDPLYISYALRKKNMKAVTYSLSRLSELLAPIKTVRLTRDRVKDGQAMEKLLSQGDLVVCPEGTTCREPYLLRFSPLFSEVCDVIVPVAIDSHVTFFYGTTASGLKAFDPIFFLLNPFPSYTVKLLDPVSGSSSSTCRGVPDNGKVNFEVANHVQHEIGNALGFECTNLTRRDKYLILAGNNGVVKKK
VIMSS10078390 518 1181 0.204257379518072 PF03552.14:Cellulose_synt:24:662,PF13632.6:Glyco_trans_2_3:356:479 Cellulose synthase-like protein D5; AtCslD5; EC 2.4.1.- 1181 639 10 557 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SRW9 1 SwissProt PEAIRRRSDAYNVHEELRAKKKQMEMMMGNNPQETVIVPKATWMSDGSHWPGTWSSGETDNSRGDHAGIIQAMLAPPNAEPVYGAEADAENLIDTTDVDIRLPMLVYVSREKRPGYDHNKKAGAMNALVRTSAIMSNGPFILNLDCDHYIYNSMALREGMCFMLDRGGDRICYVQFPQRFEGIDPNDRYANHNTVFFDVSMRALDGLQGPMYVGTGCIFRRTALYGFSPPRATEHHGWLGRRKVKISLRRPKAMMKKDDEVSLPINGEYNEEENDDGDIESLLLPKRFGNSNSFVASIPVAEYQGRLIQDLQGKGKNSRPAGSLAVPREPLDAATVAEAISVISCFYEDKTEWGKRVGWIYGSVTEDVVTGYRMHNRGWRSIYCVTKRDAFRGTAPINLTDRLHQVLRWATGSVEIFFSRNNAIFATRRMKFLQRVAYFNVGMYPFTSLFLIVYCILPAISLFSGQFIVQSLDITFLIYLLSITLTLCMLSLLEIKWSGITLHEWWRNEQFWVIGGTSAHPAAVLQGLLKVIAGVDISFTLTSKSSAPEDGDDEFADLYVVKWSFLMVPPLTIMMVNMIAIAVGLARTLYSPFPQWSKLVGGVFFSFWVLCHLYPFAKGLMGRRGRVPTIVFVWSGLLSIIVSLLWVYINPPSGKQDYMQFQFP
VIMSS10078515 1 159 0.295447169811321 PF00462.24:Glutaredoxin:65:122 glutaredoxin family protein (RefSeq) 159 58 10 159 0 Arabidopsis thaliana VIMSS10078515 1 MicrobesOnline MQKAIRPYESPWTKTVPGNSIFLLKNEDKPSSSSSSLSWLTSGSPKPTSISNKRSSNLVVMENAVVVFARRGCCLGHVAKRLLLTHGVNPVVVEIGEEDNNNYDNIVSDKEKLPMMYIGGKLFGGLENLMAAHINGHSIKIRTDTWSSFSVATVDRIRW 2
VIMSS10078775 1 734 0.352734196185286 PF14215.6:bHLH-MYC_N:5:156 Transcription factor EMB1444; Basic helix-loop-helix protein 169; AtbHLH169; bHLH 169; LONESOME HIGHWAY-like protein 1; Protein EMBRYO DEFECTIVE 1444; bHLH transcription factor bHLH169 734 152 10 734 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P0C7P8 1 SwissProt MGYTLQQILRSICSNTDWNYAVFWKLNHHSPMVLTLEDVYCVNHERGLMPESLHGGRHAHDPLGLAVAKMSYHVHSLGEGIVGQVAISGQHQWIFSEYLNDSHSTLQVHNGWESQISAGIKTILIVAVGSCGVVQLGSLCKVEEDPALVTHIRHLFLALTDPLADHASNLMQCDINSPSDRPKIPSKCLHEASPDFSGEFDKAMDMEGLNIVSQNTSNRSNDLPYNFTPTYFHMERTAQVIGGLEAVQPSMFGSNDCVTSGFSVGVVDTKHKNQVDISDMSKVIYDEETGGYRYSRELDPNFQHYSRNHVRNSGGTSALAMESDRLKAGSSYPQLDSTVLTALKTDKDYSRRNEVFQPSESQGSIFVKDTEHRQEEKSESSQLDALTASLCSFSGSELLEALGPAFSKTSTDYGELAKFESAAAIRRTNDMSHSHLTFESSSENLLDAVVASMSNGDGNVRREISSSRSTQSLLTTAEMAQAEPFGHNKQNIVSTVDSVISQPPLADGLIQQNPSNICGAFSSIGFSSTCLSSSSDQFPTSLEIPKKNKKRAKPGESSRPRPRDRQLIQDRIKELRELVPNGSKCSIDSLLECTIKHMLFLQSVSQHADKLTKSASSKMQHKDTGTLGISSTEQGSSWAVEIGGHLQVCSIMVENLDKEGVMLIEMLCEECSHFLEIANVIRSLELIILRGTTEKQGEKTWICFVVEGQNNKVMHRMDILWSLVQIFQPKATNR
VIMSS10078939 1 339 0.582199115044248 PF02701.15:zf-Dof:85:139 OBP2; DNA binding / transcription factor (RefSeq) 339 55 10 339 0 Arabidopsis thaliana VIMSS10078939 1 MicrobesOnline MAFPSNWSQPTNSNHQHHLQHQLNENGSIISGHGLVLSHQLPPLQANPNPNHHHVATSAGLPSRMGGSMAERARQANIPPLAGPLKCPRCDSSNTKFCYYNNYNLTQPRHFCKGCRRYWTQGGALRNVPVGGGCRRNNKKGKNGNLKSSSSSSKQSSSVNAQSPSSGQLRTNHQFPFSPTLYNLTQLGGIGLNLAATNGNNQAHQIGSSLMMSDLGFLHGRNTSTPMTGNIHENNNNNNNENNLMASVGSLSPFALFDPTTGLYAFQNDGNIGNNVGISGSSTSMVDSRVYQTPPVKMEEQPNLANLSRPVSGLTSPGNQTNQYFWPGSDFSGPSNDLL
VIMSS10078989 1 327 0.194333027522936 PF03567.14:Sulfotransfer_2:57:173 hypothetical protein (RefSeq) 327 117 10 327 0 Arabidopsis thaliana VIMSS10078989 1 MicrobesOnline MQMNSVWKLSLGLLLLSSVIGSFAELDFGHCETLVKKWADSSSSREEHVNKDKRSLKDLLFFLHVPRTGGRTYFHCFLRKLYDSSEECPRSYDKLHFNPRKEKCKLLATHDDYSLMAKLPRERTSVMTIVRDPIARVLSTYEFSVEVAARFLVHPNLTSASRMSSRIRKSNVISTLDIWPWKYLVPWMREDLFARRDARKLKEVVIIEDDNPYDMEEMLMPLHKYLDAPTAHDIIHNGATFQIAGLTNNSHLSEAHEVRHCVQKFKSLGESVLQVAKRRLDSMLYVGLTEEHRESASLFANVVGSQVLSQVVPSNATAKIKALKSGW
VIMSS10079127 1 207 0.452555555555556 Transcription factor bHLH149; ATBS1 interacting factor 4; Basic helix-loop-helix protein 149; AtbHLH149; bHLH 149; Transcription factor EN 144; bHLH transcription factor bHLH149 207 0 10 207 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80482 1 SwissProt MVESLFPSIENTGESSRRKKPRISETAEAEIEARRVNEESLKRWKTNRVQQIYACKLVEALRRVRQRSSTTSNNETDKLVSGAAREIRDTADRVLAASARGTTRWSRAILASRVRAKLKKHRKAKKSTGNCKSRKGLTETNRIKLPAVERKLKILGRLVPGCRKVSVPNLLDEATDYIAALEMQVRAMEALAELLTAAAPRTTLTGT
VIMSS10079215 1 475 0.0572509473684211 PF01490.18:Aa_trans:28:462 Amino acid permease 8; Amino acid transporter AAP8 475 435 10 271 9 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80592 1 SwissProt MDAYNNPSAVESGDAAVKSVDDDGREKRTGTFWTASAHIITAVIGSGVLSLAWAIAQLGWVAGTTVLVAFAIITYYTSTLLADCYRSPDSITGTRNYNYMGVVRSYLGGKKVQLCGVAQYVNLVGVTIGYTITASISLVAIGKSNCYHDKGHKAKCSVSNYPYMAAFGIVQIILSQLPNFHKLSFLSIIAAVMSFSYASIGIGLAIATVASGKIGKTELTGTVIGVDVTASEKVWKLFQAIGDIAFSYAFTTILIEIQDTLRSSPPENKVMKRASLVGVSTTTVFYILCGCIGYAAFGNQAPGDFLTDFGFYEPYWLIDFANACIALHLIGAYQVYAQPFFQFVEENCNKKWPQSNFINKEYSSKVPLLGKCRVNLFRLVWRTCYVVLTTFVAMIFPFFNAILGLLGAFAFWPLTVYFPVAMHIAQAKVKKYSRRWLALNLLVLVCLIVSALAAVGSIIGLINSVKSYKPFKNLD
VIMSS10079277 1 332 0.555221084337349 Ubiquitin-like-specific protease 1C; Protein OVERLY TOLERANT TO SALT 2; EC 3.4.22.- 571 0 10 332 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8RWN0 1 SwissProt MKRQRAIELDRVKKTMLNIDWDDALGDEEVPELEIIATDKIPPREPTLSGYEPAVSVRSLRDNELDDHLKRQRSLLTRLGDKLADKGEKIRNRIGELEYEKQRRMFQQRTKMQDADNGCQILEKPKSSDVFMRASTASKDTSGQGTSGSKDVSRSTFAAHFSDNLKMGPQPVKLVNDKLQDLGRGSWISKANRDSIIEKNNVWRSLPRLSKCKVSLKNFYSESKDPKGDRRPNEAYGKGKPNESSPYLLVDDDDGDDDKVIGYETPRHWSLKASPLQSSSCRKKSDDKVINLDEDEPLSPMVVEEACELPEGLPEDIYYPSSDQSDGRDLVQ
VIMSS10079279 1 181 0.263137569060774 PF00010.26:HLH:16:63 transcription factor (RefSeq) 181 48 10 181 0 Arabidopsis thaliana VIMSS10079279 1 MicrobesOnline MGRAREIGEGNSSSLREQRNLREKDRRMRMKHLFSILSSHVSPTRKLPVPHLIDQATSYMIQLKENVNYLKEKKRTLLQGELGNLYEGSFLLPKLSIRSRDSTIEMNLIMDLNMKRVMLHELVSIFEEEGAQVMSANLQNLNDRTTYTIIAQAIISRIGIDPSRIEERVRKIIYGYIYFEA
VIMSS10079788 1 151 0.0891490066225166 PF04749.17:PLAC8:15:113 Protein PLANT CADMIUM RESISTANCE 1; AtPCR1 151 99 10 151 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LQU2 1 SwissProt MEAQLHAKPHAQGEWSTGFCDCFSDCRNCCITLCCPCITFGQVAEIVDRGSKSCCAAGALYMLIDLITSCGRMYACFYSGKMRAQYNIKGDGCTDCLKHFCCNLCALTQQYRELKHRGFDMSLGWAGNAEKQQNQGGVAMGAPAFQGGMTR
VIMSS10079815 235 846 0.476013071895425 PF13949.6:ALIX_LYPXL_bnd:191:475,PF03097.18:BRO1:5:154 Vacuolar-sorting protein BRO1; BRO domain-containing protein 1; AtBRO1 846 435 10 612 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HXZ1 1 SwissProt IISPLKDHFDKGWISHVQLKAALFYGEACFRYGKELHEKEEIAEEIARLRSGASRLAEAKKSSRGAPAQLIEAMNTLESSINGNLDRAVKENDRVYLMRVPSPSSLSPLPAFSMVKPMNMTDILDASKEKMFSILVPDSSAKALSRYTEMVDDVIRTQAERLQQASELTRVRLKEMDLPDSILAVDGNSALPVDLKEDVEAVQISGGPAGLEAELQQLRDLKRVNQELLVHTEELLQKEATEDSQFRSQFGTRWTRPQSSTLTKNLQDRLNRFAANLKQAGESDVKIERSVRDNSALMSILDRRPIESAVPTLARPIMSLDATEDAIVGTLKQSLRQLENLGAQRAGLEDMLKEMKRKDDILPKLMTITGSYEDMFRKEISKYDHICEDISQNIEVQEQLLMQIQAQNEEFSTIFNLEDYKASKEKCYKQIQAAIMKYREIKENINEGLKFYVTLQDAITNVKQQCSDFVMTRSIQCRDMIEDVQRQMSGLSFQDHRSSGPYPSVHQPTASSPPPPPETQNPSHPHPHAPYYRPPEQMSRPGYSIPPYGPPPPYHTPHGQAPQPYPPQAQQQPHPSWQQGSYYDPQGQQPRPPYPGQSPYQPPHQGGGYYRQ
VIMSS10079910 1 461 0.245395878524946 Photosynthetic NDH subunit of subcomplex B 1, chloroplastic; Protein PnsB1; NAD(P)H DEHYDROGENASE SUBUNIT 48; NDH-DEPENDENT CYCLIC ELECTRON FLOW 1 461 0 10 461 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9S9N6 1 SwissProt MASSLPLLPKPISPFFKTPPFSTSKPLVFLNFQTRLTSRSSDVSVNLKKKNNPWLDPFDSGEDPDNEYGSLFADGKQDEDPRPPDNPDNPYGFLKFPKGYTVELASLPLKIRGDVRRCCCVISGGVYENLLFFPTIQLIKDRYPGVQVDILTTERGKQTYELNKNVRWANVYDPDDHWPEPAEYTDMIGLLKGRYYDMVLSTKLAGLGHAAFLFMTTARDRVSYIYPNVNSAGAGLMLSETFTAENTNLSELGYSMYTQMEDWLGRPFRSVPRTPLLPLRVSISRKVKEVVAAKYRNAGAVTGKFIVIHGIESDSKASMQSKGDADSLLSLEKWAKIIKGVRGFKPVFVIPHEKERENVEDFVGDDTSIVFITTPGQLAALINDSAGVIATNTAAIQLANARDKPCIGLFSSEEKGKLFVPYAEEKSNCVIIASKTGKLADIDIGTVKNAMQVFEGSLALV
VIMSS10079966 1 147 0.288254421768707 PF02519.14:Auxin_inducible:8:117 Auxin-responsive protein SAUR41; Protein SMALL AUXIN UP RNA 41 147 110 10 147 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SA49 1 SwissProt MKHLIRRLSRVADSSSEFSIRRSTSSFRNRRGHHRLHAPPPPWSICPARRVNTVPAGHVPVYVGEEMERFVVSAELMNHPIFVGLLNRSAQEYGYAQKGVLHIPCHVIVFERVVETLRLGGFEGSGDLENLVASLLSGDELIPETTE
VIMSS10080119 1 573 0.248752530541012 EGY3 (ETHYLENE-DEPENDENT GRAVITROPISM-DEFICIENT AND YELLOW-GREEN-LIKE 3) (RefSeq) 573 0 10 426 6 Arabidopsis thaliana VIMSS10080119 1 MicrobesOnline MASLFVSTPSSSLTLKSCHSLHLRRFDRAEFSNFGKASVNQTTRSRHSLRCSAEDDRVREPVNEAPSPVALAEEQKEDHDNNNAPPSPESSEEEEEKKSKQQEMDWKTDEEFKKFMGNPSIEAAIKLEKTRTDRKLKELNKESNSENPIIGIYNSLARDSLTKEKERLEKAEETFKALDLNKLKSCFGFDTFFATDVRRFGDGGIFIGNLRKPIDEVTPKLEAKLSEAAGRDVVVWFMEERSNEITKQVCMVQPKAEIDLQFESTRLSTPWGYVSAIALCVTTFGTIALMSGFFLKPDATFDDYIANVVPLFGGFLSILGVSEIATRVTAARHGVKLSPSFLVPSNWTGCLGVMNNYESLLPNKKALFDIPVARTASAYLTSLLLAAAAFISDGSFNGGDNALYIRPQFFDNNPLLSFVQFVVGPYADDLGNVLPNAVEGVGVPVDPLAFAGLLGMVVTSLNLLPCGRLEGGRIAQAMFGRSTAAILSFTTSLLLGIGGLSGSVLCLAWGLFATFFRGGEETPAKDEITPVGDDRFAWGIVLGLICFLTLFPNSGGTFSTSFFNGPFFRGDDF
VIMSS10080213 1 382 0.233908115183246 PF05633.11:BPS1:1:382 hypothetical protein (RefSeq) 382 382 10 359 1 Arabidopsis thaliana VIMSS10080213 1 MicrobesOnline MPATDFQGSFGRSLLSLRRDQVDSSTVVSGSSSHHEPSTMEVELDSFQRQVAEKFIDLNASSNDLLSLEWIGKLLDSFLCCQEEFRAIVFNHRSQISKSPMDRLISDYFERSIKALDVCNAIRDGIEQIRQWEKLADIVISALDSHRPIGEGQLRRAKKALIDLAIGMLDEKDHPSGTNLAHRNRSFGRVKDSHHRSIGHFRSLSWSVSRSWSASKQLQALASNLATPRPNDVVASNGLAVPVYTMTSVLLFVMWVLVAAIPCQDRGLQVNFFVPRHFQWAAPVMSLHDKIVEESKRRDRKNCCGLLKEIDRIEKSSRLMNELIDSIHFPLNDDKEVEVKQRVDELVQVREALRNGLDPFERKVREVFHRIVRSRTESLDSL
VIMSS10080306 1 117 0.444352136752137 hypothetical protein (RefSeq) 117 0 10 117 0 Arabidopsis thaliana VIMSS10080306 1 MicrobesOnline MGSLMSGWDSRVRDPKSVRRCKSLTREEIDTFWKTKKKNEEEEHVQAFSKLVTQEGAQSQAKEKKSVDDLFENQSKSSGWWRKTYWAFLNEPREEEGRPNNYVSQFKVAHIAKIAGS
VIMSS10080393 1 218 0.339555504587156 PF02466.19:Tim17:16:122 Mitochondrial import inner membrane translocase subunit TIM17-1 218 107 10 218 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LN27 1 SwissProt MGTPESSREPCPDRILDDVGGAFAMGAVGGSAYHLIRGIYNSPGGARLSGGVQALRMSGPRSGGSFSVWGGLYSTFDCALVYARQKEDPWNSILSGAATGGFLSLRQGLGASARSALVGGVLLAMIEGVGIMLNKVQSTAHNEQFMEDHAATSLPYGMGQISGQSVPVPETSSSSSGSVSWFGSLFKKKKETEDHHSESRTHILESFDAPPVPTYEFK
VIMSS10080522 1 303 0.456071947194719 PF03514.14:GRAS:224:302 Scarecrow-like protein 1; AtSCL1; GRAS family protein 4; AtGRAS-4 593 79 10 303 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SDQ3 1 SwissProt MVEQTVVREHIKARVMSLVRSAEPSSYRNPKLYTLNENGNNNGVSSAQIFDPDRSKNPCLTDDSYPSQSYEKYFLDSPTDEFVQHPIGSGASVSSFGSLDSFPYQSRPVLGCSMEFQLPLDSTSTSSTRLLGDYQAVSYSPSMDVVEEFDDEQMRSKIQELERALLGDEDDKMVGIDNLMEIDSEWSYQNESEQHQDSPKESSSADSNSHVSSKEVVSQATPKQILISCARALSEGKLEEALSMVNELRQIVSIQGDPSQRIAAYMVEGLAARMAASGKFIYRALKCKEPPSDERLAAMQVLF
VIMSS10080932 1 223 0.475488789237668 PF00010.26:HLH:114:161 Transcription factor bHLH75; Basic helix-loop-helix protein 75; AtbHLH75; bHLH 75; Transcription factor EN 78; bHLH transcription factor bHLH075 223 48 10 223 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::A4D998 1 SwissProt MARFEPYNYNNGHDPFFAHINQNPELINLDLPASTPSSFMLFSNGALVDANHNNSHFFPNLLHGNTRRKGNKEESGSKRRRKRSEEEEAMNGDETQKPKDVVHVRAKRGQATDSHSLAERVRREKINERLKCLQDLVPGCYKAMGMAVMLDVIIDYVRSLQNQIEFLSMKLSAASACYDLNSLDIEPTDIFQGGNIHSAAEMERILRESVGTQPPNFSSTLPF
VIMSS10081390 1 363 0.163806336088154 PF00657.22:Lipase_GDSL:34:341 GDSL-motif lipase/hydrolase family protein (RefSeq) 363 308 10 363 0 Arabidopsis thaliana VIMSS10081390 1 MicrobesOnline MESYLTKWCVVLVLLCFGFSVVKAQAQAQVPCFFVFGDSLVDNGNNNGLISIARSNYFPYGIDFGGPTGRFSNGKTTVDVIAELLGFNGYIPAYNTVSGRQILSGVNYASAAAGIREETGRQLGQRISFSGQVRNYQTTVSQVVQLLGDETRAADYLKRCIYSVGLGSNDYLNNYFMPTFYSSSRQFTPEQYANDLISRYSTQLNALYNYGARKFALSGIGAVGCSPNALAGSPDGRTCVDRINSANQIFNNKLRSLVDQLNNNHPDAKFIYINAYGIFQDMITNPARFGFRVTNAGCCGIGRNAGQITCLPGQRPCRDRNAYVFWDAFHPTEAANVIIARRSYNAQSASDAYPMDISRLAQL
VIMSS10081392 1 561 0.27075935828877 PF01823.19:MACPF:116:300 MACPF domain-containing protein CAD1; Protein CONSTITUTIVELY ACTIVATED CELL DEATH 1; Protein CAD1 561 185 10 561 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C7N2 1 SwissProt MENRKGGNFSVPSSEALTTTLRNAIQALGRGFDVTSDVRLLYCKGAPGSRLVRIEEGQNRDLELSHGFLLPNVPADIDCSRGNSGTQRISVCSFHEMAEEFNVRSGVKGNIPLGCFNAMFNYTGSWQVDAASTKSLALVGYFIPLYDVKLAKLTLVLHNEIRRAVPSSWDPASLASFIENYGTHIVTSVTIGGRDVVYIRQHQSSPLPVSEIENYVNDMIKHRFHEAESQSITGPLKYKDKDITVIFRRRGGDDLEQSHARWAETVPAAPDIINMTFTPIVSLLEGVPGLRHLTRAIELYLEYKPPIEDLQYFLDYQIARAWAPEQSNLQRKEPVCSSLQFSLMGPKLFISADQVTVGRKPVTGLRLSLEGSKQNRLSIHLQHLVSLPKILQPHWDSHVPIGAPKWQGPEEQDSRWFEPIKWKNFSHVSTSPIEHTETHIGDLSGVHIVTGAQLGVWDFGSKNVLHLKLLFSKVPGCTIRRSVWDHTPVASSGRLEPGGPSTSSSTEEVSGQSGKLAKIVDSSEMLKGPQDLPGHWLVTGAKLGVEKGKIVLRVKYSLLNY
VIMSS10081811 1 669 0.708397907324364 PF13837.6:Myb_DNA-bind_4:61:147,PF13837.6:Myb_DNA-bind_4:434:519,PF10545.9:MADF_DNA_bdg:453:520 AT-GTL1 (GT2-LIKE 1); DNA binding / transcription factor (RefSeq) 669 174 10 669 0 Arabidopsis thaliana VIMSS10081811 1 MicrobesOnline MEQGGGGGGNEVVEEASPISSRPPANNLEELMRFSAAADDGGLGGGGGGGGGGSASSSSGNRWPREETLALLRIRSDMDSTFRDATLKAPLWEHVSRKLLELGYKRSSKKCKEKFENVQKYYKRTKETRGGRHDGKAYKFFSQLEALNTTPPSSSLDVTPLSVANPILMPSSSSSPFPVFSQPQPQTQTQPPQTHNVSFTPTPPPLPLPSMGPIFTGVTFSSHSSSTASGMGSDDDDDDMDVDQANIAGSSSRKRKRGNRGGGGKMMELFEGLVRQVMQKQAAMQRSFLEALEKREQERLDREEAWKRQEMARLAREHEVMSQERAASASRDAAIISLIQKITGHTIQLPPSLSSQPPPPYQPPPAVTKRVAEPPLSTAQSQSQQPIMAIPQQQILPPPPPSHPHAHQPEQKQQQQPQQEMVMSSEQSSLPSSSRWPKAEILALINLRSGMEPRYQDNVPKGLLWEEISTSMKRMGYNRNAKRCKEKWENINKYYKKVKESNKKRPQDAKTCPYFHRLDLLYRNKVLGSGGGSSTSGLPQDQKQSPVTAMKPPQEGLVNVQQTHGSASTEEEEPIEESPQGTEKPEDLVMRELIQQQQQLQQQESMIGEYEKIEESHNYNNMEEEEDQEMDEEELDEDEKSAAFEIAFQSPANRGGNGHTEPPFLTMVQ
VIMSS10083036 1 94 0.12808829787234 PF14368.6:LTP_2:23:93,PF00234.22:Tryp_alpha_amyl:31:94 protease inhibitor/seed storage/lipid transfer protein (LTP) family protein (RefSeq) 94 72 10 71 1 Arabidopsis thaliana VIMSS10083036 1 MicrobesOnline MVKVMWVSVLALAAAILLLTVPVAEGVTCSPMQLASCAAAMTSSSPPSEACCTKLREQQPCLCGYMRNPTLRQYVSSPNARKVSNSCKIPSPSC
VIMSS10083288 1 171 0.344938596491228 heavy-metal-associated domain-containing protein (RefSeq) 171 0 10 171 0 Arabidopsis thaliana VIMSS10083288 1 MicrobesOnline MAEKVVMMKLKVDLNCSKCYKKVKKAIRKFPQITDELFDEKSNTIIIKVVCYDPERLMNKLCYKGDGSIKSIVILEPPKPPQPQPQPPQKPTAPAPAPAQAQAPALVRPAPVPVLVSSSAPQPMPMWQPYHCGPYYEAQQYQCYGRPVYESWGGGRQCCHEDMNSQGCSIM
VIMSS10083788 1 58 0.0410327586206897 Arabinogalactan protein 21; AtAGP21; Arabinogalactan peptide 21; AG-peptide 21 58 0 10 18 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C8A4 0 SwissProt MEAMKMKMMVFIMVVAVAFSAATAATVEAPAPSPTSDAAMFVPALFASVVALASGFIF
VIMSS10084096 1 377 0.702301061007958 PF03634.13:TCP:59:92,PF03634.13:TCP:93:196 TCP family transcription factor, putative (RefSeq) 377 138 10 377 0 Arabidopsis thaliana VIMSS10084096 1 MicrobesOnline MDLSDIRNNNNDTAAVATGGGARQLVDASLSIVPRSTPPEDSTLATTSSTATATTTKRSTKDRHTKVDGRGRRIRMPALCAARVFQLTRELGTGTIPANFSTLSVSLRSSGSTLSAPPSKSVPLYGALGLTHHQYDEQGGGGVFAAHTSPLLGFHHQLQHHQNQNQNQDPVETIPEGENFSRKRYRSVDLSKENDDRKQNENKSLKESETSGPTAAPMWAVAPPSRSGAGNTFWMLPVPTTAGNQMESSSNNNTAAGHRAPPMWPFVNSAGGGAGGGGGAATHFMAGTGFSFPMDQYRGSPLQLGSFLAQPQPTQNLGLSMPDSNLGMLAALNSAYSRGGNANANAEQANNAVEHQEKQQQSDHDDDSREENSNSSE
VIMSS10084546 161 394 0.58181623931624 NAC domain-containing protein 26; ANAC026; Protein VASCULAR RELATED NAC-DOMAIN 5 394 0 10 234 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4HYV5 1 SwissProt TVRKMGDYHSSPSQHWYDDQLSFMASEIISSSPRQFLPNHHYNRHHHQQTLPCGLNAFNNNNPNLQCKQELELHYNQMVQHQQQNHHLRESMFLQLPQLESPTSNCNSDNNNNTRNISNLQKSSNISHEEQLQQGNQSFSSLYYDQGVEQMTTDWRVLDKFVASQLSNDEEAAAVVSSSSHQNNVKIDTRNTGYHVIDEGINLPENDSERVVEMGEEYSNAHAASTSSSCQIDL
VIMSS10084682 427 1017 0.147980879864636 PF07725.12:LRR_3:181:200 Disease resistance protein RML1B; Protein RESISTANCE TO LEPTOSPHAERIA MACULANS 1B 1017 20 10 591 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CAK1 1 SwissProt SLDENAQTLFLHIAIFFNKEDGDLVKTMFAESDLDVKYGLKILENRSLIKMKIFSNGDTKIVMHRLLQQMGKRAIQKQEPWERQILIDAREICHVLEHAKGTGWNVHGMSFDISRISEVSIRKKAFKRMPNLQFLKVYKSKDDGNNRMHVPEEMDFPCLLRLLDWKAYPSKSLPPTFNPEHLVELNMHSSQLEYLWQGTQPLKNLKKMDLSQSKNLKQLPDLSNATNLEYLYLMGCESLIEIPSSISHLHKLEMLATVGCINLEVIPAHMNLESLQTVYLGGCSRLRNIPVMSTNIRYLFITNTAVEGVPLCPGLKTLDVSGSRNFKGLLTHLPTSLTTLNLCYTDIERIPDCFKSLHQLKGVNLRGCRRLASLPELPRSLLTLVADDCESLETVFCPLNTLKASFSFANCFKLDREARRAIIQQSFFMGKAVLPGREVPAVFDHRAKGYSLTIRPDGNPYTSFVFCVVVSRNQKSDKTIPPSLLWRRIIAQDEGYPVEVWNRIGDVFKYRTEHLLIFHFDFLEFDNRDIVFEFSSESHDFDIIECGAKVLAEKSIKESYESGSDQAFEDDVVFEPSKAFGDEKYGDCCIL
VIMSS10084942 1 134 0.0687320895522388 PF00321.17:Thionin:25:70 Plant thionin 134 46 10 134 0 Arabidopsis thaliana NP_176784.1 1 RefSeq MEGKTLIVSVLIMSLFMAQNQVDANICCPSIQARTFYNACLFAVGSPSSCIRNSSCLDISESTCPRGYTNDILENTGDAVTEYCKLGCVSSVCGALTILQNSDASEIVNGEVEKCTMACSTVCTKGSMNAVENA
VIMSS10085055 1 220 0.0495654545454545 PF14108.6:DUF4281:81:208 Protein ABA DEFICIENT 4, chloroplastic 220 128 10 134 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8LFP9 1 SwissProt MGFSSFISQPLSSSLSVMKRNVSAKRSELCLDSSKIRLDHRWSFIGGSRISVQSNSYTVVHKKFSGVRASWLTTTQIASSVFAVGTTAVLPFYTLMVVAPKAEITKKCMESSVPYIILGVLYVYLLYISWTPETLKYMFSSKYMLPELSGIAKMFSSEMTLASAWIHLLVVDLFAARQVYNDGLENQIETRHSVSLCLLFCPVGIVSHFVTKAIINNQYK
VIMSS10085251 1 784 0.148596173469388 PF03124.14:EXS:428:761,PF03105.19:SPX:2:337 Phosphate transporter PHO1 homolog 1; Protein PHO1 homolog 1; AtPHO1;H1 784 670 10 651 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93ZF5 1 SwissProt MVKFTKQFEGQLVPEWKDAFVDYSQLKKDLKKIHLFTNGVEKKHTETSLIKTVKSSLGRLSIFGNKGREQSRVIQVHKKLASSGSNNDVYETELLEKIADDTDAAKEFFACLDMQLNKVNQFYKTKEKEFLERGECLKKQMDILIELKDAFKQKQANGESTQESKEDDSISCTISCEYDSVRGRTEEMQLQVSCLDNLEDNGEEALESLGSEEPIKANNEDSKLTTVSSRVFSCQGKNVKIKIPLTNPSRTFSAISYLINQSSSKKNGPDGGNKLQISKKKLSHAEKMIKGALTELFKGLNYLKTYRNLNILAFMNILKKFDKVTGKQILPIYLKVVESSYFNISDKVMILSDEVEEWFIKHLAGENRRKAMKYLKPHHRKESHSVTFFIGLFTGCFVALLAGYIIVAHLTGMYRQHSANTFYMETAYPVLSMFGLLFLHLFLYGCNIFMWRKARINYSFIFELGSKNELKYRDVFLICTASMSAIAGVMFVHLSLLEKGYSFRQVQVIPGLLLLGFLLILICPLNIFYKSSRYRLISVIRNIVFSPLYKVVMLDFFMADQLCSQVPMLRNLEYIACYYITGSYATQDYEYCMRVKYYRDLAYAVSFLPYYWRAMQCARRWFDEGETSHLVNLGKYVSAMLAAGTKVAYEKERSLGWLCLVVAMSSVATIYQLYWDFVKDWGLLQHNSNNPWLRNQLMLRQKSIYYFSMVLNLVLRLAWLQTVLHSSFEHVDYRVTGLFLAALEVIRRGQWNFYRLENEHLNNAGKFRAVKTVPLPFREVDEED
VIMSS10085534 1 335 0.124246865671642 PF08392.12:FAE1_CUT1_RppA:40:328 3-ketoacyl-CoA synthase 7; KCS-7; Very long-chain fatty acid condensing enzyme 7; VLCFA condensing enzyme 7; EC 2.3.1.199 460 289 10 312 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9C992 1 SwissProt MESSFHFINEALLITQTFITFHQFLVASACVLIAVFGYYFFKPRCIIYLIDFSCYQPPDFLRAPVSNFIEHLTISGVFDQESLDLQQKILERSGISDDASVPATVHEIPPNASISAAREETHEILFAIVQDLFSKHEIDPKSIDILVSNCSLFCPSPSITSMIINKFGMRSDIKSFSLSGMGCSAGILSVNLVKDLMKIHGDSLALVLSMEAVSPNGYRGKCKSMLIANTIFRMGGAAILLSNRKQDSHKAKYKLQHIIRTHVGSDTESYESVMQQVDEEGKVGVALSKQLVRVASKALKINVVQLGPRVLPYSEQLKYIISFIQRKWGMHKEIY
VIMSS10085592 1 752 0.228838430851064 PF06046.13:Sec6:173:733 Exocyst complex component SEC6; AtSec6 752 561 10 752 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94AI6 1 SwissProt MMVEDLGVEAKEAAVREVAKLLPLPELLQSISSIKADYIARQQANDAQLSTMVAEQVEQAQAGLESLSSSEKTIYELRDNFISIDKLCQECQTLIDNHDQIKLLSNARNNLNKTLKDVEGMMSISVEAAAARDSLSDDKEIVNTYERLTALDGKRRFALAAAGEEVGRLREYFEDVDRTWETFEKTLWGHVSNYYKLSKESPQTLVRALRVVEMQEILDQQLAEEAAEAEGEGAMASVANPRRPGKKSTTTSASSKGLAQQKLKVQGKGYKDKCYEQIRKAVEDRFNRLLTLVFEDLKAALEEARMIGEELGDIYDYVAPCFPPRYEIFQLMVNLYTERFIQMLRLLSDRANDLTNIEILKVTGWVVEYQENLIALGVDDSLAQVCSESGSMDPLMNAYVERMQATTKKWYMNILEADKVQPPKKTEEGKLYTPAAVDLFRILGEQVQIVRDNSTDVMLYRIALAIIQVMIDFQAAEKKRVDEPASDIGLEPLCAMINNNLRCYDLAMELSNSTLEALPQNYAEQVNFEDTCKGFLEVAKEAVHQTVRVIFEDPGVQELLVKLYQKEWCEGQVTEYLVATFGDYFTDVKMYVEERSFRRFVEACLEETVVVYVDHLLTQKNYIKEETIERMRLDEEVLMDFFREYISASKVESRIRIMSDLRELASAESLDAFTLVYSNILEHQPDCPAEVVEKLVSLREGIPRKDTKEVVQECKEIYENTLVDGNPPKTGFVFPRVKCLTASKGSMWRKLT
VIMSS10085611 1 281 0.181130960854093 glycoprotein 3-alpha-L-fucosyltransferase (EC 2.4.1.214) 401 0 10 258 1 Arabidopsis thaliana BRENDA::Q9C8W3 1 BRENDA MPMRYLNAMAALLMMFFTLLILSFTGILEFPSASTSMEHSIDPEPKLSDSTSDPFSDVLVAYKKWDFEVGCARFRENHKDAILGNVSSGSLQEFGCGKLKMKHVKVLVKGWTWIPDNLENLYSCRCGMTCLWTKSSVLADSPDALLFETTTPPLQRRVGDPLRVYMELEAGRKRSGREDIFISYHAKDDVQTTYAGSLFHNNRNYHISPHKNNDVLVYWSSSRCLPHRDRLAKSLLDLIPHHSFGKCLNNVGGLDSALSMYPECVAEHNAEAKWYDHLHCA
VIMSS10085617 151 412 0.38191145038168 PF00096.26:zf-C2H2:66:89,PF00096.26:zf-C2H2:156:180 Transcription factor IIIA; AtTFIIIA 412 49 10 262 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84MZ4 1 SwissProt DNRDKDNTGLGDGDKDNTCKGDDDKEKSGSGGCEKENEGNGGSGKDNNGNGDSQPAECSTGQKQVVCKEIGCGKAFKYPSQLQKHQDSHVKLDSVEAFCSEPGCMKYFTNEECLKSHIRSCHQHINCEICGSKHLKKNIKRHLRTHDEDSSPGEIKCEVEGCSSTFSKASNLQKHMKAVHDDIRPFVCGFPGCGMRFAYKHVRNKHENSGYHVYTCGDFVETDEDFTSRPRGGLKRKQVTAEMLVRKRVMPPRFDAEEHETC
VIMSS10085618 1 81 0.024341975308642 PF02428.15:Prot_inhib_II:33:77 serine-type endopeptidase inhibitor 81 45 10 61 1 Arabidopsis thaliana NP_177351.1 1 RefSeq MVTYKIWVMSFIIAGAILGGIIPGVTTTKTAIACPLYCLQVEYMTCPSSGADKLPPRCNCCLAPKNCTLHLSDSTTIHCSK
VIMSS10085769 1 354 0.218923446327684 PF12146.8:Hydrolase_4:209:348,PF00561.20:Abhydrolase_1:213:326,PF12697.7:Abhydrolase_6:214:343 hydrolase, alpha/beta fold family protein (RefSeq) 463 140 10 334 1 Arabidopsis thaliana VIMSS10085769 1 MicrobesOnline MICSSKGTILIARGKNSNTIPRLPLKGSSTNYANRIQIRNPISVKTFRRSSSSSMAVETMSMGSDSSTLILTSGASGRVRVLFSMRELKRLVTIIQSLILFLLLPFRVVVWRRRTGAVVIRDDKQERKVWSPPQIVVRKRNIGGESSVSPPSVPAAVVDGEVAVRRELAIKRVLEDEGGDGSSVRDYSLFTTKRGDTLFSQSWSPLSPNHRGLIVLLHGLNEHSGRYSDFAKQLNANGFKVYGIDWIGHGGSDGLHAYVPSLDYAVTDLKSFLEKVFTENPGLPCFCFGHSTGGAIILKAMLDPKIESRVSGIALTSPAVGVQPSHPIFAVLAPIMAFLLPRYQISAANKKGMP
VIMSS10086057 1 194 0.325774742268041 PF00085.20:Thioredoxin:78:173 Thioredoxin-like protein CDSP32, chloroplastic; Chloroplastic drought-induced stress protein of 32 KDa; AtCDSP32 302 96 10 194 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SGS4 1 SwissProt MATVANFLAKPISTVVPRPSSAVASTSSFVFFNHKTNPLFRRKNLPKRLFSAVKIKAGAASPGKVGTPPANDEKVQKIHSGEEFDVALKNAKSKLVVAEFATSKSDQSNKIYPFMVELSRTCNDVVFLLVMGDESDKTRELCRREKIEKVPHFSFYKSMEKIHEEEGIEPDQLMGDVLYYGDNHSAVVQLHGRP
VIMSS10086112 1 216 0.34462962962963 PF14009.6:DUF4228:1:211 hypothetical protein (RefSeq) 216 211 10 216 0 Arabidopsis thaliana VIMSS10086112 1 MicrobesOnline MGLCVSVNRNEYVSSSTTAKIVTINGDLREYDVPVLASQVLESESTSSSSSSSSSSYFLCNSDSLYYDDFIPAIESDEILQANQIYFVLPISKRQYRLSASDMAALAVKASVAIEKAAGKKNRRRRSGRISPVVTLNQANDNRIAAVNNRIGGEATNMMMQKGKLPNRTTPFKDTNGYSRSGSVRKLKRYTSGRAKLAVRSFRLRLSTIYEGSSFN
VIMSS10086273 59 332 0.535760948905109 Agamous-like MADS-box protein AGL66 332 0 10 274 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q1PFC2 1 SwissProt GKTRIEDVFSRYINLSDQERENALVFPDQSRRPDFQSKEYLLRTLQQLKAENDIALQLTNPTAINSDVEELEHEVYKLQQQLLMAEEELRKYEPDPIRFTTMEEYETCEKQLMDTLTRVNQRREHILSQDQLSSYEASALQQQQSMGGPFGNDVVGGWLTENGPNEAHLFDASAHSAMYETLLQGSSSSSNQNNIMGESNVSNHNGDMFQEWAQAYNSTTAHNPSTLFPPMQHQHGLVVDPNIEEIEIPVMKKDAQADHEVSDYDIRMPQLSSQ
VIMSS10086283 1 297 0.229158249158249 hypothetical protein (RefSeq) 449 0 10 297 0 Arabidopsis thaliana VIMSS10086283 1 MicrobesOnline MDIFDNSDLEYLVDEFHADFDDDEPFGEVDVTSESDSDFMDSDFDFELSESKTNNETSALEARNGKDIQGIPWESLNYTRDRYRENRLLHYKNFESLFRSREELDKECLQVEKGKNFYDFQFNTRLVKSTIAHFQLRNLVWATSKHDVYFMNNYSLMHWSSLLQRGKEVLNVAKPIVPSMKQHGSLSQSVSRVQISTMAVKDDLIVAGGFQGELICKKINEPEVAFCTKLTSADNDITNSVDIYNAPSGSLRVMTANNDCTVRLFDATNFALLNRFAFHWSVNNISTSPDGKLVAVL
VIMSS10086343 1 856 0.295868107476635 PF00924.18:MS_channel:614:819 MSL6 (MECHANOSENSITIVE CHANNEL OF SMALL CONDUCTANCE-LIKE 6) (RefSeq) 856 206 10 722 6 Arabidopsis thaliana VIMSS10086343 1 MicrobesOnline MAVDAADRREVIVKIDGENGNNNGVSGETVGKIWRDGSYDFWTDGEGNLNKGHNAAAVDSDRSAATTGEQQKDEGFEFRRGEDPPTKLIGQFLHKQQASGEICLDMDLGMDELQSRGLTPVSESPRVSTKRDPVGRRDSRSNTNNNDDGEVVKCSGNNAPIQRSSSTLLKMRTRSRLSDPPTPQLPPQTADMKSGRIPKSGQMKSGFFGKSPKTQGEEEEDDPFAAEDLPEEYRKDKLSLWIVLEWLSLILIIAGFVCTLAIPSLRKKKLWELQLWKWESMVLVLICGRLVSSWIVKIVVFFIERNFLLRKRVLYFVYGVRKAVQNCLWLGLVLLAWHFLFDEKVAKAANTKALRVVTKIFVCLLVGFLLWLVKTLLVKVLASSFHMSTYFDRIQESLFTQYVIETLSGPPLIEIQKNEEEEERISVEVKKFQNPGGVEIQSGAQKSPMKTGKSPFLSHVLSNGGGGGGENKGITIDSLHKLNPKNVSAWKMKRLMNIIRNGSLTTLDEQLQDPSLDDDKGNQIRSEFEAKLAARKIFHNVAKPGSKFIYANDIMRFLPDDEALKTLSLFEGASETNRISKSSLKNWVVNAFRERRALALTLNDTKTAVNRLHKMVNIVVGIIILVIWLIILGITSTKFLVVMSSQVVVVAFIFGNMCKIVFESIIYLFVIHPFDVGDRCEIDGVQMVVEEMNILTTVFLRFDNQKVVYPNSLLWTKSIGNYYRSPDMGDGIEFSIHITTPAEKIILIKQRITSYIEGKKDHWYPAPMIVFKDMESLNSVRIAVWPTHRMNHQDMGEKWARRSQLVEEIAKICRELDIEYRLYPLDINVRNLPTSTALPVSDRLPPNWSAPASGSN
VIMSS10086451 259 711 0.121742163355408 PF03109.16:ABC1:3:64 Protein ACTIVITY OF BC1 COMPLEX KINASE 3, chloroplastic; ABC1-LIKE KINASE 3; Protein REPRESSOR OF BDR1; EC 2.7.-.-; EC 2.7.11.1 711 62 10 453 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9MA15 1 SwissProt FYLIRGVGKLINKYVDFITTDVLTLIDEFACRVYQELNYVQEAQNARRFKKLYADKADVLVPDIFWDYTSRKVLTMEWVEGTKLNEQLAIESQGLKVLDLVNTGIQCSLRQLLEYGFFHADPHPGNLLATPDGKLAFLDFGMMSETPEEARFAIIGHVVHLVNRDYEAMARDYYALKFLSPDVDVTPIIPALRDFFDDALNYTVSELNFKTLVDGLGAVFYQYPFNVPPYYALILRSLTVLEGLALYADPNFKVLAASYPYFAKRLLTDPNPYLRDALIELLFKDGKFRWNRLENLLQQGSKDRDFSAKDALQPVLKLLLDPNGEELRLLVIKEAVRVSEAIALGTVVDTYNSLPEFLRSLVFNGNGNGPLTMSTAELQSTLELRDQVSRIWGLLQSSESFDPAILQPILQVLQQPEARRLGGRVAGGVGQRLAARFLQQLLRATTPSSAPSP
VIMSS10086461 1 303 0.474449174917492 PF00847.20:AP2:53:110 ovule development protein, putative (RefSeq) 303 58 10 303 0 Arabidopsis thaliana VIMSS10086461 1 MicrobesOnline MAKVSGRSKKTIVDDEISDKTASASESASIALTSKRKRKSPPRNAPLQRSSPYRGVTRHRWTGRYEAHLWDKNSWNDTQTKKGRQVYLGAYDEEEAAARAYDLAALKYWGRDTLLNFPLPSYDEDVKEMEGQSKEEYIGSLRRKSSGFSRGVSKYRGVARHHHNGRWEARIGRVFATQEEAAIAYDIAAIEYRGLNAVTNFDVSRYLNPNAAADKADSDSKPIRSPSREPESSDDNKSPKSEEVIEPSTSPEVIPTRRSFPDDIQTYFGCQDSGKLATEEDVIFDCFNSYINPGFYNEFDYGP
VIMSS10086472 1 333 0.106701201201201 PF04757.14:Pex2_Pex12:49:254,PF00097.25:zf-C3HC4:277:317 Peroxisome biogenesis protein 2; E3 ubiquitin-protein ligase PEX2; Peroxin-2; AtPEX2; AthPEX2; Pex2p 333 247 10 333 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9CA86 1 SwissProt MTPSTPADDAWIRSYQRLLPESQSLLASRRSVIPVAISRVNQFDAARLDVEMSAMLKEQLVKVFTLMKPGMLFQYEPELDAFLEFLIWRFSIWVDKPTPGNALMNLRYRDERGVVAQHLGKVRTGLEGPGLTSPQKIWYCVASVGGQYLFSRLQSFSAFRRWGDSEQRPLARRLWTLVQRIEGIYKAASFLNLLSFLYTGRYRNLIEKALKARLVYRSPHMNRSVSFEYMNRQLVWNEFSEMLLLLLPLLNSSAVKNILSPFAKDKSSSTKEDTVTCPICQVDPAIPFIALPCQHRYCYYCIRTRCASAASFRCLRCNEPVVAIQREGVSSGK
VIMSS10086629 1 327 0.10085504587156 PF01569.21:PAP2:126:270 Lipid phosphate phosphatase 1; AtLPP1; Phosphatidic acid phosphatase 1; AtPAP1; Prenyl diphosphate phosphatase; EC 3.1.3.- 327 145 10 198 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZU49 1 SwissProt MTIGSFFSSLLFWRNSQDQEAQRGRMQEIDLSVHTIKSHGGRVASKHKHDWIILVILIAIEIGLNLISPFYRYVGKDMMTDLKYPFKDNTVPIWSVPVYAVLLPIIVFVCFYLKRTCVYDLHHSILGLLFAVLITGVITDSIKVATGRPRPNFYWRCFPDGKELYDALGGVVCHGKAAEVKEGHKSFPSGHTSWSFAGLTFLSLYLSGKIKAFNNEGHVAKLCLVIFPLLAACLVGISRVDDYWHHWQDVFAGALIGTLVAAFCYRQFYPNPYHEEGWGPYAYFKAAQERGVPVTSSQNGDALRAMSLQMDSTSLENMESGTSTAPR
VIMSS10086731 215 545 0.619600302114803 Protein indeterminate-domain 5, chloroplastic; ID1-like zinc finger protein 2 602 0 10 331 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUL3 1 SwissProt HPTSLTSLPSHHFPYGQNTNNSNNNASSMILGLSHMGAPQNLDHQPGDVLRLGSGGGGGGAASRSSSDLIAANASGYFMQEQNPSFHDQQDHHHHHQQGFLAGNNNIKQSPMSFQQNLMQFSHDNHNSAPSNVFNLSFLSGNNGVTSATSNPNAAAAAAVSSGNLMISNHYDGENAVGGGGEGSTGLFPNNLMSSADRISSGSVPSLFSSSMQSPNSAPHMSATALLQKAAQMGSTSSNNNNGSNTNNNNNASSILRSFGSGIYGENESNLQDLMNSFSNPGATGNVNGVDSPFGSYGGVNKGLSADKQSMTRDFLGVGQIVKSMSGSGGF
VIMSS10086810 1 332 0.0396451807228916 PF08449.11:UAA:15:317 UDP-galactose/UDP-glucose transporter 1; At-UDP-Glc/GalT; AtUTr1 332 303 10 223 5 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O64503 1 SwissProt MEVHGSGFRRILLLALCISGIWSAYIYQGVLQETLSTKRFGPDEKRFEHLAFLNLAQSVVCLIWSYIMIKLWSNAGNGGAPWWTYWSAGITNTIGPAMGIEALKYISYPAQVLAKSSKMIPVMLMGTLVYGIRYTFPEYMCTFLVAGGVSIFALLKTSSKTISKLAHPNAPLGYALCSLNLAFDGFTNATQDSIASRYPKTEAWDIMLGMNLWGTIYNMIYMFGLPQGIGFKAIQFCKLHPEAAWDILKYCICGAVGQNFIFMTISNFGSLANTTITTTRKFVSIVVSSVMSGNPLSLKQWGCVSMVFGGLAYQIYLKWKKLQRVEKKKQKS
VIMSS10086849 1 461 0.236482646420824 PF14543.6:TAXi_N:107:272,PF14541.6:TAXi_C:304:454,PF00026.23:Asp:107:161,PF00026.23:Asp:186:454 aspartyl protease family protein (RefSeq) 461 348 10 441 1 Arabidopsis thaliana VIMSS10086849 1 MicrobesOnline MASSSSSSLLFPFFLILFSCLISVSSSRRSLIDRTLPKNLPRSGFRLSLRHVDSGKNLTKIQKIQRGINRGFHRLNRLGAVAVLAVASKPDDTNNIKAPTHGGSGEFLMELSIGNPAVKYSAIVDTGSDLIWTQCKPCTECFDQPTPIFDPEKSSSYSKVGCSSGLCNALPRSNCNEDKDACEYLYTYGDYSSTRGLLATETFTFEDENSISGIGFGCGVENEGDGFSQGSGLVGLGRGPLSLISQLKETKFSYCLTSIEDSEASSSLFIGSLASGIVNKTGASLDGEVTKTMSLLRNPDQPSFYYLELQGITVGAKRLSVEKSTFELAEDGTGGMIIDSGTTITYLEETAFKVLKEEFTSRMSLPVDDSGSTGLDLCFKLPDAAKNIAVPKMIFHFKGADLELPGENYMVADSSTGVLCLAMGSSNGMSIFGNVQQQNFNVLHDLEKETVSFVPTECGKL
VIMSS10088064 1 256 0.0485125 PF03151.16:TPT:35:243 GDP-mannose transporter GONST1; Protein GOLGI NUCLEOTIDE SUGAR TRANSPORTER 1 333 209 10 126 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q941R4 0 SwissProt MKLYEHDGVDLEDGKTVKSGGDKPIPRKIHNRALLSGLAYCISSCSMILVNKFVLSSYNFNAGIFLMLYQNFVSVIIVVGLSLMGLITTEPLTLRLMKVWFPVNVIFVGMLITSMFSLKYINVAMVTVLKNVTNVITAVGEMYLFNKQHDNRVWAALFLMIISAVSGGITDLSFNAVGYAWQIANCFLTASYSLTLRKTMDTAKQVTQSGNLNEFSMVLLNNTLSLPLGLLLSYFFNEMDYLYQTPLLRLPSFWMV
VIMSS10088252 1 376 0.162175531914894 PF08392.12:FAE1_CUT1_RppA:61:357 3-ketoacyl-CoA synthase 8; KCS-8; Very long-chain fatty acid condensing enzyme 8; VLCFA condensing enzyme 8; EC 2.3.1.199 481 297 10 333 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q4V3C9 1 SwissProt MKNLKMVFFKILFISLMAGLAMKGSKINVEDLQKFSLHHTQNNLQTISLLLFLVVFVWILYMLTRPKPVYLVDFSCYLPPSHLKVSIQTLMGHARRAREAGMCWKNKESDHLVDFQEKILERSGLGQETYIPEGLQCFPLQQGMGASRKETEEVIFGALDNLFRNTGVKPDDIGILVVNSSTFNPTPSLASMIVNKYKLRDNIKSLNLGGMGCSAGVIAVDVAKGLLQVHRNTYAIVVSTENITQNLYLGKNKSMLVTNCLFRVGGAAVLLSNRSRDRNRAKYELVHTVRIHTGSDDRSFECATQEEDEDGIIGVTLTKNLPMVAARTLKINIATLGPLVLPLKEKLAFFITFVKKKYFKPELRNYTPDFKLAFEH
VIMSS10088276 1 296 0.171152702702703 PF12263.8:DUF3611:108:282 Protein TIC 21, chloroplastic; Protein CHLOROPLAST IMPORT APPARATUS 5; AtCIA5; Protein PERMEASE IN CHLOROPLASTS 1; AtPIC1; Translocon at the inner envelope membrane of chloroplasts 21; AtTIC21 296 175 10 227 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SHU7 1 SwissProt MQSLLLPPASSSGVSAVALRPGFQHSFNHQSLSTRSLPLFNPLLLAPKKKTTISSYQSPPSLSVYGFQIGGSKPSFTPSTVAFSYPTSPSSVPGDNEVDKAKLAQVAKRLEKTSRYFKRLGSIGFWGQLVSTVVAAVILSFSIVVTGKPTSPATFYATASGIAAAFVSVFWSFGYIRLSERLRRTSIDPAKAPPRADVVKGLRSGIMVNILGMGSALLGMQATVGFLVAKALTTSANPFYQGVSQGYSPVLALDVFLVQASANTLLSHFLGLVCSLELLRSVTVPNSESVVVPKVA
VIMSS10088884 1 180 0.148048888888889 PF01145.25:Band_7:36:178 Prohibitin-6, mitochondrial; Atphb6 286 143 10 157 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SIL6 1 SwissProt MNFKNVKVPKGPGGGVIAAVVIGGLSLYGATHTLYNVDGGHRAIVFNRLVGIKDKVYPEGTHLMIPWFERPIIYDVRAKPYLVESTSGSRDLQMVKIGLRVLTRPMADQLPEVYRSLGENYRERVLPSIIHETLKAVVAQYNASQLITQRESVSREIRKILTLRAANFHIALDDVSITGL
VIMSS10088971 1 321 0.148490342679128 PF01190.17:Pollen_Ole_e_I:51:119 Proline-rich protein 2; AtPRP2 321 69 10 321 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SKP9 1 SwissProt MRILPKSGGGALCLLFVFALCSVAHSLSCDVKVVGDVEVIGYSEISKIKIPNAFSGLRVTIECKAADSKGHFVTRGSGEVDETGKFHLNIPHDIVGDDGTLKEACYAHLQSAFGNPCPAHDGLEASKIVFLSKSGQNHVLGLKKSLKFSPEVCISKFFWHMPKFPLPPPLNLPPLTFPKIKKPCPPIYKPPVVIPKKPCPPKIAHKPIYKPPVPIYKPPVPIYKPPVVIPKKPCPPKIHKPIYKPPVPIYKPPVVIPKKTFPPLHKPIYKHPVPIYKPIFKPPVVVIPKKPCPPLPKFPHFPPKYIPHPKFGKWPPFPSHP
VIMSS10088983 1 98 0.171401020408163 PF02519.14:Auxin_inducible:7:92 auxin-responsive protein, putative (RefSeq) 98 86 10 98 0 Arabidopsis thaliana VIMSS10088983 1 MicrobesOnline MAIRISRVLQSSKQLLKSLSHSSNNVAIPKGHLAVYVGEMMQKRRFVVPVTYLSHPCFQKLLRKAEEEFGFDHPMGGLTIPCTEQIFIDLASRLSTSS
VIMSS10088985 1 519 0.652261078998073 PF00170.21:bZIP_1:372:429,PF07716.15:bZIP_2:370:421 bZIP family transcription factor (RefSeq) 519 60 10 519 0 Arabidopsis thaliana VIMSS10088985 1 MicrobesOnline MGGGGDTTDTNMMQRVNSSSGTSSSSIPKHNLHLNPALIRSHHHFRHPFTGAPPPPIPPISPYSQIPATLQPRHSRSMSQPSSFFSFDSLPPLNPSAPSVSVSVEEKTGAGFSPSLPPSPFTMCHSSSSRNAGDGENLPPRKSHRRSNSDVTFGFSSMMSQNQKSPPLSSLERSISGEDTSDWSNLVKKEPREGFYKGRKPEVEAAMDDVFTAYMNLDNIDVLNSFGGEDGKNGNENVEEMESSRGSGTKKTNGGSSSDSEGDSSASGNVKVALSSSSSGVKRRAGGDIAPTGRHYRSVSMDSCFMGKLNFGDESSLKLPPSSSAKVSPTNSGEGNSSAYSVEFGNSEFTAAEMKKIAADEKLAEIVMADPKRVKRILANRVSAARSKERKTRYMAELEHKVQTLQTEATTLSAQLTHLQRDSMGLTNQNSELKFRLQAMEQQAQLRDALSEKLNEEVQRLKLVIGEPNRRQSGSSSSESKMSLNPEMFQQLSISQLQHQQMQHSNQCSTMKAKHTSND
VIMSS10089096 129 261 0.377439097744361 AP2 domain-containing transcription factor (RefSeq) 261 0 10 133 0 Arabidopsis thaliana VIMSS10089096 1 MicrobesOnline LIHEDMNPLPSSVDTKLQAICKSLRKTEEICSVSDQTKEYSVYSVSDKTELFLPKAELFLPKREHLETNELSNESPRSDETSLLDESQAEYSSSDKTFLDFSDTEFEEIGSFGLRKFPSVEIDWDAISKLANS
VIMSS10089208 1 83 0.887931325301205 PF10714.9:LEA_6:19:78 Late embryogenesis abundant protein, group 6 83 60 10 83 0 Arabidopsis thaliana NP_565548.1 1 RefSeq MEAGKTPPTTTTTTEKKTEQVKDNDLPTDSPYMATGTLEDYKLKAYGAEGHQEPTPGLGGGSTDAPTPSGDAPAATTTDAKAP
VIMSS10089285 1 273 0.0707245421245421 PF00335.20:Tetraspanin:7:255 TET8 (TETRASPANIN8) (RefSeq) 273 249 10 184 4 Arabidopsis thaliana VIMSS10089285 1 MicrobesOnline MARCSNNLVGILNFLVFLLSIPILAGGIWLSQKGSTECERFLDKPVIALGVFLMVVAIAGLIGSCCRVTWLLWVYLFVMFLLILLVFCITVFAFVVTNKGAGEAIEGKGYKEYKLGDYSTWLQKRVENGKNWNKIRSCLVESKVCSKLEAKFVNVPVNSFYKEHLTALQSGCCKPSDECGFEYVNPTTWTKNTTGTHTNPDCQTWDNAKEKLCFDCQSCKAGLLDNVKSAWKKVAIVNIVFLVFLIIVYSVGCCAFRNNKRDDSYSRTYGYKP
VIMSS10089863 1 156 0.476878205128205 PF13912.6:zf-C2H2_6:33:58,PF13912.6:zf-C2H2_6:78:101 zinc finger (C2H2 type) family protein (RefSeq) 156 50 10 156 0 Arabidopsis thaliana VIMSS10089863 1 MicrobesOnline MERGRSDMEMINNMANCLILLSKAHQNDTKSRVFACKTCNKEFPSFQALGGHRASHRRSAALEGHAPPSPKRVKPVKHECPICGAEFAVGQALGGHMRKHRGGSGGGGGRSLAPATAPVTMKKSGGGNGKRVLCLDLNLTPLENEDLKLELGRFIF
VIMSS10090011 1 712 0.0585210674157303 PF02705.16:K_trans:30:603 Potassium transporter 1; AtKT1; AtKUP1; AtPOT1 712 574 10 420 13 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22397 1 SwissProt MNQSPSLIEQGISQQHLKTLSCANVLTLAYQSLGVIYGDLSTSPLYVYKTTFSGKLSLHEDDEEIFGVFSFIFWTFTLIALFKYVFIVLSADDNGEGGTFALYSLLCRYAKLSILPNHQEMDEKLSTYATGSPGETRQSAAVKSFFEKHPKSQKCLLLFVLLGTCMAIGDSVLTPTISVLSAVSGVKLKIPNLHENYVVIIACIILVAIFSVQRYGTHRVAFIFAPISTAWLLSISSIGVYNTIKWNPRIVSALSPVYMYKFLRSTGVEGWVSLGGVVLSITGVETMFADLGHFSSLSIKVAFSFFVYPCLILAYMGEAAFLSKHHEDIQQSFYKAIPEPVFWPVFIVATFAAVVGSQAVISATFSIISQCCALDCFPRVKIIHTSSKIHGQIYIPEVNWMLMCLCLAVTIGLRDTNMMGHAYGLAVTSVMLVTTCLMTLVMTIVWKQRIITVLAFVVFFGSIELLYFSSCVYKVPEGGWIPILLSLTFMAVMYIWNYGTTKKHEFDVENKVSMDRIVSLGPSIGMVRVPGIGLVYSNLVTGVPAVFGHFVTNLPAFHKILVFVCVKSVQVPYVGEEERFVISRVGPKEYGMFRSVVRYGYRDVPREMYDFESRLVSAIVEFVETEPGLEEEEMSSVRRKKEECMEIMEAKEAGVAYILGHSYAKAKQSSSVLKKLAVNVVFAFMSTNCRGTDVVLNVPHTSLLEVGMVYYV
VIMSS10090153 1 571 0.497381260945709 PF16679.5:CDT1_C:443:536,PF08839.11:CDT1:111:230 CDT1-like protein a, chloroplastic; AtCDT1a 571 214 10 571 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SJW9 1 SwissProt MSTPGSSRSIPFKSKKRLVMDSPSSKSQTGNPNPSSVALPTPEKPLENMLSRSRNRSVALSVKEIRQAAGSRRRSEDPVASSAKSRLFFDSSSSSPSKRKSSNKNAEKEKLPEKYENLGKFFEALDNSMLLSKLRGSKPTFSNISKQIEHLTERRFCYSHLAQIKHILPEAIEIKRVLIHDETTCCMKPDLHVTLNADAVEYNDKSKSESKKIALRKVFRARLADFVKAHPQGDEVPEEPLPEPFNRRKPVENSNVEVKRVSSLMEEMASIPASKLFSSPITSTPVKTTSSLAKPTSSQINIAPTPTKPTSTPAKQTLSEINILPTPVKPVSTLAKFPSTPAIIDSTPVITATPPEFASTPARLMSTSLAARPLKRSNGHTNPDDISADPPTKLVRRSLSLNFDSYPEDERTMDFTDDIPIDQVPEEDVSSDDEILSILPDKLRHAIKEQERKAIEDQNPAISLAKRRRKMIACLPKLFNVIHYLIQSIRRWVITKEELVHKIIAGHSDITDRKEVEEQLILLQEIVPEWMSEKKSSSGDVLVCINKLASPLTIRSRLEEENKQEMAPLLS
VIMSS10090166 1 306 0.613974836601307 PF00170.21:bZIP_1:203:255,PF07716.15:bZIP_2:201:252 Basic-leucine zipper (bZIP) transcription factor family protein 398 55 10 306 0 Arabidopsis thaliana NP_001318327.1 1 RefSeq MDKEKSPAPPCGGLPPPSPSGRCSAFSEAGPIGHGSDANRMSHDISRMLDNPPKKIGHRRAHSEILTLPDDLSFDSDLGVVGNAADGASFSDETEEDLLSMYLDMDKFNSSATSSAQVGEPSGTAWKNETMMQTGTGSTSNPQNTVNSLGERPRIRHQHSQSMDGSMNINEMLMSGNEDDSAIDAKKSMSATKLAELALIDPKRAKRIWANRQSAARSKERKTRYIFELERKVQTLQTEATTLSAQLTLLQRDTNGLTVENNELKLRLQTMEQQVHLQDELNEALKEEIQHLKVLTGQVAPSALNY
VIMSS10090676 73 540 0.693152777777777 Polycomb group protein FERTILIZATION-INDEPENDENT SEED 2 755 0 10 468 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P0DKJ8 1 SwissProt PVAVYKKLETRSKNNPYFLRRSLKYIIQAKKKKKSNSGGKIRFNYRDVSNKMTLKAEVVENFSCPFCLIPCGGHEGLQLHLKSSHDAFKFEFYRAEKDHGPEVDVSVKSDTIKFGVLKDDVGNPQLSPLTFCSKNRNQRRQRDDSNNVKKLNVLLMELDLDDLPRGTENDSTHVNDDNVSSPPRAHSSEKISDILTTTQLAIAESSEPKVPHVNDGNVSSPPRAHSSAEKNESTHVNDDDDVSSPPRAHSLEKNESTHVNEDNISSPPKAHSSKKNESTHMNDEDVSFPPRTRSSKETSDILTTTQPAIVEPSEPKVRRVSRRKQLYAKRYKARETQPAIAESSEPKVLHVNDENVSSPPEAHSLEKASDILTTTQPAIAESSEPKVPHVNDENVSSTPRAHSSKKNKSTRKNVDNVPSPPKTRSSKKTSDILTTTQPTIAESSEPKVRHVNDDNVSSTPRAHSSKKN
VIMSS10090912 109 262 0.208622727272727 PF01357.21:Pollen_allerg_1:61:138,PF03330.18:DPBB_1:1:50 EXP3 (RefSeq) 262 128 10 154 0 Arabidopsis thaliana VIMSS10090912 1 MicrobesOnline VTATNFCPPNFAQPSDDGGWCNPPREHFDLAMPMFLKIGLYRAGIVPVSYRRVPCRKIGGIRFTVNGFRYFNLVLVTNVAGAGDINGVSVKGSKTDWVRMSRNWGQNWQSNAVLIGQSLSFRVTASDRRSSTSWNVAPATWQFGQTFSGKNFRV
VIMSS10091089 1 296 0.172892905405405 PF01066.21:CDP-OH_P_transf:107:173 CDP-diacylglycerol--glycerol-3-phosphate 3-phosphatidyltransferase 1, chloroplastic; Phosphatidylglycerophosphate synthase 1; PGP synthase 1; EC 2.7.8.5 296 67 10 273 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O80952 1 SwissProt MLRSGLASLIVDVNLRRTLRPSPTFSFPAHLSRCIITSRYSSRTSLRFPIQISRHQHRLSYFSSSSSSEQSRPTSSSRNSFSGHGQLDSDDNSSPPPSQSSSKVLTLPTVLTLGRVAAVPLLVATFYVDSWWGTTATTSIFIAAAITDWLDGYLARKMRLGSAFGAFLDPVADKLMVAATLILLCTKPIQVAELGPLPWLLTVPSIAIIGREITMSAVREWAASQNGKLLEAVAVNNLGKWKTATQMTALTILLASRDSNVGWLVASGAGLLYVSAGLSVWSLAVYMRKIWKVLMK
VIMSS10091248 1 367 0.661642234332425 PF00170.21:bZIP_1:150:208,PF07716.15:bZIP_2:148:196 bZIP transcription factor 18; AtbZIP18; bZIP protein 18 367 61 10 367 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22873 1 SwissProt MEDPSNPQPNQSNLSQCPPLATAPTPAPVRGPYHRRAHSEVQFRLPEDLDLSEPFGGFDELGSEDDLFCSYMDIEKLGSGSGSASDSAGPSAPRSDNPFSAENGGAEAGNSRPRHRHSLSVDGSSTLESIEAKKAMAPDKLAELWVVDPKRAKRIIANRQSAARSKERKARYILELERKVQTLQTEATTLSAQLSLFQRDTTGLSSENTELKLRLQVMEQQAKLRDALNEQLKKEVERLKFATGEVSPADAYNLGMAHMQYQQQPQQSFFQHHHQQQTDAQNLQQMTHQFHLFQPNNNQNQSSRTNPPTAHQLMHHATSNAPAQSHSYSEAMHEDHLGRLQGLDISSCGRGSNFGRSDTVSESSSTM
VIMSS10091316 1 536 0.171449440298508 PF01490.18:Aa_trans:148:527 amino acid transporter family protein (RefSeq) 536 380 10 293 11 Arabidopsis thaliana VIMSS10091316 1 MicrobesOnline MEDKNNDKEKKTDVTFEDDEDNEDLEDNSSKYENDSETDQSDLGDLPGDAVDRDDDIDEPFISQVQWPQSFRETTDSYTIAASPIFGSLRSNPPSFYRASRSNLDVESKAPLLPERHDDSDKASATQSAWSHKGSFAEELPIGGYGCSVIQTIFNAINVMAGVGLLSTPYTVKEAGWASMVILLLFAVICCYTATLMKDCFENKTGIITYPDIGEAAFGKYGRILICMLLYTELYSYCVEFIILEGDNLTGLFPGTSLDLLGFRLDSKHLFGILTALIVLPTVWLKDLRIISYLSAGGVIATALIAVSVFFLGTTGGIGFHHTGQAVKWNGIPFAIGIYGFCYSGHSVFPNIYQSMADKTKFNKAVITCFIICVLLYGGVAIMGYLMFGEATLSQITLNMPQDQFFSKVAQWTTVVSPFTKYALLMNPLARSIEELLPERMSENIWCFLLLRTALVASSVCSAFLIPFFGLMMALIGSLLSILVAIIMPALCFIKIMGNKATRTQMILSSIIVAIGVVSGTLGTYSSVAKIIRNYQ
VIMSS10091434 1 173 0.190670520231214 PF02466.19:Tim17:32:141 mitochondrial import inner membrane translocase subunit Tim17/Tim22/Tim23 family protein (RefSeq) 173 110 10 137 2 Arabidopsis thaliana VIMSS10091434 1 MicrobesOnline MFNLCALGRTVEEIMDPAEMRYLEEEDGPLMKTIKGSITGFGAGTIYGTILATWKDVPRVERNVALPGLIRTLKMMGTHGLTFAAIGGVYIGVEQLVQNFRSKRDFYNGAIGGFVAGASVLGYRARSIPTAIAAGATLAVTSALIDSGGQTTRVDNGREYYPYTVEKRAEADS
VIMSS10091778 1 162 0.219364197530864 PF02519.14:Auxin_inducible:53:142 Auxin-responsive protein SAUR36; Protein RESPONSE TO AUXINS AND GIBBERELLINS 1; Protein SENESCENCE-ASSOCIATED GENE 201; Protein SMALL AUXIN UP RNA 36 162 90 10 162 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O22150 1 SwissProt MRKIIGFRIGRRVSRWIFRKTRIQRSGYNRIHSTQQACMLMRPLAKLKSWGQRLKQSFRRRSTRRSAYIPVDHKKADPVPRGHLAIYVGQKDGDCHRVLVPIVYFNHPLFGELLREAEKEYGFCHEGGITIPCLYSDFERVKTRIASGSSSRVFPWGRHCRN
VIMSS10091900 1 73 0.0441917808219178 PF06376.12:AGP:30:62 Arabinogalactan protein 16; AtAGP16; Arabinogalactan peptide 16; AG-peptide 16 73 33 10 32 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O82337 0 SwissProt MASRNSVTGFALFSFVFAVILSLAGAQSLAPAPAPTSDGTSIDQGIAYLLMVVALVLTYLIHPLDASSSYSFF
VIMSS10091947 1 359 0.155293314763231 PF08392.12:FAE1_CUT1_RppA:53:341,PF00195.19:Chal_sti_synt_N:175:254 3-ketoacyl-CoA synthase 13; KCS-13; Protein HIGH CARBON DIOXIDE; Very long-chain fatty acid condensing enzyme 13; VLCFA condensing enzyme 13; EC 2.3.1.199 466 289 10 319 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9ZUZ0 1 SwissProt MFIAMADFKILLLILILISLFELDLLHFHHDFFSPFPVKIGLLLISIFFYAYSTTRSKPVYLVDFSCHQPTDSCKISSETFFNMAKGAQLYTDETIQFMTRILNRSGLGDDTYSPRCMLTSPPTPSMYEARHESELVIFGALNSLFKKTGIEPREVGIFIVNCSLFNPNPSLSSMIVNRYKLKTDVKTYNLSGMGCSAGAISVDLATNLLKANPNTYAVIVSTENMTLSMYRGNDRSMLVPNCLFRVGGAAVMLSNRSQDRVRSKYELTHIVRTHKGSSDKHYTCAEQKEDSKGIVGVALSKELTVVAGDSLKTNLTALGPLVLPLSEKLRFILFLVKSKLFRLKVSPYVPDFKLCFKH
VIMSS10092190 1 822 0.222848540145985 PF14577.6:SEO_C:580:820,PF14576.6:SEO_N:137:422 Protein SIEVE ELEMENT OCCLUSION A; AtSEOa; Protein SIEVE ELEMENT OCCLUSION-RELATED 2; AtSEOR2 822 527 10 822 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93XX2 1 SwissProt MAQRFQLNSQTLPTADPLKRVSLIPRSAEQRLADNAGERRPLAPRSHEDNPFGGHTDDHHVAAPADHNKVMDHNSENLGSIVPKTAHYPHPSEEILDANIRHSMVPKSLGPNSLGGRFGPGKKQAFHRNGRPMFSLSDDRVMADRVLKTHSPDMIFFDVTSLLSVVNDIFKSHVPSIDSSAPKPSLVFKDYADHTSFETFADLIDQISCEIDCKCLHGGESHGMMTSGLHLDSRNTTTFSVLSLVSKYRWDAKLVLVLSALAVKYGVFLLLAETHATNQLTKSLALIKQLPSIFSRQNALHQRLDKTRILMQDMVDLTTTIIDIYQLPPNHITAAFTDHIPTAVYWIVRCVLICVSHISGASGFKQDQIMSFMEVSEIHENSERLRKINAYLLEQFKKSKMTIEEGIIEEEYQELIQTFTTIIHVDVVPPLLRLLRPIDFLYHGAGVSKRRVGINVLTQKHVLLLISDLENIEKELYILESLYTEAWQQSFEILWVPVQDFWTEADDAKFEALHMNMRWYVLGEPRKLRRAAIRFVREWWGFKNRPILVALDPKGQVMSTNAFPMVWIWQPFAHPFTTARERDLWSEQEWNLEFLIDGTDPHSLNQLVDGKYICLYGGEDMQWIKNFTSLWRNVAKAANIQLEMVYVGKRNPKNGIQPIINTIREENLSHTLPDLFQIWFFWTRVESMWESKQRMLKAHGIKGREGFKEEEKDLVLQEVVAMLGYGGEGDGWGLVSKASDMMVRAKGNLFSRGLAEFNEWEVNIPTKGFLTALNDHLLMRLPPHHCTRFMLPETAGIIPNEVECTECRRTMEKYYLYQCCLE
VIMSS10092191 1 740 0.202021216216217 PF14576.6:SEO_N:38:320,PF14577.6:SEO_C:491:728 Protein SIEVE ELEMENT OCCLUSION B; AtSEOb; Protein SIEVE ELEMENT OCCLUSION-RELATED 1; AtSEOR1 740 521 10 740 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SS87 1 SwissProt MESLIKSQHAQQLAGHKNTTGKTPSMEMIPATGLAMSSDESMMLKLIQQTHSPDAREVQVRGLLSLVEDILDRATLDSEDTNASMLPLPTEDKLMQSSMMSVLDSVSYAIDRVACEIAYKSLTGSDSHEITMSVFEHLSSFQWDGKLVLTLAAFALNYGEFWLLVQFYSKNQLAKSLAMLKLVPVQNRVTLESVSQGLNDLIREMKSVTACVVELSELPDRYITPDVPQLSRILSTIPIAVYWTIRSVIACISQINMITAMGHEMMNTQMDLWETSMLANKLKNIHDHLAETLRLCYRHIEKQRSSESLKVLHSLFDTTHIDNMKILTALVHPKPHITPLQDGLTKRKVHLDVLRRKTVLLLISDLNILQDELSIFEQIYTESRRNLVGVDGKSHMPYEVVWVPVVDPIEDFERSPILQKKFEDLRDPMPWYSVDSPKLIERHVVEFMRGRWHFMNKPILVVIDPQGNEASLNALHMIWIWGTEAFPFTRSREEELWRRETFSLNLIVDGIDSVIFNWIKPDNYIFLYGGDDLDWIRRFTMAAKATAKDSNVNLEMAYVGKRNHSHREQIRRISEVIRSENLSHSWAEPALMWFFWTRLESMLYSKIQLGKADDHDDVMQGIKKILSYDKLGGWALLSKGPEIVMIAHGAIERTMSVYDRTWKTHVPTKGYTKAMSDHHHDEVLRETGKPCGHFDFHITARSGRIPEKMNCFECQRPMEKYMSFSCCHDEKLHEDENYNF
VIMSS10092338 111 468 0.546473184357542 Heat stress transcription factor A-1e; AtHsfA1e; AtHsf-06; Heat shock factor protein 2; HSF 2; Heat shock transcription factor 2; HSTF 2 468 0 10 358 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SCW5 1 SwissProt VRRKPAQVQPPQQPQVQHSSVGACVEVGKFGLEEEVERLQRDKNVLMQELVRLRQQQQVTEHHLQNVGQKVHVMEQRQQQMMSFLAKAVQSPGFLNQFSQQSNEANQHISESNKKRRLPVEDQMNSGSHGVNGLSRQIVRYQSSMNDATNTMLQQIQQMSNAPSHESLSSNNGSFLLGDVPNSNISDNGSSSNGSPEVTLADVSSIPAGFYPAMKYHEPCETNQVMETNLPFSQGDLLPPTQGAAASGSSSSDLVGCETDNGECLDPIMAVLDGALELEADTLNELLPEVQDSFWEQFIGESPVIGETDELISGSVENELILEQLELQSTLSNVWSKNQQMNHLTEQMGLLTSDALRK
VIMSS10092478 238 531 0.282206122448979 PF00931.22:NB-ARC:7:239 Disease resistance protein (TIR-NBS class) 531 233 10 294 0 Arabidopsis thaliana NP_001319465.1 1 RefSeq SDLVGMEDHMKKLERMLYLDLNDVRMIGIWGPPGIGKTSIARVLFRKHSDSFDLSVFMETVKGYTRPGCSDEHGLKLHLQQQFLSQIFNQKDVEVPHLGVVQDRLRDKRVLVVLDDVDQSAQLEAMAKENKWFGPGSRIIITTQDRRLLKAHGIKDVYKVDLPPPDDAFQIFCMYAFGKTSPKHGFEELAWEATYLSGIHPSGIKSMGSYFRKMSKPEWVNALQRLRTSKLDSESPRTHRKLINRLRNVKQKMLSNTLSRIRKHQIASAAAKAASVYETSIKEEVDSSAESLNH
VIMSS10092845 1 1941 0.12895878413189 PF02364.15:Glucan_synthase:1074:1157,PF02364.15:Glucan_synthase:1168:1758,PF14288.6:FKS1_dom1:334:447 ATGSL10 (glucan synthase-like 10); 1,3-beta-glucan synthase (RefSeq) 1941 789 10 1582 16 Arabidopsis thaliana VIMSS10092845 1 MicrobesOnline MSRAESSWERLVNAALRRDRTGGVAGGNQSSIVGYVPSSLSNNRDIDAILRAADEIQDEDPNIARILCEHGYSLAQNLDPNSEGRGVLQFKTGLMSVIKQKLAKREVGTIDRSQDILRLQEFYRLYREKNNVDTLKEEEKQLRESGAFTDELERKTVKRKRVFATLKVLGSVLEQLAKEIPEELKHVIDSDAAMSEDTIAYNIIPLDAPVTTNATTTFPEVQAAVAALKYFPGLPKLPPDFPIPATRTADMLDFLHYIFGFQKDSVSNQREHIVLLLANEQSRLNIPEETEPKLDDAAVRKVFLKSLENYIKWCDYLCIQPAWSNLEAINGDKKLLFLSLYFLIWGEAANIRFLPECLCYIFHHMVREMDEILRQQVARPAESCMPVDSRGSDDGVSFLDHVIAPLYGVVSAEAFNNDNGRAPHSAWRNYDDFNEYFWSLHSFELGWPWRTSSSFFQKPIPRKKLKTGRAKHRGKTSFVEHRTFLHLYHSFHRLWIFLAMMFQALAIIAFNKDDLTSRKTLLQILSLGPTFVVMKFSESVLEVIMMYGAYSTTRRLAVSRIFLRFIWFGLASVFISFLYVKSLKAPNSDSPIVQLYLIVIAIYGGVQFFFSILMRIPTCHNIANKCDRWPVIRFFKWMRQERHYVGRGMYERTSDFINLLPINFNDYYTVVFLLVWEKQQTYLYLLFWLVVLSAKFSFAYFLQIKPLVGPTRMIVKQNNIPYSWHDFVSRKNYNALTVASLWAPVVAIYLLDIHIFYTIFSAFLGFLLGARDRLGEIRSLEAIHKLFEEFPGAFMRALHVPLTNRTSDTSHQVVIQGDWHVISSHYCCSYLHVIINSKTVDKKNKVDAAHFAPFWNQIIKSLREEDYITDFEMELLLMPKNSGRLELVQWPLFLLSSKILLAKEIAAESNSQEEILERIERDDYMKYAVEEVYHTLKLVLTETLEAEGRLWVERIYEDIQTSLKERNIHHDFQLNKLSLVITRVTALLGILKENETPEHAKGAIKALQDLYDVMRLDILTFNMRGHYETWNLLTQAWNEGRLFTKLKWPKDPELKALVKRLYSLFTIKDSAAHVPRNLEARRRLQFFTNSLFMDVPPPKSVRKMLSFSVFTPYYSEVVLYSMAELTKRNEDGISILFYLQKIYPDEWKNFLARIGRDENALEGDLDNERDILELRFWASYRGQTLARTVRGMMYYRKALMLQSYLERKAGNDATDAEGFELSPEARAQADLKFTYVVTCQIYGRQKEDQKPEAVDIALLMQRNEALRIAYIDVVDSPKEGKSHTEYYSKLVKADISGKDKEIYSIKLPGDPKLGEGKPENQNHAIVFTRGNAIQTIDMNQDNYFEEALKMRNLLEEFDRDHGIRPPTILGVREHVFTGSVSSLASFMSNQETSFVTLGQRVLAKPLKIRMHYGHPDVFDRVFHITRGGISKASRVINISEDIFAGFNTTLRQGNVTHHEYIQVGKGRDVGLNQIALFEGKVAGGNGEQVLSRDVYRLGQLLDFFRMMSFFFTTVGFYLCTMLTVLTVYIFLYGRAYLALSGVGATIRERAILLDDTALSAALNAQFLFQIGVFTAVPMVLGFILEQGFLQAIVSFITMQFQLCTVFFTFSLGTRTHYFGRTILHGGARYQATGRGFVVKHIKFSENYRLYSRSHFVKAMEVILLLVVYLAYGNDEAGAVSYILLTVSSWFLAVSWLFAPYLFNPAGFEWQKVVEDFKEWTNWLFYRGGIGVKGAESWEAWWEEELSHIRTLSGRIMETILSLRFFIFQYGIVYKLKLQGSDTSFAVYGWSWVAFAMIIVLFKVFTFSQKISVNFQLLLRFIQGLSLLMALAGIIVAVVLTPLSVTDIFACVLAFIPTGWGILSIACAWKPVLKRMGMWKSIRSLARLYDALMGMLIFLPVALCSWFPFVSTFQTRMMFNQAFSRGLEISLILAGDNPNSGL
VIMSS10093077 1 454 0.626137665198238 PF00612.27:IQ:109:126 Protein IQ-DOMAIN 1 454 18 10 454 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SF32 1 SwissProt MVKKAKWLKNVKKAFSPDSKKLKHESVECQDSVISYPVLIATSRSSSPQFEVRVDEVNYEQKKNLYPPSSDSVTATVAHVLVDSPPSSPESVHQAIVVNRFAGKSKEEAAAILIQSTFRGHLARRESQVMRGQERLKLLMEGSVVQRQAAITLKCMQTLSRVQSQIRSRRIRMSEENQARHKQLLQKHAKELGGLKNGGNWNYSNQSKEQVEAGMLHKYEATMRRERALAYAFTHQQNLKSFSKTANPMFMDPSNPTWGWSWLERWMAGRPWESSEKEQNTTNNDNSSVKNSTNRNSQGGETAKSSNRNKLNSSTKPNTPSASSTATRNPRKKRPIPSSIKSKSSDDEAKSSERNRRPSIARPSVSDDETLSSSTARRSSNLIPTTKSARGKPKSQTSSRVAVTTSTTEESSILPEKAPAKKRLSTSASPAPKPRRSSAPPKVEKGVLKAERTP
VIMSS10093509 1 1309 0.439498166539342 PF16529.5:Ge1_WD40:150:463,PF00400.32:WD40:198:231,PF00400.32:WD40:319:349 VCS (VARICOSE); nucleotide binding / protein homodimerization (RefSeq) 1309 314 10 1309 0 Arabidopsis thaliana VIMSS10093509 1 MicrobesOnline MASSPGPFLHNQYDQQHYAPPGISAQPSPVTQQQQDVSSSSAATNLHPQRTLSYPTPPLNLQSPRSNHNPGTHILALLNNTNNGAPVANQEPSHQLPVVNHNEIARSFPGGSGPIRVPSCKLPKGRRLIGEHAVYDVDVRLQGEIQPQLEVTPITKYGSDPQLVVGRQIAVNKVYICYGLKGGNIRVLNINTALRSLFRGHSQRVTDMAFFAEDVDMLASVSLDGKVFVWKISEGSEGEDQPQITGKIVLALQILGEEDTKHPRVCWHCHKQEILVVSIGKHVLRIDTTKVGRGEVFSAEAPLQCPLDKLIDGVQIVGKHDGEVTDLSMCQWMTTRLVSSSVDGTIKIWQDRKAQPLVVLRPHDGHPVSSATFVTSPERPDHIILITGGPLNREMKIWVSAGEEGWLLPADAESWRCTQTLDLKSSTEPRAEEAFFNQVIALSEAGLLLLANAKRNALYAVHLDYGSSPVGTRMDYLSEFTVTMPILSFIGTNDPPEEPIVKVYCVQTLAIQQYTLDLCLCLPPPIENMGLEKSDSSVSREANLVEGMSEPSGLKPTDLPSVDSVPKPSIIVNRSESANKLSFPSAEATSQAIVPPNGEPKTSGLPSQTSGAGSAYATLPQLPLSPRLSSKLSGYHTPVEAIEPVIPHHELGGKTPSADYSVDRQMDAVGERNLDVSSVEEISRSKDSNVTPDDDVSGMRSPSAFFKHPTHLVTPSEILMGVSSAEASITTEDRRDRDANIQDVNNDPRDTEVEVKEISEARSTQNGEINDHDETENCTSENREKVFCSQVSNLSTEMARDCYPSTEGTFIPGESKAYGQPIKAGDESGVDSRGGPAKLLKGKKQKAKNSQGPGLSSTSSNVANLADSFNEQSQSLSHPMTDLLPQLLAMQETMNQVMASQKEMQRQLSNAATGPIGKESKRLEVALGRMIEKSSKSNADALWARIQEETVKNEKALRDHAQQIVNATTNFMSKELNAMFEKTIKKELAAIGPALARSVVPVIEKTVSSAITESFQRGIGDKAVNQLDKSVNIKLEATVARQIQAQFQTSGKQALQEGLRSSVESSVIPSFEKACKAMFDQIDSAFQKGIAEHTNAAQQRFDSGHSQLAHTLKESITSASSVAQALSRELAETQRNLLALAAAGANSGGSNSLVTQLSGGPLGALLEKVEAPMDPTTELSRLISERKYEESFTSALQRSDVSIVSWLCSQVDLRGLLAMNPLPLSQGVLLSLLQQLACDISKDTSRKLAWMTDVVAAINPSDQMIAVHARPIFEQVYQILHHHRNAPGSDVSAIRLIMHVINSMLMGCK
VIMSS10094165 1 1311 0.278414569031274 PF13181.6:TPR_8:67:97,PF13516.6:LRR_6:1149:1166 Protein TONSOKU; Protein BRUSHY 1; Protein MGOUN 3 1311 49 10 1311 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6Q4D0 1 SwissProt MGRLDVAAAKRAYRKAEEVGDRREQARWANNVGDILKNHGEYVDALKWFRIDYDISVKYLPGKDLLPTCQSLGEIYLRLENFEEALIYQKKHLQLAEEANDTVEKQRACTQLGRTYHEMFLKSEDDCEAIQSAKKYFKKAMELAQILKEKPPPGESSGFLEEYINAHNNIGMLDLDLDNPEAARTILKKGLQICDEEEVREYDAARSRLHHNLGNVFMALRSWDEAKKHIEMDINICHKINHVQGEAKGYINLAELHNKTQKYIDALLCYGKASSLAKSMQDESALVEQIEHNTKIVKKSMKVMEELREEELMLKKLSAEMTDAKGTSEERKSMLQVNACLGSLIDKSSMVFAWLKHLQYSKRKKKISDELCDKEKLSDAFMIVGESYQNLRNFRKSLKWFIRSYEGHEAIGNLEGQALAKINIGNGLDCIGEWTGALQAYEEGYRIALKANLPSIQLSALEDIHYIHMMRFGNAQKASELKETIQNLKESEHAEKAECSTQDECSETDSEGHANVSNDRPNACSSPQTPNSLRSERLADLDEANDDVPLISFLQPGKRLFKRKQVSGKQDADTDQTKKDFSVVADSQQTVAGRKRIRVILSDDESETEYELGCPKDSSHKVLRQNEEVSEESMYFDGAINYTDNRAIQDNVEEGSCSYTPLHPIKVAPNVSNCRSLSNNIAVETTGRRKKGSQCDVGDSNGTSCKTGAALVNFHAYSKTEDRKIKIEIENEHIALDSCSHDDESVKVELTCLYYLQLPDDEKSKGLLPIIHHLEYGGRVLKPLELYAILRDSSENVVIEASVDGWVHKRLMKLYMDCCQSLSEKPSMKLLKKLYISEVEDDINVSECELQDISAAPLLCALHVHNIAMLDLSHNMLGNGTMEKLKQLFASSSQMYGALTLDLHCNRFGPTALFQICECPVLFTRLEVLNVSRNRLTDACGSYLSTIVKNCRALYSLNVEHCSLTSRTIQKVANALDSKSGLSQLCIGYNNPVSGSSIQNLLAKLATLSSFAELSMNGIKLSSQVVDSLYALVKTPSLSKLLVGSSGIGTDGAIKVTESLCYQKEETVKLDLSCCGLASSFFIKLNQDVTLTSSILEFNVGGNPITEEGISALGELLRNPCSNIKVLILSKCHLKLAGLLCIIQALSDNKNLEELNLSDNAKIEDETVFGQPVKERSVMVEQEHGTCKSVTSMDKEQELCETNMECDDLEVADSEDEQIEEGTATSSSLSLPRKNHIVKELSTALSMANQLKILDLSNNGFSVEALETLYMSWSSSSSRTGIAQRHVKEETVHFYVEGKMCCGVKSCCRKD
VIMSS10094345 1 608 0.596188980263157 Pumilio homolog 5; APUM-5; AtPUM5 961 0 10 608 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LJX4 1 SwissProt MTTTQSAMRMVEGDHIKNWQASSDSGIFGSLDMAVEDLGFLMKRNRLDSGDQTGKFPSRSESAPPSMEGSFAALRNLLKQQEGSSSEVLSRAIENYDSEEEIRSDPAYVAYYLSNINLNPRLPPPLISRENQHLLRHFGDNNQSPTTSWDNMGIRSSLHSSRTALSTHREEPEDEASSGEQQSYASLAGRRKSIADMIQEDFPLTLSSVFKRPHSAGNRPIAQDIHAISSDTSSEHARRLPESDINSVNLLRETDSLSSDAIASEDPFTTDLASQSFTNAQTERLNARQASHEDNNLSVFGASPPSSVASRMRRNQEDQQSQGRRMPPQYTPSSYQVQASSPQQMSYPRIGGTQDMMQSLPKIATGEVHSTFQSPHGLAPPPMYTSTAAYMTSLSPFYHQNFQSSGMFVPQYNYGGYPPASGIVPQYMSGYPSHEATVPMPYDISSTSSGYNNPRLLPGVSSSGQNIPSLVDPFQLQYFQQAQVDAYAPPFQSSTDSFGQKDQQAVGYMANHEPLNSPLSPGYGLQSPRHMGNYFAVPPGVRVMPQYPGSPLASPVMPSSPVGGMMSHFGRRSETRYHQQGPSRNTGIYPGGWQGNRGGASSIVDDLK
VIMSS10094717 1 170 0.377075294117647 Transcription factor bHLH34; Basic helix-loop-helix protein 34; AtbHLH34; bHLH 34; Transcription factor EN 135; bHLH transcription factor bHLH034 320 0 10 170 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LTC7 1 SwissProt MYPSIEDDDDLLAALCFDQSNGVEDPYGYMQTNEDNIFQDFGSCGVNLMQPQQEQFDSFNGNLEQVCSSFRGGNNGVVYSSSIGSAQLDLAASFSGVLQQETHQVCGFRGQNDDSAVPHLQQQQGQVFSGVVEINSSSSVGAVKEEFEEECSGKRRRTGSCSKPGTKACR
VIMSS10094889 1 222 0.256105405405406 PF00717.23:Peptidase_S24:137:213 Chloroplast processing peptidase; Signal peptidase I-3; EC 3.4.21.89 291 77 10 222 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8H0W1 1 SwissProt MMVMISLHFSTPPLAFLKSDSNSRFLKNPNPNFIQFTPKSQLLFPQRLNFNTGTNLNRRTLSCYGIKDSSETTKSAPSLDSGDGGGGDGGDDDKGEVEEKNRLFPEWLDFTSDDAQTVFVAIAVSLAFRYFIAEPRYIPSLSMYPTFDVGDRLVAEKVSYYFRKPCANDIVIFKSPPVLQEVGYTDADVFIKRIVAKEGDLVEVHNGKLMVNGVARNEKFIL
VIMSS10094911 1 99 0.535061616161616 CLAVATA3/ESR (CLE)-related protein 41; Tracheary element differentiation inhibitory factor-like protein; TDIF-like protein 99 0 10 76 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84W98 1 SwissProt MATSNDQTNTKSSHSRTLLLLFIFLSLLLFSSLTIPMTRHQSTSMVAPFKRVLLESSVPASSTMDLRPKASTRRSRTSRRREFGNDAHEVPSGPNPISN
VIMSS10095386 1 1018 0.309995776031433 PF04554.13:Extensin_2:68:116,PF04554.13:Extensin_2:92:141,PF04554.13:Extensin_2:117:166,PF04554.13:Extensin_2:142:191,PF04554.13:Extensin_2:167:216,PF04554.13:Extensin_2:192:241,PF04554.13:Extensin_2:242:291,PF04554.13:Extensin_2:267:316,PF04554.13:Extensin_2:292:341,PF04554.13:Extensin_2:317:366,PF04554.13:Extensin_2:342:391,PF04554.13:Extensin_2:367:416,PF04554.13:Extensin_2:392:441,PF04554.13:Extensin_2:417:466,PF04554.13:Extensin_2:442:491,PF04554.13:Extensin_2:467:516,PF04554.13:Extensin_2:492:541,PF04554.13:Extensin_2:517:566,PF04554.13:Extensin_2:542:591,PF04554.13:Extensin_2:567:616,PF04554.13:Extensin_2:659:708,PF04554.13:Extensin_2:684:733,PF04554.13:Extensin_2:709:758,PF04554.13:Extensin_2:734:783,PF04554.13:Extensin_2:759:808,PF04554.13:Extensin_2:784:833,PF04554.13:Extensin_2:809:858,PF04554.13:Extensin_2:834:883,PF04554.13:Extensin_2:859:908,PF04554.13:Extensin_2:884:933,PF04554.13:Extensin_2:909:958,PF04554.13:Extensin_2:934:983 proline-rich extensin-like family protein (RefSeq) 1018 874 10 995 1 Arabidopsis thaliana VIMSS10095386 1 MicrobesOnline MGPSAHLVYAIGVIIMATMVAAYEPYTDSSPPPYSVPLPKVEYKSPPLPDVYSSPPPPLEYSPAPKVDYKSPPPPYYSPSPKVEYKSPPPPYVYNSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPIYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVEYKSPPSPYVYNSPPPSYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKIVYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPTPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKIVYKSPPPPYVYSSPPPPYYTPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVLYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVYYKSPPSPYHAPSPKVLYKSPPHPHVCVCPPPPPCYSPSPKVVYKSSPPPYVYSSPPPPYHSPSPKVHYKSPPPPYVYSSPPPPYYSPSPKVHYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVVYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPYYSPAPKVDYKSPPPPYVYSSPPPPYYSPSPKVDYKSPPPPYYSPSPKVDYKSPPPPYVYSSPPPPSYSPSPKTEY 3
VIMSS10096501 1 484 0.0512117768595041 PF07690.16:MFS_1:40:429,PF00083.24:Sugar_tr:75:233 Probable peptide/nitrate transporter At3g43790; Protein ZINC INDUCED FACILITATOR-LIKE 2 484 390 10 216 12 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q3EAQ5 1 SwissProt MADDESRTILLEKNEDCPGCIIDRTKQQQRGVPYLHLSFIWLVSLCTALPISSLFPYIYFMIRDFHIAKQEEDIGFYAGFVGSSFMIGRALTSIFWGKLADRYGRKPIILIGTFSVIIFNTLFGLSTSFWLAISVRFLLGCFNCLLGVIRAYASEVVSEEYNALSLSVVSTSRGIGLILGPAIGGYLAQPAEKYPNIFSQSSVFGRFPYFLPSLVISVYATAVLIACWWLPETLHTRCRIAQGRLNPTELNDDESRGGGLDEQKIINKPSLLRNRPLMAIIIVYCVFSLQEIAYNEIFSLWAVSDRSYGGLSFSSQDVGEVLAISGLGLLVFQLLVYPPLEKSVGLLAVIRLSAVLLIPLLSCYPYIALLSGVTLHLVINCASIIKNALSISLVTGLFIMLNKAVPQNQRGAANGISMTAMSVFKSFGPAGGGVLFSWAQKRQDATFLPGQIFAPCDEMVFLVLNLVQLVGLILTFIPYISQIQ
VIMSS10096596 212 1007 0.132213442211055 PF00931.22:NB-ARC:20:234,PF07725.12:LRR_3:437:456 disease resistance protein (TIR-NBS-LRR class), putative (RefSeq) 1007 235 10 796 0 Arabidopsis thaliana VIMSS10096596 1 MicrobesOnline DFVGMAAHMERTEQLLRLDLDEVRMIGILGPPGIGKTTIATCMFDRFSRRFPFAAIMTDIRECYPRLCLNERNAQLKLQEQMLSQIFNQKDTMISHLGVAPERLKDKKVFLVLDEVGHLGQLDALAKETRWFGPGSRIIITTEDLGVLKAHGINHVYKVGYPSNDEAFQIFCMNAFGQKQPCEGFCDLAWEVKALAGELPLGLKVLGSALRGMSKPEWERTLPRLRTSLDGKIGNIIQFSYDALCDEDKYLFLYIACLFNYESTTKVKELLGKFLDVKQGLHVLAQKSLISFYGETIRMHTLLEQFGRETSCKQFVHHGYRKHQLLVGERDICEVLDDDTRDNRRFIGINLDLRKNEKELKISEKTLERMHDFQFVRINDVFTHKERQKLLHFKIIHQPERVQLALEDLIYHSPRIRSLKWFGYQNICLPSTFNPEFLVELDMSSSKLRKLWEGTKQLRNLKWMDLSDSEDLKELPNLSTATNLEELKLRRCSSLVELPSSIEKLTSLQILDLHSCSSLVELPSFGNATKLEKLDLENCSSLVKLPPSINANNLQELSLRNCSRVVELPAIENATNLRELKLQNCSSLIELPLSWVKRMSRLRVLTLNNCNNLVSLPQLPDSLDYIYADNCKSLERLDCCFNNPEISLYFPNCFKLNQEARDLIMHTSTSRFAMLPGTQVPACFIHRATSGDYLKIKLKESPFPTTLRFKACIMLVKVNEEMSYDQRSMSVDIVISVHQAIKVQCTPSYHHIYPVLTEHIYTFELEVEEVTSTELVFEFISFRSNWKIGECGILQR
VIMSS10096614 1 496 0.149933064516129 PF07993.12:NAD_binding_4:16:319,PF03015.19:Sterile:394:495,PF01370.21:Epimerase:14:175 Probable fatty acyl-CoA reductase 5; EC 1.2.1.84 496 408 10 496 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q0WRB0 1 SwissProt MELNCVQFLRNKTILVTGATGFLAKVFVEKILRVQPNVKKLYLLVRASDNEAATKRLRTEVFEKELFKVLRQNLGDEKLNTLLYEKVVSVPGDIATDQLGINDSHLRERMQKEIDIVVNVAATTNFDERYDVGLGINTFGALNVLNFAKKCVKVQLLLHVSTAYVCGEKPGLIPEKPFIMEEIRNENGLQLDINLERELMKQRLKELNEQDCSEEDITLSMKELGMERAKLHGWPNTYVFTKSMGEMLLGKHKENLPLVIIRPTMITSTLSEPFPGWIEGLRTVDSVIIAYGKGVLKCFLVDVNSVCDMIPVDMVANAMITAAAKHAGGSGVHMVYHVGSSHQNPVTFGEIHEIAVRYFTKNPLRSRNGSLITVSKVRFIPTMALFSLYMTLRYKLPLQLLKLVDIIYPWRNGDKYGDKNRKIELVMRLVELYEPYVLFKGIFDDRNTKSLCANQKEEEIKNTEKLMFDFDPKGINWGDYLTNIHISGLVTHVLKK
VIMSS10096715 207 446 0.6672075 Zinc finger protein BALDIBIS; ID1-like zinc finger protein 1; Protein indeterminate-domain 9 446 0 10 240 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q944L3 1 SwissProt PPAPAYLNNALDVEVNHGNINQNHQQRQLNTTSSQLDQPGFNTNRNNIAFLGQTLPTNVFASSSSPSPRSASDSLQNLWHLQGQSSHQWLLNENNNNNNNILQRGISKNQEEHEMKNVISNGSLFSSEARNNTNNYNQNGGQIASMSATALLQKAAQMGSKRSSSSSSNSKTFGLMTSIFNNKQAENIKTKEVDERGFTRDFLGVGSQHRSWPLLMVNHNLPDSSPPASTDGTPTADMNQ
VIMSS10096799 100 263 0.223674390243902 PF01357.21:Pollen_allerg_1:51:133 ATEXLA3 (arabidopsis thaliana expansin-like a3) (RefSeq) 263 83 10 164 0 Arabidopsis thaliana VIMSS10096799 1 MicrobesOnline TSNQTDLVLSSRAFRAMAKPVVGVDKYLLKQGIVDVEYQRVPCNYGKRNLNVRVEEASKKPNYLAIKLLYQGGQTEVVGIDIAPVGSSQWSYMSRSHGAVWATDKVPTGALQFKFTVTGGYDGKTVWSKRVLPANWNSGRIYDAGVQITDIAQEGCDTCGHIWN
VIMSS10097247 1 156 0.450708333333333 PF07716.15:bZIP_2:69:116,PF00170.21:bZIP_1:72:130 AtbZIP5 (Arabidopsis thaliana basic leucine-zipper 5); DNA binding / transcription factor (RefSeq) 156 62 10 156 0 Arabidopsis thaliana VIMSS10097247 1 MicrobesOnline MMSTISPVFSTEPGLLTSVLPAFETSFTPWDISHLFSVFDSLIDPKPVSTHDYGSVNQIGSDMSPTDNTDERKKKRKLSNRESAKRSREKKQKHLEEMSIQLNQLKIQNQELKNQLRYVLYHCQRTKMENDRLLMEHRILHDKLLNIRQVLMFRQT
VIMSS10097260 1 295 0.481616610169492 PF00249.31:Myb_DNA-binding:6:55,PF13921.6:Myb_DNA-bind_6:8:58,PF00538.19:Linker_histone:121:180 Telomere repeat-binding factor 3; AtTRB3; MYB transcription factor; Telomere-binding protein 2; AtTBP2 295 113 10 295 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M2X3 1 SwissProt MGAPKLKWTPEEETALKAGVLKHGTGKWRTILSDPVYSTILKSRSNVDLKDKWRNISVTALWGSRKKAKLALKRTPLSGSRQDDNATAITIVSLANGDVGGQQIDAPSPPAGSCEPPRPSTSVDKIILEAITSLKRPFGPDGKSILMYIEENFKMQPDMKRLVTSRLKYLTNVGTLVKKKHKYRISQNYMAEGEGQRSPQLLLEGNKENTPKPEENGVKNLTKSQVGGEVMIMGMTEKEAAAAAARAVAEAEFAMAEAEEAAREADKAEAEAEAAHIFAKAAMKAVKYRMHSQTR
VIMSS10097269 1 215 0.598298139534884 PF13912.6:zf-C2H2_6:94:118,PF13912.6:zf-C2H2_6:149:173 zinc finger (C2H2 type) family protein (RefSeq) 215 50 10 215 0 Arabidopsis thaliana VIMSS10097269 1 MicrobesOnline MALDTLNSPTSTTTTTAPPPFLRCLDETEPENLESWTKRKRTKRHRIDQPNPPPSEEEYLALCLLMLARGSSDHHSPPSDHHSLSPLSDHQKDYKCSVCGKSFPSYQALGGHKTSHRKPVSVDVNNSNGTVTNNGNISNGLVGQSGKTHNCSICFKSFPSGQALGGHKRCHYDGGNGNSNGDNSHKFDLNLPADQVSDETIGKSQLSGEETKSVL
VIMSS10097477 1 112 0.0824848214285715 PF01187.18:MIF:2:105 macrophage migration inhibitory factor family protein / MIF family protein (RefSeq) 112 104 10 112 0 Arabidopsis thaliana VIMSS10097477 1 MicrobesOnline MPCLYITTNVNFDGVNTDPFYSEVTKAVASIVGRPQNLVMVVLKGSVEIVFGGNKEAAAYAEIVSMGGITKQVKRELIATVGSILHTHFSIHPTRFIFKVFDINSLPLPSKL
VIMSS10097500 1 258 0.64158023255814 PF01086.17:Clathrin_lg_ch:5:228 Clathrin light chain protein 258 224 10 258 0 Arabidopsis thaliana NP_566956.4 1 RefSeq MSSTLSNEESGLGDSNRSTEVDGGDGGNFTAYESRFQSQRFDSSFSNFDSQPEKESDLPCGDSSPRPETQSPPSINSFDDTNDSILPPPSAMEKEEGFALREWRRLNALRLEEKEKEEKEMVQQILEAAEQYKAEFYSKRNVTIENNKKLNREKEKFFLENQEKFYAEADKNNWKAIAELIPREVPVIENRGNKKKTATITVIQGPKPGKPTDLSRMRQVLTKLKHNPPTHMKPKLPSPSGADPNVSVSEQVTVTEKL
VIMSS10097534 1 451 0.155331485587583 PF08392.12:FAE1_CUT1_RppA:85:356,PF08541.10:ACP_syn_III_C:358:435,PF02797.15:Chal_sti_synt_C:363:436,PF00195.19:Chal_sti_synt_N:205:283 3-ketoacyl-CoA synthase 15; KCS-15; Very long-chain fatty acid condensing enzyme 15; VLCFA condensing enzyme 15; EC 2.3.1.199 451 351 10 405 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SUY9 1 SwissProt MEKEATKMVNGGVKSKSPKGSPDFLGYNLRYVKLGYIYLLSLSRTFCFFLPPLLLLFIFVSRFLPILAFPLSTFFILLIYHYLTPSSVFLLDFSCYRPPDHLKITKSDFIELAMKSGNFNETAIELQRKVLDQSGIGEESYMPRVVFKPGHRVNLRDGREEAAMVIFGAIDELLAATKINVKHIKILVLNCGVLNTTPSLSAMVINHYKLRHNTESYNLGGMGCSAGVIAIDLAKDLLNAHQGSYALVVSTEIVSFTWYSGNDVALLPPNCFFRMGAAAVMLSSRRIDRWRAKYQLMQLVRTHKGMEDTSYKSIELREDRDGKQGLYVSRDVMEVGRHALKANIATLGRLEPSFEHICVLASSKKVLDDIHKDLKLTEENMEASRRTLERFGNTSSSSIWYELAYLEHKAKMKRGDRVWQIGFGSGFKCNSVVWKALKNIDPPRHNNPWNL
VIMSS10097543 1 1656 0.464850724637682 PF00249.31:Myb_DNA-binding:867:908,PF00249.31:Myb_DNA-binding:1090:1130,PF13921.6:Myb_DNA-bind_6:868:914 Duplicated homeodomain-like superfamily protein 1656 89 10 1656 0 Arabidopsis thaliana NP_001327905.1 1 RefSeq MPQDHASWDRKELLRQRKHDRPEQSFESPPFRWRDSPSSHHVPREFSSRLGSGDFRRPSCHGKQGGRHQFVEETSHGYTSSRSSARMFDNYRPSASRGDWRYTRNCRDDRVSVSQKEWKCNTWEMSNGSSRSFERPFGIRNGRRSVDERPLHASDTHSTVVNSLDPANSAHYLDNEISTPVRSLKIKNEHKFSDQRLSLPSDPHSECISLFERPSSENNYGNKVCSPAKQCNDLMYGRRLVSDNSLDAPIPNAELEGTWEQLRLKDPQDNNSLHGINDIDGDRKCAKESSLGATGKLPLWNSSGSFASQSSGFSHSSSLKSLGAVDSSDRKIEVLPKIVTVTQSSSGDATACATTTHLSEEMSSRKKQRLGWGEGLAKYEKKKVDVNPNEDGTTLMENGLEELHSLNKNIADKSPTAAIVPDYGSPTTPSSVACSSSPGFADKSSPKAAIAASDVSNMCRSPSPVSSIHLERFPINIEELDNISMERFGCLLNELLGTDDSGTGDSSSVQLTSMNTLLAWKGEILKAVEMTESEIDLLENKHRTLKLEGRRHSRVVGPSSYCCDGDANVPKEQASCSLDPKATASSVAKTLVRAPVHQAGLAKVPADVFEDSPGEVKPLSQSFATVEREEDILPIPSMKAAVSSKEINTPAFANQETIEVSSADDSMASKEDLFWAKLLSANKKYACESSGVFNQLLPRDFNSSDNSRFPGICQTQFDSHVQEKIADRVGLLRAREKILLLQFKAFQLSWKKDLDQLALAKYQSKSSKKTELYPNAKNGGYLKLPQSVRLRFSSSAPRRDSVVPTTELVSYMEKLLPGTHLKPFRDILKMPAMILDEKERVMSRFISSNGLIEDPCDVEKERTMINPWTSEEKEIFLNLLAMHGKDFKKIASSLTQKTTADCIDYYYKNHKSDCFGKIKKQRAYGKEGKHTYMLAPRKKWKREMGAASLDILGDVSIIAANAGKVASTRPISSKKITLRGCSSANSLQHDGNNSEGCSYSFDFPRKRTAGADVLAVGPLSPEQINSCLRTSVSSRERCMDHLKFNHVVKKPRISHTLHNENSNTLHNENSNEEDDSCSEESCGETGPIHWTDDERSAFIQGFSLFGKNFASISRYVGTRSPDQCKVFFSKVRKCLGLESIKFGSGNVSTSVSVDNGNEGGGSDLEDPCPMESNSGIVNNGVCAKMGMNSPTSPFNMNQDGVNQSGSANVKADLSRSEEENGQKYLCLKDDNNLVNNAYVNGGFPSLVSESCRDLVDINTVESQSQAAGKSKSNDLMSMEIDEGVLTSVTISSEPLYCGLSVLSNVIVETPTEISRKGSGDQGATMPKFSSKNQDGVMQAANRTRNSGLEPESAPSGFRYPECLHHVPIEVCTENPIGVSAPRGNPNCHAESESGNSLVGQVDETHDLGWPKNNLELDGRLQVLGHVNPEQIGLLKATNTESCQNPQRSVTQDLSRISRSKSDLIVKTQRTGEGFSLTKCTSSAPKPLAVSHKEGRSGHSRSHSFSLSDTERLHKNGDVKLFGTVLTTDENGIKQKHNPCGIVRSSSTLSRDHDTRHHYINQQHLQNVPITSYGFWDGNRIQTGLTSLPESAKLLASCPEAFSTHLKQQVGNSKEILVDVNGGILSFGKHNEDRAESSSAKDEGNIGGVNGVAEAAT
VIMSS10097563 1 64 0.3440078125 Outer envelope membrane protein 7; AtOEP7 64 0 10 41 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SVC4 0 SwissProt MGKTSGAKQATVVVAAMALGWLAIEIAFKPFLDKFRSSIDKSDPTKDPDDFDTAATATTSKEGL
VIMSS10097945 1 439 0.0285082004555809 PF01490.18:Aa_trans:31:422 Proline transporter 2; AtPROT2 439 392 10 193 11 Arabidopsis thaliana (Mouse-ear cress) SwissProt::P92962 0 SwissProt MDTSEARNRKVVAVEQFDLEVPETAHQISSDSWFQVAFVLTTGINSAYVLGYSGTVMVPLGWIGGVVGLILATAISLYANTLIAKLHEFGGKRHIRYRDLAGFIYGKKMYRVTWGLQYVNLFMINCGFIILAGSALKAVYVLFRDDSLMKLPHFIAIAGVVCAIFAIGIPHLSALGIWLGVSTILSIIYIIVAIVLSAKDGVNKPERDYNIQGSSINKLFTITGAAANLVFAFNTGMLPEIQATVKQPVVKNMMKALYFQFTVGVLPMYAVTFIGYWAYGSSTSTYLLNSVSGPVWVKALANISAFLQSVISLHIFASPTYEYMDTKYGVKGSPLAMKNLLFRTVARGSYIAVSTLLSALLPFLGDFMSLTGAISTFPLTFILANHMYLVAMNDELSLVQKLWHWLNVCFFGLMSLAAAIAAVRLISVDSKNFHVFADV
VIMSS10098090 1 170 0.193652352941177 PF14853.6:Fis1_TPR_C:90:142,PF14852.6:Fis1_TPR_N:52:81 Mitochondrial fission 1 protein A; FIS1 homolog A; AtFIS1a; Protein BIGYIN 1 170 83 10 147 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M1J1 1 SwissProt MDAKIGQFFDSVGTFFSGSDKIPWCDGDVIAGCEREVREATDSGTEDLKKECLMRLSWALVHSRQTEDVQRGIAMLEASLESSAPPLEDREKLYLLAVGYYRSGNYSRSRQLVDRCIEMQADWRQALVLKKTIEDKITKDGVIGIGITATAFGAVGLIAGGIVAAMSRKK
VIMSS10098143 86 277 0.5389515625 Dehydration-responsive element-binding protein 2F; Protein DREB2F 277 0 10 192 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SVX5 1 SwissProt LQRNTRPSLSNSQRFKWVPSRKFISMFPSCGMLNVNAQPSVHIIQQRLEELKKTGLLSQSYSSSSSSTESKTNTSFLDEKTSKGETDNMFEGGDQKKPEIDLTEFLQQLGILKDENEAEPSEVAECHSPPPWNEQEETGSPFRTENFSWDTLIEMPRSETTTMQFDSSNFGSYDFEDDVSFPSIWDYYGSLD
VIMSS10098151 1 383 0.5273 Zinc finger protein WIP2; Protein NO TRANSMITTING TRACT; WIP-domain protein 2; AtWIP2 383 0 10 383 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SVY1 1 SwissProt MTDPYSNFFTDWFKSNPFHHYPNSSTNPSPHPLPPVTPPSSFFFFPQSGDLRRPPPPPTPPPSPPLREALPLLSLSPANKQQDHHHNHDHLIQEPPSTSMDVDYDHHHQDDHHNLDDDDHDVTVALHIGLPSPSAQEMASLLMMSSSSSSSRTTHHHEDMNHKKDLDHEYSHGAVGGGEDDDEDSVGGDGGCRISRLNKGQYWIPTPSQILIGPTQFSCPVCFKTFNRYNNMQMHMWGHGSQYRKGPESLRGTQPTGMLRLPCYCCAPGCRNNIDHPRAKPLKDFRTLQTHYKRKHGIKPFMCRKCGKAFAVRGDWRTHEKNCGKLWYCICGSDFKHKRSLKDHIKAFGNGHGAYGIDGFDEEDEPASEVEQLDNDHESMQSK
VIMSS10098533 1 231 0.124647619047619 PF05648.14:PEX11:12:221 Peroxisomal membrane protein 11E; Peroxin-11E; AtPEX11e 231 210 10 231 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84JW1 1 SwissProt MTTLDLTRAELALIVLYLNKAEARDKICRAIQYGSKFLSGGQPGTAQTVDKNTSLARKVFRLFKFVNDFHGLISPVPKGTPLPLVLLGKSKNALLSTFLFLDQIVWLGRSGIYKNKERTELLGRISLFCWLGSSVCTSAVEIGELGRLSSSMKKMEKELKADDELYRAKLQKSNDRTLALIKSSMDIIVAIGLLQLAPKTISPRVTGAFGFTTSLISCYQLLPSRPKLKTP
VIMSS10098600 1 411 0.132457420924574 PF00646.33:F-box:41:84,PF12937.7:F-box-like:43:79 F-box/kelch-repeat protein At3g61590 411 44 10 411 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9M310 1 SwissProt MEAETSWTNYPYSYITYVPEAESYREQSDDEAKVETFSMDSLLPDDLLERILSFLPIASIFRAGTVCKRWNEIVSSRRFLCNFSNNSVSQRPWYFMFTTTDDPSGYAYDPIIRKWYSFDLPCIETSNWFVASSCGLVCFMDNDCRNKIYVSNPITKQWRTLIEPPGHKSTDYTAMSTSVNRANQAVNRANRSYSVSIVKSKQVPGNFFQWDLSIHLYSSETMTWTTLVNDVLSGWRGGNESVICNNVLYFMIYSTGGSDHRHGLIASNLSSIGSPSSGILMRSFIPMPCSLTCGRLMNLRERLVIVGGIGKHDRPEVIKGIGIWVLKGKEWVEMAKMPQRFFQGFGEFDEVFASSGTDDLVYIQSYGSPALLTFDMNLKYWRWSQKCPVTKKFPLQLFTGFCFEPRLEIAP
VIMSS10099048 120 336 0.508526267281106 myb domain protein 55 336 0 10 217 0 Arabidopsis thaliana NP_192077.1 1 RefSeq GIDPVTHKLLTEIETGTDDKTKPVEKSQQTYLVETDGSSSTTTCSTNQNNNTDHLYTGNFGFQRLSLENGSRIAAGSDLGIWIPQTGRNHHHHVDETIPSAVVLPGSMFSSGLTGYRSSNLGLIELENSFSTGPMMTEHQQIQESNYNNSTFFGNGNLNWGLTMEENQNPFTISNHSNSSLYSDIKSETNFFGTEATNVGMWPCNQLQPQQHAYGHI
VIMSS10099086 1 876 0.161293036529681 PF05691.12:Raffinose_syn:34:860 Probable galactinol--sucrose galactosyltransferase 4; Raffinose synthase 4; EC 2.4.1.82 876 827 10 876 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYJ4 1 SwissProt MAPLHESLSSINDVIESKPLFVPITKPILQPNSFNLSEGSLCAKDSTPILFDVPQNVTFTPFSSHSISTDAPLPILLRVQANAHKGGFLGFTKESPSDRLTNSLGRFEDREFLSLFRFKMWWSTAWIGKSGSDLQAETQWVMLKIPEIDSYVAIIPTIEGAFRASLTPGEKGNVLICAESGSTKVKESSFKSIAYIHICDNPYNLMKEAFSALRVHMNTFKLLEEKKLPKIVDKFGWCTWDACYLTVDPATIWTGVKEFEDGGVCPKFVIIDDGWQSINFDGDELDKDAENLVLGGEQMTARLTSFKECKKFRNYKGGSFITSDASHFNPLKPKMLIYKATERIQAIILRRKLVKESGEQDLTELDEKIKILSEELNAMFDEVEKEESLGSDDVSGSGMAAFTKDLRLRFKSLDDIYVWHALCGAWNGVRPETMMDLKAKVAPFELSPSLGATMADLAVDKVVEAGIGLVHPSKAHEFYDSMHSYLASVGVTGAKIDVFQTLESLAEEHGGRVELAKAYYDGLTESMIKNFNGTDVIASMQQCNEFFFLATKQISIGRVGDDFWWQDPYGDPQGVYWLQGVHMIHCSYNSIWMGQMIQPDWDMFQSDHVCAEYHAASRAICGGPVYLSDHLGKASHNFDLIKKLAFFDGTIPRCVHYALPTRDSLFKNPLFDKESILKIFNFNKFGGVIGTFNCQGAGWSPEEHRFKGYKECYTTVSGTVHVSDIEWDQNPEAAGSQVTYTGDYLVYKQQSEEILFMNSKSEAMKITLEPSAFDLLSFVPVTELVSSGVRFAPLGLINMFNCVGTVQDMKVTGDNSIRVDVKGEGRFMAYSSSAPVKCYLNDKEAEFKWEEETGKLSFFVPWVEESGGISHLSFTF
VIMSS10099514 1 341 0.125630791788856 PF01066.21:CDP-OH_P_transf:143:207 Cardiolipin synthase (CMP-forming), mitochondrial; CLS; Phosphatidylglycerophosphate synthase 3; PGP synthase 3; EC 2.7.8.41 341 65 10 318 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93YW7 1 SwissProt MAIYRSLRKLVEINHRKTRPFFTAATASGGTVSLTPPQFSPLFPHFSHRLSPLSKWFVPLNGPLFLSSPPWKLLQSATPLHWRGNGSVLKKVEALNLRLDRIRSRTRFPRQLGLQSVVPNILTVDRNDSKEEDGGKLVKSFVNVPNMISMARLVSGPVLWWMISNEMYSSAFLGLAVSGASDWLDGYVARRMKINSVVGSYLDPLADKVLIGCVAVAMVQKDLLHPGLVGIVLLRDVALVGGAVYLRALNLDWKWKTWSDFFNLDGSSPQKVEPLFISKVNTVFQLTLVAGAILQPEFGNPDTQTWITYLSWLVASTTMASTAAYGVQYWKKRPISMIKRS
VIMSS10100414 163 341 0.507910055865922 Protein BEARSKIN2; NAC domain-containing protein 70; ANAC070 341 0 10 179 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SV87 1 SwissProt LFKVVNEGSSSINSLDQHNHDASNNNHALQARSFMHRDSPYQLVRNHGAMTFELNKPDLALHQYPPIFHKPPSLGFDYSSGLARDSESAASEGLQYQQACEPGLDVGTCETVASHNHQQGLGEWAMMDRLVTCHMGNEDSSRGITYEDGNNNSSSVVQPVPATNQLTLRSEMDFWGYSK
VIMSS10100751 1 112 0.569665178571429 CLAVATA3/ESR (CLE)-related protein 44; Tracheary element differentiation inhibitory factor-like protein; TDIF-like protein 112 0 10 89 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q941C5 1 SwissProt MATTIDQTSIKSLHFHQVIRLIITIIFLAFLFLIGPTSSMNHHLHESSSKNTMAPSKRFLLQPSTPSSSTMKMRPTAHPRRSGTSSSSARKRRREFRAEAHEVPSGPNPISN
VIMSS10101095 1 193 0.0868549222797927 PF04535.12:DUF588:24:176 CASP-like protein 1D1; AtCASPL1D1 193 153 10 104 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FE29 0 SwissProt MGYETKSTLDTERSTAPGTGTTTKSCSMTQVVLRFVLFAATLTSIVVMVTSKQTKNIFLPGTPIRIPAAEFTNSPALIYFVVALSVACFYSIVSTFVTVSAFKKHSCSAVLLLNLAIMDAVMVGIVASATGAGGGVAYLGLKGNKEVRWGKICHIYDKFCRHVGGAIAVSLFASVVLLLLSIISVLSLYKKIR
VIMSS10101163 1 661 0.277798184568835 PF04833.15:COBRA:233:412 COBRA-like protein 7 661 180 10 661 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8GZ17 1 SwissProt MDSAPNFIPRLLLLSLLIVSIPLTSSQSDANTTNPSPSPPSDSDLCNGVFVSYTHTKGSKIPPNDTANQPYRFESVITVLNHGRDELKSWRVFVKFAHREILVSASNAVLSDGSSLPVSVENGTVFAGYPSSDLKSAIQTAGDVTQMQARVELVGTQFGVAPPNVPLPKNITLATDGWKCPKATQKGTNVLQVCCIPDPDYDNREIIDNEFLPRKDGDLTIMYDVVRSYSSNYMAQVTMENHNPLGRLDNWKLSFDWMRDEFIYTMKGAYPSIVDSSDCVDGPQAKHYQDLDFSNVLSCARRPTVIDLPPTKYNDSTFGLIPFCCRNGTILPRSMDPSKSSSVFQMQVYKMPPDLNISALSPPQNWRINGTLNPDYKCGPPVRVSPSQFVDPSGLPSNRTAFASWQVVCNITQPKDASPRCCVSFSAYFNDSIVPCKTCACGCSSNKAARACSATAPSLLLPQQALLVPFENRTELTVAWAYLKQRPVPNPMPCGDNCGVSINWHLATDYRGGWTARVTVFNWGETDFVDWFTAVQMKNAAPGFEKAYSFNASTIGINGKNNTIFMEGLPGLNYLVAERDGENPLKNPRIPGKQQSVMSFTKKLTPGINVPGGDGFPSKVFFNGEECSLPTILPMRSSQHRKHISVFLLALPVLALLILRA
VIMSS10101366 1 313 0.461728434504793 PF00076.22:RRM_1:7:66 RNA recognition motif (RRM)-containing protein (RefSeq) 313 60 10 313 0 Arabidopsis thaliana VIMSS10101366 1 MicrobesOnline MTMTTVKVSNVSLGATDRDLKEFFSFSGDILYLETQSETERTKLAYVTFKDLQGAETAVLLSGATIVDSSVIVSMAPDYQLSPEALASLEPKDSNKSPKAGDSVLRKAEDVVSSMLAKGFILGKDAIAKAKSVDEKHQLTSTASAKVASFDKKIGFTDKINTGTVVVGEKVREVDQKYQVSEKTKSAIAAAEQTVSNAGSAIMKNRYVLTGATWVTGAFNKVAKAAEEVGQKAKEKVGMAEEEDKRKVVDEFARVHLSESPKAASSTQEAERESKLSESPEAKKDSEHLEPQSKPLQQQSPPPMASAPAPAQP
VIMSS10101612 1 304 0.280492763157895 PF13920.6:zf-C3HC4_3:254:292 E3 ubiquitin-protein ligase BOI; Inhibitor of apoptosis (IAP)-like protein; AtILP; Protein BOTRYTIS SUSCEPTIBLE 1 INTERACTOR; AtBOI; RING-type E3 ubiquitin transferase BOI; EC 2.3.2.27 304 39 10 304 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::O81851 1 SwissProt MAVQAHHMNIFSQFISPNRDCVKFQENMNHGEFEFTGGEVPLITGESFAVEPLAAKANFNKAESGLSYNFTVPPLSTKRQRDFQFSDSNAPVKRRSVAFDSSSPSLINVELVSQIQNQQQSEIDRFVAQQTEKLRIEIEARQQTQTRMLASAVQNVIAKKLKEKDDEIVRIRNLNWVLQERVKSLYVENQIWRDIAQTNEANANTLRTNLDQVLAQLETFPTASAVVEDDAESSCGSCCGDGGGEAVTAVGGGCKRCGEREASVLVLPCRHLCLCTVCGGSALLRTCPVCDMVMNASVHVNMSS
VIMSS10101749 1 190 0.364802105263158 PF00010.26:HLH:16:64 basic helix-loop-helix (bHLH) family protein (RefSeq) 190 49 10 190 0 Arabidopsis thaliana VIMSS10101749 1 MicrobesOnline MEPSHSNTGQSRSVDRKTVEKNRRMQMKSLYSELISLLPHHSSTEPLTLPDQLDEAANYIKKLQVNVEKKRERKRNLVATTTLEKLNSVGSSSVSSSVDVSVPRKLPKIEIQETGSIFHIFLVTSLEHKFMFCEIIRVLTEELGAEITHAGYSIVDDAVFHTLHCKVEEHDYGARSQIPERLEKIVNSVH
VIMSS10101754 1 266 0.602165413533835 Late embryogenesis abundant protein (LEA) family protein 266 0 10 266 0 Arabidopsis thaliana NP_193834.1 1 RefSeq MAAMQLTRTALVGLSKAFPGIKAPATLAASSRKVSRICFATSVSQNEGRDPLDNARDSRADSAYGSKKWREDTGEYYAQAAKDKANEGASKAADKAYETKEQAKDKAYETKEKAKDTAYNAKEKAKDYAERTKDKVNEGAYKAADKAEDTKEKAKDYAEDTMDNAKEKARHAKEKVKEYGEDTKEKAEGFKETVKGKAEELGEKTKETVKGAWESTKNAAQTVTEAVVGPEEDAEKARADMNKGVEDHRKKKAEKDQKEDDFITFN
VIMSS10101769 1 691 0.133219392185239 PF05817.14:Ribophorin_II:10:683 Dolichyl-diphosphooligosaccharide--protein glycosyltransferase subunit 2; Protein HAPLESS 6; Ribophorin II; RPN-II; Ribophorin-2 691 674 10 604 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q93Z16 1 SwissProt MMAGGNVRFLVLILAVAICGAASVFQPISDSHRSAALDVFVPVDGSYKSLEEAYEALKTLEILGIDKKSDLSSKTCENVVKVLQSSSSTLKDAFYALNVNGILKCKIGEAGPKDIVSQLQAGVKDAKLLLDFYYSVRGLVLAKEQFPGTHISLGDAEAIFRSIKSLSQSDGRWRYSSNNPESSTFAAGLAYETLAGVISLAPSEFDPSLIQSVKTGILKLSDSIQKYDDGTFYFDEKSVDASQGPISTTASVIRGLTSFAASESTGLNLPGDKIVGLAKFFLGVGIPGDAKDFFNQIDALACLEDNKFSVPLILSLPSTVISLTKKEPLKVKVSTVLGSKAPALSVKLTQALSSKSVDSSVINNQELKFDADSATYFLDSFPKNFDIGKYTFVFKIVLDESAHEKVYITEAQTKVPIAATGAISIENAEIAVLDSDIGSVESQKKLDLTKDGAVSLSANHLQKLRLSFQLTTPLGNAFKPHQAFFKLKHESQVEHIFLVKTSGKKSELVLDFLGLVEKLYYLSGKYEIQLTIGDASMENSLLSNIGHIELDLPERPEKATRPPLQSTEPYSRYGPKAEISHIFRIPEKLPAKQLSLVFLGVIVLPFIGFLIGLTRLGVNIKSFPSSTGSAISALLFHCGIGAVLLLYVLFWLKLDLFTTLKALSLLGVFLLFVGHRTLSQLASASNKLKSA
VIMSS10101920 107 255 0.0154973154362416 PF05875.12:Ceramidase:5:141 Alkaline ceramidase; AlkCDase; Alkaline CDase; AtACER; Acyl-CoA independent ceramide synthase 1; AtCES1; Alkaline ceramidase YPC1; AtYPC1; Alkaline dihydroceramidase ACER; Alkaline phytoceramidase; aPHC; EC 3.5.1.- 255 137 10 70 4 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q94IB9 0 SwissProt SPDWHYRSTMPTFLFLYGAAFAIVHAYLRFGIGFKVHYVILCLLCIPRMYKYYIHTEDTAAKRIAKWYVATILVGSICWFCDRVFCKTISQWPVNPQGHALWHVFMSFNSYCANTFLMFCRAQQRGWNPKVKYFLGVLPYVKIEKPKTQ
VIMSS10102072 1 275 0.254371272727273 PF02453.17:Reticulon:90:244 Reticulon-like protein B1; AtRTNLB1; VirB2-interacting protein 1 275 155 10 211 3 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SUR3 1 SwissProt MAEEHKHDESVIAPEPAVEVVERESLMDKISEKIHHGGDSSSSSSSSDDEDEKKKTKKPSSPSSSMKSKVYRLFGREQPVHKVLGGGKPADIFMWKNKKMSGGVLGGATAAWVVFELMEYHLLTLLCHVMIVVLAVLFLWSNATMFINKSPPKIPEVHIPEEPILQLASGLRIEINRGFSSLREIASGRDLKKFLIAIAGLWVLSILGGCFNFLTLAYIALVLLFTVPLAYDKYEDKVDPLGEKAMIELKKQYAVLDEKVLSKIPLGPLKNKKKD
VIMSS10102485 1 470 0.152682978723404 PF00931.22:NB-ARC:120:357,PF05621.11:TniB:115:227 disease resistance protein (NBS-LRR class), putative (RefSeq) 919 243 10 470 0 Arabidopsis thaliana VIMSS10102485 1 MicrobesOnline MFRSNARALNRALERLKNVQTKVNEALKRSGIQEKSLERKLRIWLRKVEENVPLGELILEKRSSCAIWLSDKDVEILEKVKRLEEQGQDLIKKISVNKSSREIVERVLGPSFHPQKTALEMLDKLKDCLKKKNVQKIGVWGMGGVGKTTLVRTLNNDLLKYAATQQFALVIWVTVSKDFDLKRVQMDIAKRLGKRFTREQMNQLGLTICERLIDLKNFLLILDDVWHPIDLDQLGIPLALERSKDSKVVLTSRRLEVCQQMMTNENIKVACLQEKEAWELFCHNVGEVANSDNVKPIAKDVSHECCGLPLAIITIGRTLRGKPQVEVWKHTLNLLKRSAPSIDTEEKIFGTLKLSYDFLQDNMKSCFLFCALFPEDYSIKVSELIMYWVAEGLLDGQHHYEDMMNEGVTLVERLKDSCLLEDGDSCDTVKMHDVVRDFAIWFMSSQGEGFHSLVMAGRGLIEFPQDKFVS
VIMSS10102622 1 180 0.134814444444444 PF00234.22:Tryp_alpha_amyl:69:165 Bifunctional inhibitor/lipid-transfer protein/seed storage 2S albumin superfamily protein 180 97 10 180 0 Arabidopsis thaliana NP_567807.2 1 RefSeq MHNKLHLRNELITIYIIYRYRFLFVHESYISPSLASVLSSMKIHAILVVAFLVLMKTAVSQDNNPLEHCRDVFVSFMPCMGFVEGIFQQPSPDCCRGVTHLNNVVKFTSPGSRNRQDSGETERVCLCIEIMGNANHLPFLPAAINNLPLRCSLTLSFPISVDMDCSQFRNTKNPDVEKLN
VIMSS10102639 136 315 0.525251111111111 anac074 (Arabidopsis NAC domain containing protein 74); transcription factor (RefSeq) 315 0 10 180 0 Arabidopsis thaliana VIMSS10102639 1 MicrobesOnline GRDSSLQDNNYYNNDNQTQRLEVNDAPDLNYNNQLPPLLSSPPHNHQHEKMKIQVCDQWEQLMKQPSRTTGHPYHHHCHHQTIACGWEQMMIGSLSSPSSHGPDHESLLNLLYVDNNNSVNISGDHHQNYEKILLSSLDMTSLDHDKTCMGSSSDGGMVSDLHMECGGLSFETENILAFQ
VIMSS10103589 87 196 0.627601818181818 RAP2.10 (related to AP2 10); DNA binding / transcription factor (RefSeq) 196 0 10 110 0 Arabidopsis thaliana VIMSS10103589 1 MicrobesOnline ELLAGVTVTGGGGGGVNGGGDMSAAYIRRKAAEVGAQVDALEAAGAGGNRHHHHHQHQRGNHDYVDNHSDYRINDDLMECSSKEGFKRCNGSLERVDLNKLPDPETSDDD
VIMSS10103623 1 214 0.462839719626168 Transcription factor HHO5; MYB-domain transcription factor HHO5; Protein HRS1 HOMOLOG 5; Protein ULT1 INTERACTING FACTOR 1 363 0 10 214 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4JRB0 1 SwissProt MVQTETDQRMGLNLNLSIYSLPKPLSQFLDEVSRIKDNHSKLSEIDGYVGKLEEERNKIDVFKRELPLCMLLLNEEIVFLCVAIGALKDEARKGLSLMASNGKFDDVERAKPETDKKSWMSSAQLWISNPNSQFRSTNEEEEDRCVSQNPFQTCNYPNQGGVFMPFNRPPPPPPPAPLSLMTPTSEMMMDYSRIEQSHHHHQFNKPSSQSHHIQ
VIMSS10103791 1 452 0.751216371681416 PF06273.11:eIF-4B:6:345 Eukaryotic translation initiation factor 4B3; AtTif4B3; eIF4B3 452 340 10 452 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SZP8 1 SwissProt MAAAVSSVWAKPGAWALEAEEHEAELKQQPSPTNQKSSAEDSSDFPSLAAAATTKTKKKKGQTISLAEFATYGTAKAKPAPQTERLTQAELVALPTGPRERSAEELDRSKLGGGFRSYGGGRYGDESSSSRWGSSRVSEDGERRGGGFNRDREPSRDSGPSRADEDDNWAAAKKPISGNGFERRERGSGGGFFESQSQSKADEVDSWVSTKPSEPRRFVSSNGGGGDRFEKRGSFESLSRNRDSQYGGGGGSESDTWGRRREESGAANGSPPPSGGSRPRLVLQPRTLPVAVVEVVKPESPVLVIVEKPKGANPFGNARPREEVLAEKGQDWKEIDEKLEAEKLKDIAAAMEKPNEKSTGKMGFGLGNGRKDEERIERSWRKSTEHSEEDAQEEEPAVEGAKKEETEDKPAVEEAKKEETEGEQAVEEAKKEETGGEPAVEEAKKEETEDKI
VIMSS10104044 1 162 0.200127160493827 PF07107.11:WI12:59:162 hypothetical protein (RefSeq) 162 104 10 162 0 Arabidopsis thaliana VIMSS10104044 1 MicrobesOnline MEAHNVEISTRLTPEMKNQGTIELLYKALQQGDTSTVTKVVASDVEWWFHGPHDCQHMMRLLTGEPPRQVSFRFEPSSVQVVVPGHDCVIAEGWEGSRVYWVHVWKLKDGVVTELREYFNTWLTVTDYSLGAVGWDMGRCTVWESVPRDLARGSLPSLLLAI
VIMSS10104102 113 258 0.234589726027397 PF01357.21:Pollen_allerg_1:53:130 ATEXPA9 (ARABIDOPSIS THALIANA EXPANSIN A9) (RefSeq) 258 78 10 146 0 Arabidopsis thaliana VIMSS10104102 1 MicrobesOnline PNFNQASDNGGWCNPPREHFDLAMPMFLSIAKYKAGIVPVSYRRIPCRKKGGIRFTINGFKYFNLVLVTNVAGAGDVIKVSVKGSNTQWLDLSRNWGQNWQSNALLVGQSLSFRVKTSDGRSSTSNNIAPSNWQFGQTYSGKNFRV
VIMSS10104124 136 292 0.443354140127388 PF08781.10:DP:6:129 Transcription factor-like protein DPA; DP-like protein A; AtDPbA; E2F dimerization partner protein A; AtDP2a 292 124 10 157 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FNY3 1 SwissProt ITCKKDVEEVKMDRNKVMSSVQKKAAFLKELREKVSSLESLMSRNQEMVVKTQGPAEGFTLPFILLETNPHAVVEIEISEDMQLVHLDFNSTPFSVHDDAYILKLMQEQKQEQNRVSSSSSTHHQSQHSSAHSSSSSCIASGTSGPVCWNSGSIDTR
VIMSS10104248 1 70 0.0432714285714286 expressed in response to phosphate starvation protein 70 0 10 52 1 Arabidopsis thaliana NP_850758.1 1 RefSeq MVMMMMMRIVCEWSDEDCMKVDEDKLDVSFVIPRLGNFDPLASFGSPRNQQIMITIALICLCSVFTLFPV
VIMSS10104612 1 191 0.524730890052356 myb family transcription factor (RefSeq) 375 0 10 191 0 Arabidopsis thaliana VIMSS10104612 1 MicrobesOnline MMDNINFEFSNASQGSRLQLQQQPPQPFNLQDLNMIQYNQPSSPWTTETFSGLTPYDCTANQSFPVQCSSSKPYPSSFHPYHHQSSDSPSLDQSVSMIPMQPLPDQYMKPLYQRSCSNDFAATNASSASYSLSFEASHDPQELCRRTYSNSNVTHLNFTSSQHQPKQSHPRFSSPPSFSIHGGSMAPNCVN
VIMSS10104860 1 858 0.161899650349651 PF02705.16:K_trans:108:681 K+ uptake permease 7 858 574 10 586 12 Arabidopsis thaliana NP_568213.2 1 RefSeq MAEESSMEGSEKEEIDSSGGGFGDMASMDSIESRWVIQDDDDSEIGVDDDNDGFDGTGLESDEDEIPEHRLIRTGPRVDSFDVEALEVPGAPRNDYEDLTVGRKVLLAFQTLGVVFGDVGTSPLYTFSVMFSKSPVQEKEDVIGALSLVLYTLLLVPLIKYVLVVLWANDDGEGGTFALYSLISRHAKISLIPNQLRSDTRISSFRLKVPCPELERSLKLKEKLENSLILKKILLVLVLAGTSMVIADGVVTPAMSVMSAVGGLKVGVDVVEQDQVVMISVAFLVILFSLQKYGTSKMGLVVGPALLIWFCSLAGIGIYNLIKYDSSVYRAFNPVHIYYFFKRNSINAWYALGGCILCATGSEALFADLCYFSVRSVQLTFVCLVLPCLMLGYMGQAAYLMENHADASQAFFSSVPGSAFWPVLFIANIAALIASRTMTTATFSCIKQSTALGCFPRLKIIHTSRKFMGQIYIPVLNWFLLAVCLVVVCSISSIDEIGNAYGMAELGVMMTTTILVTLIMLLIWQINIVIVIAFLVVFLGVELVFFSSVIASVGDGSWIILVFAVIMFGIMYIWNYGSKLRYETEVEQKLSMDLMRELGCNLGTIRAPGIGLLYNELVKGVPAIFGHFLTTLPAIHSMVIFVCIKYVPVPVVPQNERFLFRRVCTKSYHLFRCIARYGYKDARKETHQAFEQLLIESLEKFIRREAQERSLESDGNDDSDSEEDFPGSRVVIGPNGSMYSMGVPLLSEYRDLNKPIMEMNTSSDHTNHHPFDTSSDSSVSEAEQSLERELSFIHKAKESGVVYLLGHGDIRARKDSWFIKKLVINYFYTFLRKNCRRGIANLSVPQSHLMQVGMTYMV
VIMSS10104953 1 414 0.508276811594203 PF06136.13:DUF966:46:377 Protein UPSTREAM OF FLC 414 332 10 414 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LX14 1 SwissProt MEAVRCRRGRENNKSPERIIRSLNHHQHDEELEEEVKTKKPIFRRVQVVYYLTRNGHLEHPHFIEVISPVNQPLRLRDVMNRLTILRGKCMTSQYAWSCKRSYRNGFVWNDLAENDVIYPSDCAEYVLKGSEITDKFQEVHVNRPLSGSIQEAPKSRLLRSKLKPQNRTASFDDAELYVGEEEEEEDGEYELYEEKTSYTSSTTPQSRCSRGVSTETMESTEQKPNLTKTEQDLQVRSDSSDLTRSNPVVKPRRHEVSTRVEDGDPVEPGSGRGSMWLQMISCGHIATKYYAPSVMNPRQKEENLRKGVLCKNIVKKTVVDDEREMIRFMSENPRFGNPQAEEKEYFSGSIVESVSQERVTAEPSLRRSNSFNEERSKIVEMAKETKKKEERSMAKVKCIPRTCLMSSSKQIKK
VIMSS10105314 1 513 0.411562573099415 Telomere repeat-binding protein 4; H-protein promoter binding factor-1; AtTBP1; Telomeric DNA-binding protein 1 640 0 10 513 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FFY9 1 SwissProt MVVKRKLNCGGSNGFDFPNIPKAPRSSRRKVSGKRSDDESEICAIDLLASLAGKLLEESESSSTSTYASEADNLDHLGGLIKQELEDGYTTKPCKSEFFDPGNPASKSTSENTSVTCLPFSSFENDCILEQTPVSDCKRASGLKSLVGSITEETCVVNEDAGSEQGANTFSLKDPSQLHSQSPESVLLDGDVKLAPCTDQVPNDSFKGYRNHSKLVCRDDDENYCKYYKFSDKCKSYRPLSRVGNRRIMQSVRAISKLKCFEDTRTDGRLKALYRKRKLCYGYNPWKRETIHRKRRLSDKGLVVNYDGGLSSESVSNSPEKGESENGDFSAAKIGLLSKDSRVKFSIKSLRIPELVIEVPETATVGLLKRTVKEAVTALLGGGIRIGVLVQGKKVRDDNNTLSQTGLSCRENLGNLGFTLEPGLETLPVPLCSETPVLSLPTDSTKLSERSAASPALETGIPLPPQDEDYLINLGNSVENNDELVPHLSDIPADEQPSSDSRALVPVLALESD
VIMSS10105460 1 94 0.527873404255319 PF00010.26:HLH:19:60 Transcription factor PRE2; Basic helix-loop-helix protein 134; AtbHLH134; bHLH 134; Protein BANQUO 2; Protein PACLOBUTRAZOL RESISTANCE 2; Transcription factor EN 52; bHLH transcription factor bHLH134 94 42 10 94 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LXG5 1 SwissProt MSSSRRSRQASSSSRISDDQITDLISKLRQSIPEIRQNRRSNTVSASKVLQETCNYIRNLNKEADDLSDRLTQLLESIDPNSPQAAVIRSLING
VIMSS10105780 1 88 0.190640909090909 PF02519.14:Auxin_inducible:15:86 Auxin-responsive protein SAUR21; Protein SMALL AUXIN UP RNA 21 88 72 10 88 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FJF9 1 SwissProt MALVRSLLGAKKILSRSTASAAPKGFLAVYVGESQKKRYLVPLSYLSQPSFQALLSKSEEEFGFDHPMGGLTIPCPEDTFINVTSRLQ
VIMSS10105844 111 348 0.482184453781513 Ethylene-responsive transcription factor ERF086 348 0 10 238 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q6J9Q2 1 SwissProt VYTPTDVHTILTNPNLHSLIVSPYNNNQSFLPNSSPQFVIDHHPHYQNYHQPQQPKHTLPQTVLPAASFKTPVRHQSVDIQAFGNSPQNSSSNGSLSSSLDEENNFFFSLTSEEHNKSNNNSGYLDCIVPNHCLKPPPEATTTQNQAGASFTTPVASKASEPYGGFSNSYFEDGEMMMMNHHEFGSCDLSAMITNYGAAAASMSMEDYGMMEPQDLSSSSIAAFGDVVADTTGFYSVF
VIMSS10105957 1 742 0.2104025606469 PF00924.18:MS_channel:524:727 Mechanosensitive ion channel protein 9; Mechanosensitive channel of small conductance-like 9; MscS-Like protein 9; AtMSL9 742 204 10 612 6 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84M97 1 SwissProt MAERRVSNGEEVVINVSDKEDSKDPRASPSFNPLASPDSDAGIEKSKPVPPISIPTPEIYKFSGSVHKPPKIPSPEGLVRRKSLSRSIYSKPKSRFGEQQSFRYDSTREENGGRSLREQFGAGSFARGSFDRASPNNKSNRSVASAALSKVAEEEPDENEEIYKKVKLHRVKRSGMKPLAFLELVVFMAILGALIVSLTIDVVNKHTIWGLEFWKWCVLVMVTLSGMLVTNWFMHFVVFIIEKNYLLRKKVLYFVHGLKKNVQVFIWFSLVLIAWICLFDGDVKRTRKTKRFLDFITWTIVSLLVGSILFLVKTFALKVLASKFNVRNFFERIQESVFHQYVLQTLSGPPLIEEAENVGRVPSTGHLSFTRTKDGKVKDKKVIDMGKVHRMKQEKVSAWTMRVLIEAVGTSGISTISSTLDEVNNKKERTDKEITNEMEAVAAAYDVFNNVAKPNHNYIEEDDLLRFMIKEEVDLVLPLIEDADTGKITRKTFTEWVVNVYTSRKTIGHSLNDTKTAVKQLDKLITGILTVITFIVWMVLLDIASTKLLLVFSSQFLGLAFMIGSTCKNIFESFMFVFVMHPYDVGDRCVVDGVMLLVEEIDLLTTVFLKIDNEKVFYPNSVLISKPISNFYRSPDMGDYVDFGIAFSTPAEKIGCLKGKIGEYLVANSQHWYPEAQVMVRAIENMNKLVLNILVQHTINFQVYVEKSLRRTALIIAIKRILEDLEIDYTLLPQDVNLTGHK
VIMSS10105985 89 253 0.428690303030303 related to AP2 11 253 0 10 165 0 Arabidopsis thaliana NP_197480.1 1 RefSeq LKIRNLLHQKQSMKQQQQQQHKPVSSLTDCNINYISTATSLTTTTTTTTTTAIPLNNVYRPDSSVIGQPETEGLQLPYSWPLVSGFNHQIPLAQAGGETHGHLNDHYSTDQHLGLAEIERQISASLYAMNGANSYYDNMNAEYAIFDPTDPIWDLPSLSQLFCPT
VIMSS10106087 1 205 0.300776585365854 PF04043.15:PMEI:33:188 Pectinesterase inhibitor 3; Pectin methylesterase inhibitor 3; AtPMEI3 205 156 10 187 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q84WE4 1 SwissProt MAPTQNLFLVAIAFAVIFTASTVHGRHNGAEDIVHSSCEHASYPSLCVRTLSSYSGPTITNRRDLAQAAIKISLSHAQSAAKKLAVVRDSVGKKKQEKAALVDCVEMIGDSVDELSRTLGVLKHLRVSGGSAKEFRWQMSNAQTWASAALTDDDTCLDGFQGMDDGEIKTEVKQWMTKVARVTSNALYMVNQLDETRGKPHDVHL
VIMSS10106266 123 329 0.431412077294686 Transcription factor RAX1; Myb-related protein 37; AtMYB37; Protein REGULATOR OF AXILLARY MERISTEMS 1 329 0 10 207 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FG68 1 SwissProt DSSSSAMASPYLNPISQDVKRPTSPTTIPSSSYNPYAENPNQYPTKSLISSINGFEAGDKQIISYINPNYPQDLYLSDSNNNTSNANGFLLNHNMCDQYKNHTSFSSDVNGIRSEIMMKQEEIMMMMMIDHHIDQRTKGYNGEFTQGYYNYYNGHGDLKQMISGTGTNSNINMGGSGSSSSSISNLAENKSSGSLLLEYKCLPYFYS
VIMSS10106526 1 463 0.277427213822894 PF01145.25:Band_7:21:184 hypothetical protein (RefSeq) 463 164 10 463 0 Arabidopsis thaliana VIMSS10106526 1 MicrobesOnline MFKVARASQYLAITGGGIEDIKLSKKSWVFPWQRCTVFDVSPVNYTFKVQAMSAEKLPFVLPAVFTIGPRVDDTEALILYARLISPHDKQSNHVNELVEGVIEGETRVLAASMTMEEIFKGTKEFKKEVFDKVQLELDQFGLVIYNANVKQLVDVPGHEYFSYLGQKTQMEAANQARIDVAEAKMKGEIGAKERTGLTLQNAAKIDAESKIISMQRQGEGTKAEIKVKTEVKVFENQKEADVAKANSELAMKKAAWTKDAKVAEVEATKAVALREAELQTQVEKMNALTRTEKLKAEFLSKASVEYETKVQEANWELYNKQKQAEAVLYEKQKQAEAQKAEADATFYSKQKEAEGLVALASAQGTYLRTLLDAVQNDYSCLRDFLMINNGTYQEIAKTNALAVRDLQPKISVWNHGGEQGIGGASGSGMKDIAGLYKMLPPVLDTVYEQTGMQPPAWIGTLSK
VIMSS10108171 1 386 0.228152331606218 hypothetical protein (RefSeq) 386 0 10 363 1 Arabidopsis thaliana VIMSS10108171 1 MicrobesOnline MRLVILSHLVSMMISNTRDLNLLRSMSLLLTVMKLLTQSTVAILDPSHDPHFDPIQVVVVMFKVKDQILVMDLNPVMGGNRNLSTDLAMVDKRRWSMVGDLSRVMDLVMVEGRRLNRSMDLVVVEELRLSMVGDLNQGLDLVMVGDRSLSMSVSLAMEGLRNKKKVIGSLAMEDLKNKRKVTGSQVMEDLRSRKKDIESLAMEDLRRNKRKVIGSLAMEDLRNKRKEVTGNLVMGGLMIRWRVTLSLAMEDLRNRRREVTGSLVMGGLRNRKRGVTGSSLAMAVAMTMMMMSSVGTVLVLVMMRKGAMAARNMVAMTLMRMRRRRSTVTSITTRSVVTKTMSKLNPLCARECNENVCLLSLKNLLNKELSHIPFYIISSDVGVVFV
VIMSS10108486 1 98 0.0937551020408164 E3 ubiquitin-protein ligase ATL23; RING-H2 finger protein ATL23; RING-type E3 ubiquitin transferase ATL23; EC 2.3.2.27 163 0 10 75 1 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q8L9W3 0 SwissProt MHYTRISPALVPSLSPTAAAESSGGGTMIATVFMALLLPCVGMCIVFLIYLFLLWCSTRRRIERLRFAEPVKPVTGKGLSVLELEKIPKLTGRELAVI
VIMSS10108514 1 1252 0.386294408945686 Histone-lysine N-methyltransferase ATXR7; Protein SET DOMAIN GROUP 25; Trithorax-related protein 7; EC 2.1.1.43 1423 0 10 1252 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4K1J4 1 SwissProt MVAVDSTFPSHGSSYSSRRKKVSALEPNYFGSMCMGVYSDDVSISAREVAQDYSCDSCGDLATVSSACCNFDELCGLDSALEMGCRSNEDCRAGQEASGSGIASGLDKSVPGYTMYASGWMYGNQQGQMCGPYTQQQLYDGLSTNFLPEDLLVYPIINGYTANSVPLKYFKQFPDHVATGFAYLQNGIISVAPSVTSFPPSSSNATVHQDEIQTEHATSATHLISHQTMPPQTSSNGSVLDQLTLNHEESNMLASFLSLGNEHACWFLVDGEGRNHGPHSILELFSWQQHGYVSDAALIRDGENKLRPITLASLIGVWRVKCGDANCDEPVTGVNFISEVSEELSVHLQSGIMKIARRALLDEIISSVISDFLKAKKSDEHLKSYPPTSAVESISSRVINAEKSVVSNTESAGCKNTMNEGGHSSIAAESSKYTKSVGSIENFQTSCSAVCRTLHHHCMQIMWNAVFYDTVATHSSCWRKNKIWFRSSDISTVNYCKGSHTKYSDKPESFESFTCRVDSSSSKTAYSDEFDLATNGARVRGLSSDTYGTESVIASISEHVENELFLSLKTHLTDYTSILIKDGANNTTSSARDGKMHEGSFREQYNLEGSSKKKNGLNVVPAKLRFSNDFSDSQRLLQEGESSEQITSEDIIANIFSTALETSDIPVNDELDALAIHEPPPPGCESNINMPCLRYKYQPVRSKESIPEIKAYVSMALCRQKLHNDVMRDWKSLFLKCYLNEFLASLKGSHQVSRKETLALKKRKTVTRNKKLVQSNISNQTAEKLRKPCVGASEKVLVKRSKKLSDSHSMKEVLKVDTPSIDLSVRKPSQQKMRNTDRRDHCIIKDATKLHKEKVGKDAFSKVICDKSQDLEMEDEFDDALLITRLRRISRNKTKELRECRNAAKSCEEISVTAEESEETVDCKDHEESLSNKPSQKVKKAHTSKLKRKNLSDARDEGTKSCNGAVKSFTEISGKEGDTESLGLAISDKVSHQNLSKRRKSKIALFLFPGFENTSRKCFTKLLSPEDAAKNGQDMSNPTGNPPRLAEGKKFVEKSACSISQKGRKSSQSSILKRKHQLDEKISNVPSRRRLSLSSTDSEDAVIKEDYDVRNEEKLPCHTSDKLQKGPNKLIRRRKPLAKHTTERSPIKDLSVDDGRPKPIALKPLEKLSSKPSKKKLFLSIPKSDGCARTSINGWHWHAWSLKASAEERARVRGSSCVHMQHFGSKSSLTQNVLSARTNRAKLRNLLAAADG
VIMSS10108672 1 73 0.240728767123288 serine-type endopeptidase inhibitor (RefSeq) 73 0 10 73 0 Arabidopsis thaliana VIMSS10108672 1 MicrobesOnline MRRSRKKLMARAFGGKRRRCKRSDRERESKNESSYHFGWNSCSGDLYLLSCLRLGQRLRNRCSNSYHRLIIFI
VIMSS10109230 1 373 0.382717158176944 PF06203.14:CCT:316:358 zinc finger (B-box type) family protein (RefSeq) 373 43 10 373 0 Arabidopsis thaliana VIMSS10109230 1 MicrobesOnline MGYMCDFCGEQRSMVYCRSDAACLCLSCDRNVHSANALSKRHSRTLVCERCNAQPASVRCSDERVSLCQNCDWSGHDGKNSTTTSHHKRQTINCYSGCPSSAELSSIWSFCMDLNISSAEESACEQGMGLMTIDEDGTGEKSGVQKINVEQPETSSAAQGMDHSSVPENSSMAKELGVCEDDFNGNLISDEVDLALENYEELFGSAFNSSRYLFEHGGIGSLFEKDEAHEGSMQQPALSNNASADSFMTCRTEPIICYSSKPAHSNISFSGITGESNAGDFQDCGASSMKQLSREPQPWCHPTAQDIIASSHATTRNNAVMRYKEKKKARKFDKRVRYVSRKERADVRRRVKGRFVKSGEAYDYDPMSPTRSY
VIMSS10109815 1 63 0.0876920634920635 PF06376.12:AGP:31:63 Arabinogalactan protein 22; AtAGP22; Arabinogalactan peptide 22; AG-peptide 22 63 33 10 26 2 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FK16 0 SwissProt MASLKFPLEILAVFVIISVILLPIAQSHSSSPAPAPTSDGTSIDQGIAYVLMMVALALTYFIH
VIMSS10110271 116 247 0.204800757575757 basic helix-loop-helix (bHLH) family protein (RefSeq) 247 0 10 132 0 Arabidopsis thaliana VIMSS10110271 1 MicrobesOnline TPKSSLSFSKDFDRDLLVPVTSKKMKQLDSGSSTSLIEVLELKVTFMGERTMVVSVTCNKRTDTMVKLCEVFESLNLKILTSNLTSFSGMIFHTVFIEADEEEQEVLRLKIETGIGAYNETQSPTLSIDSLY
VIMSS10110306 1 274 0.243701094890511 PF01459.22:Porin_3:5:267 Mitochondrial outer membrane protein porin 4; Voltage-dependent anion-selective channel protein 4; AtVDAC4; VDAC-4 274 263 10 274 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FKM2 1 SwissProt MGSSPAPFADIGKKAKDLLNKDYIFDHKFTLTMLSATGTEFVATGLKKDDFFFGDISTLYKGQNTIVDLKIDSHSSVSTKVTLKNLLPSAKAVISFKIPDHKSGKLDVQYVHPHATLNSSIGLNPTPLLDLSATIGSQNVCLGGEVSFDTASSSLTKYNAGIGFNNQGVSAALILEDKGESLRATYVHTVNPTTSFGAELIRRFSNYNNSFTVGSSHSVDQFTVVKTRFSNSGKAGMVVQREWRPKSHITFSAEYDSKAVTSSPKLGLALALKP
VIMSS10110373 1 1196 0.773602006688961 PF05182.13:Fip1:337:379 FIP1[V]-like protein; AtFIP1(V); Factor interacting with poly(A) polymerase 1-like 5; AtFIPS5; Protein HOMOLOG OF YEAST FIP1 [V] 1196 43 10 1196 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4KDH9 1 SwissProt MEEDDEFGDLYSDVLQPFQPPVVLPPPPPLPHRSIDLNLRSQDQDVSEPNSAPISRVSDNDAVKLSTQDATRQAIVDGGGDDKDMSFDIEEPDADSTPTIPGLFVTGALPGLATDRGVSQVTTRIEQQVGGGGDGGYGGQGEGDDWDSDSEDDLQIVLNDSSRNVMIGGADRRSRMGDNEDDDDEDDEDPLVIVADTDPNQPMEEQMWGEDGLQGIEGDGKDGGEAGKGSGPGGATGPPKAGYSSHGYHPFHSQFKYVRPGAAPIPGGAASVGGPSSGQVRPPANLGPMAGRGRGDWRPLGMRNASAAQKGFHQPWGSNTAGRGLDFTLPSHKTIFEVDIDSFEEKPWRYPGVEMTDYFNFGLNEESWKDYCKQLDQHRIQTTMQSRIRVYESGRTDQGYDPDLPPELAAATGAQGVPVDSSNLVKPDSVQGDSAKVPANVRPTLPPGRPIPVETGSGERLPSIDTRAPRMRDLDAIIEIVCQDSHEDEPSGENGTDQADSSLPGENVPVETSYVNNKRPDTESAEHSPAQDEPHKNLLKKQDDEISRSTDSGQSFRSSSPVGDRGTRSSSVDREDVGGEAGKDAEMGEELKMSFTSPQSAVQEDDGGESKTERSSESSKARSGSHRDFQQEEDVIQDKHSSRPANNRKQYDNNAPHQSRKNQDRGKEMERTRAASKGGRENSNPHMELDSTYIYSIASREDFDKRKERDVDGAVWRRKEDDPYSRRGGDEGSRKRDREDDPGFRQRGKMRENEIRSKDDQVPSRKHMDDAGMRNIYEPDDHINKRRKDEEYLRRSRPEKNEISYGQRESMSRVKRERDDRLEHQKRDVQHKIRDDFDDHGSLRQRDDIYMQRDGNERLRERDVLDKLKLPHEDGISARGRERQVAVRGHRGSEDRSSRMKDEYKASDKEHVTKDTLRHAKQTKRRDYPGEESSSHHRGHEDFSARTDNIVNNEKKPRQERTGAKIDKFIDTLDGQRLQDRKHKDSRRKIKEQREGTESLSKQGEQNGSSVVTGSKGTNDARNCRSEIPHQPNTAKRHKENASSGDEIHDSKRGRTKLERWASHKEREDAVSAKSSSISSKLEEKENNTNGRLSEPVHGSIGKSRDVTEEKIGHDLADTKDGSEKGPGDRHLDTVEKLKKRSERFKLPMPTEKDTTGVKKMESETLPSAKIEGPVDSEGEYVWDERSCVRIGREYA
VIMSS10110698 123 360 0.625898319327731 Transcription factor TCP5 360 0 10 238 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FME3 1 SwissProt PLQFPHGFNQMYPNLIFGNSGFGESPSSTTSTTFPGTNLGFLENWDLGGSSRTRARLTDTTTTQRESFDLDKGKWIKNDENSNQDHQGFNTNHQQQFPLTNPYNNTSAYYNLGHLQQSLDQSGNNVTVAISNVAANNNNNLNLHPPSSSAGDGSQLFFGPTPPAMSSLFPTYPSFLGASHHHHVVDGAGHLQLFSSNSNTASQQHMMPGNTSLIRPFHHLMSSNHDTDHHSSDNESDS
VIMSS10110833 1 517 0.187416441005803 PF03016.15:Exostosin:118:456 Xyloglucan galactosyltransferase XLT2; Glycosyltransferase 18; AtGT18; Protein XYLOGLUCAN L-SIDE CHAIN GALACTOSYLTRANSFERASE POSITION 2; EC 2.4.1.- 517 339 10 517 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::F4K6F1 1 SwissProt MLPVSNPSSPEHLLKKSRTPDSTTSIDRKNSFNSLHSVGNRSSYIAASRSHCTWLILSLLSLQLILFLTLRSIPFPHRHIPENFPSPAAVVTTTVTTTVISAASSNPPLSSSSSDERCDSGRVFVYDMPKIFNEVILQQCDNLNPWSSRCDALSNDGFGQEATSLSNVIPKDLVQSWFWTDQFVTEIIFHNRILNHRCRTLDPESATAFYIPFYAGLAVGQYLWSNYAAADRDRHCKMMTQWVKNQPYWNRSNGWDHFITMGRITWDFRRSKDEDWGSNCIYIPGMRNITRLLIERNSWDHFDVGVPYPTGFHPRSDSDVVNWQDFVRNRRRETLFCFAGAPRAGIVNDFRGLLLRHCEESRGKCRTVDCTVGKCSNGSSAILETFLGSDFCLQPRGDSFTRRSIFDCMLAGSIPVFFWRRSAYMQYQWFLPDKPDSYSVFIDRNEVTNGTTSIKEVLERYSKEDVRKMRERVIDLIPNLVYAKSPNGLETFKDAFDVAIDGVFRRFKEQEKWYKWR
VIMSS10110850 1 446 0.355530493273542 BAG family molecular chaperone regulator 7; Bcl-2-associated athanogene 7 446 0 10 446 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9LVA0 1 SwissProt MTLFHRLDLIDPYTCTPLIVRETSIVEPSSLFLGFPSFIDEDIEDLFEFSSPNPLDLFETVTDLVKIKKSPSSCKYKVIRRRLEPEYPLKYLCDRVSDLESKFDRLVSPKSDRKYTLTKEIKGSGERKYKWEAEIQGPLERKYKLEAEIEGSGERKYRWTTEIKGGKKDEEGLKLAALKKEKAKAKAIAAAEAEKKKNKNKKKSYNWTTEVKSERENGEVSHTYIIKATTGGEKKKKHEEKEKKEKIETKSKKKEKTRVVVIEEEEEEDDESSEHGAIVLRKAFSRRNGAVRTKKGKNKEMPPEYAAVMIQRAFKAYLIRRSKSLRALRDLAIAKTKLKELRASFHNFSYRRLIARDGEERQKFSEKIIVLLLTVDAIEGVDVMVRGAKRSMVDELEAMLDVVDPQPQGKSLSMRRRTFDMPDSLIRKEIAEGVTQIVQMLETEEE
VIMSS10110859 1 167 0.0720107784431138 PF03134.19:TB2_DP1_HVA22:29:105 HVA22-like protein b; AtHVA22b 167 77 10 167 0 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9SYX7 1 SwissProt MSSGIGSLVKVIFKNFDVIAGPVISLVYPLYASVRAIESRSHGDDKQWLTYWALYSLIKLFELTFFRLLEWIPLYPYAKLALTSWLVLPGMNGAAYLYEHYVRSFLLSPHTVNVWYVPAKKDDDLGATAGKFTPVNDSGAPQEKIVSSVDTSAKYVGHSAFDDAYIY
VIMSS10111051 1 305 0.203172131147541 PF04674.12:Phi_1:33:304 EXL2 (EXORDIUM LIKE 2) (RefSeq) 305 272 10 285 1 Arabidopsis thaliana VIMSS10111051 1 MicrobesOnline MASNYRFAIFLTLFFATAGFSAAALVEEQPLVMKYHNGVLLKGNITVNLVWYGKFTPIQRSVIVDFIHSLNSKDVASSAAVPSVASWWKTTEKYKGGSSTLVVGKQLLLENYPLGKSLKNPYLRALSTKLNGGLRSITVVLTAKDVTVERFCMSRCGTHGSSGSNPRRAANGAAYVWVGNSETQCPGYCAWPFHQPIYGPQTPPLVAPNGDVGVDGMIINLATLLANTVTNPFNNGYYQGPPTAPLEAVSACPGIFGSGSYPGYAGRVLVDKTTGSSYNARGLAGRKYLLPAMWDPQSSTCKTLV
VIMSS10111053 1 549 0.0789489981785064 PF00939.19:Na_sulph_symp:82:548,PF03600.16:CitMHS:124:444 Dicarboxylate transporter 2.2, chloroplastic; AtpDCT2 549 467 10 329 10 Arabidopsis thaliana (Mouse-ear cress) SwissProt::Q9FMF8 1 SwissProt MESLALRSISLSASYLSLHRSSSKSFALLPPSISVHTSPTLRSLSISSPRFTLRATASSLPEEQNKPQPPPPSPPQPQGAKLIPLAISVSIGLIVRFLIPRPEQVTSQGWQLLSIFLFTISGLVLGPLPVGAWAFIGLTASIVTKTLPFSTAFAAFTNELIWLIAISFFFARGFIKTGLGDRIATYFVKWLGKSTLGLSYGLAFCETLMGLIMPSTMARAGGVFLPVIKSLAISAGSYPGDPSSRKLGSFLIQTQLQCSGASGAILLTSAAQNLLCLKLAREVGVVISNPWITWFKVASVPAFVSLLCTPLIIYKLYPPELKHTPEAPAAAAKKLERLGPITKNEWIMLGAMAFTVSLWVFGEAIGIASVVSAMIGLSTLLLLGVINWDDCLSDKSAWDSLTWFAVLIGMAGQLTNLGVVAWMSDCVAKLLQSLSLTWPASFIILQACYLLIHYLFASQTGHAGALYPPFLAMQIAAGVPGVLAALCLAFNNNLSGALAHYSGGPAALYYGAGYVDLRDMFRVGFVMALVQAIIWGGVGSFWWKFLGLY
VIMSS10111434 1 383 0.203749869451697 PF02135.16:zf-TAZ:256:340,PF00651.31:BTB:63:168 BT4 (BTB AND TAZ DOMAIN PROTEIN 4); protein binding / transcription regulator (RefSeq) 383 191 10 383 0 Arabidopsis thaliana VIMSS10111434 1 MicrobesOnline MQGREDKLNKRMVTGCVDLHQSFKSADSSSVPIPPPLPSKSDGLKKKLGHSSVSTATRDMWDRLFNDGYKADVVIYTDNGSIIYAHANILGTASTVIKGMLKQAKRHGKWHTISIRGVPHDAVRVFIRFLYSSCYEKEEMNEFIMHLLLLSHAYVVPQLKRVCEWHLEHGLLTTENVVDVFQLALLCDFPRLSLISHRMIMKHFNELSATEAWTAMKKSHPFLEKEVRDSVIIEANTRKERMRKRNDQRIYSQLYEAMEALVHICRDGCKTIGPHDKDFKPNHATCNYEACKGLESLIRHFAGCKLRVPGGCVHCKRMWQLLELHSRVCAGSDQCRVPLCRNLKEKMEKQSKKDESRWKLLVKNVLGSKKIGGSPFFLPVTNC
VIMSS101586 1 664 0.104356777108434 PF00874.20:PRD:208:284,PF00874.20:PRD:309:396,PF05043.13:Mga:69:172,PF08279.12:HTH_11:6:63,PF00359.22:PTS_EIIA_2:525:657,PF02302.17:PTS_IIB:408:489 putative transcriptional antiterminator (BglG family) (NCBI ptt file) 664 542 10 664 0 Streptococcus pyogenes M1 GAS VIMSS101586 1 MicrobesOnline MLSKKELAIITFLIHHKEQFVSSATLAEVIGMSDRTVRKYLKELISSLPEHGAHIISKQGRGYCLEIDHSMAFDIFWQESVTSKKRLADVTQVEESVDREDYVLHKFFFEDAVQDFEELCQELYISRTTLKHVLAGIKERIIPYQLELEITHQHIQIRGKEEDIRHFIMDYFFVTSFDNTLSTMVGNTFLEGINFAEIMIIVLDECRDAKLKLSDFVMNNLVLHIALMVQRIRSGCPLELFSIPIAIRQSDEYQVALRILYRVEEVMGIRFPKEEANYIALHLKVKHSVGKHWQDDNTDEKLQDHLKACIAKISQLTGMTLETDTNLFQGLLAHMMPLTIRLENHIQLTNPLTEEIKSQYPEIFTLTKQTFSDLLVCQKNDVSDDEWAYISLHLMAAIERYSNRHKLRVLVVCATGYGSAMMLKNRLEKEFEGRLRIVDVISYYEITEERLKTVDLIISSISLANLMFLTPVITVSVFLSNQDIETIRQFIGEQEGIKKEVSLPSQMSLAKAEQLLTGVFSPNRFLYLDEKISKEDLLLRMIACLDEAGTETFVEDFYHQMVLRENYSPVIYGEVLAFPHPANPMTYSEQVVVVICREPLEWDKAHQAVHFVFLLSPSKGHNHRLKYVSPGLASFVNQVELQQALLEEPNYSKFMTVFTPLIHD
VIMSS103575 1 2513 0.489190847592519 PF04650.17:YSIRK_signal:5:30,PF08428.10:Rib:1641:1674,PF08428.10:Rib:1986:2032,PF08428.10:Rib:2070:2113,PF08428.10:Rib:2462:2509 hypothetical protein (NCBI) 3890 199 10 2513 0 Staphylococcus aureus subsp. aureus N315 VIMSS103575 1 MicrobesOnline MNYRDKIQKFSIRKYTVGTFSTVIATLVFLGFNTSQAHAAETNQPASVVKQKQQSNNEQTENRESQVQNSQNSQNSQSLSATHENEQPNNSQANLVNQKVAQSSTTNDEQPASQNVNTKKDSATAATTQPDKEESKHKQNESQSANKNGNDNRAAHVENHEANVVTASDSSDNGNVQHDRNELQAFFDANYHDYRFIDRENADSGTFNYVKGIFDKINTLLGSNDPINNKDLQLAYKELEQAVALIRTMPQRQQTSRRSNRIQTRSVESRAAEPRSVSDYQNANSSYYVENANDGSGYPVGTYINASSKGAPYNLPTTPWNTLKASDSKEIALMTAKQTGDGYQWVIKFNKGHAPHQNMIFWFALPADQVPVGRTDFVTVNSDGTNVQWSHGAGAGANKPLQQMWEYGVNDPDRSHDFKIRNRSGQVIYSWPTVHVYSLEDLSRASDYFSEAGATPATKAFGRQNFEYINGQKPAESPGVPKVYTFIGQGDASYTISFKTQGPTVNKLYYAAGGRALEYNQLFMYSQLYVESTQDHQQRLNGLRQVVNRTYRIGTTKRVEVSQGNVQTKKVLESTNLNIDDFVDDPLSYVKTPSNKVLGFYPTNANTNAFRPGGVQELNEYQLSQLFTDQKLQEAARTRNPIRLMIGFDYPDGYGNSETLVPVNLTVLPEIQHNIKFFKNDDTQNIAEKPFSKQAGHPVFYVYAGNQGNASVNLGGSVTSIQPLRINLTSNENFTDKDWQITGIPRTLHIENSTNRTNNARERNIELVGNLLPGDYFGTIRFGRKEQLFEIRVKPHTPTITTTAEQLRGTALQKVPVNISGIPLDPSALVYLVAPTNQTTNGGSEADQIPSGYTILATGTPDGVHNTITIRPQDYVVFIPPVGKQIRAVVYYNKVVASNMSNAVTILPDDIPPTINNPVGINAKYYRGDEVNFTMGVSDRHSGIKNTTITTLPSGWTSNLTKSDNKNGSLAITGRVSMNQAFNSDITFKVSATDNVNNTTNDSQSKHVSIHVGKISEDAHPIVLGNTEKVVVVNPTAVSNDEKQSIITAFMNKNQNIRGYLASTDPVTVDNNGNVTLHYRDGSSTTLDATNVMTYEPVVKSEYQTANAAKTATVTIAKGQSFNIGDIKQYFTLSNGQAIPNGTFTNITSDRTIPTAQEVSQMNAGTQLYHIVASNAYHKDTEDFYISLKIVDVKQPEGDQRVYRTSTYDLTTDEISKVKQAFINANRDVITLAEGDISVTNTPNGANVSTITVNINKGRLTKSFASNLANMNFLRWVNFPQDYTVTWTNAKIANRPTDGGLSWSDDHKSLIYRYDATLGTQITTNDILTMLKATTTVPGLRNNITGNEKAQAEAGGRPNYRTTGYSQSNATTDGQRQFTLNGQVIQILDIINPSNGYGGQPVTNSNTRANHSNSTVVNVNEPAANGAGAFTIDHVVKSNSTHNASDAVYKAQLYLTPYGPKQYVEHLNQNTGNTTDAINIYFVPSDLVNPTISVGNYTNHQVFSGETFTNTITANDNFGVQSVTVPNTSQITGTVDNNHQHVSATAPNVTSATSKTINLLATDTSGNTATTSFNVTVKPLRDKYRVGTSSTAANPVRIANISNNATVSQADQTTIINSLTFTSNAPNRNYATASANEITSKTVSNVSRTGNNANVTVTVTHQDGTTSTVTVPVKHVIPEIVAHSHYTVQGQDFPAGNGSSAADYFKLSNGSAIPDATITWVSGQAPNKDNTRIGEDITVTAHILIDGETTPITKTATYKVVRTVPKHVFETARGVLYPGVSDMYDAKQYVKPVNNSWSTNAQHMNFQFVGTYGPNKDVVGISTRLIRVTYDNRQTEDLTILSKVKPDPPRIDANSVTYKAGLTNQEIKVNNVLNNSSVKLFKADNTPLNVTNITHGSGFSSVVTVSDALPNGGIKAKSSISMNNVTYTTQDEHGQVVTVTRNESVDSNDSASVTVTPQLQATTEGAVFIKGGDGFDFGHVERFIQNPPHGATVAWHDSPDTWKNTVGNTHKTAVVTLPSGQGTRNVEVPVKVYPVANAKAPSRDVKGQNLTHGTNAIDYITFDPNTNTNGITAAWANRQQPNNQQAGVQHLNVDVTYPGISAAKRVPVTVNVYQFEFPQTTYTTTVGGTLASGTQASGYAHMQNASGLPTDGFTYKWNRDTTGTNDANWAAMNKPNTAQVVNAKYDVIYNGHTFATSLPAKFVVKDVQPAKPTVTETAAGAITIAPGANQTVNTHAGNVTTYADKLVIKRNGNVVTTFTRRNNTSPWVKEASADNVTGIVGTNNGITVAAGTFNPADTIQVVATQGSGETISDEQRSDDFTVVAPQPNQATTKIWQNGHIDITPNNPSGHLINPTQAMDIAYTEKVGNGAEHSKTINVVRGQNNQWTIANKPDYVTLDAQTGKVTFNANTIKPNSSITITPKAGTGHSVSSNPSTLTAPAAHTVNTTEIVKDYGSNVTAAEINNAVQVANKRTATIKNGTAMPTNLAGGSTTTIPVTVTYNDGSTEEVQESIF 1
VIMSS104646 1 254 0.16247125984252 PF13411.6:MerR_1:4:71,PF00376.23:MerR:5:42 hypothetical protein (NCBI) 254 68 10 211 2 Staphylococcus aureus subsp. aureus N315 VIMSS104646 1 MicrobesOnline MSNYSTGELAKLCNVTTRTIQYYDRKGILKPQGFTEGKRRVYTEQQRQTLELILLLKDLGCALSDIDMLLKGEGTLKTLNTLLTMKQQEINQQVKQQQAVLNKIKNVQYYVNEASTSPITHLKDIEHVMSKSAEMKSIRRNIWISAGIIGIIQYSSIMSSILMKNKWPFLIALPFMNCYGIGVTFYYQQKVAYLCPNCQHIFSPSLWAVIKAKHTATTRRFECPNCHETHYCIEVPKAHMSTEQLEISHIQHNN
VIMSS104708 1 351 0.00751566951566951 PF13303.6:PTS_EIIC_2:15:348 hypothetical protein (NCBI) 351 334 10 126 10 Staphylococcus aureus subsp. aureus N315 VIMSS104708 0 MicrobesOnline MTSIKTITPKDFIFRVLSGVAIGIVAGLVPNAILGEIFKYFMDYHPIFKTLLGVVVAIQFTVPALIGALVAMKFDLSPLAIAVVASAAYVGSGAAQFKNGAWMITGIGDLINTMITAAIAVLFILLIQHRVGSMALIVFPTVVGGISGAIGVLILPYTKMITTAIGNMVNGFTELQPIVMSILISMVFSLIIISPLSTVAIAFAIGITGLAAGSASIGISATEAVLIIGTSKVNRLGVPLSVFFGGVKMMIPNMVKYPILMLPILTTAIVSGLVSALVGIHGTKESAGFGFIGMVGPINAFKFMEVDSAWLSVLLIVVAFFVVPFVTAWLADIIYRKVFRLYTNDIFKFMG
VIMSS1052871 1 228 0.127951754385965 PF13505.6:OMP_b-brl:12:228,PF02530.14:Porin_2:165:223,PF01389.17:OmpA_membrane:43:228 outer membrane protein, putative (NCBI) 228 217 10 228 0 Brucella melitensis biovar Abortus 2308 VIMSS1052871 1 MicrobesOnline MKLKALLFASTVVLVAATGANAADAVIEQEPAPVVVAPTFTWNGAYLGGQIGYAWGKSHFSYDSTGLGDIKPDGFLGGLYAGYNFDLGNSVVLGIDGDVTYNDVSKNIDFLDENNAAATFENRLRWSGAVRARAGYAVDRFLPYIAGGVAFGSLRNRGEFEGTGFSQSKTLTGWTIGAGMDYAATDNVILRLEYRYTDYGNKDYGFDDAAVTNNFKTNDIRFGVAYKF 5
VIMSS1055060 1 116 0.120914655172414 PF05101.13:VirB3:17:100 Type IV secretion system protein virB3 116 84 10 70 2 Brucella abortus (strain 2308) SwissProt::Q2YIT7 0 SwissProt MTTAPQESNARSAGYRGDPIFKGCTRPAMLFGVPVIPLVIVGGSIVLLSVWISMFILPLIVPIVLVMRQITQTDDQMFRLLGLKAQFRLIHFNRTGRFWRASAYSPIAFTKRKRES
VIMSS1055118 1 189 0.14563544973545 PF03472.15:Autoind_bind:23:176 HTH-type quorum sensing-dependent transcriptional regulator VjbR 259 154 10 189 0 Brucella abortus (strain 2308) SwissProt::Q2YJ50 1 SwissProt MSLDLVHFPNYKKTFFGSSFQSDTLALLTRIRDEIGCRYVTHTYRGRVGDCTKVNSADLTVLMTLPATWVARYSSKNYFAIDPVFQEDAPYYRNDTSAIARDLKEDADICPAVAELLHDAEKHGLGNLFIAVSARNPKGVAGCTVFTFEVEDEDRTQFLARMRPRLLSLAGIIHGTVCGCKDANSVASL
VIMSS10592 1 158 0.268589240506329 PF11947.8:DUF3464:6:148 unknown protein (NCBI ptt file) 158 143 10 112 2 Synechocystis sp. PCC 6803 VIMSS10592 1 MicrobesOnline MADPTNRDRLPFERKSKKKKVEKKPPAVVAPSTKTSGAKDKKGRRSADSGIPAVVSQRMVKRMALFSGIPTGLGMLSFVLFYLVVSRDWFEIPTYVVFSVSLLFFGLGVVGLSYGIFSTSWEDEPGSVWGWPEFRLNLSRTIAVWRNAQQTAQDNGDR
VIMSS10705 1 149 0.340159731543624 PF14105.6:DUF4278:1:56 unknown protein (NCBI ptt file) 149 56 10 149 0 Synechocystis sp. PCC 6803 VIMSS10705 1 MicrobesOnline MQLSYRGVKYDYNPPKVETEVLGLAGSYRGLDYRFRRTTTKNVIQPNVNLTYRGVSFNPAQDLQPELYTANKKVEVAAAPSQISFQDRVRARLHSKTQAIKKRQQSLLVRLAEEIGLSGDQAVNSAVRIQGKVLANFRSDYASQGVAMS
VIMSS108409 1 137 0.260614598540146 PF01850.21:PIN:12:128 Ribonuclease VapC3; RNase VapC3; Toxin VapC3; EC 3.1.-.- 137 117 10 137 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WFB7 1 SwissProt MRASPTSPPEQVVVDASAMVDLLARTSDRCSAVRARLARTAMHAPAHFDAEVLSALGRMQRAGALTVAYVDAALEELRQVPVTRHGLSSLLAGAWSRRDTLRLTDALYVELAETAGLVLLTTDERLARAWPSAHAIG
VIMSS109807 1 147 0.303447619047619 PF01243.20:Putative_PNPOx:6:91 hypothetical protein (NCBI) 147 86 10 147 0 Mycobacterium tuberculosis CDC1551 VIMSS109807 1 MicrobesOnline MTTLNEAAALAAAERGLAVVSTVRADGTVQASLVNVGLLPHPVSGEPSLGFTTYGKVKLGNLRARPQLAVTFRNGWQWATVEGRAQLVGPDDPRPWLVDGERLRLLLREVFTAAGGTHDDWDEYDRVMAQEQRAVVLITPTRIYSNG
VIMSS111182 1 133 0.327771428571429 hypothetical protein (NCBI) 133 0 10 133 0 Mycobacterium tuberculosis CDC1551 VIMSS111182 1 MicrobesOnline MPDSSTALRILVYSDNVQTRERVMRALGKRLHPDLPDLTYVEVATGPMVIRQMDRGGIDLAILDGEATPTGGMGIAKQLKDELASCPPILVLTGRPDDTWLASWSRAEAAVPHPVDPIVLGRTVLSLLRAPAH
VIMSS113821 1 204 0.796473039215686 PF05901.11:Excalibur:165:200 hypothetical protein (NCBI) 204 36 10 181 1 Staphylococcus aureus subsp. aureus Mu50 VIMSS113821 1 MicrobesOnline MNTENNKNQNQSVKNSERRGMLKGCGGCLISFILLIILLSACSMMFSNNDNSTSNQSSKTQLTQKDENKNEDKPEEKSETATDEDLQSTEEVPANENTENNQHEIDEITTKDQSDDEINTPNVAEDKSQDDLKDDLKEKQQSSNHHQSTQPKTSPSTETNRQQSFANCKQLRQVYPNGVTADHPAYRPHLDRDKDNRACEPDKN
VIMSS114188 1 480 0.02768375 PF07690.16:MFS_1:19:409 similar to multidrug resistance protein (NCBI) 480 391 10 169 14 Staphylococcus aureus subsp. aureus Mu50 VIMSS114188 0 MicrobesOnline MAKVELTTRRRNFIVAVMLISAFVAILNQTLLNTALPSIMRELNINESTSQWLVTGFMLVNGVMIPLTAYLMDRIKTRPLYLAAMGTFLLGSIVAALAPNFGVLMLARVIQAMGAGVLMPLMQFTLFTLFSKEHRGFAMGLAGLVIQFAPAIGPTVTGLIIDQASWRVPFIIIVGIALVAFVFGLVSISSYNEVKYTKLDKRSVMYSTIGFGLMLYAFSSAGDLGFTSPIVIGALIISMVIIYLFIRRQFNITNVLLNLRVFKNRTFALCTISSMIIMMSMVGPALLIPLYVQNSLSLSALLSGLVIMPGAIINGIMSVFTGKFYDKYGPRPLIYTGFTILTITTIMLCFLHTDTSYTYLIVVYAIRMFSVSLLMMPINTTGINSLRNEEISHGTAIMNFGRVMAGSLGTALMVTLMSFGAKIFLSTSPSHLTATEIKQQSIAIGVDISFAFVAVLVMAAYVIALFIREPKEIESNRRKF
VIMSS11485 1 308 0.00906883116883118 PF01040.18:UbiA:39:286 Homogentisate phytyltransferase; HPT; EC 2.5.1.115 308 248 10 132 8 Synechocystis sp. (strain PCC 6803 / Kazusa) SwissProt::P73726 0 SwissProt MATIQAFWRFSRPHTIIGTTLSVWAVYLLTILGDGNSVNSPASLDLVFGAWLACLLGNVYIVGLNQLWDVDIDRINKPNLPLANGDFSIAQGRWIVGLCGVASLAIAWGLGLWLGLTVGISLIIGTAYSVPPVRLKRFSLLAALCILTVRGIVVNLGLFLFFRIGLGYPPTLITPIWVLTLFILVFTVAIAIFKDVPDMEGDRQFKIQTLTLQIGKQNVFRGTLILLTGCYLAMAIWGLWAAMPLNTAFLIVSHLCLLALLWWRSRDVHLESKTEIASFYQFIWKLFFLEYLLYPLALWLPNFSNTIF
VIMSS115670 1 61 0.034827868852459 fratricide two-peptide bacteriocin subunit CibA 61 0 10 38 1 Streptococcus pneumoniae 459-5 WP_000180803.1 0 RefSeq MTNFDILDNQFLSLSENELSDIDGGLAPLVIFGVAVSWKAIAGGTALIGSGLAAGYFLGGD
VIMSS12064 1 885 0.241788926553672 PF14872.6:GHL5:16:876 glucosylglycerol hydrolase 885 861 10 885 0 Synechocystis sp. (strain PCC 6803 / Kazusa) metacyc::MONOMER-20260 1 metacyc MKTLNRIHLVEEETEKLMAWARSVTESPENYFQAAREVVKKLGGHYQGDGLTQVGFWVPRLAGEGAFTEKLIYLEVFTPLGEIDFQAPEQTGLFRWERIELPQQGEFVWAVLSGMRPGTRDQAGSFYWLRYYDSILSNTLVIRDPLTYSLPYGVFAPAELYDVEKMQRERADLDYLRRSAALNHCQENPENVFDPEQLKAQRAAVLNPAIPVDQNLHPDEDSEAAICRVGAMANILQIHINTASPEGTLVGLTKIYQRLGEKILRHEPLSDAEQNYLGYDAIQLLPIEPTIEYRLEDFNQDHEFFSIAGEEVEEIEVEEGAVVVEEKIKVTLRKPNTQNWGYDVPILGSGATNPAVLGTLRPDELVDLIATLHNFPSGPIQVIYDLVYGHADNQALELLNRQYFKGPNMYGQDLNHQLPIVRAILLEMQRRKINTGADGIRVDGGQDFRFFNPLTGRVEQDDAYLLAMSDVVQEIQGCKRLLFTIFEDGRPWPEDGWEEKSTYRELIELRPESYQWGPLIFAHNTPSLKGFWQRKWERVCEVMYKGDRWITGCGNHDTVRRGNQIDHHNSEINWNLGTTLPEVLHNAYDNPATLLWVYGFCPGLPMDFLNAMMHSPWGFFRNTDERYGVKVAFEEAGFLDWQISPELYQHPQLFPQLKALGFKDLALLQRFMKAVADAMVKKDFQLEAVAKACRHCLGYEPEEDGDAAACDLELLSQFKHAEQPEFVTRLDVPKLKKFARAFMEDGHEACRVSYHFDQVDPERSRFNLALRNYRRQHPWLHHNLQDGDRFNRIHENDHTLFYGLRTNPATAHSDDPEKVVMVTHMEGDPAIVTLGDWLQLDLQEWEVAIATPGVNVDSTADSLRVFELRDGQGVILRNSRSKKEC
VIMSS12068 1 113 0.233593805309735 PF01155.19:HypA:1:111 hydrogenase expression/formation protein; HypA (NCBI ptt file) 113 111 10 113 0 Synechocystis sp. PCC 6803 VIMSS12068 1 MicrobesOnline MHEVSLMEQTLAIAIAQAEDHGASQIHRLTLRVGQQSGVVADALRFAFEVVRQNTMAAEARLEIEEIPVTCRCQHCHENFQPEDWIYRCPHCDQISQTVMDGKQLELASLELS
VIMSS12257 1 262 0.0983583969465649 PF02830.18:V4R:172:230 unknown protein (NCBI ptt file) 262 59 10 262 0 Synechocystis sp. PCC 6803 VIMSS12257 1 MicrobesOnline MGYLPSQTDFFTIPKGMSSMVLTSISTSNIPAKVKGSNLLEHSLRKVHPSKHHHYQVEDFFCFQMNSGSIVDWNNCRNVLTSEDFIVGLIDGLQEEVGNASSVVMYNIGKEWGHYDAEFFNQWFPTEFGYTSSLSELNLNYVLEGWWWPFTAQGWGNWAIDLSEQKNGFLFVDIFDSAVARTLGDVGKPVCHIYAGLLAGFFSRLVNKSLNCIEIQCYAMGETYCKFLIGKQDRIDAATFWQNEGANANDIATKLVKGEYLK
VIMSS1230059 1 184 0.0802331521739131 PF05135.13:Phage_connect_1:20:124 hypothetical protein (NCBI) 184 105 10 184 0 Ehrlichia chaffeensis str. Arkansas VIMSS1230059 1 MicrobesOnline MLESSMLCITRKIVPVSSPITLSEVKSFLRINNNQDDTLINNLITMASEYAQWYIEKSLMKQTWEISCSRYIPGKIQLLFNPIIKVNHVKIIHTNGSEELIDQKYYHVNTVLSYISFNKHIHGDRIEILYEAGYTDNALIPAQIRYGILHHVAISYKNRESENINNLTFIKNIYSPFRELKLVL
VIMSS123504 1 215 0.18714511627907 PF03472.15:Autoind_bind:57:202 PUTATIVE TRANSCRIPTION REGULATOR PROTEIN (NCBI ptt file) 277 146 10 215 0 Sinorhizobium meliloti 1021 VIMSS123504 1 MicrobesOnline MIVNHLLALRGRSGYLIVDECDGTALMQEMITMGTTDIDLPRGGDFASEIARLETQFDIIRYMRRITQIFGFKTFLICSIPAVEVERLSATTVISNMPAELLNKYDSLSMLRFSTGVRRLRETTTPFQVMLEDWEREGGKPDSAADYIAMLRENGIFQANYFPVHDAEGGRGAVILMGPEAELPMTAAMELQMIAIHVYNRLAEIGSVWKNTNTV
VIMSS1244080 1 178 0.464309550561798 outer membrane protein (NCBI) 178 0 10 178 0 Francisella tularensis subsp. holarctica VIMSS1244080 1 MicrobesOnline MKRVIATILGLTVVSGVYADSYTMYAKPDTKSPKLATIDDQDPNYKAIFSKGEWIEVVDNKDGSVGWVKQKPQDKTSQAISKDPIEQMMANFQKQQQLLDQHFNKMLANIDQNIAQMQAQPNSTKAKNNPQVLKKFSSITINSDGKTAKIVKKTEDGNGNIQTVEKEIPADQLGTIKL
VIMSS1244129 1 146 0.220079452054794 PF00210.24:Ferritin:12:144 hypothetical protein (NCBI) 146 133 10 146 0 Francisella tularensis subsp. holarctica VIMSS1244129 1 MicrobesOnline MELKLENKQEIIDQLNKILELEMSGIVRYTHYSLMIIGHNRIPIVSWMQSQASESLTHATAAGEMITHFGEHPSLKIADLNETYQHNINDILIESLEHEKKAVSAYYELLKLVNGKSIILEEYARKLIVEEETHIGEVEKMLRKPA
VIMSS124514 1 214 0.259007943925234 PF00765.17:Autoind_synth:15:186 PUTATIVE AUTOINDUCER SYNTHASE PROTEIN (NCBI ptt file) 214 172 10 214 0 Sinorhizobium meliloti 1021 VIMSS124514 1 MicrobesOnline MIRIVNGNGRSQHPQAIDEMFRLRKRVFHDFLKWDVKTEGDWEIDHYDKANPLYVMSYSPDTGKIRGSLRLLPTLGPNMLDDTFPILLGDNPEIRSASVWESSRFCIDPEISQDRASNQVTIAAAELMCGVGEMSLASGISHIVTVTDVFLERMFRRMGCPGERIADPHRIGSVHAVAIAWEVSRNLLETMKAVASIEGTVLDRPMSLETARAA
VIMSS1289487 1 431 0.0532120649651972 hypothetical protein (NCBI) 431 0 10 212 10 Staphylococcus aureus subsp. aureus NCTC 8325 VIMSS1289487 1 MicrobesOnline MSFLRKHAEIIFSYLIGIVSLFTGLIILINLPLIKQLNGGKKVDTHVHNVWEFLNAFFSEIIKVMSRFIGNFPIVSAIVIIIFGILVMLIGHTLLRTIKYDYDISIFFLVIGIMYFIITLILMTQVYGFFAVIFIIPFTIHIGYIVYKDELNQENVKNHFMWIIVSYGISYLITQIALYGRIDANEIESIDILSVNAFFIIMWLLGQMAIWNFLFLRRALPLTKQELGEEEPELSRTSKGNVTNQTKIHLKQLQDKTTEYARKTRRSVDLDKIRAKRDKFKKKVNDIIDIQEDDIPDWMRKPKWVKPMYVELFCGVVIFLFTFLEFNNRNALFVSGDWKLSQTQYVIEWVTLLILLFIIIAYIATTLTFHLKGKFYYLQLFMGSILFFKLLTEFINIMIHGLLLSVFITPTLLLMLLAIIISYSLQLRERP
VIMSS1289933 1 486 0.88002695473251 PF01476.20:LysM:439:486 Elastin-binding protein EbpS 486 48 10 463 1 Staphylococcus aureus (strain Newman) SwissProt::A6QH29 1 SwissProt MSNNFKDDFEKNRQSIDTNSHQDHTEDVEKDQSELEHQDTIENTEQQFPPRNAQRRKRRRDLATNHNKQVHNESQTSEDNVQNEAGTIDDRQVESSHSTESQEPSHQDSTPQHEEEYYNKNAFAMDKSHPEPIEDNDKHDTIKNAENNTEHSTVSDKSEAEQSQQPKPYFTTGANQSETSKNEHDNDSVKQDQDEPKEHHNGKKAAAIGAGTAGVAGAAGAMAASKAKKHSNDAQNKSNSGKANNSTEDKASQDKSKDHHNGKKGAAIGAGTAGLAGGAASKSASAASKPHASNNASQNHDEHDNHDRDKERKKGGMAKVLLPLIAAVLIIGALAIFGGMALNNHNNGTKENKIANTNKNNADESKDKDTSKDASKDKSKSTDSDKSKEDQDKATKDESDNDQNNANQANNQAQNNQNQQQANQNQQQQQQRQGGGQRHTVNGQENLYRIAIQYYGSGSPENVEKIRRANGLSGNNIRNGQQIVIP
VIMSS1290702 1 106 0.114620754716981 PF00072.24:Response_reg:3:90 accessory gene regulator protein A (NCBI) 208 88 10 106 0 Staphylococcus aureus subsp. aureus NCTC 8325 VIMSS1290702 1 MicrobesOnline MEIALATDNPYEVLEQAKNMNDIGCYFLDIQLSTDINGIKLGSEIRKHDPVGNIIFVTSHSELTYLTFVYKVAAMDFIFKDDPAELRTRIIDCLETAHTRLQLLSK
VIMSS1291269 1 226 0.217827876106195 PF03315.15:SDH_beta:9:79 L-serine dehydratase, iron-sulfur-dependent, beta subunit (NCBI) 226 71 10 226 0 Staphylococcus aureus subsp. aureus NCTC 8325 VIMSS1291269 1 MicrobesOnline MAKSYDYQSAFDIIGPVMMGPSSSHTAGAVKIGNSARAILGDIPKNIEIHYYESFAQTHQGHGTDVAIVGGAMGYSTFDNRIKSSLEIAADDGIKIDIIEEEGDSIGQHPNCAYIKSSRNDGRYIEIIGISIGGGTIKIKGIHINGLEVDLNHGLPILVVDGNMTKAQVNHFINDINDMKLDCKDEFIKIDEDKCLVVIPLNKAISESTLNTIKEKYSDVNVSYIN
VIMSS1291796 1 80 0.0295325 PF08817.10:YukD:4:79 Type VII secretion system accessory factor EsaB 80 76 10 80 0 Staphylococcus aureus (strain Newman) SwissProt::P0C050 1 SwissProt MNQHVKVTFDFTNYNYGTYDLAVPAYLPIKNLIALVLDSLDISIFDVNTQIKVMTKGQLLVENDRLIDYQIADGDILKLL
VIMSS1292576 1 126 0.186424603174603 superantigen-like protein SSL14 241 0 10 126 0 Staphylococcus aureus subsp. aureus USA300_TCH1516 WP_000739523.1 1 RefSeq MKKNIMNKLVLSTALLLLETTSTQLPKTPISFSSEAKAYNISENETNINELIKYYTQPHFSLSGKWLWQKPNGSIHATLQTWVWYSHIQVFGSESWGNINQLRNKYVDIFGTKDEDTVEGYWTYDE
VIMSS1294005 1 182 0.136382967032967 PF00440.23:TetR_N:21:60 regulatory protein, TetR family (NCBI) 182 40 10 182 0 Staphylococcus aureus subsp. aureus USA300_FPR3757 VIMSS1294005 1 MicrobesOnline MKETDLRVIKTKKALSSSLLQLLEQQLFQTITVNQICDNALVHRTTFYKHFYDKYDLLEYLFNQLTKDYFARDISDRLNHPFQTMSDTINNKEDLREIAEFQEEDAEFNKVLKNVCIKIMHNDIKNNRDRIDIDSDIPDNLIFYIYDSLIEGFIHWIKDEKIDWPGEDIDNIFHRLINIKIK
VIMSS1302338 1 134 0.582498507462687 hypothetical protein (NCBI) 134 0 10 134 0 Anaplasma phagocytophilum HZ VIMSS1302338 1 MicrobesOnline MKGKSDSEIRTSSSIRTSSSDDSRSSDDSTRIRASKTHPQAPSDNSSILSSEDIESVMRCLEEEYGQKLSSELKKSMREEISTAVPELTRALIPLLASASDSDSSSRKLQEEWVKTFMAIMLPHMQKIVASTQG
VIMSS13235 84 175 0.450610869565217 general secretion pathway protein G (NCBI ptt file) 175 0 10 92 0 Synechocystis sp. PCC 6803 VIMSS13235 1 MicrobesOnline PDVNRNIKPAGIECFYTRQSGQVPFDSQYDYDRHGSQCYAHISFFGKDGERDAPTAGSPVYPNPGLYDHSETNPNSDDWVYSLGLNPGGIEC
VIMSS133594 1 95 0.062161052631579 PF11674.8:DUF3270:2:89 Hypothetical protein (NCBI ptt file) 95 88 10 54 2 Streptococcus pneumoniae R6 VIMSS133594 0 MicrobesOnline MPVRKLQSYEVDYQEELNQQLPHYQAYTPEAQSDANLKEILFFINIAVFCICIAIFSFIFLALKLSTALAFAAAIGFSLLVLKVQRSIIKRKRRR
VIMSS13370 1 280 0.302418214285714 PF00345.20:PapD_N:69:154 unknown protein (NCBI ptt file) 280 86 10 280 0 Synechocystis sp. PCC 6803 VIMSS13370 1 MicrobesOnline MLSDAYFYRRVVVVRISYQRSSQMSALPALACSLLGSIVFNLTLPTRVDASPSFQLSPMEMRLSPVGGGASRSFLLQSTGTQPVAVQLSVAGRKMSIDGVEELPIEEENFVLYPPQVILRPNQVQAVRVVWVGNPTPAQELPYRIIAEQVPIDDLQPTVDMPTDRRVADIKVLFRYVGTIYVTPPNASPKVFLEGAEPSTTEDGSQKLVLNFENQGTAHQLLRGLTVNLTSEGKTVTLSGDEQLKGVIGENILAGNKRRFVLPWPKELPVGPVTATFTTN
VIMSS13618 1 320 0.49672625 conserved hypothetical protein (NCBI ptt file) 320 0 10 320 0 Mycoplasma pneumoniae M129 VIMSS13618 1 MicrobesOnline MKLNLRFPSYFLPVVAASAFLVSCATPNTYEVQRAALIQLVEEDERQNYIQKGSMGANAVMTAAKAETKTAEKTATSTKAASIELKKTDTDIKTTTTTENKSASGYKLDTLFGDYILWVVDHLSGLLFSPKTNNSTTTQKIQLITEDKMILDGGNLTVEKNHEHGHTHKNGETHEHDHDHHEGEEEVIVGRALSFANGLFLVVDLKEEKHEEKKEAKSEMSMNSKDMVMMTKTEMMSKEMKSEQKMEKKEEHEHPHKKLSLSTTAYKFGQSFNILEFTGAMHHKTAHNNETEFKNLGKKYGGMTEYTIVDFDFNPPKPTK
VIMSS139191 1 308 0.0812665584415584 hypothetical protein (NCBI) 308 0 10 308 0 Neisseria meningitidis MC58 VIMSS139191 1 MicrobesOnline MHQYYDAVILSVGNGLLKRFFKQNAQLNIASRPLIITLFPGVVFGDQASILSRMGADIVLYNNKHDFRIAEEYKKQYKLSCQNILYGYPIFRHASKGCHGEKIYFIDQVKIPFKKEERIYTLKKLIALAEKYPEKEFTILLRVADKDITVHQDKHSYIELAKQFQLPSNLTIERKSTAQAFQEMGYCLSYSSTMLFEAECKGIPVGVVADLGFSKSYANQHFLGSGVLVYFDQIDFTSPKIADPDWLDCYATKKVITTDEFNKLLKQVVPLQHDYQEYLSAGIRYQALANTHAIPTNSFLGIKPCSDA
VIMSS140301 1 2273 0.423648306203257 PF13332.6:Fil_haemagg_2:1151:1210,PF13332.6:Fil_haemagg_2:1230:1380,PF13332.6:Fil_haemagg_2:1460:1630,PF05860.13:Haemagg_act:84:217,PF13018.6:ESPR:1:24 hemagglutinin/hemolysin-related protein (NCBI) 2273 540 10 2250 1 Neisseria meningitidis MC58 VIMSS140301 1 MicrobesOnline MNKRCYKVIFNKKRSCMMAVAENVHRDGKSMQDSEAASVRVTGAASVSSARAAFGFRMAAFSVMLALGVAAFSPAPASGIIADKSAPKNQQAVILQTANGLPQVNIQTPSSQGVSVNRFKQFDVDEKGVILNNSRSNTQTQLGGWIQGNPHLARGEARVIVNQIDSSNPSLLNGYIEVGGKRAEVVVANPSGIRVNGGGLINAASVTLTSGVPVLNNGNLTGFDVSSGKVVIGGKGLDTSDADYTRILSRAAEINAGVWGKDVKVVSGKNKLDFDGSLAKTASAPSSSDSVTPTVAIDTATLGGMYADKITLISTDNGAVIRNKGRIFAATGGVTLSADGKLSNSGSIDAAEITISAQTVDNRQGFIRSGKGSVLKVSDGINNQAGLIGSAGLLDIRDTGKSSLHINNTDGTIIAGKDVSLQAKSLDNDGILTAARDVSVSLHDDFAGKRDIEAGRTLTFSTQGRLKNTRIIQAGDTVSLTAAQIDNTVSGKIQSGNRTGLNGKNGITNRGLINSNGITLLQTEAKSDNAGTGRIYGSRVAVEADTLLNREETVNGETKAAVIAARERLDIGAREIENREAALLSSSGDLHIGSALNGSRQVQGANTSLHNRSAAIESSGNIRIATKDLQNTNEHLRFHTEETHREHRIEYQAEGRTERYPEGSQKELGWEIFEDESLHMRTPDGSPHSVWYKYDYERITAESKITESKPGQIISGGNLVLDAAKLKNHNSRIIAGGRLIVGTPESALDNDETLGTKTITDKGDLHRYHRHHKKGRDSTGYSRSPYEPAPEVSSIRMGISAYKGYAPQQASDIPGTVVPVVAENGIHPTFTLPNSSLFAIAPNNKGYLIETDPAFTDYRKWLGSGYMLAALQQDPNHIHKRLGDGYYEQKLVNEQIAKLTGYRRLDGYTNDEEQFKALMDNGITIAKELQLTPGIALSAEQVARLTSDIVWLENETVTLPDGTTQTVLKPKVYVRARPKDMNGQGALLSGSVVDIGSGAIENRGGLIAGREALILNAQNIKNLQGDLQGKNIFAAAGSDITNTGSIGAENALLLKASNNIESRSETRSNQNEQGSVRNIGRVAGIYLTGRQNGSVLLDAGNNIVLTASELTNQSEDGQTVLNAGGDIRSDTTGISRNQNTIFDSDNYVIRKEQNEVGSTIRTRGNLSLNAKGDIRIRAAEVGSEQGRLKLAAGRDIKVEAGKAHTETEDALKYTGRSGGGIKQKMTRHLKNQNGQAVSGTLDGKEIILVSGRDITVTGSNIIADNHTILSAKNNIVLKAAETRSRSAEMNKKEKSGLMGSGGIGFTAGSKKDTQTNRSETVSHTESVVGSLNGNTLISAGKHYTQTGSTISSPQGDVGISSGKISIDAAQNRYSQESKQVYEQKGVTVAISVPVVNTVMGAVDAVKAVQTVGKSKNSRVNAMAAANALNKGVDSGVALYNAARNPKKAAGQGISVSVTYGEQKNTSESRIKGTQVQEGKITGGGKVSLTASGAGKDSRITITGSDVYGGKGTRLKAENAVQIEAARQTHQERSENKSAGFNAGVAIAINKGISFGFTAGANYGKGYGNGDETAYRNSHIGSKDSQTAIESGGDTVIKGGQLKGKGVGVTAESLHIESLQDTAVFKGKQENVSAQVTVGYGFSVGGSYNRSKSSSDYASVNEQSGIFAGGDGYRIRVNGKTGLVGAAVVSDADKSKNLLKTSEIWHKDIQNHASAAASALGLSGGFSYSPKPTSGQYSTKKEAEIGKIGGKPVSLMRFDQVSAKDDELNEKYRSERIEKGETFKEANLNQNNAGGLKFGLKQNDIHSNDKYALAKMGLGNLLGNAKESESRQSITRSVISEGDWQIASAQGRKNIAGIEKGTSSAHKALAKADREGLLKEVELNRDVAKEFINETLIGGIADEAYRSQFIAEHRLMTFKMDENGEPIEDKQLEEDINKQFDNSVKLKKEFASFKDYWEAYKAIGGNIYELREVSDQERKNLKTARYTDPETGKTVEKIVVGVNGIFNNIQAAAKFAAQQYVGRFNPEKNRYERTYENVYFLHNPETNGRGFSKLPEIAVAAFHKMLEGAKIGNKTVIGLSNSGLALGNIMEDYGKDKNGLFVGSHSRGTLVVDNVLNTLNTQANRDKKILSNTELKMVGPAANVVRADKRLFQLQQGVTTPRTADFARQSIQIENHELDLIGMLIGRNPATVGTNTRQKSQWQAIRDIIGDYTSPHNCYGMANKQCVTDGYRDPENKQTQSPTGVFERGVSNEIEIMYRPVRIYDLQHPKGKTK 1
VIMSS140955 1 171 0.318614619883041 PF04355.13:SmpA_OmlA:52:132 lipoprotein (NCBI) 171 81 10 171 0 Neisseria meningitidis MC58 VIMSS140955 1 MicrobesOnline MKIKQIVKPGLAVLAAGVLSACATKSNVKADGTTDNPVFPKPYSVTLDNKRGTFPTYDELDQMRPGLTKDDIYKILGRPHYDESMYGVREWDYLFHFHTPGVGIDPENTSGVEDVTTCQYKVIFDKDKFARSFYWNPVFPKDAACPPPAPKAEPQVIIREIVPAKPKRIRQ
VIMSS14282 1 198 0.18435303030303 PF00419.20:Fimbrial:36:197 putative fimbrial protein YadK 198 162 10 180 1 Escherichia coli K-12 substr. MG1655 ecocyc::EG12325-MONOMER 1 ecocyc MHPTQRKLMKRIILFLSLLFCIACPAIAGQDIDLVANVKNSTCKSGISNQGNIDLGVVGVGYFSGNVTPESYQPGGKEFTITVSDCALQGTGDVLNQLHIDFRALSGVMAAGSRQIFANEISSGASNVGVVIFSTQDSANTFNVLNASGGSRSVYPVMSDDMNGSSWKFSTRMQKIDPALSVTSGQLMSHVLVDIYYE
VIMSS14372 1 132 0.172817424242424 PF13957.6:YafO_toxin:27:130 ribosome-dependent mRNA interferase toxin YafO 132 104 10 132 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6117-MONOMER 1 ecocyc MRVFKTKLIRLQLTAEELDALTADFISYKRDGVLPDIFGRDALYDDSFTWPLIKFERVAHIHLANENNPFPPQLRQFSRTNDEAHLVYCQGAFDEQAWLLIAILKPEPHKLARDNNQMHKIGKMAEAFRMRF
VIMSS14474 1 419 0.0321661097852029 PF02133.15:Transp_cyt_pur:11:397 cytosine transporter 419 387 10 152 12 Escherichia coli K-12 substr. MG1655 ecocyc::CODB-MONOMER 0 ecocyc MSQDNNFSQGPVPQSARKGVLALTFVMLGLTFFSASMWTGGTLGTGLSYHDFFLAVLIGNLLLGIYTSFLGYIGAKTGLTTHLLARFSFGVKGSWLPSLLLGGTQVGWFGVGVAMFAIPVGKATGLDINLLIAVSGLLMTVTVFFGISALTVLSVIAVPAIACLGGYSVWLAVNGMGGLDALKAVVPAQPLDFNVALALVVGSFISAGTLTADFVRFGRNAKLAVLVAMVAFFLGNSLMFIFGAAGAAALGMADISDVMIAQGLLLPAIVVLGLNIWTTNDNALYASGLGFANITGMSSKTLSVINGIIGTVCALWLYNNFVGWLTFLSAAIPPVGGVIIADYLMNRRRYEHFATTRMMSVNWVAILAVALGIAAGHWLPGIVPVNAVLGGALSYLILNPILNRKTTAAMTHVEANSVE
VIMSS14515 1 406 0.0366610837438424 PF05992.12:SbmA_BacA:80:393,PF06472.15:ABC_membrane_2:79:284 peptide antibiotic/peptide nucleic acid transporter 406 315 10 229 8 Escherichia coli K-12 substr. MG1655 ecocyc::SBMA-MONOMER 0 ecocyc MFKSFFPKPGTFFLSAFVWALIAVIFWQAGGGDWVARITGASGQIPISAARFWSLDFLIFYAYYIVCVGLFALFWFIYSPHRWQYWSILGTALIIFVTWFLVEVGVAVNAWYAPFYDLIQTALSSPHKVTIEQFYREVGVFLGIALIAVVISVLNNFFVSHYVFRWRTAMNEYYMANWQQLRHIEGAAQRVQEDTMRFASTLENMGVSFINAIMTLIAFLPVLVTLSAHVPELPIIGHIPYGLVIAAIVWSLMGTGLLAVVGIKLPGLEFKNQRVEAAYRKELVYGEDDATRATPPTVRELFSAVRKNYFRLYFHYMYFNIARILYLQVDNVFGLFLLFPSIVAGTITLGLMTQITNVFGQVRGAFQYLINSWTTLVELMSIYKRLRSFEHELDGDKIQEVTHTLS
VIMSS14634 1 1426 0.420036886395511 PF05593.14:RHS_repeat:320:357,PF05593.14:RHS_repeat:413:444,PF05593.14:RHS_repeat:493:532,PF05593.14:RHS_repeat:539:572,PF05593.14:RHS_repeat:579:615,PF05593.14:RHS_repeat:621:656,PF14220.6:DUF4329:1292:1411,PF03527.14:RHS:1155:1190 protein RhsD 1426 373 10 1426 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10849-MONOMER 1 ecocyc MSGKPAARQGDMTQYGGPIVQGSAGVRIGAPTGVACSVCPGGMTSGNPVNPLLGAKVLPGETDLALPGPLPFILSRTYSSYRTKTPAPVGVFGPGWKAPSDIRLQLRDDGLILNDNGGRSIHFEPLLPGEAVYSRSESMWLVRGGKAAQPDGHTLARLWGALPPDIRLSPHLYLATNSAQGPWWILGWSERVPGAEDVLPAPLPPYRVLTGMADRFGRTLTYRREAAGDLAGEITGVTDGAGREFRLVLTTQAQRAEEARTSSLSSSDSSRPLSASAFPDTLPGTEYGPDRGIRLSAVWLMHDPAYPESLPAAPLVRYTYTEAGELLAVYDRSNTQVRAFTYDAQHPGRMVAHRYAGRPEMRYRYDDTGRVVEQLNPAGLSYRYLYEQDRITVTDSLNRREVLHTEGGAGLKRVVKKELADGSVTRSGYDAAGRLTAQTDAAGRRTEYGLNVVSGDITDITTPDGRETKFYYNDGNQLTAVVSPDGLESRREYDEPGRLVSETSRSGETVRYRYDDAHSELPATTTDATGSTRQMTWSRYGQLLAFTDCSGYQTRYEYDRFGQMTAVHREEGISLYRRYDNRGRLTSVKDAQGRETRYEYNAAGDLTAVITPDGNRSETQYDAWGKAVSTTQGGLTRSMEYDAAGRVISLTNENGSHSVFSYDALDRLVQQGGFDGRTQRYHYDLTGKLTQSEDEGLVILWYYDESDRITHRTVNGEPAEQWQYDGHGWLTDISHLSEGHRVAVHYGYDDKGRLTGECQTVENPETGELLWQHETKHAYNEQGLANRVTPDSLPPVEWLTYGSGYLAGMKLGGTPLVEYTRDRLHRETVRSFGSMAGSNAAYELTSTYTPAGQLQSQHLNSLVYDRDYGWSDNGDLVRISGPRQTREYGYSATGRLESVRTLAPDLDIRIPYATDPAGNRLPDPELHPDSTLTVWPDNRIAEDAHYVYRHDEYGRLTEKTDRIPAGVIRTDDERTHHYHYDSQHRLVFYTRIQHGEPLVESRYLYDPLGRRMAKRVWRRERDLTGWMSLSRKPEVTWYGWDGDRLTTVQTDTTRIQTVYEPGSFTPLIRVETENGEREKAQRRSLAETLQQEGSENGHGVVFPAELVRLLDRLEEEIRADRVSSESRAWLAQCGLTVEQLARQVEPEYTPARKAHLYHCDHRGLPLALISEDGNTAWSAEYDEWGNQLNEENPHHVYQPYRLPGQQHDEESGLYYNRHRYYDPLQGRYITQDPMGLKGGWNLYQYPLNPLQQIDPMGLLQTWDDARSGACTGGVCGVLSRIIGPSKFDSTADAALDALKETQNRSLCNDMEYSGIVCKDTNGKYFASKAETDNLRKESYPLKRKCPTGTDRVAAYHTHGADSHGDYVDEFFSSSDKNLVRSKDNNLEAFYLATPDGRFEALNNKGEYIFIRNSVPGLSSVCIPYHD 1
VIMSS14786 1 483 0.199835196687371 co-chaperone DjlC 483 0 10 483 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6356-MONOMER 1 ecocyc MKTCWQILEIESTTQIDIIRQAYLARLPLCHPETDPQGFKALRQAYEEALRLAVNPVEEADDEEKDAAAEHEILRAFRTLLDSESDRFQPSAWQKFIQQLNTWNMEDVDQLRWPLCAIAIEARYLSLNCASLLAERLNWHSFNDSEGMDEEEREAFLEAIQAGDCFDFLSLLEYPIALQNQTVEYYFALERCCRYHPDYVTAFLAMEGPWLIPDDAKLHRKLLRWYSSVQTGMAELIPVAQQWQTEEPESEDARYYLCAQRLYCGEGESLLADLCAYWESYPSTQADNLLLQWSKRHCPDYFALLVMVIEARSMVDAQGQPLKYVPGESARTRLLWAEILHSGKLSPLGQSFIESLFFKRKAWAWWKSRVGSETEQDSPFLDLYRVAEQVVLEAFPKQEMLARLNTRLEGGDAHPLEAIVTRMLLTKVKLEPEDEDVDEPTPENHEEKNDEGEKPQSITSIIKISLTVLVIGYALGKIAMLFS
VIMSS14790 91 224 0.0204261194029851 PF00528.22:BPD_transp_1:8:133 glutamate/aspartate ABC transporter membrane subunit GltK (EC 7.4.2.1) 224 126 10 88 2 Escherichia coli K-12 substr. MG1655 ecocyc::GLTK-MONOMER 1 ecocyc PKNDIRLISAMVAFSMFEAAYYSEIIRAGIQSISRGQSSAALALGMTHWQSMKLIILPQAFRAMVPLLLTQGIVLFQDTSLVYVLSLADFFRTASTIGERDGTQVEMILFAGFVYFVISLSASLLVSYLKRRTA
VIMSS148744 1 196 0.363838265306123 PF03433.13:EspA:5:187 Secreted effector protein SseB; Secretion system effector B 196 183 10 196 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::Q7BVH7 1 SwissProt MSSGNILWGSQNPIVFKNSFGVSNADTGSQDDLSQQNPFAEGYGVLLILLMVIQAIANNKFIEVQKNAERARNTQEKSNEMDEVIAKAAKGDAKTKEEVPEDVIKYMRDNGILIDGMTIDDYMAKYGDHGKLDKGGLQAIKAALDNDANRNTDLMSQGQITIQKMSQELNAVLTQLTGLISKWGEISSMIAQKTYS
VIMSS148746 1 484 0.278162190082645 PF04888.12:SseC:93:480 Secreted effector protein SseC; Secretion system effector C 484 388 10 484 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::O84947 1 SwissProt MNRIHSNSDSAAGVTALTHHHLSNVSCVSSGSLGKRQHRVNSTFGDGNAACLLSGKISLQEASNALKQLLDAVPGNHKRPSLPDFLQTNPAVLSMMMTSLILNVFGNNAQSLCQQLERATEVQNALRNKQVKEYQEQIQKAIEQEDKARKAGIFGAIFDWITGIFETVIGALKVVEGFLSGNPAEMASGVAYMAAGCAGMVKAGAETAMMCGADHDTCQAIIDVTSKIQFGCEAVALALDVFQIGRAFMATRGLSGAAAKVLDSGFGEEVVERMVGAGEAEIEELAEKFGEEVSESFSKQFEPLEREMAMANEMAEEAAEFSRNVENNMTRSAGKSFTKEGVKAMAKEAAKEALEKCVQEGGKFLLKKFRNKVLFNMFKKILYALLRDCSFKGLQAIRCATEGASQMNTGMVNTEKAKIEKKIEQLITQQRFLDFIMQQTENQKKIEQKRLEELYKGSGAALRDVLDTIDHYSSVQARIAGYRA
VIMSS148826 1 82 0.761334146341464 PF06392.11:Asr:42:60 acid shock protein precursor (RefSeq) 82 19 10 82 0 Salmonella typhimurium LT2 VIMSS148826 1 MicrobesOnline MKKVLALVVAAAMGLSSAAFAAETATPAKTAAPAKTTQTTQHHKKQHKKTVEQKAQAAKKHQKKGGKAPAKTTSKPTTQPAA
VIMSS150169 1 295 0.0816783050847458 PF12833.7:HTH_18:215:291,PF00165.23:HTH_AraC:258:290 AraC family transcriptional regulator 295 77 10 295 0 Salmonella enterica subsp. enterica serovar Typhimurium str. LT2 NP_461788.1 1 RefSeq MVLPSMNKSVEAISNNHLQQPNKFPLINGLADVRDYYVANCLLFKLNKGSLRIENEFGEFIERSAPCLFLLEKDQTITLSMSEIEGHIDFSSLEVSYDLMQKFYKVFYSTRNYNDRELSLKTKPKYFFHADLLPGMSDTFDSILHGVACPRVCSNVSIDDHDYSYFSLMYLISAFVRKPGGFDFLERAIKITTKEKVYNIIISDLTRKWSQAEVAGKLFMSVSSLKRKLAAEEVSFSKIYLDARMNQAIKLLRMGAGNISQVATMCGYDTPSYFIAIFKRHFKITPLSFMRTMNH
VIMSS15039 92 328 0.269431223628692 PF02606.14:LpxK:2:228 tetraacyldisaccharide 4'-kinase (EC 2.7.1.130) 328 227 10 237 0 Escherichia coli K-12 substr. MG1655 ecocyc::TETRAACYLDISACC4KIN-MONOMER 1 ecocyc SYPLLLSADTTTAQAGDEPVLIYQRTDAPVAVSPVRSDAVKAILAQHPDVQIIVTDDGLQHYRLARDVEIVVIDGVRRFGNGWWLPAGPMRERAGRLKSVDAVIVNGGVPRSGEIPMHLLPGQAVNLRTGTRCDVAQLEHVVAMAGIGHPPRFFATLKMCGVQPEKCVPLADHQSLNHADVSALVSAGQTLVMTEKDAVKCRAFAEENWWYLPVDAQLSGDEPAKLLTQLTLLASGN
VIMSS151508 108 465 0.0449745810055866 PF00664.23:ABC_membrane:50:303 peptidase domain-containing ABC transporter 688 254 10 231 5 Salmonella enterica subsp. enterica serovar Typhimurium str. DT104 WP_000358566.1 1 RefSeq ELCYVEIEDEYLKELSAFSILPLNKVVDSIRVKNIIKNSLSMNKIFYTKYFFSSLFMAIFALTIPVFSNLFYDKLVPSASVSSLFGVAIIVAVFIVFEFILRTSKDIYQSITARQDDVDIDIAFLEAVLYSKKKNGRSMSSAFVLWNEFQKIKPVLLNSIFQRIADIPIFIIFLIVIYVNLGLVVIVPITMFIVSIIISLVNHHYTNELMNKQKEGQKNRNIFISEVFLSIKMIHTLNNQGLLFDWVNTSNEQSYLNLKIRKLNLIYQSILGSMSSITQITIMVIAFFMVIKGDVTTGAIVSSVIVSGRISGIISNFSSTLISILSAEKTGKDLLSFFDEDQAEKTPALQSISKCNGD
VIMSS152019 1 391 0.255845524296675 PF00419.20:Fimbrial:246:390 putative fimbrial protein (NCBI ptt file) 391 145 10 368 1 Salmonella enterica subsp. enterica serovar Typhi str. CT18 VIMSS152019 1 MicrobesOnline MLLKNTTWFAAFFLMMAIMSNCYAINTTLAVGDYASSEHDGPSGDSVFTDNSHNFGQTIAIHKETALRQITVFNWSGIQYVMEMFCNGSGNHTYLQLTHNYISAGKSYNGHPLYKTSIPGFYFTIEMTFLQPAENMTSSTFWFDKTSTPITSEFTEFPSACSRTNVYSNLGKLMYGLKIYAYVDSDFAPTEAQLQSFTLSKNGDSDFYIDNPGSGLSNYKMKFNLAATGLKAVWPTCSASTISGTNVSGSTVKLGSFYPKQIMEGLSPTKFQINLSSCQYINNIEVKLASNNVGTKNTSLLTNNSTSNTKASGIGVLIEGLKSSSSAQMVLKPNDSSSIYKDTTNNTGDGSPVGSATKSLYFQATLKPDGDNPTINPGDFKATAQFSITYP
VIMSS15202 1 365 0.340985753424657 PF02119.16:FlgI:21:364 flagellar P-ring protein 365 344 10 365 0 Escherichia coli K-12 substr. MG1655 ecocyc::FLGI-FLAGELLAR-P-RING 1 ecocyc MIKFLSALILLLVTTAAQAERIRDLTSVQGVRQNSLIGYGLVVGLDGTGDQTTQTPFTTQTLNNMLSQLGITVPTGTNMQLKNVAAVMVTASLPPFGRQGQTIDVVVSSMGNAKSLRGGTLLMTPLKGVDSQVYALAQGNILVGGAGASAGGSSVQVNQLNGGRITNGAVIERELPSQFGVGNTLNLQLNDEDFSMAQQIADTINRVRGYGSATALDARTIQVRVPSGNSSQVRFLADIQNMQVNVTPQDAKVVINSRTGSVVMNREVTLDSCAVAQGNLSVTVNRQANVSQPDTPFGGGQTVVTPQTQIDLRQSGGSLQSVRSSASLNNVVRALNALGATPMDLMSILQSMQSAGCLRAKLEII
VIMSS15310 1 510 0.175439215686275 PF04293.13:SpoVR:19:450 PF04293 family protein YcgB 510 432 10 510 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11516-MONOMER 1 ecocyc MATIDSMNKDTTRLSDGPDWTFDLLDVYLAEIDRVAKLYRLDTYPHQIEVITSEQMMDAYSSVGMPINYPHWSFGKKFIETERLYKHGQQGLAYEIVINSNPCIAYLMEENTITMQALVMAHACYGHNSFFKNNYLFRSWTDASSIVDYLIFARKYITECEERYGVDEVERLLDSCHALMNYGVDRYKRPQKISLQEEKARQKSREEYLQSQVNMLWRTLPKREEEKTVAEARRYPSEPQENLLYFMEKNAPLLESWQREILRIVRKVSQYFYPQKQTQVMNEGWATFWHYTILNHLYDEGKVTERFMLEFLHSHTNVVFQPPYNSPWYSGINPYALGFAMFQDIKRICQSPTEEDKYWFPDIAGSDWLETLHFAMRDFKDESFISQFLSPKVMRDFRFFTVLDDDRHNYLEISAIHNEEGYREIRNRLSSQYNLSNLEPNIQIWNVDLRGDRSLTLRYIPHNRAPLDRGRKEVLKHVHRLWGFDVMLEQQNEDGSIELLERCPPRMGNL
VIMSS15374 1 179 0.0053927374301676 PF04279.15:IspA:1:175 inner membrane protein 179 175 10 77 5 Escherichia coli K-12 substr. MG1655 ecocyc::EG11122-MONOMER 0 ecocyc MKQFLDFLPLVVFFAFYKIYDIYAATAALIVATAIVLIYSWVRFRKVEKMALITFVLVVVFGGLTLFFHNDEFIKWKVTVIYALFAGALLVSQWVMKKPLIQRMLGKELTLPQPVWSKLNLAWAVFFILCGLANIYIAFWLPQNIWVNFKVFGLTALTLIFTLLSGIYIYRHMPQEDKS
VIMSS155843 98 269 0.141397674418605 hypothetical protein (NCBI ptt file) 344 0 10 172 0 Salmonella enterica subsp. enterica serovar Typhi str. CT18 VIMSS155843 1 MicrobesOnline HYEAPCGLYELLMQTADLNKPDSIISRTVIPNLDVIISNDPDDRLSNDMLHAADGRMRLRNVLQHPLFRQYDVIIVDSKGAGGVMVELVVLAATQSVMGVIKPILPDVREFLRGTVRLLSKLLVLEPYGIHIPDIRILANCVEPTVLDRNTLNELKAIVDKGQYPQSDRIAI
VIMSS156361 108 242 0.18651037037037 PF01520.18:Amidase_3:7:65 similar to autolysin: N-acetylmuramoyl-L-alanine amidase (NCBI ptt file) 242 59 10 135 0 Listeria monocytogenes EGD-e VIMSS156361 1 MicrobesOnline NIITKVTKHGMNDRGMKKANFAVLRETAMDAILLEAGFCDSTDALILEKKAYQTDYCLGIVSAVQEIFGAMVTKYRAGKYLTSDDAISGTNIKGYLEAGTKVFVYKETEKTLNLTTTKGVPGSWVLKTEVNTGKR
VIMSS156958 192 638 0.380537807606264 PF06458.12:MucBP:137:204,PF06458.12:MucBP:210:274,PF07523.12:Big_3:280:346 putative peptidoglycan bound protein (LPXTG motif) (NCBI ptt file) 638 200 10 424 1 Listeria monocytogenes EGD-e VIMSS156958 1 MicrobesOnline INSQQSEPSVYSAYTFVYPSSTPEYSGHIKANNSFISNLTNDMNLTPFLKNSPTFINLQVVYMFNSELFDGHDVTITDTNDGRVLYDGTLTSDISIPLTNFKSGVHVINVMLDNAPNNPQNQTTFLIDILPVPAHDLTVNYVDEAGAEIHEPQTVSGNVGDDYDVTTPEFELAIDKYELDTEQMPTNGLGTLSSEAQTVTYVYKKVNGAPVTVKYEDQNGVEITTSDVLTGKLDATYQSKAKEIAGFTLDNSKLPANASGIFETNPQTVTYVYQAVPATIKAHDSTIYVEDKWSAEDNFDSAVNSVGAAVSFDDLEVEGTVDTTKAGVYPVTYSFAGESVTINVTVKAKDLPAPPVTPTKPVVPIQPTNPDQPDIQAAPGEHQASTDKSEALKVTVAQKEQPTVAGNLKLPTTGDNLWDSVLYSVFGLIAVCVAFSLFFWRKKQKHS 1
VIMSS158328 190 664 0.022518947368421 PF07670.14:Gate:161:254,PF07670.14:Gate:322:446,PF07664.12:FeoB_C:265:317,PF17910.1:FeoB_Cyto:6:68 similar to ferrous iron transport protein B (NCBI ptt file) 664 335 10 232 11 Listeria monocytogenes EGD-e VIMSS158328 1 MicrobesOnline IIPTKQSRWLAVQFLSKNEVTEEFLTTSPMFEQLKDIRSDLEIALAGKLENHFHQVRVNYIHDICLTSVEYTRNSDIPLSDKLDKIFTHKFFGIPIFLGIMWLIFQITFTWVGAPLSDLLDGFIGGSLTDWVTSFLTTIGASGFITDLVADGIIAGVGGVLVFVPQILVIFFFISVLEDSGYMARIAVVMDRVMEIFGLNGKAFIPMIIGFGCNVPGIMAARSIEESKERTLTILVSPFMSCSARLPVYALFVGVFFEKYQALVVLSLYVIGILMALIVTKILSKTLLKKDNSVFVVELPPYRLPSLKTLWRSTWEKGKGFLRKAGTFIFAGSVIIWLLNYAGPSGLDVPMGESFLAIIGGMLAPLLVPLGFGTWQAGATLIPGFLAKEVVVSTMAIIYAVGESSMGSIVSTFYTPLSAYCFMLFILLYIPCLATVAAIRKETSSWKWTAFAVAYPLITAYVLVFLVYQIGSLFV
VIMSS15902 1 427 0.365988992974238 PF04285.12:DUF444:4:421 DUF444 domain-containing protein YeaH 427 418 10 427 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6970-MONOMER 1 ecocyc MTWFIDRRLNGKNKSMVNRQRFLRRYKAQIKQSISEAINKRSVTDVDSGESVSIPTEDISEPMFHQGRGGLRHRVHPGNDHFVQNDRIERPQGGGGGSGSGQGQASQDGEGQDEFVFQISKDEYLDLLFEDLALPNLKQNQQRQLTEYKTHRAGYTANGVPANISVVRSLQNSLARRTAMTAGKRRELHALEENLAIISNSEPAQLLEEERLRKEIAELRAKIERVPFIDTFDLRYKNYEKRPDPSSQAVMFCLMDVSGSMDQSTKDMAKRFYILLYLFLSRTYKNVEVVYIRHHTQAKEVDEHEFFYSQETGGTIVSSALKLMDEVVKERYNPAQWNIYAAQASDGDNWADDSPLCHEILAKKLLPVVRYYSYIEITRRAHQTLWREYEHLQSTFDNFAMQHIRDQDDIYPVFRELFHKQNATAKG
VIMSS15904 1 345 0.127824057971015 PF17155.4:GAPES1:31:304 putative diguanylate cyclase DgcJ 496 274 10 299 2 Escherichia coli K-12 substr. MG1655 ecocyc::G6972-MONOMER 1 ecocyc MKLHHRMLRHFIAASVIVLTSSFLIFELVASDRAMSAYLRYIVQKADSSFLYDKYQNQSIAAHVMRALAAEQSEVSPEQRRAICEAFESANNTHGLNLTAHKYPGLRGTLQTASTDCDTIVEAAALLPAFDQAVEGNRHQDDYGSGLGMAEEKFHYYLDLNDRYVYFYEPVNVEYFAMNNWSFLQSGSIGIDRKDIEKVFTGRTVLSSIYQDQRTKQNVMSLLTPVYVAGQLKGIVLLDINKNNLRNIFYTHDRPLLWRFLNVTLTDTDSGRDIIINQSEDNLFQYVSYVHDLPGGIRVSLSIDILYFITSSWKSVLFWILTALILLNMVRMHFRLYQNVSRENI
VIMSS16120 1 75 0.184912 PF01206.17:TusA:4:74 putative sulfurtransferase YeeD 75 71 10 75 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11894-MONOMER 1 ecocyc MVIKKLDVVTQVCPFPLIEAKAALAEMVSGDELVIEFDCTQATEAIPQWAAEEGHAITDYQQIGDAAWSITVQKA
VIMSS16175 1 659 0.106612898330804 PF05231.14:MASE1:19:294,PF08447.12:PAS_3:328:408,PF08447.12:PAS_3:452:537,PF00989.25:PAS:303:414,PF00989.25:PAS:561:646,PF08448.10:PAS_4:314:419,PF08448.10:PAS_4:561:656,PF13426.7:PAS_9:314:416,PF13426.7:PAS_9:567:656,PF13188.7:PAS_8:304:361,PF13188.7:PAS_8:560:603 putative diguanylate cyclase DgcE 1105 576 10 451 10 Escherichia coli K-12 substr. MG1655 ecocyc::EG12396-MONOMER 1 ecocyc MSKQSQHVLIALPHPLLHLVSLGLVSFIFTLFSLELSQFGTQLAPLWFPTSIMMVAFYRHAGRMWPGIALSCSLGNIAASILLFSTSSLNMTWTTINIVEAVVGAVLLRKLLPWYNPLQNLADWLRLALGSAIVPPLLGGVLVVLLTPGDDPLRAFLIWVLSESIGALALVPLGLLFKPHYLLRHRNPRLLFESLLTLAITLTLSWLSMLYLPWPFTFIIVLLMWSAVRLPRMEAFLIFLTTVMMVSLMMAADPSLLATPRTYLMSHMPWLPFLLILLPANIMTMVMYAFRAERKHISESETHFRNAMEYSAIGMALVGTEGQWLQTNKALCQFLGYSQEELRGLTFQQLTWPEDLNKDLQQVEKLISGEINTYSMEKRYYNRNGDVVWALLAVSLVRHTDGTPLYFIAQIEDINELKRTEQVNQQLMERITLANEAGGIGIWEWELKPNIFSWDKRMFELYEIPPHIKPNWQVWYECVLPEDRQHAEKVIRDSLQSRSPFKLEFRITVKDGIRHIRALANRVLNKEGEVERLLGINMDMTEVKQLNEALFQEKERLHITLDSIGEAVVCIDMAMKITFMNPVAEKMSGWTQEEALGVPLLTVLHITFGDNGPLMENIYSADTSRSAIEQDVVLHCRSGGSYDVHYSITPLSTLDGSNI
VIMSS16257 1 336 0.00872291666666667 PF02653.16:BPD_transp_2:41:325 D-galactose/methyl-galactoside ABC transporter membrane subunit 336 285 10 136 9 Escherichia coli K-12 substr. MG1655 ecocyc::MGLC-MONOMER 0 ecocyc MSALNKKSFLTYLKEGGIYVVLLVLLAIIIFQDPTFLSLLNLSNILTQSSVRIIIALGVAGLIVTQGTDLSAGRQVGLAAVVAATLLQSMDNANKVFPEMATMPIALVILIVCAIGAVIGLINGLIIAYLNVTPFITTLGTMIIVYGINSLYYDFVGASPISGFDSGFSTFAQGFVALGSFRLSYITFYALIAVAFVWVLWNKTRFGKNIFAIGGNPEAAKVSGVNVGLNLLMIYALSGVFYAFGGMLEAGRIGSATNNLGFMYELDAIAACVVGGVSFSGGVGTVIGVVTGVIIFTVINYGLTYIGVNPYWQYIIKGAIIIFAVALDSLKYARKK
VIMSS16279 1 393 0.0286493638676845 PF07690.16:MFS_1:21:356,PF12832.7:MFS_1_like:29:339 sugar exporter SetB 393 336 10 138 12 Escherichia coli K-12 substr. MG1655 ecocyc::B2170-MONOMER 0 ecocyc MHNSPAVSSAKSFDLTSTAFLIVAFLTGIAGALQTPTLSIFLTDEVHARPAMVGFFFTGSAVIGILVSQFLAGRSDKRGDRKSLIVFCCLLGVLACTLFAWNRNYFVLLFVGVFLSSFGSTANPQMFALAREHADKTGREAVMFSSFLRAQVSLAWVIGPPLAYALAMGFSFTVMYLSAAVAFIVCGVMVWLFLPSMRKELPLATGTIEAPRRNRRDTLLLFVICTLMWGSNSLYIINMPLFIINELHLPEKLAGVMMGTAAGLEIPTMLIAGYFAKRLGKRFLMRVAAVGGVCFYAGMLMAHSPVILLGLQLLNAIFIGILGGIGMLYFQDLMPGQAGSATTLYTNTSRVGWIIAGSVAGIVAEIWNYHAVFWFAMVMIIATLFCLLRIKDV
VIMSS16307 1 245 0.022094693877551 PF01578.20:Cytochrom_C_asm:9:184 heme trafficking system membrane protein CcmC (EC 7.6.2.5) 245 176 10 110 6 Escherichia coli K-12 substr. MG1655 ecocyc::CCMC-MONOMER 0 ecocyc MWKTLHQLAIPPRLYQICGWFIPWLAIASVVVLTVGWIWGFGFAPADYQQGNSYRIIYLHVPAAIWSMGIYASMAVAAFIGLVWQMKMANLAVAAMAPIGAVFTFIALVTGSAWGKPMWGTWWVWDARLTSELVLLFLYVGVIALWHAFDDRRLAGRAAGILVLIGVVNLPIIHYSVEWWNTLHQGSTRMQQSIDPAMRSPLRWSIFGFLLLSATLTLMRMRNLILLMEKRRPWVSELILKRGRK
VIMSS16470 1 445 0.0160858426966292 PF02447.16:GntP_permease:7:444,PF03600.16:CitMHS:16:391 D-serine transporter 445 438 10 176 12 Escherichia coli K-12 substr. MG1655 ecocyc::DSDX-MONOMER 0 ecocyc MHSQIWVVSTLLISIVLIVLTIVKFKFHPFLALLLASFFVGTMMGMGPLDMVNAIESGIGGTLGFLAAVIGLGTILGKMMEVSGAAERIGLTLQRCRWLSVDVIMVLVGLICGITLFVEVGVVLLIPLAFSIAKKTNTSLLKLAIPLCTALMAVHCVVPPHPAALYVANKLGADIGSVIVYGLLVGLMASLIGGPLFLKFLGQRLPFKPVPTEFADLKVRDEKTLPSLGATLFTILLPIALMLVKTIAELNMARESGLYILVEFIGNPITAMFIAVFVAYYVLGIRQHMSMGTMLTHTENGFGSIANILLIIGAGGAFNAILKSSSLADTLAVILSNMHMHPILLAWLVALILHAAVGSATVAMMGATAIVAPMLPLYPDISPEIIAIAIGSGAIGCTIVTDSLFWLVKQYCGATLNETFKYYTTATFIASVVALAGTFLLSFII
VIMSS166 1 86 0.0987965116279069 hypothetical protein 86 0 10 63 1 Chlamydia trachomatis D/UW-3/CX NP_219667.1 0 RefSeq MTISSTTTPSKSSSCFQFRETNKEPVKTYRVTSIALVAIGLLLLMISSLLLLLRVPMTMPCLVISSGCMSCGVVLVGSKIEGICFG
VIMSS16797 1 175 0.110033714285714 PF12837.7:Fer4_6:81:103,PF00037.27:Fer4:83:104,PF13187.6:Fer4_9:88:151,PF12838.7:Fer4_7:88:151,PF13247.6:Fer4_11:55:104,PF13237.6:Fer4_10:84:148,PF12798.7:Fer4_3:89:103,PF12798.7:Fer4_3:131:151,PF12800.7:Fer4_4:10:22,PF12800.7:Fer4_4:86:102 putative electron transport protein HydN 175 110 10 175 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11552-MONOMER 1 ecocyc MNRFIIADASKCIGCRTCEVACVVSHQENQDCASLTPETFLPRIHVIKGVNISTATVCRQCEDAPCANVCPNGAISRDKGFVHVMQERCIGCKTCVVACPYGAMEVVVRPVIRNSGAGLNVRADKAEANKCDLCNHREDGPACMAACPTHALICVDRNKLEQLSAEKRRRTALMF
VIMSS17087 1 318 0.161890566037736 PF06719.13:AraC_N:47:197,PF12833.7:HTH_18:232:307,PF00165.23:HTH_AraC:219:260,PF00165.23:HTH_AraC:281:306 DNA-binding transcriptional activator YqhC 318 240 10 318 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7563-MONOMER 1 ecocyc MLQNCAQSNCRIIPKKLRDMKREEICRLLADKVNKLKNKENSLSGLLPDVRLLYGETPFARTPVMYEPGIIILFSGHKIGYINERVFRYDANEYLLLTVPLPFECETYATSEVPLAGLRLNVDILQLQELLMDIGEDEHFQPSMAASGINSATLSEEILCAAERLLDVMERPLDARILGKQIIREILYYVLTGPCGGALLALVSRQTHFSLISRVLKRIENKYTENLSVEQLAAEANMSVSAFHHNFKSVTSTSPLQYLKNYRLHKARMMIIHDGMKASAAAMRVGYESASQFSREFKRYFGVTPGEDAARMRAMQGN
VIMSS172594 1 173 0.705911560693642 Protein PopA1 344 0 10 173 0 Ralstonia solanacearum (strain GMI1000) (Pseudomonas solanacearum) SwissProt::Q9RBS0 1 SwissProt MSVGNIQSPSNLPGLQNLNLNTNTNSQQSGQSVQDLIKQVEKDILNIIAALVQKAAQSAGGNTGNTGNAPAKDGNANAGANDPSKNDPSKSQGPQSANKTGNVDDANNQDPMQALMQLLEDLVKLLKAALHMQQPGGNDKGNGVGGANGAKGAGGQGGLAEALQEIEQILAQL
VIMSS17474 1 292 0.146213356164383 PF04754.12:Transposase_31:8:209 recombination-promoting nuclease RpnA 292 202 10 292 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG11750-MONOMER 1 ecocyc MSKKQSSTPHDALFKLFLRQPDTARDFLAFHLPAPIHALCDMKTLKLESSSFIDDDLRESYSDVLWSVKTEQGPGYIYCLIEHQSTSNKLIAFRMMRYAIAAMQNHLDAGYKTLPMVVPLLFYHGIESPYPYSLCWLDCFADPKLARQLYASAFPLIDVTVMPDDEIMQHRRMALLELIQKHIRQRDLMGLVEQMACLLSSGYANDRQIKGLFNYILQTGDAVRFNDFIDGVAERSPKHKESLMTIAERLRQEGEQSKALHIAKIMLESGVPLADIMRFTGLSEEELAAASQ
VIMSS1749808 129 356 0.16557149122807 PF01073.19:3Beta_HSD:3:143 3-beta hydroxysteroid dehydrogenase/isomerase family protein (NCBI) 356 141 10 228 0 Mycobacterium smegmatis str. MC2 155 VIMSS1749808 1 MicrobesOnline VMGGQHIVHGDETLPYTERFNDLYTETKVVAEKFVLGRNGVAGMLTCSIRPSGIWGRGDQTMFRKVFESVLAGHVKVLVGRKSTLLDNSYVHNLVHGFILAAEHLTPNGTAPGQAYFINDGEPVNMFEFARPVIEACGRKLPRVRVPGRAVHAAMSGWQRLHFRFGIPEPLLEPLAVERLYLNNYFSIAKATRDLGYRPLFTTEQARVDCLPYYVDLFKQMEAQARPA
VIMSS1757153 70 220 0.364929139072848 PF14245.6:Pilin_PilA:2:106 Fimbrial protein; Pilin 220 105 10 151 0 Myxococcus xanthus (strain DK 1622) SwissProt::Q59589 1 SwissProt SDFANEIGFAPERGNRYGYRVSAAAGDCEVRNAADLPVPAAGVPCISNDSFRFGANSAIDDPTPVVARFVPQGAAGWNTTLGVQPTIADCPNCNFFAGARGNADNEATFDDWVIAGFEGSGQVGPCSEAGNVASGTPYNTRNDVACDGAAQ
VIMSS179956 1 169 0.0402082840236686 Hypothetical Protein (NCBI ptt file) 169 0 10 129 2 Brucella melitensis 16M VIMSS179956 1 MicrobesOnline MNLEIVRKTVLRHARALAAILATVTAIIAVIGWWQGEDWRVSYSNLAIVNGVYWILLLYLLWIILSRNTPDFLGVPLVKAIHDKKLLIVDGAPWLSLGVMTAIYVKDGEYERLVCTGEVVNVQTNKLVQIHIRGYEEIYNDIEAVGEKLNQTSKDAILIRPGLFRGLDE
VIMSS181699 1 219 0.249148401826484 PF13505.6:OMP_b-brl:9:219,PF01389.17:OmpA_membrane:30:219,PF02462.15:Opacity:154:219 31 kDa outer-membrane immunogenic protein precursor (NCBI ptt file) 219 211 10 219 0 Brucella melitensis 16M VIMSS181699 1 MicrobesOnline MVVSEPSAPTAAPVDTFSWTGGYIGINAGYAGGKFKHPFSSFDKEDNEQVSGSLDVTAGGFVGGVQAGYNWQLDNGVVLGAETDFQGSSVTGSISAGASGLEGKAETKVEWFGTVRARLGYTATERLMVYGTGGLAYGKVKSAFNLGDDASALHTWSDKTKAGWTLGAGAEYAINNNWTLKSEYLYTDLGKRNLVDVDNSFLESKVNFHTVRVGLNYKF 5
VIMSS182 1 170 0.148347058823529 hypothetical protein (NCBI ptt file) 170 0 10 124 2 Chlamydia trachomatis D/UW-3/CX VIMSS182 1 MicrobesOnline MLAAVGAFLALCIGGVLCCIDNVVFLSEIFLPFILPGILSLLLIALLLRLAWKEQKLAAQKQQRIAASCYFESLALCRTYKSGAPSVKGLVNFIQSEILPSGFSKRFKFAVLTQAKPSLLTKKIQLTKTPFDETIETAFSHIREGLYLSESEQRDHDKKLDNEANTSPKG
VIMSS18371 1 113 0.285986725663717 PF08845.10:SymE_toxin:20:71 toxic protein SymE 113 52 10 113 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7940-MONOMER 1 ecocyc MTDTHSIAQPFEAEVSPANNRHVTVGYASRYPDYSRIPAITLKGQWLEAAGFATGTAVDVKVMEGCIVLTAQPPAAEESELMQSLRQVCKLSARKQKQVQAFIGVIAGKQKVA
VIMSS184 1 236 0.161569915254237 hypothetical protein (NCBI ptt file) 236 0 10 236 0 Chlamydia trachomatis D/UW-3/CX VIMSS184 1 MicrobesOnline MLSKFCKLSLSAILLINTLAPSETFSEEGTSGFLGRMKSWILKDKTILSTTEESQTSAIEKVSDLLSWKRYDYTQESGFAIQFPESPEHSEQVIEVPQSDLAIRYDTYVAETPSDSTVYVVSIWEYPEKIDISRPELNLQEGFAGMLYALPESQVLYLKATALQGHKALEFWIACDDVYFRGMLVSVNHTLYQVFMVYKGRSPEILDKEYSTFIQSFKVTKVRNSKKMDIRKRVSL
VIMSS18441 1 787 0.106315756035578 PF03135.14:CagE_TrbE_VirB:176:375,PF01580.18:FtsK_SpoIIIE:426:466,PF01935.17:DUF87:438:485 virB4 homolog (virB4) (NCBI ptt file) 787 260 10 787 0 Helicobacter pylori 26695 VIMSS18441 1 MicrobesOnline MLEKLLSAIKQKVSNYFLGVLPKSYSMSEENNILGLYDEHFLLTKNENLVGILRLEGVSYTHLSTEQLQDLFTERQMALDSLEKVVARLVVKRRKIDHQQNIQSDSQYLQAILNQFENKEVYENQYFLVLESTHSLHGVLEHKKKSLMHANRENFKDILSYKAHFLQETLKSLEIQLKNYAPKLLSSKEVLNFYAEYINGFDLPLKPLVGGYLSDSYIASSITFEKDYFIQESFNQKTYNRLIGIKAYESERITSIAIGALLYQETPLDIIFSIEPMSVHKTLSFLKERAKFSMSNLVKNELLEYQELVKTKRLSMQKFALNILIKAPSLENLDAQTSLVLGLLFKENLVGVIETFGLKGGYFSFFPERIHLNHRLRFLTSKALACLMVFERQNLGFKANSWGNSPLSVFKNLDYSPFLFNFHNQEVSHKNAKEIARVNGHTLIIGATGSGKSTLISFLMMSALKYQNMRLLAFDRMQGLYSFTKFFKGHYHDGQSFSINPFCLEPNLQNLEFLQSFFLSMFDLAPSRDKEALEDMNAISSAIKSLYETLYPKAFSLLDFKETLKRTSSNQLGLSLEPYLNNPLFNALNDAFNSNAFLNVINLDTITQNPKDLGLLAYYLFYKILEESRKNDSGFLVFLDEFKSYVENDLLNTKINALITQARKANGVVVLALQDIYQLSGVKNAHSFLSNMGTLILYPQKNARELKHNFNVPLSETEISFLENTPLYARQVLVKNLGNGSSNMIDVSLESLGRYLKIFNSDSSHVSKVKALQKDYPTEWREKLLRS
VIMSS18536 1 628 0.111329777070064 PF01973.18:MAF_flag10:207:378 hypothetical protein (NCBI ptt file) 628 172 10 628 0 Helicobacter pylori 26695 VIMSS18536 1 MicrobesOnline MMDIYQKNLQALFKKDPLLFAKLKAIKENKKYEVFLGNDSANFNLLDKETNTPLFEKSPLDSSLELYKNSENYMLYPYLYYFGLGNGVFYRLLLGNENLKRLVVIEPEIEIIFIVLNLLDFSTEILENRLILLHASFCNYNMIASLFDMDKKSRLYARMYDLKLFNAYYERYSHQIIGINQHFTRALEHGAISVGNDAKDALIGIKQHAANLPEVIKSPSLVDFVSALKNRDTAIIVSTGPSLNKQLPLLKEIAPYATLFCIDASFPILAKAGIKPDIVLSLERVDLTAKFYEETPLDFQEGVIFALTSIVHKRLIQAIKRGVKQFSFRPFGYTNLFDLHQYGYVGIGMSAANMAYELVVHSRFKRCVFIGQDLSFSQSGNSHASGAIYGDREIKPKKDKDKIFIEKYGGNGEVETTLVWKLFLEFFEKDIFNTPYKLEVINATEGGARIKGAKEMPFKEVCEKIDKSKPKPPINLIYPTQSEQAKNLKIARQKCEEIIKYANEKKTQVEEVFLKVAEFLEEVEKLHEKNKLEELDFNKLENLSAEIDNIKELFDDKRFNSYFMDAIQSYIFHQELHIAEIVCKKTNNEDELRAKQLEYIYVHKYWLFSLAGGIDCVIEAIKMALKEW
VIMSS185444 1 524 0.255109351145038 PF03415.14:Peptidase_C11:59:508 alpha-clostripain (NCBI ptt file) 524 450 10 501 1 Clostridium perfringens str. 13 VIMSS185444 1 MicrobesOnline MYMFKKKLSLLMATITIGSVLLGGVSTVSAAPRQKHKTVSEKIKEAEKTEGDKKLTVMVYADCDNNLEEYILNDIEEMKEGYKNNPNLNIIVLVDRIPGYSNDSKVLGSNFEDTRLYKIGENSAERISGKSEFPEITTTSNYEANMGDANTLKKFIKFCKKNYEADKYMLIMSNHGGGAKDDKDRASTVNKAICWDDSNNKDCLYTGEISDVLTKDESVDVLVFDACLMGNSEVAYQYRPNNGSFEAKTLVASAPVVWGFGYPYDKIFSRLRSTKGDNGEVDSTLGGKEKIFDPSTVTNNELGALFVEEQRDSVNSCRVTDQQLSCYDLSKIEKVKKSVDALARNLSKNNKKDAIEKLRGTGKNAPTMHYFKNYDEYEWIEYPYFDLYDLCEKISLSDEFNETTKKLSKNVMKNVDQLILYSFAGKDFKGFKEGKNGISIFLPDGNRNYYDQYSGQAIPHWAIQRWYNPLDTNAYRLRSGYGKLAWCKDGLDPKINKVGNWFELLDSWFDKDNTSLGGYNRYRY
VIMSS18551 1 141 0.468236879432624 PF06518.11:DUF1104:23:114 hypothetical protein (NCBI ptt file) 141 92 10 141 0 Helicobacter pylori 26695 VIMSS18551 1 MicrobesOnline MKKLAVSLLFTGTFLGLFLNASDFKSMDDKQLLEQAGKVAPSEVPEFRAEVNKRLAVMKEEDRKNYKADFKKAMDKNLASLSQEDRNKRKKEILEAIANKKKTMTMKEYREEGLDLHDCACEGPFHDHERKKGKKPSHHKH
VIMSS18745 1 237 0.381459071729958 PF02107.16:FlgH:49:236 Flagellar L-ring protein 237 188 10 237 0 Helicobacter pylori (strain ATCC 700392 / 26695) O25092 1 SwissProt/TReMBL MKKALYLGAVAFSVAFSMASANEPKIDFNPPNYVEETPSKEFIPELNKLGSLFGQGERPLFADRRAMKPNDLITIIVSEKASANYSSSKDYKSASGGNSTPPRLTYNGLDERKKKEAEYLDDKNNYNFTKSSNNTNFKGGGSQKKSEDLEIVLSARIIKVLENGNYFIYGNKEVLVDGEKQILKVSGVIRPYDIERNNTIQSKFLADAKIEYTNLGHLSDSNKKKFAADAMETQMPY 2
VIMSS18884 1 1055 0.300822843601895 PF18766.1:SWI2_SNF2:291:548,PF04851.15:ResIII:285:490,PF04313.14:HSDR_N:3:171 type I restriction enzyme R protein (hsdR) (NCBI ptt file) 1055 433 10 1055 0 Helicobacter pylori 26695 VIMSS18884 1 MicrobesOnline MPYNEITRVQIPALMHLAKLGYDFIPTNSKENKPNLDTATNILTNSFTKSFERLNPTKNAQETLAEMKKRLNCDDLGKSFYEYLLKSENQIIDFDNPNNNLYEMMTELPYKSFRPDTTLFINGLPLVNIEVKQPYAKKGIKEERDRHIKRYENPENKVFYNLAQIWLFSDNLPYDENKPDQGAFYSASYSPIFQRFVEAHRLDITPPPPQKNDQNHQNDQNHRSLEEIQKSVLNEFNLKDTDTPKSPKDTPTNSLLTSFCSPKRLCFILKYGISFLKEKSEFKKHVWRYAQMFASLNVLKELQKHYGTNQNLKDPLKGIIWHTQGSGKTALTYHLTKLIRDFFSRSNLNKKTKFYFIVDRLDLLEQAKNEFLKRGLCVHEAENKEDLSQKLKSSSVFEGSQGNDEIIVVNIQKFKAPNEEKSPNEDPSNSAPKEIISKTELQESIQNSRNLQRVFIIDEAHRSYDPKGCFYANLIECDKTAIKIALTGTPLLEDNAQDKATKNTFGNYLHTYSYTESIKDRHTLKLQLESIETSYKEKLQEIYRLLQESITIEDTEVKKETIFNDEKYINAMLYYIIRDLLDFRRLNDNERLKAMVVCFSSKQARLADCLFNEVQEKVLQENPNLRILNKLKSSLILHDEQEVKEKVHSFKHEDTDIVFVFNMLLTGFDLPSLKRLYIHRELKDHNLLQALARVNRSYKNMSFGYLIDFVGIQENFDKTTDDYLKELNRFNQSGANSDSHIKDMFADRKTLEEDIKNAYDDLFDYPIDDIEGMTSAIVSMSAMNELVKVSRAINTLKERYNLIRTSNDKKILSLKEKIDIEKIHKISSMLHQKAKHLHALKNINEPKNPNDLMILEDLIALLDFKIEFKERKELRFKEQEEITTKQKQAKEILEKIPDQQDKEIQKFYKDFSKLLQTPTTSQNFEEISHSYDAIISQLKQHKEQTTHLLNKYDNDLSYAITNKRLHKHLMEQNISNSAGIFTLLSALKKAIDARIFKRQEILNEEYYLKNAIKAELNNAFKKDPSLKDLEKEKELIIQTLFNELTQNHHQGNPHA
VIMSS18904 1 314 0.218645859872612 PF00199.19:Catalase:43:309 catalase-like protein (NCBI ptt file) 314 267 10 314 0 Helicobacter pylori 26695 VIMSS18904 1 MicrobesOnline MKKIGLSLCLVLSLGFLKAHEVSAEEIADIFYKLNAKEPKMKINHTKGFCAKGVFLPNAQAKKDLDVPLLNEKEIPASVRYSLGGVAMDDKSKVRGMALKLENQNASWTMVMLNTEINFAKNPNEFAQFFEMRIPKNGKVDEARIKKLYEEVPSYRNFAAYTKTIGISSSVANTPYYSVHAFRFKDKKGKLLPARWKFVPKEGIKYLNPQELKQKDSNYLLSAFQQHLKTKPIEYQMYLVFANKNDATNDTTALWKGKHKELLVGTLKVEKYEGMGCNKDVYFPADLPKGVEAPTDPLFQIRNEVYGITFSRRQ
VIMSS19012 1 490 0.119215102040816 PF02600.16:DsbB:11:92 Uncharacterized protein 490 82 10 378 5 Helicobacter pylori (strain ATCC 700392 / 26695) O25317 1 SwissProt/TReMBL MDKETRFYNLFSLAILGILIFPVGLANFYFGYVLKDSPCIFCWAQRINMILIGAVALLVVRFGFKPKYIALLLLMASSGLYESFYHTGSHALEDVGQGFALAILGLHTQFWALFVFFSVVVLLAVLLFFAPNAQPFKDHSLNALQKIAFYVFFMVVGSNAVQAFISTGPFPYIGQSDPVRFSWNLKESVWSMENWDHLKFPRSVLGRRDVGEPLKLSALPKDNDYERSPLEITKTLKIGKKEELFLKLNGAITDLSFNEDKAILTTENQGLYLVSNDLKTIHSHMVLDSYYSATVGSFVGADFNEDENIVIMGNNKTSVEITPNKNANMLKNFPYFLEGVNSFDEVERSRLKTSRAKNYYVSVARRGAKFTYLISAPNKRYKDLIIISMRNSDKQVHGEFLLELGNAKLKEKRGLGELVISTLALKDNKLYAFSKEFNTLLVIDPTKEEILEVYGLPKEIKNISAGGFRNDELVLVSYENNKNILYTLNF 2
VIMSS19110 1 257 0.107531128404669 PF09982.9:DUF2219:37:256 hypothetical protein (NCBI ptt file) 257 220 10 257 0 Helicobacter pylori 26695 VIMSS19110 1 MicrobesOnline MFFKFILCLLLGVFAWAKEDIPTPLTPSKRYSINLMTENDGYINPYIDEYYTAGNQIGFSTKEFDFSKNKAMKWTSYLGFFNKSPRVTRFGISLAQDMYTPSLKNRKLVHLHDNHPYGGYLRVNLNVYNRHKTFMELFTLSLGTTGQDSLAAQTQRLIHKWGHDPQFYGWNTQLKNEFIFELHYQLLKKVPLLKTRFFSMELMPGFNVELGNARDYFQLGSLFRAGYNLDADYGVNKVNTAFDGGMPYSDKFSIYFL 3
VIMSS1935726 1 299 0.307225752508361 PF12710.7:HAD:124:296 POSSIBLE PHOSPHOSERINE PHOSPHATASE SERB1 (PSP) (O-PHOSPHOSERINE PHOSPHOHYDROLASE) (PSPASE) (NCBI) 373 173 10 299 0 Mycobacterium tuberculosis H37Rv VIMSS1935726 1 MicrobesOnline MGLTCWPRTAAGRVHDESRCGLANFDTALGLQINPRQPRAPPRICRIGLITAAASATGQAPRLGVMMVSSHLGSPDQAGHVDLASPADPPPPDASASHSPVDMPAPVAAAGSDRQPPIDLTAAAFFDVDNTLVQGSSAVHFGRGLAARHYFTYRDVLGFLYAQAKFQLLGKENSNDVAAGRRKALAFIEGRSVAELVALGEEIYDEIIADKIWDGTRELTQMHLDAGQQVWLITATPYELAATIARRLGLTGALGTVAESVDGIFTGRLVGEILHGTGKAHAVRSLAIREGLNLKRCTA
VIMSS1935737 1 404 0.214127227722772 PF11887.8:Mce4_CUP1:153:404,PF02470.20:MlaD:45:124 Mce family protein Mce2A 404 332 10 384 1 Mycobacterium tuberculosis H37Rv YP_177740.1 1 RefSeq MPTLVTRKNRRAWLYVEGVVLLLVGALVLVLVYKQFRGEFTPKTELTMVAFRAGLVMEAGSKVTYNGVEIGRVGSISEIERDGRPAAKLVLDVNPRYISLIPVNVVADIEAATLFGNKYVALSAPKIPQQQRISSHDVIDVGSVTTEFNTLFETITSIAEKVDPIELNATLSAVAQALDGLGGKFGESIVNGNQILAQLNPRLPQLGYDVRRLADLGEVYVDASPDLWSFLQNALTTARTLTSQQRDLDAALLAATGAGNTGEDVFARGGPYLARAAADLVPTATLLDTYSPELFCMIRNFHDAAPKVADAVGGNGYSLAAAGTILGAPNPYVYPDNLPRVNAHGGPGGRPGCWQTITRELWPAPYLVMDTGASLAPYNHVELGQPMFTEYVWGRQYGENTINP
VIMSS1935832 1 308 0.155279220779221 PF00934.20:PE:1:93 PE FAMILY PROTEIN (NCBI) 308 93 10 308 0 Mycobacterium tuberculosis H37Rv VIMSS1935832 1 MicrobesOnline MSFVFAAPEALAAAAADMAGIGSTLNAANVVAAVPTTGVLAAAADEVSTQVAALLSAHAQGYQQLSRQMMTAFHDQFVQALRASADAYATAEASAAQTMVNAVNAPARALLGHPLISADASTGGGSNALSRVQSMFLGTGGSSALGGSAAANAAASGALQLQPTGGASGLSAVGALLPRAGAAAAAALPALAAESIGNAIKNLYNAVEPWVQYGFNLTAWAVGWLPYIGILAPQINFFYYLGEPIVQAVLFNAIDFVDGTVTFSQALTNIETATAASINQFINTEINWIRGFLPPLPPISPPGFPSLP
VIMSS1936935 1 443 0.0303374717832957 putative D/L-serine transporter 443 0 10 193 11 Escherichia coli K-12 substr. MG1655 ecocyc::YHAO-MONOMER 0 ecocyc MEIASNKGVIADASTPAGRAGMSESEWREAIKFDSTDTGWVIMSIGMAIGAGIVFLPVQVGLMGLWVFLLSSVIGYPAMYLFQRLFINTLAESPECKDYPSVISGYLGKNWGILLGALYFVMLVIWMFVYSTAITNDSASYLHTFGVTEGLLSDSPFYGLVLICILVAISSRGEKLLFKISTGMVLTKLLVVAALGVSMVGMWHLYNVGSLPPLGLLVKNAIITLPFTLTSILFIQTLSPMVISYRSREKSIEVARHKALRAMNIAFGILFVTVFFYAVSFTLAMGHDEAVKAYEQNISALAIAAQFISGDGAAWVKVVSVILNIFAVMTAFFGVYLGFREATQGIVMNILRRKMPAEKINENLVQRGIMIFAILLAWSAIVLNAPVLSFTSICSPIFGMVGCLIPAWLVYKVPALHKYKGMSLYLIIVTGLLLCVSPFLAFS
VIMSS19516 1 431 0.0493447795823665 PF01501.20:Glyco_transf_8:17:263 LPS biosynthesis protein (NCBI ptt file) 431 247 10 431 0 Helicobacter pylori 26695 VIMSS19516 1 MicrobesOnline MTSASSHSFKEQDFHIPIAFAFDKNYLIPAGACLYSLLESIAKANKKIRYTLHALVVGLNEEDKAKLNQITEPFKEFAALEVRDIESFLDTIPNPFDEDFTKRFSKMVLVKYFLADLFPKYSKMVWSDVDVIFCNEFSADFLNLEENDENYFYGVLEVEKHHMMEGFLFCNLDYQRKKNFTLRMHELLRGNEAKGELDFTKWCWPNMKALGIEYCVFPYYYTIKDFSNAYLNENYKKTILEARENPTIIHYDAWWGAVKPWDYPFGLKADLWLNALAKTPFMSDWIDSIARVEIGSEKWHRYHSIVAYHYYFPLWKTEEQIAHDALKTFLDHYFSCIHAAIKQENLGMFLNHYFSHAHAEIKENSLEMFLNHYFSHVYRLPKKARKRLFRVFVKHCILIPLKSLVGKTLRLLKLHALAKKILIQLKLLKKS
VIMSS1957249 1 231 0.0281891774891775 hypothetical protein (NCBI) 231 0 10 231 0 Campylobacter jejuni subsp. jejuni 81-176 VIMSS1957249 1 MicrobesOnline MLFGFDDKQEFIPQIYRYLNNQELMLTFLTQYNASVDSALKIPLLYAKNTKSLKMIFGNFLHNIMHVSFGKIQNINIKLNTYAFYFQKRKSLIFNTKISKNVDLLRLLRIYLYGICFDAQILFSSYVYDKVSFQNNGKNIDQDGDLIIIDKKFAILPLCKEINTHNLEIENEIYELLNLIKENNFEKFYIVCPRNKNFTHFIEIKHFLCDLNKTMLKLVPYKISNQIIRRK
VIMSS19621 1 172 0.21214011627907 PF05315.11:ICEA:1:152,PF01844.23:HNH:44:94 ulcer-associated gene restriction endonuclease (iceA) (NCBI ptt file) 172 152 10 172 0 Helicobacter pylori 26695 VIMSS19621 1 MicrobesOnline MEFDKGQTLGNFIDRIRLNGYNTECVFNQSICQDIKNHYKQQCCAMCGVRGNSENTQIEVDHKDGRKDDSRVSDLSTQAFDDFQALCKACNDKKRQICKKCKETGYRFDARKIPGNHYSFYEGEAEYDGCVGCYQYDPIQYRKTCNDRIYNEGYQKGYSDGYQIGYHQKTTL
VIMSS19746 1 224 0.173800892857143 PF01936.18:NYN:105:192 hypothetical protein (NCBI ptt file) 224 88 10 224 0 Helicobacter pylori 26695 VIMSS19746 1 MicrobesOnline MSKKVAILVDGDFFIRCYKSHLKKQPEDLNPKKLAHHIHTYCLKHINKKNDEELYRIFFYDCKPLKKKAHYPYTQKALDLSKSPTYREREELHEHLISKPCLALRLGYLDEKNARWVIRDQEKEKKLFNRRISIEEFQNDDFIYHAKQKGVDIKIGLDIATLALKKLVQKIVLISGDSDFVPASKLARVEGIIFTLDPMGNHIREDLKEHIDYLTTRLPQFKQQ
VIMSS19820 1 578 0.155027162629758 PF03235.14:DUF262:13:235,PF07510.11:DUF1524:427:554 hypothetical protein (NCBI ptt file) 578 351 10 578 0 Helicobacter pylori 26695 VIMSS19820 1 MicrobesOnline MMAKIDVELKKLHQILVDRDYFYQVPDYQRPYVWDKDHLGALIDDLVGSYTNNREDEYFCGSIVIVENQKDKRWDVVDGQQRLTSFIILACTILRLYKHSLGQESKDFIEDSIYDRYDKEKERLKFLTAQNYNSIFENTVLNHLEFEDNIKKSELNKKFEENTYLRNAYYFKELLNESVENGSISDIDDFVKWFYEHIVLTRIICFEQDSAMQIFQVLNDRGQPLSPIDILKSSLMQEIKQDSEKRKDFITTWDKLVEACKSVEGVDIDLEDFFNMYLEYADPSTSKKRADKGLKKVFKDSKKDACGFIYEISEFMKAYTALLKKQDRYVYLLRYLPSRYWASILTTALYVKYPDFDALKKLLVSYYYQTWIAGGTITRIKQTSINIIKNVKSNKSVETIKELILNSIDSYNTFDQYLYNLWDSSSVYHSKWVRPVLALANYFMADEEKPHFIAMDAETQVEHILPQTPKRGSQWNADFDKEKREEWVNNIANLTLLKRKKNAHALNGDFDEKRKIYGGKDTSKVISCYDITKELYSNYRKWNEKSLQERYKSLYNTITPVLHIEGQEDDFEDDFDLE
VIMSS19937 1 479 0.500836743215032 Uncharacterized protein 479 0 10 479 0 Helicobacter pylori (strain ATCC 700392 / 26695) O26055 1 SwissProt/TReMBL MKKSLCLSFFLTFSNPLQALVIELLEEIKTSPHKGTFKAKVLDSKKPRQVLGVYNISPHKKLTLTITHISTAIVYQPLDEKLSLETTLNPNRPTIPRNTQIVFSSKELKESHPHQMPSLNAPMQKPQNKPHSSQQPSQNFSYPEPKLGSKNSKNSLLQPLAIPSKISPTNETQTPTNDTKPPLKHSSEDQESNLFITPPTEKTLPNNTSNADISENNESNENKDNVEKQAIRDANIKEFACGKWVYDDENLQAYRPSILKRVDEDKQTATDITPCDYSTAENKSGKIITPYTKISVHKTEPLEEPQTFEAKNNFAILQARSSTEKCKRARARKDGTTRQCYLIEEPLKQAWESEYEITTQLVKAIYERPKQDDQVEPTFYETSELAYSSTRKSEITHNELNLNEKFMEFVEVYEGHYLNDIIKESSEYKEWVKNHVRFKEGVCMALEIEEQPRAKSTPLSIENSRVVCVKKGNYLFNEV
VIMSS20058 1 176 0.260125568181818 unknown (NCBI ptt file) 176 0 10 153 1 Methanothermobacter thermautotrophicus str. Delta H VIMSS20058 1 MicrobesOnline MINMREKLMGVIPLMVALVFVVAIGAYSSPSYAATASQTVTVTVPEAISIVVPNVNFGSIAAGSEGTSPAFTVSNTGNVKIDLYVKADASAFTSPTATDTIPITGFNIFSNVTGNYQNITTSSLKIYDNMNKASQGAGTPTTWTTTLRLFVPPFTEDGTYTITNTYTAVKHNSPAP
VIMSS2017489 1 101 0.169340594059406 PF02302.17:PTS_IIB:3:56 PTS sugar transporter subunit IIB 101 54 10 101 0 Streptococcus pneumoniae TIGR4 WP_000590563.1 1 RefSeq MIKILAACGAGVNSSHQIKSALEEELSNRGYDVHCDAVMVKDVNEDLMKGYDIFTPIAATDLGFEPGIPVIEAGPILFRIPAMSAPVFDNIEAAIKEHGLS
VIMSS202033 188 884 0.304296126255381 PF00593.24:TonB_dep_Rec:176:696 ArgR-regulated TonB-dependent receptor 884 521 10 697 0 Shewanella oneidensis MR-1 NP_718479.1 1 RefSeq PTQGGRDEKSASVTFGNTSDKSSSLVIIEHKQFDPLEGGQRPHLTANWDEKYGRSALYAPEGTYRPVASRPIKGADGTFSDPVYTGLQVPGKDCPADRIVTSKAGSACGFNHFDGTDYLPDQTKDSVFSNMTYRITDELEWFGQAIVMRDKSITSSTALWTPNLYIAADNPLNPTFGTANASEVQAYHRLKGVADRTTEFDSNVVDVVTGLNLELDAGSLSWYVQYSDQIVNIETDSYVFEDKLQEAVDKGLYNPFVEGGNATQATLDTFLHTATRKATSNTTGTGLSWAALAPISLPGGDLGYAVGMEYQKIEYKDTRDAQQAAGNVLGTYGGDSAGDRSYKAAFVELELPVLDHLNVKLASRYDQYSLPDVGQLSNSVNVRYEALDNLVLRASYGQGFRAPSLDDLLGEPATSYDYVTDTTLCQSLPADQQKGNPACEDTQYLRKSSGNKELEPEKSAQYSFGAVWNITENIDFVVDYYNIEITDQVSYIDAQTIVDLEAIGGLGAYDPNYIYVKRKADGTIDEIGAGNINMDGVQTSGLDVSFTSNFDLADLGTFKFAVEGTYALEYTEQASPIAKRYDVLGTKGYPPLRFNTTFSYAIDDFSTSLIAKYIDSYDGETPQQQEVGTNKQDFSSFTTWDLTFNYDFNSYGNVTVGARNLFDAMPAVNYSLGYPGYDTDTHNILGRVVFAGYKVKF 5
VIMSS202646 1 251 0.250194820717132 PF01389.17:OmpA_membrane:32:197,PF13505.6:OMP_b-brl:14:195 OmpA family protein (NCBI ptt file) 370 184 10 251 0 Shewanella oneidensis MR-1 VIMSS202646 1 MicrobesOnline MDLEKMMKNTLKVVLLTSMLPLAASASQELTPWYVGAGLGVNNYEHIATDNGDDNPYAWDIFAGYMFNDYFGAEIGYRDLGSADWTTGGISNDAGVKGATLGLVGVWPLGNRWSLSAEAGAMYYTLENSQHTGTTSSSYSSNDFAPYVGAGVGYNFTDNLKLQAKYRRYENLDDTDFNTIEADSNYWGLELSYRFGTPAAAAPVAAAVVAAAPVDSDNDGVYDDKDECPATPATHKVDSVGCTLYENVKKQ 1
VIMSS2059754 1 64 0.18744375 hypothetical protein (NCBI) 64 0 10 64 0 Streptococcus sanguinis SK36 VIMSS2059754 1 MicrobesOnline MKQKPDDKKYLVIHGEKLIQEIAQDFPVVIQEYDGTKVGLVKIDNPEQLPEKLKVLFEDGIEIL
VIMSS207944 1 570 0.0237549122807017 PF02652.14:Lactate_perm:7:560 L-lactate permease family protein (TIGR) 570 554 10 238 15 Desulfovibrio vulgaris Hildenborough VIMSS207944 0 MicrobesOnline MSIELLALVALLPILVALVLMVGLRFPATRAMPLAWLVCVIGAIGVWNLPAGYVSALTLQGIVTAIGVLIIVFGAIIILYTLQYSGGMETIQYGMQNVSRDKRIQAIIVGYMFAAFIEGAAGFGTPAALAAPLLLSLGFPPLAAAVICLVFNSFPVSFGAVGTPVLIGLKFLAPLTQEAVAQGIPGLNFTDFGSFAKVIGQWATLMHGPMIVILPIFMLGFLTRYFGEKKSWVEGFKAWKFCVFSAVAFAVPYYVFAWFVGPEFPSLIGGLVGLGIIVAGAKRGFCVPETPWDFGPQSSWDAEWTGSIRTAANTEFKAHMSQFRAWLPYVLIGLILVVTRIPELGLKGFLSAQKIPFNDILGYKGVSASIDYLYLPGTIPFTLVALLTILLHGMKGDAVKRAWGESIAKMKSPTIALFFAVALVSIFRGSGVVDAALNPNAYPSMPLAMAKAVAAVAGNAWPMLASFVGGLGSFITGSNTVSDLLFAEFQWGVAAQLSMPRQVIVAAQVVGGAMGNMVCIHNIVAVCAVVGLTGREGTLLKRCFLPFVLYGVVVGVVASLMSFVFLPHLF
VIMSS208540 1 570 0.0257087719298245 PF02652.14:Lactate_perm:7:560 L-lactate permease family protein (TIGR) 570 554 10 239 15 Desulfovibrio vulgaris Hildenborough VIMSS208540 0 MicrobesOnline MSLELLALVALLPILVALVLMVGMRWPATKAMPLSWLVCVLGAIGVWNLPAGYVTALTLQGIVTAIGVLIIVFGAIIILYTLQYSGGMETIQYGMQNVSRDKRIQAIIIGYMFAAFIEGAAGFGTPAALAAPLLLSLGFPPLAAAVICLVFNSFCVSFGAVGTPILIGLKFLAPLVKDAVTAGTPGLNFTDFGSFAKVIGQWATIMHGFMIVILPIFMLGFLTRFYGQNKSWSEGFKAWKFCVFAAVSFAVPYFIFAWFVGPEFPSLIGGLVGLGIIVAGAKRGFCVPEETWDFGPQSTWDPEWTGTIKTAAKTEFKAHMSQFKAWLPYILIGAILVVTRVPSLGLKGFLSAQKIPFDNILGFKGVSASIDYLYLPGTIPFTLVALLTILLHGMSGDAVKRAWSESFAKMKAPTIALFFAVALVSIFRGSGVADVALNPNNYPSMPLAMAKAVAALAGNAWPMLASYVGGLGAFITGSNTVSDLLFAEFQWGVAAQLELPRQIIVAAQVVGGAMGNMVCIHNIVAVCAVVGLAGREGMILKRTFWPFMLYGLVVGIIASLMCFVFLPNLF
VIMSS2092444 1 557 0.27632513464991 PF12097.8:DUF3573:6:422 membrane protein of unknown function (NCBI) 557 417 10 557 0 Francisella tularensis subsp. novicida U112 VIMSS2092444 1 MicrobesOnline MVRKFKKTCLIVSSLLACSGLAYSEDSPQVVSQGGPLGATSIGDQNLGQPDPNASGASSTTQTTGSNLNDRELLLKLQQQVQQLQGQLQQLKAQGNGGGLQNTSNGSSQFTTYSSKVDGNKNPRTLGGNGESKDLSQALIGGQTSSDIMENVNASNSIINLASEPLGGVFNQKGGIDVGGAPAITTQGQVTYLGSYSGNNSIPIGQISSNLFASTLLGQREKFDDYSVFFGGFIEADAQAWFGSAVTKAQNAGQLSSNGQNIYLTSANLYFLSNLGHYVTAQFDFDTNESGSFSLGNAFVIFGNLDTSPFFVTAGRNKLSVGSYGGGGTWTSGITKFLSPNQVTNVSIDYKDQVWNANIAVFGSDDRRANFSTGLFYADSWTPNLAAGFNVGYVFNIAGAGNSSIANSLANLNRSSDNVGALNVDGNLTYAIWDGFLNLGAGWASTTTKEDFNNNGGSVLAGAWYGALNYSAILGGRNTNFGVTYGQSYNAAAIPMATANASPTFGQTASGIKQQLIFSAQRAYFDDNVLFGPEYAYQRLYTGEHMNTITLDMSVYV 3
VIMSS2093321 1 398 0.0382510050251256 intracellular growth locus protein D (NCBI) 398 0 10 398 0 Francisella tularensis subsp. novicida U112 VIMSS2093321 1 MicrobesOnline MFLERIYWEDGLRLDSDILDKSNLSVLERLSTASYLPANLNKGIVSFDLDVESLQTGLILIKDLKLYLDEKNFVFYDKSYPLSLQIMTDKLSDEIPLFLNIREKVIEKNGVKYIYNQLSLSLEHSYGFKHSIQIALFRLDRGRLVPEIYDFPLLTLNHYYLGDIFVKLNRTVSELKSFNRFVFSASRSYASILLVFLINKLERELKFAESNRANSSPKQIFDLIDDIYSLIQLNLDKVEELDSIEFDFQKPLTKLNLLADRLLTLCEYRKINNFIRFELHGKKYICESFPEEFFVATRYYLFLKRKATAPANVRFENKNALRITSISRNKNIVTLSLSGVKLVDVECSMINFTTRFDNIDAIYEIQKGSEWDFILADSSAVFTAFEGSENFDFFIAFS
VIMSS2098913 1 516 0.420408720930232 PF02470.20:MlaD:40:112,PF11887.8:Mce4_CUP1:154:280 mce-family protein mce2F (NCBI) 516 200 10 498 1 Mycobacterium bovis BCG str. Pasteur 1173P2 VIMSS2098913 1 MicrobesOnline MLTRAIKTQLVLLTVLAVIAVVVLGWYFLRIPSLVGIGRYTLYAELPRSGGLYRTANVTYRGITIGKVTGVEPTERGARATMSIDNGYQIPTDASANVHSVSAVGEQFVDLVSTRTSGPYLRHGQTITTTTVPSQIGPALDAANRGLAVLPKDRVASVLHEASEAVGGLGSSLNRLIEATQAIAHDVRGSLEDIDDIIERSAPIIDSQVNSGNEIARWAANLNTLAAQTAQTDPAVRSILANAAPTADQVNATFSDVRESLPQTLANLEVVIDMLKRYHNGVEQALVFLPQSGAIAQSVTTEFPGQAGLGVGGLALNQPPPCLTGFLPASEWRSPADTSTAPLPKGTYCRIPMDASNVVRGARNNPCVDVPGKRAATPRECRSNEAYVPGGTNPWYGDPNQMLSCPAPAARCDQPVKPGQVIPAPSVNNGINPLPADQLPGTPPPVNDPLQRPGSGTVQCNGQQPNPCVYTPSTFPTTIYDVQSGKVVAPDGVVYSVEASTHAGADGWKVMLAPTG
VIMSS2099022 1 470 0.181208297872341 PF00535.26:Glycos_transf_2:85:240,PF13641.6:Glyco_tranf_2_3:81:291,PF10111.9:Glyco_tranf_2_2:86:297,PF13632.6:Glyco_trans_2_3:159:326,PF13506.6:Glyco_transf_21:148:294 putative membrane sugar transferase (NCBI) 470 246 10 447 1 Mycobacterium bovis BCG str. Pasteur 1173P2 VIMSS2099022 1 MicrobesOnline MTATRLPDGFAVQVDRRVRVLGDGSALLGGSPTRLLRLAPAARGLLCDGRLKVRDEVSAELARILLDATVAHPRPPSGPSHRDVTVVIPVRNNASGLRRLVTSLRGLRVIVVDDGSACPVESDDFVGAHCDIEVLHHPHSKGPAAARNTGLAACTTDFVAFLDSDVTPRRGWLESLLGHFCDPTVALVAPRIVSLVEGENPVARYEALHSSLDLGQREAPVLPHSTVSYVPSAAIVCRSSAIRDVGGFDETMHSGEDVDLCWRLIEAGARLRYEPIALVAHDHRTQLRDWIARKAFYGGSAAPLAVRHPDKTAPLVISGGALMAWILMSIGTGLGRLASLVIAVLTGRRIARAMRCAETSFLDVLAVATRGLWAAALQLASAICRHYWPLALLAAILSRRCRRVVLIAAVVDGVVDWLRRREGADDDAEPIGPLTYLVLKRVDDLAYGAGLWYGVVRERNIGALKPQIRT
VIMSS2100209 1 298 0.202727181208054 PF00120.24:Gln-synt_C:112:291 glutamine synthetase (EC 6.3.1.2) 450 180 10 298 0 Mycobacterium tuberculosis BRENDA::O07752 1 BRENDA MTATPLAAAAIAQLEAEGVDTVIGTVVNPAGLTQAKTVPIRRTNTFANPGLGASPVWHTFCIDQCSIAFTADISVVGDQRLRIDLSALRIIGDGLAWAPAGFFEQDGTPVPACSRGTLSRIEAALADAGIDAVIGHEVEFLLVDADGQRLPSTLWAQYGVAGVLEHEAFVRDVNAAATAAGIAIEQFHPEYGANQFEISLAPQPPVAAADQLVLTRLIIGRTARRHGLRVSLSPAPFAGSIGSGAHQHFSLTMSEGMLFSGGTGAAGMTSAGEAAVAGVLRGLPDAQGILCGSIVSGL
VIMSS2132783 323 1978 0.387399516908213 PF04830.13:DUF637:1151:1316,PF04829.13:PT-VENN:1333:1379 hemagglutinin/hemolysin-related protein (NCBI) 1978 213 10 1656 0 Neisseria meningitidis MC58 VIMSS2132783 1 MicrobesOnline ENSGRIATTADGTEASPTYLSIETTEKGAAGTFISNGGRIESKGLLVIETGEDISLRNGAVVQNNGSRPATTVLNAGHNLVIESKTNVNNAKGPATLSADGRTVIKEASIQTGTTVYSSSKGNAELGNNTRITGADVTVLSNGTISSSAVIDAKDTAHIEAGKPLSLEASTVTSDIRLNGGSIKGGKQLALLADDNITAKTTNLNTPGNLYVHTGKDLNLNVDKDLSAASIHLKSDNAAHITGTSKTLTASKDMGVEAGSLNVTNTNLRTNSGNLHIQAAKGNIQLRNTKLNAAKALETTALQGNIVSDGLHAVSADGHVSLLANGNADFTGHNTLTAKADVNAGSVGKGRLKADNTNITSSSGDITLVAGNGIQLGDGKQRNSINGKHISIKNNGGNADLKNLNVHAKSGALNIHSDRALSIENTKLESTHNTHLNAQHERVTLNQVDAYAHRHLSITGSQIWQNDKLPSANKLVANGVLALNARYSQIADNTTLRAGAINLTAGTALVKRGNINWSTVSTKTLEDNAELKPLAGRLNIEAGSGTLTIEPANRISAHTDLSIKTGGKLLLSAKGGNAGAPSAQVSSLEAKGNIRLVTGETDLRGSKITAGKNLVVATTKGKLNIEAVNNSFSNYFPTQKAAELNQKSKELEQQIAQLKKSSPKSKLIPTLQEERDRLAFYIQAINKEVKGKKPKGKEYLQAKLSAQNIDLISAQGIEISGSDITASKKLNLHAAGVLPKAADSEAAAILIDGITDQYEIGKPTYKSHYDKAALNKPSRLTGRTGVSIHAAAALDDARIIIGASEIKAPSGSIDIKAHSDIVLEAGQNDAYTFLKTKGKSGKIIRKTKFTSTRDHLIMPAPVELTANGITLQAGGNIEANTTRFNAPAGKVTLVAGEELQLLAEEGIHKHELDVQKSRRFIGIKVGKSNYSKNELNETKLPVRVVAQTAATRSGWDTVLEGTEFKTTLAGADIQAGVGEKARADAKIILKGIVNRIQSEEKLETNSTVWQKQAGRGSTIETLKLPSFESPTPPKLTAPGGYIVDIPKGNLKTEIEKLAKQPEYAYLKQLQVAKNVNWNQVQLAYDKWDYKQEGLTRAGAAIVTIIVTALTYGYGATAAGGVAASGSSTAAAAGTAATTTAAATTVSTATAMQTAALASLYSQAAVSIINNKGDVGKALKDLGTSDTVKQIVTSALTAGALNQMGADIAQLNSKVRTELFSSTGNQTIANLGGRLATNLSNAGISAGINTAVNGGSLKDNLGNAALGALVNSFQGEAASKIKTTFSDDYVAKQFAHALAGCVSGLVQGKCKDGAIGAAVGEIVADSMLGGRNPATLSDAEKHKVISYSKIIAGSVAALNGGDVNTAANAAEVAVVNNALNFDSTPTNAKKHQPQKPDKTALEKIIQGIMPAHAAGAMTNPQDKDAAIWISNIRNGITGPIVITSYGVYAAGWTAPLIGTAGKLAISTCMANPSGCTVMVTQAAEAGAGIATGAVTVGNAWEAPVGALSKAKAAKQAIPTQTVKELDGLLQESKNIGAVNTRINIANSTTRYTPMRQTGQPVSAGFEHVLEGHFHRPIANNRSVFTISPNELKVILQSNKVVSSPVSMTPDGQYMRTVDVGKVIGTTSIKEGGQPTTTIKVFTDKSGNLITTYPVKGN
VIMSS2133241 1 286 0.197695104895105 PF00561.20:Abhydrolase_1:26:268,PF12146.8:Hydrolase_4:27:262,PF02129.18:Peptidase_S15:48:247,PF00326.21:Peptidase_S9:49:135,PF12697.7:Abhydrolase_6:28:260 hypothetical protein (NCBI) 286 243 10 286 0 Escherichia coli O157:H7 EDL933 VIMSS2133241 1 MicrobesOnline MKITDHKLSEGIALTFRVPEGNIKHPLIILCHGFCGIRNVLLPCFANAFTEAGFATITFDYRGFGESDGERGRLVPAMQTEDIISVINWAEKQECIDNQRIGLWGTSLGGGHVFSAAAQDQRVKCIVSQLAFADGDVLVTGEMNESERASFLSTLNKMAEKKKNTGKEMFVGVTRVLSDDESKVFFEKIKARHPEMDIKIPFLTVMETLQYKPAESAASVQCPVLVVIAGQDSVNPPEQGRALYDAVASGTKELYEEADACHYDIYEGAFFERVVAVQTQWFKQYL
VIMSS2165882 272 547 0.45575 type III secretion system effector XopAE 547 0 10 276 0 Xanthomonas citri subsp. citri Aw12879 WP_011050288.1 1 RefSeq PAQCDIKVPDHLAGQLLQIRDPERAAREPQRAAQRLAERRRRAPVPAAQQAGASWNRMPEFARVLRTVDADLGERFDKWTQGLAQTARISGASITPADMPLLDQVVTEAIRSPEFRSSFGQFLSDHTLKTLNMDGMTQVGGFGPAVRGDVKTAFAEMLKHKLMHTQDHQTALGLLQDALQNPDLGLSREMLLRSRNELTGRVEMWPPLKAYISMHDVEGQAAQDAAITWTMAQFEEAQQGGIGDAEAKQESERAQANANRFIEQRARVLLREWDIR
VIMSS216828 1 154 0.348074675324675 PF05433.15:Rick_17kDa_Anti:61:101 outer membrane lipoprotein, putative (NCBI ptt file) 154 41 10 131 1 Pseudomonas putida KT2440 VIMSS216828 1 MicrobesOnline MRKSALLVATFTTMSLLLGGCASSLTGDSYSRDEARRVQTVRMGTIESLRPVKIEGTKTPIGGGAGAIVGGVAGSAVGGGRGSIVAAVIGAVAGGLAGSAAEEGLTRTQGVEITVREDDGSMRAYVQAVQENEIFRVGDRVRIMTVDGTSRVSH
VIMSS2195099 1 147 0.160832653061224 PF17805.1:AsnC_trans_reg2:62:140 heme d1 biosynthesis protein NirG (NCBI) 147 79 10 147 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2195099 1 MicrobesOnline MDEFDRRLLNRLQHGLPLEPHPYALLAAELDCREEDILRRLDDLLDDGTLTRFGPLFDIEPLGGAFTLAAMSVPEARFEEIAALLAGWPQVAHNYRREHALNMWLVVACDSPAEVAETLARLERESGLAVLDLPKEATYHVGLHFPL
VIMSS2195611 1 455 0.303054945054945 PF07044.11:DUF1329:85:450 hypothetical protein (NCBI) 455 366 10 455 0 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2195611 1 MicrobesOnline MKTTKILLHTGVLALSLLATQVMAAVSADEAAKLGTSLTPLGAEKAGNADGSIPAWDGGLATNAGSVDSRGFLANPYASEQPLFTITAQNVDQYKDKLTPGQLAMFKRYPDTYKIPVYKTHRSATVPAAVQEAAKRNATTTKLVEGGNGLENFDTANPFPIPQNGLEVIWNHITRYRGGSVRRLVTQATPQVNGSYQLVYFQDAFTFRTNLKDYNPNKPSNVLFYFKQRVTAPSRLAGNVLLVHETLNQVKEPRLAWLYNAGQRRVRRAPQVSYDGPGTAADGLRTSDNFDMYNGAPDRYDWKLEGKKEIYIPYNSYKLDDPKIKYSEIVKAGHINQDLTRYELHRVWHVVATLKPGERHIYAKRDFYIDEDTWQAAEIDHYDGRGTLWRVAEAHAEQYYDKQVPWYAVETLYDLLSGRYLALGMKNEEKQAYDFNYSASESDYTPAALRQEGVR
VIMSS2196352 1 73 0.0837191780821918 PF09834.9:DUF2061:1:52 DUF2061 domain-containing protein 73 52 10 50 1 Pseudomonas aeruginosa 2192 WP_003091551.1 0 RefSeq MLKTLTFTVMHFIIAFSVAYALTGSIAVGGLVAAVEPLCNSVGFYFHEKIWKRFEKDGAPRPASAHGWLHRHA
VIMSS2199191 1 260 0.175171923076923 PF02167.15:Cytochrom_C1:91:259 putative cytochrome c1 precursor (NCBI) 260 169 10 218 2 Pseudomonas aeruginosa UCBPP-PA14 VIMSS2199191 1 MicrobesOnline MKKQFAALILAVLPVLGFAAGGHGPQLDHVDIDLTDKAAMQDGARTFANYCMGCHSAKFQRYERVATDLGIPADLMMEKLVFTGAKIGDHMDIGMKPADAKTWFGAAPPDLTLVARVRGTDWLYSYLRSFYEDPKRPWGVNNVIFPNVGMPNVLAPLQGRQVIGCKQVQVVEDGKKQFDPLTGTPLTHEACDQLTVVPKTGELNEAQFDEKVKNLVTFLAYSANPNKLASERIGTYVLLYLAFFFVFAYLLKREYWKDVH
VIMSS244221 226 501 0.117146739130435 PF01654.17:Cyt_bd_oxida_I:2:247 cytochrome oxidase subunit I (NCBI) 501 246 10 207 3 Streptomyces coelicolor A3(2) VIMSS244221 1 MicrobesOnline GLVTLAVGGLLTAVSGDTLGKVMYEQQPMKMAAAEALWDGEKPAPFSVFAYGDVDKGHNKVALEVPGLLSFLAHSDFESYVPGINDTNKALQEQFGPGDYKPIVPVAYWGFRWMIGFGMASFSLGLLGLWLTRKRFLLPPALRTGEDEVPHLVLLKKPLGARLTRMYWLLALWTMAFPLIANSWGWIFTEMGRQPWVVYGVMQTRDAVSPGVSTAEVIISMSVFTLLYAVLAVIEVKLLAKYVKAGPPELTEADLNPPTKIGGDLRDADKPMAFSY 2
VIMSS244684 1 63 0.958487301587302 sigma-like protein (NCBI) 63 0 10 63 0 Streptomyces coelicolor A3(2) VIMSS244684 1 MicrobesOnline MSDKMKDADATPQDNHMPTPPATEEPVTTLDNHMPSGPANEAITTMDNHMPAPPALDLDGDGK
VIMSS245095 1 79 0.0106974683544304 integral membrane protein (NCBI) 79 0 10 36 2 Streptomyces coelicolor A3(2) VIMSS245095 0 MicrobesOnline MKLSRPVSWFLLAFGVWSWIIWITFVKNLVKDGSGLAFEDGDPTAYFWVHLLLAIVSFVLGTVVGVIGLRGVRALRRTS
VIMSS245317 1 214 0.269995327102804 hypothetical protein 214 0 10 214 0 Streptomyces lividans TK24 WP_003973904.1 1 RefSeq MTSSLHHAIRLTTASAIALGGLVTLGTSAHAASVAVPYECRTWVQGNTHPVYDYARGFDVSVPASVRAGKKFKATYDPDPITAFAEYNQIVNDVRIAYRIPDGAKVHKVRLTGGSGLGDSDVRVQVKGRDIVVSASGPFQGGVEFDLPTLKVTYKAPKTTGPLNFVSGGSGYEDPGFYWYRYQPILDEWGPFECFPDPAKPEAVLASTQVKKHK
VIMSS245355 180 600 0.405414726840855 PF00496.22:SBP_bac_5:24:335 ABC transporter lipoprotein BldKB 600 312 10 421 0 Streptomyces coelicolor A3(2) NP_629263.1 1 RefSeq QQWLSGDGTTYRDAYAGPDKGKHLPDNVLETPDDKTIVFHFKTARPDLPQMLTMPGYSVVPEETDTKEKYDSAPVAAGPYKIAEFKPGKSMKLVKNTQWDPKSDSVRHQYVDGFNIEMNHDDEDQTKTLLADQGGAKNAMMFTGQVATTQLQKVVGDKDAMKNRTIQGYAPYVWQLNFNMNRVKDKKLRDAITLALPSDSVFKADGGAYGGEVANSLMSPTTPGYDEAFDPFGRAKKPNGDIEAAKKLIKEGGFEGKSLVYAYANTPERQKQAVLISTALEKIGLDIQKKEIDSATWYEQVGKVDNGLDLYMTGWGQDWPSASTVIPPVYDGKQIQDGASNYSHINDDHVNSEIQRIQQITDTAEATKAWAELNEYISKEVNPAAPIYYTKVFQIFGSNVGGIRYSSDSSYVDVTRVFLKK
VIMSS272334 1 207 0.145585024154589 PF13505.6:OMP_b-brl:8:207 hypothetical (NCBI ptt file) 207 200 10 207 0 Yersinia pestis KIM VIMSS272334 1 MicrobesOnline MLKQSLLSLALMGSCSVAMADNYTYVAGGLQFGSINSNSRFDQQFDRQNYSHIGNKNMGGIYLNGGYSFDNSLFIDGRLSSLANDNRGSADAVLGLGYYFAFTPDIDFYTVVGASRHALVFDASKDGKKTNTYNSASGEIGVKTKLSPDIGVNIAYRLANYDKRAFHEARIMADYALTQKLAAEVGYTYHNWRVSDQAMQVGLRYNF 5
VIMSS289776 1 120 0.27176 fibronectin-binding protein 120 0 10 120 0 Leptospira interrogans serovar Lai str. 56601 NP_710503.2 1 RefSeq MFRIISKFFLALLVFGFISTSYAQIGQINPSSISGKYKVSGTNPNGSSYNGSVTISQSNGEYLFTWTVAGQTFTGTGTLEGTTLTVDWGETEPVIYEVKNGGKLLEGTWAGGNATETLRK 1
VIMSS29357 1 527 0.165153130929791 hypothetical protein 527 0 10 481 2 Chlamydia pneumoniae CWL029 NP_225221.1 1 RefSeq MPGSVSSPPLSPVIVRERVPSSSGSDLIQPHAVLKISILIFALVTILGIVLVVLSSALGALPSLVLTVSGCIAIAVGLIGLGILVTRLILSTIRKVDAMGYDAAVKEEQYLSRIRELESENREIRDRNRAVEDQCAHLSEENKDLRDPEYLHGMTERLIASLEIENQALVAENILLKDWNASLSRDFRAYKQKFPLGALEPWKEDIACIMEQNLFLKPECIAMVKSLPLETQRLFLYPKGFQSLVNRFAPRSRFFQTPKYEYNSRNENEDGKVAAVCARLKKEFFSAVLGACSYEELGGICERAVALKETLPLPEAVYDTLVQEFPNLLTAESLWKEWCFYSYPYLRPYLSVDYCKRLFVQLFEELCLKLFTTGSPEDQALVRLFSYYRNHIPAVLASFGLPPPETGGSVFVLLPKQENLLWSQIEVLATRYLKDTFVRNSEWTGSFEMMFSYNEMCKEISEGRIRFAEDYETRHSEEFPPSPLSEEGEGEEFLPPCSEEEVSVLERPDLDVDSMWVWHPPVPKGPL
VIMSS29534 1 407 0.159047665847666 PF06381.11:DUF1073:14:381 hypothetical protein (NCBI ptt file) 407 368 10 407 0 Borrelia burgdorferi B31 VIMSS29534 1 MicrobesOnline MCDLRKTKLIDKISSLELYKYSIFFRNYIENVAEDCLKNGLILESAAHNVSEVELARLKVQLKNALLNCIISYRFHGIGYVLVKTKDTLIDLEQPVNIELPIGFEYLDYEYVRDLGVDFDHITYKVKSNNKNNSLDAVKIHKSRLIIYENFDYILKRYVPCYTESFLLDIYLFEKIYVEIERRIENHNFLFYKDESLVQLQDALSSATTSLSALTQSNNDRGSGILSSFLRKQNSNNHSKDISNLRNLNDSLSQELARLKSNLNNEGMFYTATPSASLEVIKYDLSYLKEALALIKAKIGADTKEPLTRSFNEQAKGLGNDGKGDRSNYYDFLKGVQEQVENSCNLKLTKYFGLDMKFNSLIMLSEEQKVERDIKLIELYSKYNQLIQSSSFNNEELAMLKEKLFSF 2
VIMSS29603 1 140 0.424255714285714 PF03304.13:Mlp:25:140 Lipoprotein MlpG 140 116 10 140 0 Borrelia burgdorferi (strain ATCC 35210 / B31 / CIP 102532 / DSM 4680) SwissProt::Q9S083 1 SwissProt MKIINILFCLFLLMLNGCNSNDTNTKQTKSRQKRDLTQKEATQEKPKSKSKEDLLREKLSDDQKTQLDWLKTALTGVGKFDKFLENDEGKIKSALEHIKTELDKCNGNDEGKNTFKTTVQGFFSGGNIDNFADQATATCN
VIMSS29712 1 161 0.0464472049689441 PF05628.12:Borrelia_P13:19:156 conserved hypothetical protein (NCBI ptt file) 161 138 10 95 3 Borrelia burgdorferi B31 VIMSS29712 1 MicrobesOnline MQIFAQEKLEKGVGDIATVMKYESKKATILAPLLLNIFLSLGIGSFVQGDYIGGGALLGSQVLGGILIMAGYMTGDIGFVTESTATVITGGVLSGIGGLTIAASYITGIIIPFKFANRYNADLKKRLGIALAGLEPNFDIGINGDSNYRLKNPIEYQFTWG
VIMSS304 1 115 0.07332 hypothetical protein (NCBI ptt file) 115 0 10 69 2 Chlamydia trachomatis D/UW-3/CX VIMSS304 0 MicrobesOnline MCYVLNFITGKYSTPSPNNCRPQSPQKTGGHSNLLFVLALLPVIGLGVAIYLCARRANYWKGNAAIATAGGLGLITIAAALMVVITPIIFCLRYLYQLLRQLPAHCCGSSHQIEI
VIMSS31822 1 560 0.128783928571429 PF09594.10:GT87:181:409 PROBABLE CONSERVED TRANSMEMBRANE PROTEIN (NCBI) 560 229 10 386 8 Mycobacterium tuberculosis H37Rv VIMSS31822 1 MicrobesOnline MTGALSQSSNISPLPLAADLRSADNRDCPSRTDVLGAALANVVGGPVGRHALIGRTRLMTPLRVMFAIALVFLALGWSTKAACLQSTGTGPGDQRVANWDNQRAYYQLCYSDTVPLYGAELLSQGKFPYKSSWIETDSNGTPQLRYDGQIAVRYMEYPVLTGIYQYLSMAIAKTYTALSKVAPLPVVAEVVMFFNVAAFGLALAWLTTVWATSGLAGRRIWDAALVAASPLVIFQIFTNFDALATGLATSGLLAWARRRPVLAGVLIGLGSAAKLYPLLFLYPLLLLGIRAGRLNALARTMAAAAATWLLVNLPVMLLFPRGWSEFFRLNTRRGDDMDSLYNVVKSFTGWRGFDPTLGFWEPPLVLNTVVTLLFVLCCAAIAYIALTAPHRPRVAQLTFLTVASFLLVNKVWSPQFSLWLVPLAVLALPHRRILLAWMTIDALVWVPRMYYLYGNPSRSLPEQWFTTTVLLRDIAVMVLCGLVVWQIYRPGRDLVRTGGPGALPACGGVDDPVGGVFANAADAPPGRLPSWLRPRLGDEHARERTPDAGRDRTFSGQHRA
VIMSS31875 1 399 0.162616040100251 hypothetical protein (NCBI) 504 0 10 399 0 Mycobacterium tuberculosis H37Rv VIMSS31875 1 MicrobesOnline MTPVTTFPLVDAILAGRDRNLDGVILIAAQHLLQTTHAMLRSLFRVGLDPRNVAVIGKCYSTHPGVVDAMRADGIYVDDCSDAYAPHESFDTQYTRHVERFFAESWARLTAGRTARVVLLDDGGSLLAVAGAMLDASADVIGIEQTSAGYAKIVGCALGFPVINIARSSAKLLYESPIIAARVTQTAFERTAGIDSSAAILITGAGAIGTALADVLRPLHDRVDVYDTRSGCMTPIDLPNAIGGYDVIIGATGATSVPASMHELLRPGVLLMSASSSDREFDAVALRRRTTPNPDCHADLRVADGSVDATLLNSGFPVNFDGSPMCGDASMALTMALLAAAVLYASVAVADEMSSDHPHLGLIDQGDIVASFLNIDVPLQALSRLPLLSIDGYRRLQVR
VIMSS31975 1 412 0.175447815533981 PF03706.13:LPG_synthase_TM:47:346 PROBABLE CONSERVED TRANSMEMBRANE PROTEIN (NCBI) 412 300 10 230 8 Mycobacterium tuberculosis H37Rv VIMSS31975 1 MicrobesOnline MSHDAPARNLRQRVGALPRTRVGAPPAEGVPPRGKYWWLRWAVLAIVAIVLAIEVALGWDQLAKAWVSLYRAKWWWLLAAVAAAGASMHSFAQIQRTLLKSAGVHVKQWRSEAAFYAANSLSTTLPGGPVLSATFLLRQQRIWGASTVVASWQLVMSGVLQAVGLALLGLGGAFFLGAKNNPFSLLFTLGGFVTLLLLAQAVASRPELIEGIGRRVLSWANSVRGRPADAGLPKWRETLMQLESVSLGRRDLGVAFGWSLFNWIADVACLGFAAYAAGDHASVGGLAVAYAAARAVGTIPLMPGGVLVVEAVLVPGLVSSGMPLPSAISAMLIYRLISWLLIAAIGWVVFFFMFRTESTADSDNDRDPPTDPNLRLVIQPQGTPCDDPVETTPQGPAPTPDLRPEGGETPPR
VIMSS32023 314 853 0.187193333333333 PF01077.22:NIR_SIR:332:469,PF04324.15:Fer2_BFD:128:175,PF03460.17:NIR_SIR_ferr:260:320,PF18267.1:Rubredoxin_C:26:87 nitrite reductase large subunit 853 309 10 540 0 Mycobacterium tuberculosis variant bovis BCG str. Tokyo 172 WP_003401336.1 1 RefSeq PGYTSAEVVADRLLDGSAEFPEADLSTKLKLLGVDVASFGDAMGATENCLEVVINDAVKRTYAKLVLSDDATTLLGGVLVGDASSYGVLRPMVGAELPGDPLALIAPAGSGAGAGALGVGALPDSAQICSCNNVTKGELKCAIADGCGDVPALKSCTAAGTSCGSCVPLLKQLLEAEGVEQSKALCEHFSQSRAELFEIITATEVRTFSGLLDRFGRGKGCDICKPVVASILASTGSDHILDGEQASLQDSNDHFLANIQKNGSYSVVPRVPGGDIKPEHLILIGQIAQDFGLYTKITGGQRIDLFGARVDQLPLIWQRLVDGGMESGHAYGKAVRTVKSCVGSDWCRYGQQDSVQLAIDLELRYRGLRAPHKIKLGVSGCARECAEARGKDVGVIATEKGWNLYVAGNGGMTPKHAQLLASDLDKETLIRYIDRFLIYYIRTADRLQRTAPWVESLGLDHVREVVCEDSLGLAEEFEAAMQRHVANYKCEWKGVLEDPDKLSRFVSFVNAPDAVDSTVTFTERAGRKVPVSIGIPRVRS
VIMSS32094 91 223 0.22463007518797 hypothetical protein (NCBI) 223 0 10 133 0 Mycobacterium tuberculosis H37Rv VIMSS32094 1 MicrobesOnline LAQIPLNELTQRVVDALAGADLLLVFDDNGVTGHPDHRRATEAALAAASTPSIPVLAWALPQPIADRLNAEFSASFGGRGHGHLDIMIEVDRSRQLAAIGCHFTQSADNPVLWRRLELLGDREYLRWLRRSVP
VIMSS3212888 1 619 0.0819846526655898 PF12796.7:Ank_2:311:398,PF09372.10:PRANC:516:608,PF00023.30:Ank:206:256,PF00023.30:Ank:259:293 CPXV006 protein (NCBI) 619 267 10 619 0 Cowpox virus VIMSS3212888 1 MicrobesOnline MSTITKKIYCSGFLFLSYISNYEKVNDEMYEMGEMDEIVRIVNDSMWYIPNAFMDDGENEGHISVNNVCHMYLAFFDVDTSSHLFKLVIKHCDLNKRLKCGNSPLHCYTMNTRFKPSVLKILLHHGMRNFDSKDEKGHIPLHHYLIHSLSIDNKIFDILTDNIDDFSKSSDLLLCYLRYKFNRRLNYYVLYKLLTKGSDTNCVDEDGLTSLHYYCRHISFFHENNYYETKRYTKMYAEKRFINTIIDHGANINAVTKIGNTPLHTYLQEHTKHSPRVVYALLSRGADTRIRNNFDCTPIMEYIKNDCVACHILILLLNWHEQKYGKLQKEEGHHLLYLFIKHNQLHKHSIDILRYLLDRFDIQKDEYYNTMTPLHAAFQNCNNKVASYLVYIGYDINLPTKDGKTVFDLVFENRNILYKSDVIHDIIRHRLKVSLPMIKSLFYRMSEFSPYNDYYVKKIIAYCVLRDESFAELHRKFCLNDDYKSVFMKNISFDEIDSIIERCSHDISRLKEIRISDTDLYTVLRTEDIRYHTYLEAIHSDKHISFPMYDDLIEQCHLSMKYKSKLIDKALDKLESTIDGQSRLYYLPPEIIRSIISKLSDYHLKSMLYGKNHYKHYPY
VIMSS3213456 1 725 0.318656827586207 PF04508.12:Pox_A_type_inc:611:633,PF04508.12:Pox_A_type_inc:638:659,PF04508.12:Pox_A_type_inc:666:688,PF04508.12:Pox_A_type_inc:690:712 A-type inclusion protein A25; ATI 725 91 10 702 1 Vaccinia virus (strain Western Reserve) (VACV) (Vaccinia virus (strain WR)) SwissProt::P24759 1 SwissProt MEVTNLIEKCTKHSKDFATEVKKLWNDELSSESGLSRKTRNVIRNILRDITKSLTTDKKSKCFRILERSTINGEQIKDVYKTIFNNGVDVESRINTTGKYVLFTVMTYVAAELRLIKSDEIFALLSRFFNMICDIHRKYGCGNMFVGIPAALIILLEIDHINKLFSVFSTRYDAKAYLYTEYFLFLNINHYLLSGSDLFINVAYGAVSFSSPISVPDYIMEALTFKACDHIMKSGDLKYTYAFTKKVKDLFNTKSDSIYQYVRLHEMSYDGVSEDTDDDDEVFAILNLSIDSSVDRYRNRVLLLTPEVASLRKEYSDVEPDYKYLMDEEVPAYDKHLPKPITNTGIEEPHATGGDEDQPIKVVHPPNNDKDDAIKPYNPLEDPNYVPTITRTAIGIADYQLVINKLIEWLDKCEEECGNSGEFKTELEEAKRKLTELNAELSDKLSKIRTLERDSVYKTERIDRLTKEIKEHRDIQNGTDDGSDLLEIDKKTIRELRESLDREREMRSELEKELDTIRNGKVDGSCQRELELSRMWLKQRDDDLRAEIDKRRNVEWELSRLRRDIKECDKYKEDLDKAKTTISNYVSKISTLESEIAKYQQDRDTLSVVRRELEEERRRVRDLESRLDECTRNQEDTQEVDALRSRIRELENKLTDCIESGGGNLTEISRLQSKISDLERQLSECRENATEISRLQSRISDLERQLNDCRRNNETNAETERDATS
VIMSS32154 1 284 0.429960211267606 POSSIBLE CONSERVED SECRETED PROTEIN (NCBI) 284 0 10 264 1 Mycobacterium tuberculosis H37Rv VIMSS32154 1 MicrobesOnline MVPLWFTLSALCFVGAVVLLYVDIDRRRGRSRRRKSWARSHGFDYERESTEILKRWTRGVMSTVGDVAAHNVVLGQIRGEAVYIFDLEEVATVIALHRKVGTNVVVDLRLKGLKEPRESDIWLLGAIGPRMVYSTNLDAARRACDRRMVTFAHTAPDCAEIMWNEQNWTLVSMPIASTRAQWDEGLRTVRQFNDLLRVLPPLPQEMPQQTGVGPRGAAPGRPVAPGGPAELPPRRAQPDPATTVLPDPARRAPEPIRRDEGRSEGVRRPPPAGRNGQQATNYQH
VIMSS322077 1 313 0.323143130990416 PF00669.20:Flagellin_N:6:139,PF00700.21:Flagellin_C:228:312 flagellin (NCBI ptt file) 313 219 10 313 0 Bradyrhizobium japonicum USDA 110 VIMSS322077 1 MicrobesOnline MSSLLTNSTAMTALQTLRSVSSQLSTTQTRISTGQRVSTASDNAAYWSIATSMRSDNAALSAVSDSLGLSAATVDTEYTALNTVIGDKDSGLTKLQALLVEAKTAGIDRSKIQADVTQIQNQLKSTADSATINGINWLSIDTTPSSSTATPTSFNLVSSYSRVGNTPTIGSITVTTATYALYTTGGSSTTGILDAVVGGSTGASVSSINIGALTDSATDQTKLDGYIAQVTAAIGSVASAAANLGAVKNRISTNTEFVKNLMDSVDRGVGQLVDADMNQESTRLAALQVQQQLGVQALSIANNSSQSILSLFR
VIMSS32220 54 439 0.229238341968912 PF01593.24:Amino_oxidase:17:370 hypothetical protein (NCBI) 439 354 10 386 0 Mycobacterium tuberculosis H37Rv VIMSS32220 1 MicrobesOnline GPRGTDVVGVDSAFLVHNDRTYPTLCRLFAELGVATQESEMSMSVRADDIGLEYAGALGARGLFACRQSLRPRYLCMLAEILRFHRAAARLLREETDNAEDKPETLEAFLSRHHFSQYFVDYFITPLVAAVWSCGGADALRYPARYLFVFLDHHGMLSVFGSPTWRTVTGGSANYVQAIAAQLDEVSTRTPVHSLRRLPDGVLVGAGDGPSRRFDAAVVAVHPDQALLLLDEPTPAERAVLGAIAYSTNSAQLHTDESVLPRHHRARASWNYLVTPGQHQVVVSYDISRLMRLDGGRRYLVTLGGHDRVDPSSVIAEMTYSHPLYTPESVAAQRLLPTLGDNRVVFAGAYHGWGFHEDGAASGLRAARRLGADWPAAIPQEAMVAC
VIMSS3237393 51 469 0.675828878281623 PF14320.6:Paramyxo_PNT:21:301,PF13825.6:Paramyxo_P_V_N:346:406 Phosphoprotein; Protein P 709 342 10 419 0 Nipah virus SwissProt::Q9IK91 1 SwissProt CTSGESEQVEGGMSKDDGDVERRNLEDLSSTSPTDGTIGKRVSNTRDWAEGSDDIQLDPVVTDVVYHDHGGECTGYGFTSSPERGWSDYTSGANNGNVCLVSDAKMLSYAPEIAVSKEDRETDLVHLENKLSTTGLNPTAVPFTLRNLSDPAKDSPVIAEHYYGLGVKEQNVGPQTSRNVNLDSIKLYTSDDEEADQLEFEDEFAGSSSEVIVGISPEDEEPSSVGGKPNESIGRTIEGQSIRDNLQAKDNKSTDVPGAGPKDSAVKEEPPQKRLPMLAEEFECSGSEDPIIRELLKENSLINCQQGKDAQPPYHWSIERSISPDKTEIVNGAVQTADRQRPGTPMPKSRGIPIKKGTDAKYPSAGTENVPGSKSGATRHVRGSPPYQEGKSVNAENVQLNASTAVKETDKSEVNPVDD
VIMSS32388 1 133 0.165665413533835 PF01850.21:PIN:3:120 Ribonuclease VapC29; RNase VapC29; Toxin VapC29; EC 3.1.-.- 133 118 10 133 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WF79 1 SwissProt MTVLLDANVLIALVVAEHVHHDAAADWLMASDTGFATCPMTQGSLVRFLVRSGQSAAAARDVVSAVQCTSRHEFWPDALSFAGVEVAGVVGHRQVTDAYLAQLARSHDGQLATLDSGLAHLHGDVAVLIPTTT
VIMSS32452 64 196 0.213221052631579 PF13305.6:TetR_C_33:23:116 PROBABLE TRANSCRIPTIONAL REGULATORY PROTEIN (POSSIBLY TETR-FAMILY) (NCBI) 196 94 10 133 0 Mycobacterium tuberculosis H37Rv VIMSS32452 1 MicrobesOnline DDIITMLNRVGAGRARDDAVLVMAGAYRSYAHHHPGRYSAFTRMPLGGDDPEYTAATRGAAAPVIAVLSSYGLDGEQAFYAALEFWSALHGFVLLEMTGVMDDIDTDAVFTDMVLRLAAGMERRTTHGGTAST
VIMSS32845 1 278 0.0736327338129496 PF12811.7:BaxI_1:25:277,PF01027.20:Bax1-I:58:272 PROBABLE CONSERVED TRANSMEMBRANE PROTEIN (NCBI) 278 253 10 120 7 Mycobacterium tuberculosis H37Rv VIMSS32845 1 MicrobesOnline MRETSNPVFRSLPKQRGGYAQFGTGTAQQGFPADPYLAPYREAKATRPLTIDDVVTKTGLTLAMLAGTAVVSYFLVASNVALAMPLTLVGALGGLALVLVATFGRKQDNPAIVLSYAALEGLFLGAISFVLANFTVASANAGVLIGEAILGTMGVFFGMLVVYKTGAIRVTPKFTRMVVAALFGVLVLMLGNLVLAMFNVGGGEGLGLRSPGPLGIIFSLVCIGIAAFSFLIDFDAADQMIRAGAPEKAAWGVALGLTVTLVWLYIEILRLLSYLQNE
VIMSS33042 1 124 0.149954838709677 PF13827.6:DUF4189:39:115 CONSERVED PROBABLE SECRETED PROTEIN (NCBI) 124 77 10 124 0 Mycobacterium tuberculosis H37Rv VIMSS33042 1 MicrobesOnline MTTMITLRRRFAVAVAGVATAAATTVTLAPAPANAADVYGAIAYSGNGSWGRSWDYPTRAAAEATAVKSCGYSDCKVLTSFTACGAVAANDRAYQGGVGPTLAAAMKDALTKLGGGYIDTWACN
VIMSS33079 1 171 0.309538011695906 PF00430.18:ATP-synt_B:30:160 ATP synthase subunit B (NCBI) 171 131 10 148 1 Mycobacterium tuberculosis H37Rv VIMSS33079 1 MicrobesOnline MGEVSAIVLAASQAAEEGGESSNFLIPNGTFFVVLAIFLVVLAVIGTFVVPPILKVLRERDAMVAKTLADNKKSDEQFAAAQADYDEAMTEARVQASSLRDNARADGRKVIEDARVRAEQQVASTLQTAHEQLKRERDAVELDLRAHVGTMSATLASRILGVDLTASAATR
VIMSS33080 1 446 0.222936995515695 PF00213.18:OSCP:277:442,PF00430.18:ATP-synt_B:3:132 PROBABLE ATP SYNTHASE DELTA CHAIN ATPH (NCBI) 446 296 10 427 1 Mycobacterium tuberculosis H37Rv VIMSS33080 1 MicrobesOnline MSTFIGQLFGFAVIVYLVWRFIVPLVGRLMSARQDTVRQQLADAAAAADRLAEASQAHTKALEDAKSEAHRVVEEARTDAERIAEQLEAQADVEAERIKMQGARQVDLIRAQLTRQLRLELGHESVRQARELVRNHVADQAQQSATVDRFLDQLDAMAPATADVDYPLLAKMRSASRRALTSLVDWFGTMAQDLDHQGLTTLAGELVSVARLLDREAVVTRYLTVPAEDATPRIRLIERLVSGKVGAPTLEVLRTAVSKRWSANSDLIDAIEHVSRQALLELAERAGQVDEVEDQLFRFSRILDVQPRLAILLGDCAVPAEGRVRLLRKVLERADSTVNPVVVALLSHTVELLRGQAVEEAVLFLAEVAVARRGEIVAQVGAAAELSDAQRTRLTEVLSRIYGHPVTVQLHIDAALLGGLSIAVGDEVIDGTLSSRLAAAEARLPD
VIMSS33170 1 133 0.168094736842105 PF01850.21:PIN:2:108 hypothetical protein (NCBI) 133 107 10 133 0 Mycobacterium tuberculosis H37Rv VIMSS33170 1 MicrobesOnline MILVDSDVLIAHLRGVVAARDWLVSARKDGPLAISVVSTAELIGGMRTAERREVWRLLASFRVQPATEVIARRAGDMMRRYRRSHNRIGLGDYLIAATADVQDLQLATLNVWHFPMFEQLKPPFAVPGHRPRA
VIMSS331723 1 131 0.174632061068702 extracellular protein (NCBI ptt file) 131 0 10 108 1 Lactobacillus plantarum WCFS1 VIMSS331723 1 MicrobesOnline MKKFNFKTMLLLVLASCVFGVVVNVTTSLGPQTAITAQASKKLSQAQQIAKINAKLSKANRAAKNWIAYRESGYSYTARNGRCYGRYQLLRSYLHGNLSPVNQEKRANTYVASRYGSWVKAKRFWQHHHWY 1
VIMSS33192 1 157 0.227417834394904 PF00652.22:Ricin_B_lectin:37:153 hypothetical protein 157 117 10 157 0 Mycobacterium tuberculosis H37Rv NP_215935.1 1 RefSeq MGELRLVGGVLRVLVVVGAVFDVAVLNAGAASADGPVQLKSRLGDVCLDAPSGSWFSPLVINPCNGTDFQRWNLTDDRQVESVAFPGECVNIGNALWARLQPCVNWISQHWTVQPDGLVKSDLDACLTVLGGPDPGTWVSTRWCDPNAPDQQWDSVP
VIMSS33298 1 261 0.19951877394636 POSSIBLE RHAMNOSYL TRANSFERASE WBBL2 (NCBI) 261 0 10 261 0 Mycobacterium tuberculosis H37Rv VIMSS33298 1 MicrobesOnline MYAPLVSLMITVPVFGQHEYTHALVADLEREGADYLIVDNRGDYPRIGTERVSTPGENLGWAGGSELGFRLAFAEGYSHAMTLNNDTRVSKGFVAALLDSRLPADAGMVGPMFDVGFPFAVADEKPDAESYVPRARYRKVPAVEGTALVMSRDCWDAVGGMDLSTFGRYGWGLDLDLALRARKSGYGLYTTEMAYINHFGRKTANTHFGGHRYHWGASAAMIRGLRRTHGWPAAMGILREMGMAHHRKWHKSFPLTCPASC
VIMSS33349 1 473 0.384376321353065 PF05065.13:Phage_capsid:190:469 Probable phiRV1 phage protein (NCBI) 473 280 10 473 0 Mycobacterium tuberculosis H37Rv VIMSS33349 1 MicrobesOnline MTEFDDIKNLSLPETRDAAKQLLDSVAGDLTGEAAQRFQALTRHAEELRAEQRRRGREAEEALRRYRAGELRVVPGAPTGGDDGDAPPGNSLRDTAFRTLDSCVRDGLMSSRAAETAETLCRTGPPQSTSWAQRWLAATGSRDYLGAFVKRVSNPVAGHTVWTDREAAAWREAAAVAAEQRAMGLVDTQGGFLIPAALDPAILLSGDGSTNPIRQVARVVQTTSEIWRGVTSEGAEARWYSEAQEVSDDSPALAQPAVPNYRGSCWIPFSIELEGDAASFVGEIGKILADSVEQLQAAAFVNGSGNGEPTGFVSALTGTSDQVVVGAGSEAIVAADVYALQSALPPRFQASAAFAANLSTINTLRQAETSNGALKFPSLHDSPPMLAGKSVLEVSHMDTVDSAVTATNHPLVLGDWKQFLIGDRVGSMVELVPHLFGPNRRPTGQRGFFAWFRVGSDVLVRNAFRVLKVETTA
VIMSS33357 1 73 0.366778082191781 Possible phiRv1 phage protein (NCBI) 73 0 10 73 0 Mycobacterium tuberculosis H37Rv VIMSS33357 1 MicrobesOnline MSTIYHHRGRVAALSRSRASDDPEFIAAKTDLVAANIADYLIRTLAAAPPLTDEQRTRLAELLRPVRRSGGAR
VIMSS33387 1 468 0.309851923076923 PF01790.18:LGT:16:273 prolipoprotein diacylglyceryl transferase (NCBI) 468 258 10 340 6 Mycobacterium tuberculosis H37Rv VIMSS33387 1 MicrobesOnline MRMLPSYIPSPPRGVWYLGPLPVRAYAVCVITGIIVALLIGDRRLTARGGERGMTYDIALWAVPFGLIGGRLYHLATDWRTYFGDGGAGLAAALRIWDGGLGIWGAVTLGVMGAWIGCRRCGIPLPVLLDAVAPGVVLAQAIGRLGNYFNQELYGRETTMPWGLEIFYRRDPSGFDVPNSLDGVSTGQVAFVVQPTFLYELIWNVLVFVALIYIDRRFIIGHGRLFGFYVAFYCAGRFCVELLRDDPATLIAGIRINSFTSTFVFIGAVVYIILAPKGREAPGALRGSEYVVDEALEREPAELAAAAVASAASAVGPVGPGEPNQPDDVAEAVKAEVAEVTDEVAAESVVQVADRDGESTPAVEETSEADIEREQPGDLAGQAPAAHQVDAEAASAAPEEPAALASEAHDETEPEVPEKAAPIPDPAKPDELAVAGPGDDPAEPDGIRRQDDFSSRRRRWWRLRRRRQ
VIMSS33475 1 454 0.430993832599118 PF02720.17:DUF222:36:361 hypothetical protein (NCBI) 454 326 10 454 0 Mycobacterium tuberculosis H37Rv VIMSS33475 1 MicrobesOnline MYSSSREEAVAAFDNLDTALNRVLKVSPDDLTIPECLAMLQRCEKIRRRLPAAEHPFINKLADQTDQTELGGKLPFALAERLHISRGEASRRIHEAADLGPRRTLTGQPLPPLLTATAAAQRAGHLGPAHVQVIRCFLHQLPHHVDLPTREKAEAELATLGGRFRPDQLHKLATKLADCLNPDGNYNDTDRARRRSIILGNQGPDGMSAISGYLTPEARATVDAVLAKLAAPGMANPADDTPCLAGTPSQAAIEADTRSAGQRHHDGLLAALRALLCSGELGQHNGLPAAIIVSTSLTELQSRAGHALTGGGTLLPMSDVIRLASHANHYLRIFDHGRELALYHTKRLASPGQRIVLYAKDRGCSFPNCDVPGYLTEVHHVTDFAQCQETDINELTQGCGPHHQLATTGGWITRKRKDGTTEWLPPAHLDHGQPRTNSYFHPEKLLHDSDEDDP
VIMSS33570 1 406 0.296490640394089 PF11203.8:EccE:201:292 ESX-5 secretion system protein EccE5; ESX conserved component E5; Type VII secretion system protein EccE5; T7SS protein EccE5 406 92 10 360 2 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WJE3 1 SwissProt MKAQRSFGLALSWPRVTAVFLVDVLILAVASHCPDSWQADHHVAWWVGVGVAAVVTLLSVVSYHGITVISGLATWVRDWSADPGTTLGAGCTPAIDHQRRFGRDTVGVREYNGRLVSVIEVTCGESGPSGRHWHRKSPVPMLPVVAVADGLRQFDIHLDGIDIVSVLVRGGVDAAKASASLQEWEPQGWKSEERAGDRTVADRRRTWLVLRMNPQRNVAAVACRDSLASTLVAATERLVQDLDGQSCAARPVTADELTEVDSAVLADLEPTWSRPGWRHLKHFNGYATSFWVTPSDITSETLDELCLPDSPEVGTTVVTVRLTTRVGSPALSAWVRYHSDTRLPKEVAAGLNRLTGRQLAAVRASLPAPTHRPLLVIPSRNLRDHDELVLPVGQELEHATSSFVGQ
VIMSS3373467 1 405 0.191925925925926 hypothetical protein 405 0 10 405 0 Clostridioides difficile 630 YP_001087551.1 1 RefSeq MQDYKKNKRRMMNQPMSTMNEEEVYTDEINSEDMRGFKKSHHHNGCNTDNKCECHDDCNPCNPCNPCKPNPCNPCKPNPCDDNCGCHDNCKCDCEPCEMDSDECFENKCGPECCNPISPRNFSVSNAVPFAIEANRIFDTMQFQTFTDATGPNGEPLTFETEVVEVFGSVPSAGQASVTIEKICLSNDGIVIDTGMTTLEDFDLDPLGDIVGRNCETTFEFAVCGERNSECCRQGKGKSVAYKQRGLTVAVRNLVLELRGRCGCTEFVALAFPAVRAGGGCKRRVDYVEFTFNTLSAPICLPADGRAVTLRQEYQTNLTVDCIGKSILKLECNECCEPFYELIIPNDIDLVLCLQETVSTLISEQIVVLASPNPIQPRLVDTFSKVCDFSQCGPNHGSGKPSCHR
VIMSS33740 1 342 0.15698918128655 PF02470.20:MlaD:37:114 Mce-family protein Mce3B 342 78 10 319 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O53968 1 SwissProt/TReMBL MRENLGGVVVRLGVFLAVCLLTAFLLIAVFGEVRFGDGKTYYAEFANVSNLRTGKLVRIAGVEVGKVTRISINPDATVRVQFTADNSVTLTRGTRAVIRYDNLFGDRYLALEEGAGGLAVLRPGHTIPLARTQPALDLDALIGGFKPLFRALNPEQVNALSEQLLHAFAGQGPTIGSLLAQSAAVTNTLADRDRLIGQVITNLNVVLGSLGAHTDRLDQAVTSLSALIHRLAQRKTDISNAVAYTNAAAGSVADLLSQARAPLAKVVRETDRVAGIAAADHDYLDNLLNTLPDKYQALVRQGMYGDFFAFYLCDVVLKVNGKGGQPVYIKLAGQDSGRCAPK
VIMSS33815 58 265 0.160988461538462 hypothetical protein (NCBI) 265 0 10 208 0 Mycobacterium tuberculosis H37Rv VIMSS33815 1 MicrobesOnline DTFIGPRDITFHRDLDIVSGTVVLRDLELEVAMDSAVTVFIPAFLRYDLRPVTGEWQIAALRAYWELPAMMLQFLRTGSGATRPALQLSRALLGNQGLGGTAGFLTGFRRAGRRHKKLVETFLNAASRADKSAAYHALSRTATMTLGEDELLDIVELFEQLRGASWTKVTGAGSTVAVSLASDHRRGIMFADVPWRGNRINRIRYFPA
VIMSS33933 1 113 0.343596460176991 hypothetical protein (NCBI) 113 0 10 113 0 Mycobacterium tuberculosis H37Rv VIMSS33933 1 MicrobesOnline MGRIPGTRRAGGCFFAAAAADVDSQPGPVRDRIAATGRAGIAAITADVETAQRRGEIRADIEVRQLAFELHAYAMEANWALLLLDDDGAGERARTAIDAALARVGTTQEGVES
VIMSS33937 1 384 0.805659375 Probable conserved proline rich membrane protein 384 0 10 361 1 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) O06213 1 SwissProt/TReMBL MRAKREAPKSRSSDRRRRADSPAAATRRTTTNSAPSRRIRSRAGKTSAPGRQARVSRPGPQTSPMLSPFDRPAPAKNTSQAKARAKARKAKAPKLVRPTPMERLAARLTSIDLRPRTLANKVPFVVLVIGSLGVGLGLTLWLSTDAAERSYQLSNARERTRMLQQHKEALERDVREAASAPALAEAARRQGMIPTRDTAHLVQDPDGNWVVVGTPKPADGVPPPPLNTKLPEDPPPPPKPAAVPLEVPVRVTPGPDDPAPPARSGPEVLVRTPDGTATLGGATHLPTQAGPQLPGPVPIPGAPGPMPAPPLGAVPSPAPAENPVPLQVGAAPPAGLPGPAPVAATPGLSGGSQPMVAPPAPVPANGEQFGPVTAPVPTAPGAPR
VIMSS34015 1 265 0.347861132075472 PF17853.1:GGDEF_2:180:259 hypothetical protein (NCBI) 414 80 10 265 0 Mycobacterium tuberculosis H37Rv VIMSS34015 1 MicrobesOnline MNDNQLAPVARPRSPLELLDTVPDSLLRRLKQYSGRLATEAVSAMQERLPFFADLEASQRASVALVVQTAVVNFVEWMHDPHSDVGYTAQAFELVPQDLTRRIALRQTVDMVRVTMEFFEEVVPLLARSEEQLTALTVGILKYSRDLAFTAATAYADAAEARGTWDSRMEASVVDAVVRGDTGPELLSRAAALNWDTTAPATVLVGTPAPGPNGSNSDGDSERASQDVRDTAARHGRAALTDVHGTWLVAIVSGQLSPTEKFLKD
VIMSS34035 1 360 0.115851111111111 PF00795.22:CN_hydrolase:222:328 hypothetical protein (NCBI) 360 107 10 251 5 Mycobacterium tuberculosis H37Rv VIMSS34035 1 MicrobesOnline MALRAGARRQPVIGCAAALVFGGLPALAFPAPSWWWLAWFGLVPLLLVVRAAPTSWEGALRAWTGMGGFVLATQYWLVTSAGPMLVLLAAGLGVLWLPAGWLAHRLLSVPVTTCRVGAALVVVPSAWVAAEAVRSWQSLGGPWALLGASQWSQPVTLASASLGGVWLTSFLLVATNTAIASVLVCRATGGRLVALGCVIGCAGLGPASYLLGSVPVGGPTVRVALVQAGDIADAAARLAAGEEFTAAVADQRPDLVVWGESSVGQDLTRHPDVLARLAELSQRVGADLLVNVDAPAPDGGIYKSAVLVGAHEAVGSYRKTRLVPFGEYVLRCARFSAGSPATARPPQRIGSAAPGRWCWR
VIMSS34040 1 388 0.121125 PF13469.6:Sulfotransfer_3:82:325,PF00685.27:Sulfotransfer_1:80:325 PAPS-dependent sulfotransferase Stf3; EC 2.8.2.- 388 246 10 388 0 Mycobacterium tuberculosis (strain ATCC 25618 / H37Rv) SwissProt::P9WLG1 1 SwissProt MKALRSSSRLSRWREWAAPLWVGCNFSAWMRLLIRNRFAVHHSRWHFAVLYTFLSMVNSCLGLWQKIVFGRRVAETVIADPPIFIVGHWRTGTTLLHELLVVDDRHTGPTGYECLAPHHFLLTEWFAPYVEFLVSKHRAMDNMDLSLHHPQEDEFVWCMQGLPSPYLTIAFPNRPPQYEEYLDLEQVAPRELEIWKRTLFRFVQQVYFRRRKTVILKNPTHSFRIKVLLEVFPQAKFIHIVRDPYVVYPSTIHLHKALYRIHGLQQPTFDGLDDKVVSTYVDLYRKLDEGRELVDPTRFYELRYEDLIGDPEGQLRRLYQHLGLGDFECYLPRLRQYLADHADYKTNSYQLTVEQRAIVDEHWGEIIDRYGYDRHTPEPARLRPAVGG
VIMSS34180 1 273 0.350672893772894 PF12706.7:Lactamase_B_2:32:234,PF00753.27:Lactamase_B:18:172 ribonuclease Z (NCBI) 273 217 10 273 0 Mycobacterium tuberculosis H37Rv VIMSS34180 1 MicrobesOnline MLEITLLGTGSPIPDPDRAGPSTLVRAGAQAFLVDCGRGVLQRAAAVGVGAAGLSAVLLTHLHGDVLITSWVTNFAADPAPLPIIGPPGTAEVVEATLKAFGHDIGYRIAHHADLTTPPPIEVHEYTAGPAWDRDGVTIRVAPTDHRPVTPTIGFRIESDGASVVLAGDTVPCDSLDQLAAGADALVHTVIRKDIVTQIPQQRVKDICDYHSSVQEAAATANRAGVGTLVMTHYVPAIGPGQEEQWRALAATEFSGRIEVGNDLHRVEVHPRR
VIMSS34226 1 201 0.312962686567164 PF12804.7:NTP_transf_3:13:166 molybdopterin-guanine dinucleotide biosynthesis protein A (NCBI) 201 154 10 201 0 Mycobacterium tuberculosis H37Rv VIMSS34226 1 MicrobesOnline MAELAPDTVPLAGVVLAGGESRRMGRDKATLPLPGGTTTLVEHMVGILGQRCAPVFVMAAPGQPLPTLPVPVLRDELPGLGPLPATGRGLRAAAEAGVRLAFVCAVDMPYLTVELIEDLARRAVQTDAEVVLPWDGRNHYLAAVYRTDLADRVDTLVGAGERKMSALVDASDALRIVMADSRPLTNVNSAAGLHAPMQPGR
VIMSS34255 1 789 0.237004309252218 PF01553.21:Acyltransferase:266:379 glycerol-3-phosphate acyltransferase (NCBI) 789 114 10 789 0 Mycobacterium tuberculosis H37Rv VIMSS34255 1 MicrobesOnline MTKPAADASAVLTAEDTLVLASTATPVEMELIMGWLGQQRARHPDSKFDILKLPPRNAPPAALTALVEQLEPGFASSPQSGEDRSIVPVRVIWLPPADRSRAGKVAALLPGRDPYHPSQRQQRRILRTDPRRARVVAGESAKVSELRQQWRDTTVAEHKRDFAQFVSRRALLALARAEYRILGPQYKSPRLVKPEMLASARFRAGLDRIPGATVEDAGKMLDELSTGWSQVSVDLVSVLGRLASRGFDPEFDYDEYQVAAMRAALEAHPAVLLFSHRSYIDGVVVPVAMQDNRLPPVHMFGGINLSFGLMGPLMRRSGMIFIRRNIGNDPLYKYVLKEYVGYVVEKRFNLSWSIEGTRSRTGKMLPPKLGLMSYVADAYLDGRSDDILLQGVSICFDQLHEITEYAAYARGAEKTPEGLRWLYNFIKAQGERNFGKIYVRFPEAVSMRQYLGAPHGELTQDPAAKRLALQKMSFEVAWRILQATPVTATGLVSALLLTTRGTALTLDQLHHTLQDSLDYLERKQSPVSTSALRLRSREGVRAAADALSNGHPVTRVDSGREPVWYIAPDDEHAAAFYRNSVIHAFLETSIVELALAHAKHAEGDRVAAFWAQAMRLRDLLKFDFYFADSTAFRANIAQEMAWHQDWEDHLGVGGNEIDAMLYAKRPLMSDAMLRVFFEAYEIVADVLRDAPPDIGPEELTELALGLGRQFVAQGRVRSSEPVSTLLFATARQVAVDQELIAPAADLAERRVAFRRELRNILRDFDYVEQIARNQFVACEFKARQGRDRI
VIMSS34272 1 185 0.322487567567568 PF01575.19:MaoC_dehydratas:39:159 POSSIBLE OXIDASE REGULATORY-RELATED PROTEIN (NCBI) 185 121 10 185 0 Mycobacterium tuberculosis H37Rv VIMSS34272 1 MicrobesOnline MTKHAGDRESDDAVSACRVAGSTVGRRILQRGLWFEEFQIGTTYLHRPGRTVTEADNVLFTTLTMNTQSLHLDAAWAGQQPGFRGERLVNSMFTLSTMVGLSVAQLTLGTIVANLGFSEVSFPKPVFHGDTLYAETVCTGKRESKSRPGEGIVTLEHIARNQHGEVVARAVRTTLVQKQSIKEAQ
VIMSS342855 1 660 0.345300606060606 hypothetical protein (NCBI ptt file) 869 0 10 660 0 Vibrio parahaemolyticus RIMD 2210633 VIMSS342855 1 MicrobesOnline MSYKVLPLSEVMSHEFGLIEGNFHNISEADIASAIPSHLTFEQLKQHLYEGNLALVSDTPQTPALLSYNDPIGPKTWRLNSEVISELSDDAANNLLAITKTTRATGGYRSCIGETSTLERVYTPQPIATESEEEITKEFEYSFEVGCSDATIKKMVHSDFALAKTEKENAVTRWEQTNTEQGTRYTALCVFDEPKRLNIHIADDNLGLTPLEAVTLQKAGSCKTDEGFIPVVPAVRLGERLGLPTEGYYYHFNDGELVQEYKILGEEKWAFYATQSTQDKLNDERGFTKDQSAILVYWKLADQIIENQYIIYLERQITREELDNLSEDWLCENGIKLDIPALFDAVKQPEEARSEGNNDETQAEQTAATHTVTVGEDWQSIAELYGMGAKALLLLNPVFEADPLSLAVGDEIIVAEKQQQQAPDKKNTFPPLRPQTYNNIRNSHYQHSDPLLGLTKYRAINTADCIEGDVVILNLKDATSSIVFAKSCTRPEGCIEIGDQQESISNFGPWSFFFAQANANPAAVIPAIQATQAQMAMGSSAAVAGSPEQMQQTQTAAMQLDKLAGTLKEKIVEGYRWQVEGIGALFAMQQSLFGDNTQYTDQDLRQVTTAQSRVRVHITEPQGGEFYPHVQGYHVDDTRIPIKYVKQGNNGQLSVAIEEN
VIMSS342940 1 183 0.135662295081967 PF00486.28:Trans_reg_C:27:99 putative transcriptional activator ToxR (NCBI ptt file) 183 73 10 160 1 Vibrio parahaemolyticus RIMD 2210633 VIMSS342940 1 MicrobesOnline MLRNYLLGNQVIFDTLKREVLTTDKIISLGGREAAILKLLCENANTVIAKEEINDKVWGKVFVSETSLTKAISNLRKSLQLIEGVMCEIKTIPKEGYMLILEGENLGLMVAEDEPPLEVKRIESKDLALLKAPVGNNRFLSTLAKSDNKMNEGHIKPSWMLLAVLSSAFLSSVTSTAMILLLK
VIMSS342988 1 222 0.0898878378378378 PF08722.11:Tn7_Tnp_TnsA_N:60:134 hypothetical protein (NCBI ptt file) 222 75 10 222 0 Vibrio parahaemolyticus RIMD 2210633 VIMSS342988 1 MicrobesOnline MYHTFESLLQVWILCMKKRIIKNSKVKNISRFVSLKTDSVQTTESDLEFDACFHFEFASHVKSFETQPLGFEYRLNGRLRRYTPDMLCYFNDGYATYYEVKPKWVTERDEFKKKFDAQKQQAIANGYDLLVLTEDDIQTYPLLDNLKIIHRYACSDSLDDVQVRILKLFQNYGEMRISQVINASQGQSASILPALYDLIAKKILEFDWHCPISHDSLVWRVS
VIMSS34467 1 122 0.389509016393443 hypothetical protein (NCBI) 122 0 10 122 0 Mycobacterium tuberculosis H37Rv VIMSS34467 1 MicrobesOnline MGAQGYLRRLTRRLTEDLEQRDVEELSDEVLNAGAQRAIDCQRGQEVTVVGTLRSVETNGKGCSGGVRAELFDGSDTVTLVWLGQRRIPGIDTGRTLRVRGRLGKLENGTKAIYNPHYEIQR
VIMSS346585 1 234 0.170203846153846 hypothetical protein 234 0 10 234 0 Salmonella enterica subsp. enterica serovar Typhi str. CT18 NP_455929.1 1 RefSeq MLQPRLYTFYECYFFWLIKKVKLMKLSVTLAFFGYMMVSSMAQASDINMWREPKPVVKEGKYYSLIMDRGELQKAVKSSSNNYLFSEKGAGVKPLVLIGSPVEISEFMLQNKTRSIENHIKGLPDIYMGVTKYKPKQRFTGLFPLQDILTQTVDQVSKFVPGTDITDAVGIPSDLKALQQAILAMKQKDKISKNILYVKFSYLPEDGMVKVGSKTVSFGRILEKMQQSNRTLRR
VIMSS34946 77 200 0.473412903225806 PROBABLE TRANSCRIPTIONAL REGULATORY PROTEIN (PROBABLY TETR/ACRR-FAMILY) (NCBI) 200 0 10 124 0 Mycobacterium tuberculosis H37Rv VIMSS34946 1 MicrobesOnline GEADKMPKASNATPRERLLALFDRPNRGDGRMRGCPFHNAAVEAAGEMPGVERIVHSHKRDYIKGLARLAREAGAAHPRSLGNQLAVLFEGAAALSTSLDDAGPWAHARAAAEVLIDQATARPV
VIMSS35037 1 553 0.220702893309223 Type II methyltransferase recognizing CTGGAG with methylation at 5(6mA),-5(6mA) 553 0 10 553 0 Mycobacterium bovis BCG Mexico REBASE::M.MboBCG21I 1 REBASE MQPSHPTRPGAVIRYVGSSLDTCPMTTFAGKTAASADKVRGGYYTPPAVARFLAHWVHQAGPKILEPSCGDGRILRELSAITDHAHGVELVAREAKKSRDFASVDTENLFTWLHKTQLGSWDGVAGNPPYIRFGNWASEQRDPALELMRRVGLRPTKLTNAWVPFVVASTTLARDGGRVGLVVPAELLQVTYAAQLREFLLSRYREITLVTFERLVFDGILQEVVLFCGVVGPGPAHIRTVRLGDANDLNALGDKDFTNESAPALLHEKEKWTKYFLDPAQIRLLRGLKQSATMIRLGELADVDVGIVTGRNSFFTFTDAKAQALGLRAHCVPLVSRSAQLSGLIYDEDCRACDVAGNHRTWLLDAADYPTDPALVAHITAGEAAGVHLGYKCSIRKPWWSTPSLWMPDLFMLRQIHFAPRLTVNAAAATSTDTVHRVRLDPNVDPATLAAVFHNSATFAFAEIMGRSYGGGILELEPREAEQLPMPPPAYGSAELAQDVDLLLKANEIDKALDVVDRHVLIDGLGLSPRLVAGCRAAWLTLRDRRTKRGSRR
VIMSS35063 1 125 0.0830032 POSSIBLE TRANSMEMBRANE PROTEIN (NCBI) 125 0 10 56 3 Mycobacterium tuberculosis H37Rv VIMSS35063 0 MicrobesOnline MHEVGGPSRGDRLGRDDSEVHSAIRFAVVAAVVGVGFLIMGALLVSTCSGVDTAACGPPQRILLALGGPLILCAAGLWAFLRTYRVWRAEGTWWGWHGAGWFLLTLMVLTLCIGVPPIAGPVMAP
VIMSS35266 1 160 0.14266625 CONSERVED HYPOTHETICAL MCE ASSOCIATED PROTEIN (NCBI) 160 0 10 137 1 Mycobacterium tuberculosis H37Rv VIMSS35266 1 MicrobesOnline MRRLISVAYALMVATIVGLSAAGGWFYWDRVQTGGEASARALLPKLAMQEIPQVFGYDYQTVERSLTAVYPLLTPDYRQEFQKSANAQIIPEAKKREVVVQANVVGVGVMDAKRDCASVMVYLNRTVTDKTRQPLYDGSRLRVDFQRIDGKWLIAYITPI
VIMSS35270 1 451 0.322992239467849 PF02470.20:MlaD:39:113,PF11887.8:Mce4_CUP1:163:289 MCE-FAMILY PROTEIN MCE4D (NCBI) 451 202 10 428 1 Mycobacterium tuberculosis H37Rv VIMSS35270 1 MicrobesOnline MMGRVAMLTGSRGLRYATVIALVAALVGGVYVLSSTGNKRTIVGYFTSAVGLYPGDQVRVLGVPVGEIDMIEPRSSDVKITMSVSKDVKVPVDVQAVIMSPNLVAARFIQLTPVYTGGAVLPDNGRIDLDRTAVPVEWDEVKEGLTRLAADLSPAAGELQGPLGAAINQAADTLDGNGDSLHNALRELAQVAGRLGDSRGDIFGTVKNLQVLVDALSESDEQIVQFAGHVASVSQVLADSSANLDQTLGTLNQALSDIRGFLRENNSTLIETVNQLNDFAQTLSDQSENIEQVLHVAGPGITNFYNIYDPAQGTLNGLLSIPNFANPVQFICGGSFDTAAGPSAPDYYRRAEICRERLGPVLRRLTVNYPPIMFHPLNTITAYKGQIIYDTPATEAKSETPVPELTWVPAGGGAPVGNPADLQSLLVPPAPGPAPAPPAPGAGPGEHGGGG
VIMSS35435 1 199 0.228688442211055 PF12710.7:HAD:28:197 hypothetical protein (NCBI) 287 170 10 199 0 Mycobacterium tuberculosis H37Rv VIMSS35435 1 MicrobesOnline MTVSDSPAQRQTPPQTPGGTAPRARTAAFFDLDKTIIAKSSTLAFSKPFFAQGLLNRRAVLKSSYAQFIFLLSGADHDQMDRMRTHLTNMCAGWDVAQVRSIVNETLHDIVTPLVFAEAADLIAAHKLCGRDVVVVSASGEEIVGPIARALGATHAMATRMIVEDGKYTGEVAFYCYGEGKAQAIRELAASEGYPLEHC
VIMSS35436 1 256 0.329452734375 hypothetical protein (NCBI) 256 0 10 256 0 Mycobacterium tuberculosis H37Rv VIMSS35436 1 MicrobesOnline MTVDPLAPLMELPGVAAASDRVRDALSRVHRHRANLRGWPVAAAEASLRAARASSVLDGGPARLHDAGAPTSGKPALSDPVFAGALRVGQALEGGAGPVVGVWRRAPLQALARLHMLAAADQVDDDRLGRPRSDADVGPRLELLADVVTHPTLASAPVVAAVAHGELLTLRPFGCADGVVARAVSRLVTIATGLDPHGLGVPEVIWMRQPAEYHDAARRFAGGTPDGVAGWLLLCCGAMLDGAREALSIAESLSPG
VIMSS35501 79 602 0.191323091603053 PF01593.24:Amino_oxidase:183:256 POSSIBLE OXIDOREDUCTASE (NCBI) 602 74 10 524 0 Mycobacterium tuberculosis H37Rv VIMSS35501 1 MicrobesOnline PTEHDRTVLDNLVGVSMVSATAQGVIGRIAAPVALQRRRPTFARIIGKVVEPPRQLVRILLRGPMVIVGLAQRGVPATDVLHYLYAHLRLLWMCRERLLAELGDISYADYLQLGCKSAQAQEFFSAVPRIYVAARTSAEAAAIAPIVLKGLFRLKSNCPSALNDAKLPAIMMMDGPTSERMVDPWIRHLTRLGVDIHFNTRVGDLEFDDGRVTALISSDGRRFACDYALLAVPYLTLRELAKSAHVKRYLPQLTQQHALALEASNGIQCFLRDLPATWPPFIRPGVVTTHLQSQWSLVCVLQGEGFWKNVRLPEGTRYVLSITWSDVETPGPVFDRPLSECTPDEILTECLTQCGLDKSNVLGWRIDHELKHLDEAEYEKVASELPPHLVSAPARGQRMVNFSPLTVLMPGARHRSPGICTSVPNLLLAGEVIYSPDLTLFVPTMEKAACSGYLAARQIMNMVASHAAPLRIDFRDPAPFAVLRRVDRWFWSRRRRPPDRSTFATPPTAMPAPSHLTDVDRSAS
VIMSS36209 136 598 0.213164146868251 PF01734.22:Patatin:73:141 hypothetical protein 598 69 10 463 0 Rickettsia prowazekii str. Madrid E NP_220907.1 1 RefSeq FSAGMIQLNKDGKPLYDLIELIIKENIGNFLHRSDIVNVQNDKDLDELRERYNQKDGKIYFKDIALLRKYDPVQYKDLVITATQQETSALTIFNSFDTPNVEIALACRASASIPIVFKPVEIDGKKYVDGGYRDNIPTKYFKDNEPEFCTKEVTNNLEEITLAKKQGRTLAMVFGTGMGADANIAIYSAKNFDSPSDIVKFLVDVLFKIIAQVGGKFKYTETLRETNEQLRENALNTVVLDTAGIGTLDFKDAQKYCDYLHIKGYCQTLEHLNNHDLTKKIDKTFDHQKFLLNVYEVYDNKNLHKTFGTKLFEMFIPSKENNSSKWQDGIIETHNDKAKMLLSFCKTGVLTEKKLNERLKEYVIIAATSRNNTLKTDTNSLNALLYTLNASAASSKIKDSFIEVLEIDKNKDTRFDKTKTFDYNIANFQFTKKDLESFLAKNKSAVLKIQRKHDVARNQRSRG
VIMSS362720 49 428 0.233448157894737 PF01266.24:DAO:61:281 flavoprotein (NCBI ptt file) 428 221 10 380 0 Shigella flexneri 2a str. 2457T VIMSS362720 1 MicrobesOnline RLYAHSLEHIIPGFADSAPVERLITHEKLAFMTEKSAMTMDYCNGDETSPSQRSYSVLRSKFDAWLMEQAEEAGAQLITGIRVDNLVQRDGKVVGVEADGDVIEAKTVLLADGVNSILAEKLGMAKRVKPTDVAVGVKELIELPKSVIEDRFQLQGNQGAACLFAGSPTDGLMGGGFLYTNENTLSLGLVCGLHHLHDAKKSVPQMLEDFKQHPAVAPLIAGGKLVEYSAHVVPEAGINMLPELVGDGVLIAGDAAGMCMNLGFTIRGMDLAIAAGEAAAKTVLSAMKSDDFSKQKLAEYRQHLESGPLRDMRMYQKLPAFLDNPRMFSGNPELAVGVARDLFTIDGSAPELMRKKILRHGKKVGFINLIKDGMKGVTVL
VIMSS363597 1 417 0.0519035971223022 PF04403.13:PqiA:49:200,PF04403.13:PqiA:253:408 intermembrane transport protein PqiA 417 308 10 233 8 Escherichia coli K-12 substr. MG1655 ecocyc::G6490-MONOMER 1 ecocyc MCEHHHAAKHILCSQCDMLVALPRLEHGQKAACPRCGTTLTVAWDAPRQRPTAYALAALFMLLLSNLFPFVNMNVAGVTSEITLLEIPGVLFSEDYASLGTFFLLFVQLVPAFCLITILLLVNRAELPVRLKEQLARVLFQLKTWGMAEIFLAGVLVSFVKLMAYGSIGVGSSFLPWCLFCVLQLRAFQCVDRRWLWDDIAPMPELRQPLKPGVTGIRQGLRSCSCCTAILPADEPVCPRCSTKGYVRRRNSLQWTLALLVTSIMLYLPANILPIMVTDLLGSKMPSTILAGVILLWSEGSYPVAAVIFLASIMVPTLKMIAIAWLCWDAKGHGKRDSERMHLIYEVVEFVGRWSMIDVFVIAVLSALVRMGGLMSIYPAMGALMFALVVIMTMFSAMTFDPRLSWDRQPESEHEES
VIMSS3691756 1 354 0.40874604519774 PF01469.18:Pentapeptide_2:7:45,PF01469.18:Pentapeptide_2:26:64,PF01469.18:Pentapeptide_2:52:89,PF01469.18:Pentapeptide_2:95:131,PF01469.18:Pentapeptide_2:133:172,PF01469.18:Pentapeptide_2:174:211,PF01469.18:Pentapeptide_2:204:239 PPE family protein (RefSeq) 354 226 10 354 0 Mycobacterium tuberculosis H37Ra VIMSS3691756 1 MicrobesOnline MPGRFRNFGSQNLGSGNIGSTNVGSGNIGSTNVGSGNIGDTNFGNGNNGNFNFGSGNTGSNNIGFGNTGSGNFGFGNTGNNNIGIGLTGDGQIGIGGLNSGSGNIGFGNSGTGNVGLFNSGTGNVGFGNSGTANTGFGNAGNVNTGFWNGGSTNTGLANAGAGNTGFFDAGNYNFGSLNAGNINSSFGNSGDGNSGFLNAGDVNSGVGNAGDVNTGLGNSGNINTGGFNPGTLNTGFFSAMTQAGPNSGFFNAGTGNSGFGHNDPAGSGNSGIQNSGFGNSGYVNTSTTSMFGGNSGVLNTGYGNSGFYNAAVNNTGIFVTGVMSSGFFNFGTGNSGLLVSGNGLSGFFKNLFG 1
VIMSS37 1 403 0.140312158808933 hypothetical protein (NCBI ptt file) 403 0 10 360 2 Chlamydia trachomatis D/UW-3/CX VIMSS37 1 MicrobesOnline MFPIECHTLQTSFKQVLSLVAEKITTKAFVIFSVLFSLIIGFIASCGFLFAGPPAFIASGLCFALLVSVVSFFGCQKLIPYGIQHLMSYVKSIPSLSSSLIDFLKTESKSISSLYPNPGLKECFKGASPKYKKFFFDHPEKLLSAAFTDWTPQIIPSDSGQPRTIILSHSSLPFSLTLSTLDFETLHTHLIKSNALTCRVGYAHQLPSGNPVMREAKEGVLQQHYDTGNETFFISIQESKQLQQEELFKKLFSHYAQITEHNLSNEILLLEPLKTPLHTPKARTLELLALFCALEQLRYTKVADWRTKKLAPIFPLDYEDFFTLFMKKQHYTLPGNVSNMRILSPVRPVSETALTTIIISGLEEEDKLGLLGQVQPFLFDAEEAHPQRGESILIQNVLDDITQ
VIMSS370735 1 279 0.276145878136201 conserved hypothetical protein (NCBI ptt file) 279 0 10 279 0 Chlamydia muridarum Nigg VIMSS370735 1 MicrobesOnline MKKNFLKGVVPIPGLSTDEGTGVKDQNLWLNNATLNVRGDATVEDKVTGRDLNVTGPKIQTDVDLSVGRDVKGGRTELGETVLKGDFSIKCDMGQAPQFTNLSDPLSARDAITFDYYRDRSTQAYNCVTGYRVSVAGESFLDLHANNSRDVESFTPMYRNRFYWNGNDKQRLYLKSPGIYQVAFQILRNSGYHAGNDDPTVFLRLYTSEYEYTNLCTGDTRGFSQGNTTNTSLYSIFSIPSIGDEMPFVRVFTKIYIDIARTMINVIWFPFGSSYTEED
VIMSS371039 1 554 0.269628519855596 PF03504.13:Chlam_OMP6:99:189,PF01345.18:DUF11:234:299,PF01345.18:DUF11:340:410 60 kDa outer membrane protein (NCBI ptt file) 554 228 10 554 0 Chlamydia muridarum Nigg VIMSS371039 1 MicrobesOnline MRIGDPMNKLIRRAVTIFAVTSVASLFASGVLETSMAESLSTNVISLADTKAKETTSHQKDRKARKNHQNRTSVVRKEVTAVRDTKAVEPRQDSCFGKMYTVKVNDDRNVEIVQSVPEYATVGSPYPIEITAIGKRDCVDVIITQQLPCEAEFVSSDPATTPTADGKLVWKIDRLGQGEKSKITVWVKPLKEGCCFTAATVCACPEIRSVTKCGQPAICVKQEGPESACLRCPVTYRINVVNQGTATARNVVVENPVPDGYAHASGQRVLTYTLGDMQPGEQRTITVEFCPLKRGRVTNIATVSYCGGHKNTASVTTVINEPCVQVNIEGADWSYVCKPVEYVISVSNPGDLVLRDVVIEDTLSPGITVVEAAGAQISCNKLVWTLKELNPGESLQYKVLVRAQTPGQFTNNVVVKSCSDCGICTSCAEATTYWKGVAATHMCVVDTCDPICVGENTVYRICVTNRGSAEDTNVSLILKFSKELQPISFSGPTKGTITGNTVVFDSLPRLGSKETVEFSVTLKAVSAGDARGEAILSSDTLTVPVSDTENTHIY
VIMSS3774508 1 189 0.187868783068783 PF06338.11:ComK:5:156 competence protein (RefSeq) 189 152 10 189 0 Staphylococcus aureus subsp. aureus USA300_TCH1516 VIMSS3774508 1 MicrobesOnline MYSQNIYVIRKGDMVIRPAFDDDDQRNGSEIIRFDKTRIQNPFKVQKIIERSCKFYGNTYLGKKAETNRITGISSKPPILLTPLFPTYFFPTHSDRQNENIWLNMHYIESIKELKNRKCKVTFINNESIILHVSYHSLWHQYNNSIFYYYMVDKQSRMISKNPDQPIDYNKATLNVFEALTRYSLFEDK
VIMSS3795564 1 183 0.302705464480874 PF12728.7:HTH_17:99:146 Uncharacterized protein CT_446 183 48 10 183 0 Chlamydia trachomatis (strain D/UW-3/Cx) O84452 1 SwissProt/TReMBL MECLQQDTGVEAEQVQVQQQEENAVPVTSQRVSITQAAKLHNVTRQAIYVAIKQKKLKASKTTRWEIDLQDLEDYRRNRYSRAKSTYQGELLFDNEKGFYSVGQVASMLDVPEQKIYYATRIGAMKGERRGSAWVIHVSEVDRYRNDYLKKEAERKGKSLAAMREGFEALGADLLADAENFIS
VIMSS38232 1 520 0.365799807692307 PF12072.8:DUF3552:6:205,PF01966.22:HD:337:428,PF08668.12:HDOD:335:390,PF00013.29:KH_1:213:268 Ribonuclease Y; RNase Y; EC 3.1.-.- 520 350 10 502 1 Bacillus subtilis (strain 168) SwissProt::O31774 1 SwissProt MTPIMMVLISILLILLGLVVGYFVRKTIAEAKIAGARGAAEQILEDAKRDAEALKKEALLEAKDEIHKLRIDAEQEVRERRNELQKQENRLLQKEENLDRKHEGIDKREAMLEKKDHSLNERQQHIEEMESKVDEMIRMQQSELERISSLTRDEAKQIILERVENELSHDIAIMTKETENRAKEEADKKAKNILSLALQRCAADHVAETTVSVVNLPNDEMKGRIIGREGRNIRTLETLTGIDLIIDDTPEAVILSGFDPIRRETARIALDKLVQDGRIHPARIEEMVEKSRREVDDYIREMGEQTTFEVGVHGLHPDLIKILGRLKFRTSYGQNVLKHSMEVAFLAGLMASELGEDAKLAKRAGLLHDIGKAIDHEVEGSHVEIGVELATKYKEHPVVINSIASHHGDEEPTSIIAVLVAAADALSAARPGARSETLENYIRRLEKLEEISESYEGVEKSFAIQAGREVRIMVKPDSINDLEAHRLARDIRKRIEDELDYPGHIKVTVIRETRAVEYAK
VIMSS383045 1 768 0.299518098958333 PF13435.6:Cytochrome_C554:45:85 C-type polyheme cytochrome OmcC; Outer membrane c-type cytochrome C; Polyheme membrane-associated cytochrome c 768 41 10 768 0 Geobacter sulfurreducens (strain ATCC 51573 / DSM 12127 / PCA) SwissProt::Q749L1 1 SwissProt MSRKVTKYSAVLAVSLFAAALAGCGSENKEGTVGTGPGGVATVGDTACVQCHSAVVDPLTGESIITQYTRSFHYSKGVGCEGCHGGGAQHNGVGPLPFPLAGQSEAQIAARCASCHNGVIAPLSSSPNFVNGNHANPFGGEEAKENLCSRCHSHEGAIFGAQAGFTGDGNILRNAAYQPVYPQDPETFNVMTCATCHQHGGAQRQVFTQISTAGVPNSRRTVAWDPNRNSINDQYDLCTSCHTVNTMTGTLIGSGNVLQIFTSNAVGSGTKSVTTAPFYHNTRWFRTLPSTHYDFPESKTTASGTTIEGYVIRRNTANPCFDCHGHEFQTNTRRLAGADRPNTIFLDWGQSAHGGKLLQAKVAAAALASSGAAEVDDVMKAGATDATAPGWTHYNWDDTASRGACQRCHTSTGASNFLNNPAGYDRTGAGNSFTHLAGWTSSNKRSDQNELLYCWGCHTKAGTGELRNPGAITEVYPGINSTSTGTTGLDVTVSYPDIKGSNVCMGCHLGREVGDNIKAITDADGILGFVNSHYLTAGGQLFGTTGYEYATRSYANPAFFQHDKIGTAAAPGTGTNGPCAGCHMTTPTSHLFLPVTKDGTGAITAITSTACVTCHAGTFALTPEGLTAEEEEYVASLEALKAALAGKGILFFNAHPYFYRDTNANGIADPGETVSSNAFTNWAGVYGLALWQDVMGAAFNANLLIHDPGGYAHNRFYSKRLIWDSIDFIFDGVLNNDVTAAIDAQVTAARLDSATATAAKAYLGATRP
VIMSS4041884 1 66 0.0295378787878788 PF04024.12:PspC:2:59 hypothetical protein (RefSeq) 66 58 10 43 1 Listeria monocytogenes 10403S VIMSS4041884 0 MicrobesOnline MKKLYKSSSQKMIAGVCGGLAEYFGIEVTIIRLLWAGAVLFFGTGILLYIIAAIIIPKATPESEWE
VIMSS43676 1 179 0.0265240223463687 PF05628.12:Borrelia_P13:37:179 B. burgdorferi predicted coding region BB0034 (NCBI ptt file) 179 143 10 97 4 Borrelia burgdorferi B31 VIMSS43676 0 MicrobesOnline MNKLLIFVLATFCVFSSFAQANDSKNGAFGMSAGEKLLVYETSKQDPIVPFLLNLFLGFGIGSFAQGDILGGSLILGFDAVGIGLILAGAYLDIKALDGITKKAAFQWTWGKGVMLAGVVTMAVTRLTEIILPFTFANSYNRKLKNSLNVALGGFEPSFDVAMGQSSALGFELSFKKSY
VIMSS43814 1 346 0.0331841040462428 PF13519.6:VWA_2:110:216,PF00092.28:VWA:108:247 B. burgdorferi predicted coding region BB0172 (NCBI ptt file) 346 140 10 281 3 Borrelia burgdorferi B31 VIMSS43814 1 MicrobesOnline MLIACLFYFFKNFLKRDTMSINNYSALYFFLVLLFIFFVCVLDFRRNIPFFKTLSFMYGDNSYIQNYYIKKVLMSMFFIFSLIFLILSILDISWGQRAVEDERNKLRISFIFDISRSMLSVDEGKIINRLESAKNMISLILSNFENAEYSLTIFKGKSKLVLPFSKDKNSLNKMLNYIEPDLISSPGSFLGDAVFSVISNVPDDSYYNFLVILTDGDDWGENNYYRFSKFVNNLKLESFVVGIGGSNPVLFNQNLSIKDKNGNLVKTGINEENLLLLASSLKGSYYNLYLKGINFVVNDIRNGIIRRTSNDIILVDVSRYKIFLVISLLFIFMYLFVRMIKWDETF 1
VIMSS43884 1 92 0.0321478260869565 B. burgdorferi predicted coding region BB0242 (NCBI ptt file) 92 0 10 92 0 Borrelia burgdorferi B31 VIMSS43884 1 MicrobesOnline MHNLFDFLKLKQHIIKMFGKQINQLYKQLLIKFFHLHLLILCMYSKNKLSKPFEKVKFIGAIQKMINNILNLKKNHKKLKNLPVQLVYSAIQ
VIMSS44231 1 185 0.0408324324324324 PF01515.19:PTA_PTB:15:176 phosphate acetyltransferase (pta) (NCBI ptt file) 352 162 10 185 0 Borrelia burgdorferi B31 VIMSS44231 1 MicrobesOnline MLYSFYKVFCLKDYVFKKARIFVKENKLKANIVFPESSDSRVLKAAIVILQKNLADSIILIGKKDTVINSLKEFSNCNDILGRIEVVDPNSFPDIEMYLDEYWSLQKLKGVTKQSLKTQVLDEITFAMLMVRFGYAKSCVCGAVSTSAKVLSNALRIIPKLEGVKIISSFMIMDTLCTARNVDFC
VIMSS44628 1 297 0.322784848484849 B. burgdorferi predicted coding region BBG01 (NCBI ptt file) 297 0 10 297 0 Borrelia burgdorferi B31 VIMSS44628 1 MicrobesOnline MRKSLFLYTLLMGGLMSCNLDSKLSSNKEQKNNNNVKEVSNSVQEDGLNDLYSNQEKQKSFTKNFGEWKYEDLINPIEPIIPSESPKNKANIPNISIVHTQKKEIKEEDLIPSTNEEKEADEAIKYLEENILQNSKFSELIREVRVLKDEYALINSDFYDVIEKIHNKKTSLMENYKNNRDKINKLTLLQNNLKINIELEQLINMIDIAENEIRSAAFFFDTAQKRLKESIIKRLESKNNRSYYALELSRQALSDARSALSSLESFAFKRAEPMVRKKKIKELIKHAKTVLESLNKK
VIMSS44658 1 266 0.249607894736842 PF02524.14:KID:74:84,PF02524.14:KID:103:113,PF02524.14:KID:165:175 conserved hypothetical protein (NCBI ptt file) 266 33 10 243 1 Borrelia burgdorferi B31 VIMSS44658 1 MicrobesOnline MKSSVVTTSITEEQIYKEFLRLGMEQLIAQDLSKRYYHNELTYRDLENLEKQFGIKFDNLVTKIDTVKSELTTKIDNVEKNLQKDISNLDVKIDTVKSELTTKIDNVEKNLQKDISNLDVKIDTVKSELTTKIDNVEKNLDTKIDNVEKNLDTKIDNVEKNLDTKIDNVEKNLQKDMFSLEQRLEIKLEANNKLLLEKLEANNKLLLEKLEANSKVLLEKLEANNKVSSEKLKVSNRIVIIAVVVVPTAISILTPFITSLISNYFK
VIMSS44667 1 1278 0.113584194053208 B. burgdorferi predicted coding region BBH09 (NCBI ptt file) 1278 0 10 1278 0 Borrelia burgdorferi B31 VIMSS44667 1 MicrobesOnline MVVNMNNESRFIIKTNDPNVSLYKELSKGFIKKENIVKSKNFFIFLKNKIQAIDDNSTEANIESLLKSIFEELAYSVEQQKGGQIEGVKSRVDILLFENDKDKVAFNKKLEEAKKNNESIPTEDILLIAEVKRPSFSFDAKDKVKEAEDQLYRYLNQYQKHYGILSNGKVWRLYDKSKVLYGEKRYIEFDFSKIKEKEEYKEQEWFILFSYLIRKERYLKTSNIISVEKEQISKEKEIIQKTLREILYERPDDSIVFKIAKNIYDKEFKVSDKEITRHILASILEESIIFILRIFFIAYIEDNDIFKKILEENKLYRSSVSFRYFFYDENTKKKLGYKKIITIFNLLDKGSDAIKFPIFNGGLFAQDKVKYLNNESLLSISEIEEILVKILFFEEKNIKDKKFVKYSRLDPKSFGELYETLLEYDLRIADTTVHRIVEDGIYLIRTEEELENNKVNKIATYLKGNIYLTSRSLDRKKSGAYYTPDDLTDFMVISSIEEQLKTKSPLDIKIIDNSCGSGHFLISCLDYLTEKVWYELDKFEDVKKELDKEYGIILKESEEYDIQDSISKELVLKRMLLKRCIYGVDINPISVEITMLSLWINTFIFGTPLSFIEHHIKTGNALLGYTKDEFFDIAKKKFESGFSLFKKRIKEITTILEDGYQKIKGINDTTKEDIERSKKIYKEYEESKYIDNLRIIFSLIKLYSLSFDKSLNIEFSDIAVVISLIENILGNKISSEDNEKMEKIRKLSSHYKFFHYGIEFPDIQEGFDIVIGNPPWEKTKFNEAEFFSKHIPSYRKLSIKEQNKIKQEILGRDNHPLNIEYNEEKNSMGTINNLYKSDFKNFASGGDPNLFRYFVAFNLKLIKENGNLTYLVPSALWSESSARILRKYIFTNYKLNYIYQFQNQKRFKDVATLFKFAIFQISNTKTPTSNFKAKFMIQSNDNILKEITSNLENNKDDPYKGIKLDIEQIKKLSPIQESIIEFKDSKELILINKMFSKFSTLSEEYINFGVGLNLTKYKTLCKEYNNENFIFLYSGANIHQFNSRFFEDKDAKESSKLLWIDKDDFQKVSTKDNQYQIEKILYRRIARNTDIRTMISTLSPRNCYCVSTIYINYEKTPISIYKKLFIISIFNSFVFDYLIRRFALSTDIVKSCLYQCPMPQPEEEEILANPIYLTLVKNTSLLIAKNDPLNFSNLLYLEHFKFSKEKVNKILKLDTKDEFFKEKENENNFIVASLYSLTKEDFEVLLNDFEVLKNKKGEEYILFLIKGYENYLKTNKLN
VIMSS44725 1 115 0.234506956521739 PF05714.11:Borrelia_lipo_1:31:114 B. burgdorferi predicted coding region BBI29 (NCBI ptt file) 221 84 10 115 0 Borrelia burgdorferi B31 VIMSS44725 1 MicrobesOnline MKNNIILCMCVFLLLNSCTANHEAEAKIKKHVDKTKNEYINEIKNLIATTKEIIEKRKLLQAKPVDQNPVDDTNNKKVFEIDKRAFDFINSFLTDDEFNKFVTIFHKPTLKSPGK
VIMSS44752 1 238 0.143973529411765 PF04402.14:SIMPL:45:204 conserved hypothetical protein (NCBI ptt file) 238 160 10 218 1 Borrelia burgdorferi B31 VIMSS44752 1 MicrobesOnline MLLGGQSMFRGKEIYFLLFSLLLFISSIIISHGIKNIGTKNENYITVKGLSEREILSTSSSWGLRYSLTGNTINDINKANNLSLSKIKSFFLKHGFSEDHIKMGFMEFNEETYKESLYKYRAYISLTVHTKNIEKMEAAEKNIAELYNQGILISNSGGPRYYFDNINDIKPEMLADSIRNAKLAALEFAKHSSSKLGKIKNANQGYFEFLPIDRSLGDQERYPKKILRIVTTVSYYLD
VIMSS44784 1 288 0.484227777777778 immunogenic protein P37, putative (NCBI ptt file) 288 0 10 288 0 Borrelia burgdorferi B31 VIMSS44784 1 MicrobesOnline MNLINKLFILTILFSSVISCKLYKKITYNADQVIDKLKSNNGSFNTLKSNDDSKRSGRKPRSVDNTYMDQDTGKKPLMADMQPDMQNDNSSSNHTLQVNIQDNEASEARNIMTEIESSKEEYNRINEDLAKVKASLDKIKSLLSTAKSYLEQTRRGVGSSKANLALLPSLEEAIAKVKSNHASADTHCNDAIAALKRAKNDFEYAQRKADRALEEALSNSNASRHESYYYAGYHQFMADAKASMSSTKSLLEVAKNKQKELNENMTKTNKDFQELNDIYKKLQDMDSR
VIMSS44797 1 306 0.337723856209151 B. burgdorferi predicted coding region BBJ08 (NCBI ptt file) 306 0 10 306 0 Borrelia burgdorferi B31 VIMSS44797 1 MicrobesOnline MFLYTLLTIGLISCNLDSKLPNKEQKNNNDIKETLGSSVQENALNNLYGNQEEKKDFKNFEELKDESLIALAKSLASTRPTTVGNIESAVLPVGHVVSLETSANKVSIPTISIKHNQKKEIKKEDLSPSTKEEKKADKAIKDIENLIRDSGFPELIESMYSLKHEYTLIRNNFYDVITKIRNKKTSLIKNGRNNRDKIKELTQLQNNLKIVDELDEIMVHIDIAEQEIRSAAFFFNEAKEILKEGIIKRLESENKVASQLARQALNKVEDALKSLEASSSKRGLAMGRRRIIKELIENAKTVLSKS
VIMSS44812 1 274 0.0867310218978101 B. burgdorferi predicted coding region BBJ23 (NCBI ptt file) 274 0 10 251 1 Borrelia burgdorferi B31 VIMSS44812 1 MicrobesOnline MNGVIMREISCCFLLLTFSVVCVYSFDVSSRKFYGILEGYYSGKIEELSKKNDEDVYIYRFGKFKETLSEMSSGIKSYFFNLVDYQIARLLQNKEGRRNSSKSYSVLKSTQKSLLELIASTDFKGLEKTIQSDICRILGDVNLMLLRYAGGAALSKLANEARKYFEKSLKINSKNSFANTSLASWYLYAPRIAGGDPNKTLSFAQLGFKYGQTDVEKYFANIWISQAHFLLKNEKESLKYILKAGEIFPNGAFHKIVLEQNKAGNLFMDFPIKN
VIMSS44813 1 260 0.05426 B. burgdorferi predicted coding region BBJ24 (NCBI ptt file) 260 0 10 260 0 Borrelia burgdorferi B31 VIMSS44813 1 MicrobesOnline MLRALLIFLVNISLFSFEKEVKVYIDKIESVHTKYCSGNFEFDFFSPDKIFTNELQNIENVILMKYRRESIQYNYLNLLMSLVLCDVSYLINDPHKYNDLIQKLIRNYNCALKISLEDDNVPADYFRALGELAINLIPHNRKGLYSYFVNAKRHLETALKIDGDNVKAFIPLSILYTVRVSNRDFYKILFAKSYIDRAEDSNLNDRQKYLKELVKSSFLIRTNRRLEAIECLKKATAIFPNGNMAVLAIEKLKEGNSFYY
VIMSS44852 1 121 0.149139669421488 PF02999.14:Borrelia_orfD:25:118 conserved hypothetical protein (NCBI ptt file) 121 94 10 121 0 Borrelia burgdorferi B31 VIMSS44852 1 MicrobesOnline MQIKNFPFLFLLNSLIIFSCSTIASLPEEPSSPQESTLKALSLYEAHLSSYIMYLQTFLVKTKQKVNNKNYPEFTLFDTSKLKKDQTLKSIKTNIAALKNHIDKIKPIAMQIYKKYSKNIP
VIMSS451 1 112 0.0441017857142857 hypothetical protein (NCBI ptt file) 112 0 10 66 2 Chlamydia trachomatis D/UW-3/CX VIMSS451 0 MicrobesOnline MKVVVNPTQEYSQISTPVSPQNQRVTFFRNTAMLTCSLLFTLCSAVLFIIGLFPQTTIPFAGAFFVIGMFLAFSALCIFLMALIYNVKNWLSHRPIPLPLFSNINFLVTKEK
VIMSS45959 1 583 0.126127272727273 PF03235.14:DUF262:11:257 hypothetical protein Cj0008 (NCBI ptt file) 583 247 10 583 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS45959 1 MicrobesOnline MAGFQSPITINEAMQRIKNNEYLLPAFQREYVWEPWQIEELFDSLIRGYPISSMLFWKVKDESKTAWKFYRFLEYYRESYHTHNDYFNTSNHKDFYAILDGQQRLTSLYFALFGNYDIHRSYNKWENNDRYFKICHFYFNLTQSKKPENENIEYEFLWLDKLETKEQNIYIDKYQQKWFKCQYLYQYDSGRVRKIAKEFNLNENEEDRLDLLHQKIFDKNLINFYLEEEQDPDKAVNIFIRINSNGEPLDYSDILFSIAIANWNKIDARTEINNLVDKINENFDISKDLILKGFLYLFHNNIKFQINSFDKNFIESIEAKWEGIKNAFIETFRLLRSFGFEAKTLSSNNAILPILYFIYHKNLTNNIVDSVKCNENRAIIKKWLLRAIILKPFGGSSDTVLSNMRKAFIKDFKQNSGFFDREIELFPLEEIEKEAKYIQTIDEEYLENNVIECRKNSPEAFAVLSLLYPNLDYKNNNFHKDHLHPESAYKEYEKLYKATDNCISFNIYDSLPNLQMLDANENESKNNKPLKQWVNEKCNGNRKEFLGKHLIPDVDLSLENFNNFIEERKKIIIDKLKSILNKE
VIMSS45994 130 410 0.427642348754448 flagellar hook protein 545 0 10 281 0 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002343514.1 1 RefSeq TMNPAFTGINYSDRVAGLMGDYLNTGTPVNNGFTVNSNNSFSIGTTASQGAIKVPVNMYLPPQVTQNVKWSGSLNTNTTTEVVKVDLDPSKFNITKTEDGKYVVSGSVSKEDVFSAKAGDRIILNFTDDNGVKTSFEATLDENLNFKSNELDLKGLDENSIKLDTAQISTEQQKANKDILESPIYNADGSKSTLRVTLERVLPQEGDNIQYKAIAQIYDSNGNAVGNPTEGNMVFDKNGALLQNNITSIANPNGGTINIDLGSPYDANKPGSGYSGIYIKQ
VIMSS46461 1 642 0.377796105919003 PF07195.12:FliD_C:256:496,PF02465.18:FliD_N:13:111,PF07196.13:Flagellin_IN:135:191 putative flagellar hook-associated protein (NCBI ptt file) 642 397 10 642 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46461 1 MicrobesOnline MAFGSLSSLGFGSGVLTQDTIDKLKEAEQKARIDPYTKKIEENTTKQKDLTEIKTKLLSFQTAVSSLADATVFAKRKVVGSISDNPPASLTVNSGVALQSMNINVTQLAQKDVYQSKGLANDGGFVNAQLNGTADLTFFSNGKEYTVTVDKNTTYRDLADKINEASGGEIVAKIVNTGEKGTPYRLTLTSKETGEDSAISFYAGKKDSNGKYQKDINAEKIFDDLGWGLDVSASIDPDKDKKGYGIKDASLHIQTAQNAEFTLDGIKMFRSSNTVTDLGVGMTLTLNKTGEINFDVQQDFEGVTKAMQDLVDAYNDLVTNLNAATDYNSETGTKGTLQGISEVNSIRSSILADLFDSQVVDGTTEDANGNKVNTKVMLSMQDFGLSLNDAGTLSFDSSKFEQKVKEDPDSTESFFSNITKYEDINHTGEVIKTGSLSKYLNSNGGNTNGLEFKPGDFTIVFNNQTYDLSKNSDGTNFKLTGKTEEELLQNLANHINSKGIEGLKVKVESYNQNNVTGFRLNFSGDGSSDFSIKGDANILKELGLSDVNITSKPIEGKGIFSKLKATLQEMTGKDGSITKYDESLTNDIKSLNTSKDSTQAMIDTRYDTMANQWLQYESILNKLNQQLNTVTNMINAANNSNN
VIMSS46847 1 762 0.224374146981627 putative periplasmic protein (NCBI ptt file) 762 0 10 762 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46847 1 MicrobesOnline MEKFCFKLSIVTFLSINAFAATQANTTDNRNFNIPEHYFNDNELYDKTNSTYKKLQGINYYAKSSKQYINNITLIYNNPKPNITNINDLNFKHYLLTPDMREDEVLSFKARHGVNTAGHSIKTVRVLPFLITAKTDHADASYNKLILEQGELSSVFYLKPKDTHIKNPSNSKSNQRMNFLMSSTFTHYGNASYNQTILQKDAHISMGVENTYDLALNGAPYLIGAIATYGDSTNNSLNIEAGSSVEFFTSLPKKDKNGNNTFDERITHLVGGLAYQGNVKNNKIFIKDANMIIHGPSKAYASLAAAHISAGYIDSGTDKNFQASKNLLDIDGFNLDMYMNHDKQPLAYNSVLFADFWGGKTEQGQALDNTINLKDIKNLKKDKNNENIFAQALFNFYAGASNNGEANYNTLNIELKHPLEIANNFLGYNQHSFYGGFATKGANHNTINIKNDLTTTDLSQSYKDALNIVAARTLEGSADYNKVYINNSMSTLPVYIYTAKKNILNNQDFYPSSANNNEVVIKDFASFRNLTVLTEAKEASYNTINYNNVQSITDVSNIDKGSKIIIRALDKANHNTIDIKNYSSNAADNAYLIMAYNEAAYNKIIINDTLFGVASDKREGILSIIAGLSNNAHDNTLIINNLNLDEYKNNNSIFIAPSAITGLSEAKSYNNTLYIGGNLNIFKNTFIDILAGALVHYEDNYSASNAAAPSDISLSKNNRLILNTKVEARIINNFEHYYLIVSNKINTTPLLKSYDAPINISS
VIMSS46987 1 254 0.164397244094488 PF13426.7:PAS_9:36:136,PF13426.7:PAS_9:156:250,PF08447.12:PAS_3:45:130,PF08447.12:PAS_3:166:252,PF08448.10:PAS_4:34:138 putative MCP-type signal transduction protein (NCBI ptt file) 429 202 10 254 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS46987 1 MicrobesOnline MFGAKKNNTKIIEQLEKKCNGLGDILRSIGNTMAVIEFTTDGVILEANQNFLTTMKYSLSEIKGKHHSMFCLPEVVNSSAYSDFWKDLRDGKARSGLFRRIAKGGIDVYLEANYLPISDNNGYVYKIIKFANDITQRHYEMLDLRNTIAAANRSMAIIEFKPDGTIITANENFLRAMDFNIDEIKGKHHSMFCDSNYRHSKDYVQFWEDLREGKFQSGKYIRYGRNNKKVYLEASYNPVKNDDGKIYKVIKFAT
VIMSS47010 123 342 0.106615 PF01075.17:Glyco_transf_9:9:172 putative lipopolysaccharide heptosyltransferase (NCBI ptt file) 342 164 10 220 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47010 1 MicrobesOnline LELDYNENVFVRYLSLTSFMLNTDFNVKNLAFKQDIFSVDENLKQLLNNKLKLDKNEKNILIHVGSSVENKIYPKTKLAILCKLLINEFQQTKIWLAWGNVKEYEFAKEVLNLSGIDETHIELAPKFNLEELMAFTKMMNLIIGNDSGPTHLAFALNKASITIFGATPSYRNAFQTHINKIIDAGKKIQNAKHIDKSDFCITRIEEEDIFKLAKGLLNEK
VIMSS47209 1 619 0.0976426494345719 PF01973.18:MAF_flag10:202:377 hypothetical prootein Cj1334 (1318 family) (NCBI ptt file) 619 176 10 619 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47209 1 MicrobesOnline MREELFLKNTQALFEVDEFLACTLRSLKYLTFALIQDENGINFKKDDIFLYENPNKELLENLTLFKTEYNKYPVLFFYGFGNGMFYKTLCKNKQHKHIIIFEDNLEILTLAFHLFDFSEELKKEQLILFYTPNINTAQLTTLFTYEIIQKSVKIFNLFIHNDFYQQFYSTQIQNINTQLIEMIRFIVLNKGNDPHDSLVGIKHTLDNLPKMLNHGIFQEFLKERRAKVENAIIVSTGPSLIKQLPLLKKYANKATIFCADSSYPILAKHGIKPDYVCMLERDDIVSKCFDNDFGDFNKGILFILASVVHKEVLDFLEKDQRAYMLVHRPLNFAASLKLDEYGYLGVGHSVSNMIYELAGALRFENIIFIGQDLAYGEDGSSHPKEHIHGSQGEEIRGEKYTLAYGGKGKVRTQLTWNLFRQAFEKDIFWAKEKLNITTYNCTEGGARIEGTIEKPFLWACENLLDKDLNKPFDFPKFLDKKLAKEKLEKTKKYLQKSILESKEFIKKTQTQLQKLRYTLEKNDKNFQTLEKIKNDLLNLFKEFKKLKLFNELCQAIYFHNECEILKFEVLNTNKQKENLIDFLKIQHNWFIQGLGYLDTQNKTIEKSLENWNFDDIIKK
VIMSS47233 1 171 0.174688888888889 PF03264.14:Cytochrom_NNT:18:168 putative periplasmic cytochrome C (NCBI ptt file) 171 151 10 148 1 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47233 1 MicrobesOnline MIILRRKILKKTSNLFTIFLVLLFVFFAVGFYTFYNAKGTSYLSNASESCNNCHIMNEVYNEYMAGPHSQKVKGEPRATCVDCHLPHNFVAKWIAKAQSGLGHAYAFTFKLDELPTNLSATEKSRKMVQENCIRCHADFAQTAINATTNPHADKSLNCASCHKDVGHKHGI
VIMSS47312 1 544 0.0381544117647059 PF10111.9:Glyco_tranf_2_2:5:281,PF02709.14:Glyco_transf_7C:174:215,PF00535.26:Glycos_transf_2:5:112 hypothetical protein Cj1442c (NCBI ptt file) 544 277 10 544 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47312 1 MicrobesOnline MPLLSVIIPFGLSKERPYIEERVLQKAKFFQSDENIEFIFVEGYSSKDHELKNFIQANHHIYLKDMDQKAFSQGRCRNLGASYAHSNVLLFLDVDCYISLDNFEKILKLIQIKNISQNINALIVLPVVYLNKEANEKLKQYDDKFWDILIQEDLFTAKNTWVKFFAPSSTSSIVINKHQFLRLGGNDENFIGHGYEDFDLFARILKACVSFEKMPTNLSYDARNWNFFNFKGFRSWFSLLGYEACFYGIYIYHFYHIEPNQNAYMQNKDKNHQLFYKHLKNIKKHDLKPLQVFKAKGEKVLMLFKDQNYDFKDISVYVGEIIYKNISDFFIAKELKYELLLDFLQQEKITKIFLDASIKLQFNGIDYCDIFYFQKGILPHSWFFAKKLDLGYKQDLNLSEQKLYQVKEYFLTLKEDEKKVILDFLSQNNDDKYDLYKMLYYLINELYSFEHDGIFYQIIIDKEKMLIAQKHDKSFYPLRSFVYKPYLHELKSIRPFSFLMKILGLEYLGAMISHTKFYRLARKLFFNPKGFFEDFNYKIMKGKI
VIMSS47363 1 172 0.301272674418605 PF03448.17:MgtE_N:91:164 putative periplasmic protein (NCBI ptt file) 172 74 10 172 0 Campylobacter jejuni subsp. jejuni NCTC 11168 VIMSS47363 1 MicrobesOnline MIKKFILLVFISSVVFGAEQDCEQYFEARKAQIELQTREFDEARQSLEAYKASFEALQKERLENLEKKEAEVNATLAKIEELKLENARLVEEQQKILNSINDKTQGRVKEIYSQMKDAAIADVLSQMDAEDASKIMLSLESRKISGVLSKMDPKKASELTLLLKNLDNNASN
VIMSS512850 1 204 0.277948529411765 PF16970.5:FimA:57:204 serotype 3 fimbrial subunit 204 148 10 204 0 Bordetella pertussis Tohama I NP_880302.1 1 RefSeq MSKFSYPALRAALILAASPVLPALANDGTIVITGSISDQTCVIEEPSTLNHIKVVQLPKISKNALRNDGDTAGATPFDIKLKECPQALGALKLYFEPGITTNYDTGDLIAYKQTYNASGNGNLSTVSSATKAKGVEFRLANLNGQHIRMGTDKTTQAAQTFTGKVTNGSKSYTLRYLASYVKKPKEDVDAAQITSYVGFSVVYP
VIMSS5164798 235 631 0.230438287153653 PF00395.20:SLH:219:261,PF00395.20:SLH:278:320,PF00395.20:SLH:345:388 anchoring scaffoldin SdbA 631 130 10 397 0 Clostridium thermocellum (strain ATCC 27405 / DSM 1237 / NBRC 103400 / NCIMB 10682 / NRRL B-4536 / VPI 7372) metacyc::MONOMER-16407 1 metacyc PSPAENSAKVELEPVLDNATGEAKAAIDEEKLNKALDEAKKSEDDKLVELNIKKVENADAYIQQLPAKFLIKSDAEYKLRIATEQGIIEVPANMLNTADISKLVKNDSVVEFVIRKVKVDELGAELKEKIGNRPVIDISVVVDGKKVEWSNYKAKVKISIPYKPDAKELENHEHIVVLHIDDAGKAVSVPSGKYEPSLGVVTFETNHLSKYAVSYVYKTFADIGSYAWAKKQIEVLASKGVINGTSDTTFTPQADITRADFMILLVKALGLTAEVTSNFDDVSEKDYYYEYVGIAKELGITTGVGNNKFNPKAKITRQDMMVLTTNALRIAGKISSTGTRADVERFSDKDQIASYAVEGVATLVKEGIVVGSGDIINPRGNASRAELAAIIYKIYYK
VIMSS52798 1 404 0.217647772277228 PF00589.22:Phage_integrase:215:380 transposase, putative (NCBI ptt file) 404 166 10 404 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS52798 1 MicrobesOnline METGMVTVVNSNNFDVPRIYPPSSKSTSIHLTGFPTFYSKDHEYLLPVNLWFNYLVNIRKAVDITASVRALKRYWQFLETNNYSWDNFPANDYLKPTYRFRNDDLLKAARSGEIAFSTASMYILHVIKFYEWAAHERFITFTEENKPFNYQIVHIANSGMMSHNNPRFTVRSTDLRIRKPARNEQQKLNPLSQQELLFFADCLKECSDEFIIHQLLQIQSGLRVEEACTFPFSIVEMPNPHIHRYEVEIGIHNGVHTKFNKTRKVEIPNQLMRKMYDYSVSERRLKREKKTDGVNKTLLLNNLGNPLCSNNIQQHFRRLRHHIQNKHNIVFSHRTHDLRATYGTYRLDSLLDHLPVGDALALIMGWMGHKDDKTTWKYLRYLRKEKANQNAIVMLDQILEEAML
VIMSS53434 1 150 0.198262666666667 PF10671.9:TcpQ:79:147 toxin co-regulated pilus biosynthesis protein Q 150 69 10 150 0 Vibrio cholerae O1 biovar El Tor str. N16961 NP_230478.1 1 RefSeq MKKNYYIGACIALILSGPTFAQGEMHNTNEQIAEQSSVDLIAEIYHSDRYAETFVESEQFEQEESTSKEPILFVTPYDQLKDKLESWADLHGYVVKWNTQKTVQFDNAVAYEGDFEQVLTELASDINQIGIDINFKIFQKNKVIVVYSVR
VIMSS53437 1 278 0.126753237410072 toxin co-regulated pilus biosynthesis protein D 278 0 10 258 1 Vibrio cholerae O1 biovar El Tor str. N16961 NP_230481.1 1 RefSeq MVNVIMKISSLKKGSNFSINIKNIKLDKKLLVAIIFLVLSILGGGAYLYYENEKTKKLEQARLQKIQKENSDKQTYLSDFKSAFEGLDYQALTGFYDVLRSDIDFFRVNNWLLDVMDCNVNCNLAFKRGSFDTFTYLEMNRNGAVIKPQFDQNKLQFANVDYISGFRSIYLKDLTEQERDKSENIIEQCSTKLSELYNLQLLMKEQVKFKINLPRNVTSISGYDWVKNSDIKFGSIEIENMPEKNLGLMKNIMNNSMMITSISLQNSSFKSKLNYYCY
VIMSS53542 1 144 0.0289902777777778 PF04892.12:VanZ:62:129 hypothetical protein (NCBI ptt file) 144 68 10 55 4 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53542 0 MicrobesOnline MQQRLKIGRQIYQQRPVSLVLFGLLALSTALASWLKSSGWHADYVYQLERAVGGDTHLHGLLAMLLTLALYRVLSATSHSYKLVVFTGLLVAICCLIDEGMQAFTPLRTFSIQDILASFIGVTLASVINTMLAGLLQRKQREPY
VIMSS536086 1 411 0.209288077858881 PF00872.18:Transposase_mut:6:378,PF10551.9:MULE:163:260 hypothetical protein (NCBI) 411 373 10 411 0 Mycobacterium avium subsp. paratuberculosis str. k10 VIMSS536086 1 MicrobesOnline MALDQSALLEVLDALRNADAADRIKQAAETIYQALIDAELTAVIGAGPHERSASRINQRNGSRPRTLSTIAGDLELRIPKLRSGSFFPALLERRRRVDQCLFAVVMEAYLHGTSTRKVDDLVKALGADAGISKSEVSRICADLDTEVGAFRDRPLSEQHFPYVFLDATYCKARVNHRVVSQAVVIATGVAADGRREVLGFDVGDSEDGAFWTAFLRSLKTRGLSGVQLVISDAHTGLRSAIEAILIGASWQRCRVHFLRNVLAQVPKGSAEMVAAAIRTIFAQPDAEHVREQLDTIAGMLGRQLPKVETMLREAADDITAFADFPVLHWKKIWSTNPLERLNKEIKRRTDVVGVFPNPAALLRLAGSVLVEAHDEWQVAEKRYLSETTLALLHPRSDSADQSVAVPAAITA
VIMSS53688 162 447 0.242695454545455 PF13487.6:HD_5:122:170 response regulator (NCBI ptt file) 447 49 10 286 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53688 1 MicrobesOnline KLAQFNQELEAKVQERTVALQESNKRLESLLASRNKTFKDILAMVTAIIQHRTGFPADHAERIANQAKSVALKLKLSEAVASHVYLCGLMHQIGLIAETSNDWKVVKVHQDSEIPITPNVNPILGAEIVGRIKRFEPLMEIIRHQDELYDGTGKPDHLRGEQIPIGARIIKVVKDYDFFVAGANNPRRMHTKSAQGYLRQQSEVYYDPKVVEAFITIVSAVTRIEEGMELCVSLSEVRPGMVIKRDIYLPNGNLMLTAGNAMSEPLLRKLKELEQEMNMPIPVYIG
VIMSS53754 1 202 0.211979207920792 PF04994.13:TfoX_C:119:197,PF04993.13:TfoX_N:16:106 conserved hypothetical protein (NCBI ptt file) 202 170 10 202 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS53754 1 MicrobesOnline MIKGSMDMNEQQFFDYVTKFGAYQKRSMFGGIGLFQHDAMYVLVSEDRIFVRGGEELDPELLALGCEKYRHVKKQTTATVNYYDITELYEQHHPELDSIIERSIQFSVNQREFQRSAASRRLRDLPNMQLTLERMVKKAGIDDVETFMSLGAPEVFNKVRQAYGSDVDVKLLWKFAGAIEGIHWKLLQEPRKRQLLESCQQR
VIMSS537669 1 208 0.0391043269230769 PF09605.10:Trep_Strep:21:204 hypothetical protein (NCBI) 208 184 10 78 6 Mycobacterium avium subsp. paratuberculosis str. k10 VIMSS537669 0 MicrobesOnline MTATSSTTQSSRRIDVRMSARDLINIGVFGALYIATVFAINVFAFINPLVMLVALAVSMIAGGVPFMLFLTRVRHAGMVTVFAIITAGLLALTGHPPICFVITVACALVAEVVLWLGRYRSRTMGVLAYAIYAAWYIGPLLPIFYARDEYFSSPGMAQMGPRYLEEMERLLSPAVLIAFDLSTVVFGLIGGLLGVRLLRKHFQRAGLA
VIMSS537674 1 244 0.285760655737705 PF13649.6:Methyltransf_25:46:142 hypothetical protein (NCBI) 244 97 10 244 0 Mycobacterium avium subsp. paratuberculosis str. k10 VIMSS537674 1 MicrobesOnline MSVTPGADPYALSAEFYEVMAIPHWDMKRQVLVSALTARGPVKDHVLDIGAGTGLSTVTVADTIADVPIHAVEPSAAMRAALVSRILSRPDLIDRVTVHPVNLEELDLPERLGAVVLFGVIGYMDKQARQHFWAALRPRLTPRAPVIVEVMALDQPMPVPEMTIAQQRIGVRHNEVRISGQPAGSDAEHWTMRYVVSEGDKVTREFTAEHTWHTVGLAELAHEAEAHDMTFEQLHPIIGVLHPR
VIMSS539678 1 240 0.141725416666667 PF01694.22:Rhomboid:73:220 rhomboid family intramembrane serine protease 240 148 10 105 6 Mycobacterium tuberculosis variant africanum GM041182 WP_003898831.1 0 RefSeq MGMTPRRKRRGGAVQITRPTGRPRTPTTQTTKRPRWVVGGTTILTFVALLYLVELIDQLSGSRLDVNGIRPLKTDGLWGVIFAPLLHANWHHLMANTIPLLVLGFLMTLAGLSRFVWATAIIWILGGLGTWLIGNVGSSCGPTDHIGASGLIFGWLAFLLVFGLFVRKGWDIVIGLVVLFVYGGILLGAMPVLGQCGGVSWQGHLSGAVAGVVAAYLLSAPERKARALKRAGARSGHPKL
VIMSS541689 1 570 0.331063859649123 PF01548.17:DEDD_Tnp_IS110:4:155,PF02371.16:Transposase_20:222:307 PROBABLE TRANSPOSASE FUSION PROTEIN (NCBI) 570 238 10 570 0 Mycobacterium bovis AF2122/97 VIMSS541689 1 MicrobesOnline MVVVGTDAHKYSHTFVATDEVGRQLGEKTVKATTAGHATAIMWAREQFGLELIWGIEDCRNMSARLERDLLAAGQQVVRVPTKLMAQTRKSARSRGKSDPIDALAVARAVLRETDLPLATHDETSRELKLLTDRRDVLVAQRTSAINRLRWLVHELDPERAPAARSLDAAKHQQALRTWLDTQPGLVAELARAELTDIIRLTGEINTLAQRISARVHQVAPALLEIPGCAELTAAKIVGEAAGVTRFKSEAAFACHAAVAPIPVWSGNTAGQMRLSRSGNRQLNAALHRIALTQIRMTDSRGQAYYQRLQDAGKTKRAALRCLKRRLARTVFQALRTVHQPSSEHTQPAAACHRSYCSSHLGEPPRLTDMTQKTRIQPLPPKRAGLLIRALYRIAKRRFGEVPEPFTVTAHHRRLLIANVVHEALLQRASRKLPPSVRELAVFWTARSIGCSWCVDFGAMLQRLDGLDVDRLTDIDNYATSSKFSDDERAAIAYAEAMTADPHSVTDEQVADLRARFGEAGVIELTYQIGVENMRARMNSALGITEQGFNSGDACRVPWAAPDVPSAESR
VIMSS54173 1 273 0.144437362637363 PF03279.13:Lip_A_acyltrans:12:273 hypothetical protein (NCBI ptt file) 273 262 10 273 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS54173 1 MicrobesOnline MRIFWLKALTSGLFYLPLSIKNGLCRLVAKPISRKKMAAALTQLNYALPDLGDVKKQAIVEQSTRLSLKNLLGFCHLKRYQYQVEQPDLVQEILDNQGGGIIVCPHMGVYDGVTWWLNQQGKKAVTIFGAGSSGDRPDENAMISQAAKLAGVPYLLRKQNLMLELAQRIKQGEWVVLHTDMRTEGVPVRWFGQATQLSATPFFLAHKLACPIYFHYALSEGMTQRLHFSRFALHQTDDLSRNIAQDAQQLADMMQQAITAHPEQWIWLYRRFK
VIMSS541945 1 264 0.534823106060606 PROBABLE CONSERVED MEMBRANE PROTEIN (NCBI) 264 0 10 241 1 Mycobacterium bovis AF2122/97 VIMSS541945 1 MicrobesOnline MLDLEPRGPLPTEIYWRRRGLALGIAVVVVGIAVAIVIAFVDSSAGAKPVSADKPASAQSHPGSPAPQAPQPAGQTEGNAAAAPPQGQNPETPTPTAAVQPPPVLKEGDDCPDSTLAVKGLTNAPQYYVGDQPKFTMVVTNIGLVSCKRDVGAAVLAAYVYSLDNKRLWSNLDCAPSNETLVKTFSPGEQVTTAVTWTGMGSAPRCPLPRPAIGPGTYNLVVQLGNLRSLPVPFILNQPPPPPGPVPAPGPAQAPPPESPAQGG
VIMSS54507 1 103 0.166788349514563 PF12836.7:HHH_3:41:101,PF00633.23:HHH:43:70,PF03934.13:T2SSK:41:91 conserved hypothetical protein (NCBI ptt file) 103 61 10 103 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS54507 1 MicrobesOnline MQIKTKIVTLFLSLCLPTLPLLANAEETAPAAQVEEGIVITVNINTASAEELATLLKGIGLKKAQAIVDYREANGPFTHIDDLTNVKGIGEATVRNNAARILL
VIMSS55475 1 227 0.284768281938326 PF11319.8:VasI:46:227 hypothetical protein (NCBI ptt file) 227 182 10 204 1 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55475 1 MicrobesOnline MPEIGDQNPMRITVLVVSLILGCAGSAHVLAASQDAGSQVEQAELCREIPARLERLACFDRVFATPLEQVAIAPSNPYPAEWQRAMAALSESQSEAGWVLGTQGEGRGSSAWIALPAQNRSSGETSPPVLLLSCINNLSRIELALPKEVADARIQVSIRHETQFWRSDDHGVLFSSARGMPAIEMMKLAASESRLLLRSNAPFADGLQFDTRGVNEALDALRERCGW
VIMSS55479 1 80 0.3486925 hypothetical protein (NCBI ptt file) 80 0 10 80 0 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS55479 1 MicrobesOnline MIGARDCSVIRVNPGAKQGKVDKKLALSLPLTATQYFQRTIFNEPFSSNLRWPHIECYRRKKEQGKQHGKVTVSIKGGWP
VIMSS56040 1 463 0.145244276457883 PF13426.7:PAS_9:366:462,PF00989.25:PAS:365:456,PF08447.12:PAS_3:376:459 sensory box/GGDEF family protein (NCBI ptt file) 642 98 10 440 1 Vibrio cholerae O1 biovar eltor str. N16961 VIMSS56040 1 MicrobesOnline MIRSIVCTQRLIHRIMYTSARKYFIQFAIVAFVLGFIPTLYFIHAASQLETQAVSSVEKQTRLQLEFSQHDLLRMLESTHQATQLLAKNDLLFTAVTTPSKEALSQLKTLWDVTLRSQAIFSSFRLLDRQGKEQLKAIYDGHQVTFVESAQTTDPFSQQIVAQYAQLTTPQVWATQVAMSADTPSGMLPTFRFVTGIEHQGQRQGFLVVTVKLQSLYQRLSFIYDQFDSPDILNSAGELLLSEHKPSGTRSTSSLHFSAQHPELWQKIQLNQQGFALSNQTWFSYIKVDLSSVLPDFKPLVLVLRINKAEIDKTYANARWALMSQAVTVLSLLSIIAAGFAAWNINHLKNSLDSKLARAAMDGMSAVVITDRQNRIIKVNNEFTRLSGYTFEDVKGKQPSIFASGLHKVEFYMQMWKALQDNGVWEGEVINKRKDGESITEILRIQSIRDEDNVIQFYVASFV
VIMSS5606618 1 1158 0.234822538860103 PF01364.18:Peptidase_C25:409:787 hypothetical protein (RefSeq) 1158 379 10 1158 0 Porphyromonas gingivalis ATCC 33277 VIMSS5606618 1 MicrobesOnline MKRILPIVAFLSLFLALALPAKAQRAMGKTADRSLMASGHWVKIRVDASGVYRLTDEQLRANGFSDPSKVGVFGYGGGVLPEDLSRITTDDLPPVPVLRQGNALYFYAVGPVTWFYNPAKTTMEHTVNTYSTHGYYFLSDAAGAPLQMSQYTGGGASAEALIDYYDELMLHEQELYSPKESGRDLYGESFSAVNTRTVKFPLRGNTRSSGELGTVFSYIAKARSAGGGREMSLSANGILIFSDPFSMTSNEVSNSYLAGKKRRLYRSTPMNSLVNELRLDANYSMTGDAVNLDFIEVATQNDLRYDGAPMHIRRFSNLPVLGGESCRFVISEVPESLVVLQANSSLTASLVPVKTVGDKTIEFVAPPKGQDRRTINTFYAVDLSQASAPEILGAVPNQNLHGEEIPDLIIVSTQALLPEADRLATYRREKNGLKVLVVLQEQVFNEFSGGTPDATAYRLFAKMFYDRWKANAPVGETFPMQMLLFGDGAHDNRKVSVAWQKPYLQQTEFLLTFQAVNSTNVNSYVTDDYFGLLDDQPASVNIGWRNYNMAVGRFPVRTPAEARIAVDKTIRYEEDRESGAWRIRACFAADNGDKHATETSRLIDTVKRYAPAIMPVRAFQDVYPHVIENGLHSIPGAKKKMLETLQSGIILLNYAGHGGPAGWSDEHLLTLNDIHNFNYKHMPIWITATCDFANYDSQTTSAGEEVFLHEKSGTPIMFSTTRVVYNTQNEKINGFMLRRMFEKAKDGRYRTMGEIIRSAKQGMLSTVFPDSINQLSFFLMGDPSVRMNLPTHKVQLTAINGQDPEGQYGTIMLKSLERVALKGKVTDEKGTFDETFSGKVFLTVFDGRKKMTALEEEGNDLSLVYYDYPNVMYAGIAEVKDGLFETSFIVPKDVNYSEHEGRINLYAYNESTKAEAMGVDFSIRVQPGIPDEVTEDNTPPEIISCFLNDSTFRSGDEVNPTPLFMAEVFDLNGINITGSGVGHDITLCIDGRADLTYNLNAYFTSSATDAGVGTILFMIPALAEGDHTARLTVWDIFNNAVHHDFSFRVVDGIAPDVADVILFPNPVRESATFRIFHNRPGSDLNVVVEIYDFTGRLVNSLPVKTYSSSYGEPIEIKWDLTSKYGVKIGNGFYLYRCVVNSPGGQTASMAKKMIVVAQ
VIMSS561533 1 422 0.124342890995261 capsular polysacharride biosynthesis gene, putative (NCBI) 422 0 10 422 0 Porphyromonas gingivalis W83 VIMSS561533 1 MicrobesOnline MLNNASYRLKYWLRKAVKWMPAYRKTYREAHVVSSREQQEHLFWELLKYVIVHVPYYRDYQKFLGGNVQIEDLPIVKKEDVAKDALSFVSDEFNPEKLLRVSTGGTTGTSTNIYTSWLDGVRQTAYIDAAIDLGRVSNPIICTLREHDLKATEKYRFWGNRLMLSPSNMNKDSLEYYVDLMRRYRVNILHCYPSSLMVLCKLLQNTQVNLDIEAILVSSEIVSSELKHIVREVFPRATFINVYAQTENVARGISLNAAPFEFLSCKYLVEFLDTGERRDGNIIAEIVGTNLEKKSMPLIRFATGDYAVLNQRGEVIDILGRTSEYLIDKFGNPIPCIVTNRPHTLDNVLLAQYYQEKIGEFEYRVMVNENFNSNDIRAIEEDIKLNFGDGLFARVVVVTQMEKTSRGKHRKLVQRLDLSLYL
VIMSS561538 1 512 0.0124080078125 polysaccharide transport protein, putative (NCBI) 512 0 10 242 12 Porphyromonas gingivalis W83 VIMSS561538 1 MicrobesOnline METSRTTNSAKNSIISLLFYGLLIILTFFSRRVFIDALGAELLGLNTTITNLLGLLNLSEMGIATAISFTLYKPIAENDRETIREIVSVQGWVYFIVASIVMLGGIVMIFFIPQIFADTALPLSYAYSTFIVFLFSSLLGYFFNYKQIMHSASQKEYKNVLIVKLLLAIKIIAQIIALRFLSLGYQSWLILEVIFSIMTTLLISYSIKREYSWLRVSIFEGRRLTAKYAIIFTKVKQLFFHKIGGFVLSQASPLVVFAFTSLSLVAIYGNYMLVFSGITTLIAASFGGIMPVIGNMIVENDKEKERKVFGEYMVLKMLLGASFTHCLFVGGKVFMTLWMGEDFLLPDFSFYLLLAIGYINFTRPYDTFLVPYGLVEDIWAPIVEAGLNLGLSMLLGYYFSLPGILIGILISLIVIVLGWKGCFLATKGLQMAPISLIGRYLGYTVLFIGLSFALVTLATQLSWIFTLRQTFVDWAIEMLLQFLSFLLFALLVLVGFDSNTKNLIRRIFSYLR
VIMSS562074 1 367 0.224369209809264 PF11396.8:PepSY_like:58:145,PF11396.8:PepSY_like:167:213,PF11396.8:PepSY_like:195:292,PF11396.8:PepSY_like:308:359 lipoprotein, putative (NCBI) 367 266 10 367 0 Porphyromonas gingivalis W83 VIMSS562074 1 MicrobesOnline MKVFKFLASMVLFAGLFAACNKEDNDLINSTSDEAATLATMYPNAQNVRWEQEGEFRVAEFMNEGVKSEAWFLRSIWQYTEIDIPYSALPKAVRAAFEASEYAKWKIEDIDKVERNGTEIFYVIEVEKGDQEVDLFYMPNGKLIKTVKKPHNGSAGQYANPVIPAGVMNTIKAYIASNYPNATILEYEIEDGYIEVDILDGTVHRVLIFTLQGEWVNSHVDDGDDDYDYDDDAYENNIPANIKALIISYVNQNYPGAVIHSIERNSNGTYDVEIYYNNREYDLLFDAQGNLISGNVDDQDDDDNIPAHIKAKIINYVNRNYPGAFIKDIERKSNGTYKAEIVYNNKEYDLLFDAQGNFISASLDDKK
VIMSS562992 1 445 0.166349887640449 PF01569.21:PAP2:153:227 PAP2 superfamily protein (NCBI) 445 75 10 445 0 Porphyromonas gingivalis W83 VIMSS562992 1 MicrobesOnline MNRESFLLLLVLLFALPLHLQASSPCNNMADTTCISDSAKMFPPAIGVYHVKPMKNTLRHSLPLVAASLLTFNVDDNIRELRFTGAGSFHTKIDNVSQLVPLMAQLSMRGFGYKGRSKSWGKMLVSDALGMALMGGMVNAGKYSFGRLRPDGTAANSYPSGHTATAFACATLFHLEYGSRSPWYSAAGYTVASLTGISRIVNNRHWASDVLCGAAVGILVGELGYWISDLIFRDPTGYNYKLTKKQEGTLESMVISLSTGNRYINRQMDFEGKTVERTDAFGMNLKTTFNPSFARWVRIGSQFSVSTEKQKVLTRERPAKVFVAPAISLGLSAGVEWHPWQRASVWAEILPSILFRTDFTNAQDEPDEMSSKLHRRSSFQPAFQVGVAYRVSDHMGIEAHAGYQLGEAVYHLMEETSTWSIIKKRATVPYRGFEFAVGLQFYPFR
VIMSS563317 1 303 0.189521122112211 PF08842.10:Mfa2:29:299 lipoprotein, putative (NCBI) 303 271 10 285 1 Porphyromonas gingivalis W83 VIMSS563317 1 MicrobesOnline MNDAKKYIVSVLILLVAGMFGGCIKEDYSDCPRPFRLTVRAWDADMQDITETGAVQRVVIFVFDETGRRIDRLMMDAAQVAARKPIPLEYDGPTTVSFVAWANPDDHMLEETANVQNVKDLFFRLSSTDGIAQSPGDLFSGVLTCPIEYGSIEQGTDQTVDIYRRTAQVHIIIRGYQEWLEANGPRQLPDYADILLGETPDTYTGLAELIGNAVQYRPDGQIQNGDFISPIFRVYPTLDTTPLHLKLYAYGQELLNISTGSDGVPFIPVIGKMLNIYIDLRGANLNVLVSVTPWDVVQQYAEY
VIMSS563318 1 457 0.27218533916849 PF06321.11:P_gingi_FimA:44:168,PF16249.5:DUF4906:113:308 lipoprotein, putative (NCBI) 457 265 10 457 0 Porphyromonas gingivalis W83 VIMSS563318 1 MicrobesOnline MKMKYFHHPSGLLPRLLLLLLLTMGAVACTKEDNPDQPTSDEVATVKMSLDDVEMRGGDLYSGENLIKKVRIFVFREGLNGLWVLDKQKLFASGQSDFQNPFTISAHAGPRQIYVIANEPDALTTKLDKILFKKELEDMQAPDVNEPIAQPFTMTGMAEATLNPQGTVLVRISLNRIAAKITLDIKQVTPGSDVIKITKVQILRNAKNSRLLEGTNKPTGYWNWENACDLPLTNNGNAQSIIPASSPLYVYENIGSGSDSSGRATQLVVEALYNGIKTRYYAYVNDKTTMMEEDDHHYSIHRNHHYKLDGTITKMGEFSSLLLTTTVLPWTVEEYQYDFLKPQLVSIVPTNAYATPQYIMPPNTDIEFRVKIKGGTGNPFARWIATLDNGLDFGFEGTHEGPADGLTEQTVKVKALKPFFNKERRTALSFSIDGKKVILNTGAGQQITEIIIIQKAI
VIMSS56538 98 619 0.261253256704981 PF05947.12:T6SS_TssF:2:519 hypothetical protein (NCBI) 619 518 10 522 0 Pseudomonas aeruginosa PAO1 VIMSS56538 1 MicrobesOnline DPNEGALSSGFSIERGASLRGQLGPDDQTACEYRTAHPVTLWPLEVAQADYFGNPAAVLGRLAASEPRAKAGLRIRLRSGAGIPFDSLSLDALPLYLHGADEQPYRLYEQLLGNACAVFVRAPDNAWVERLPTSSLRARGFDDEDALLPVVPRAFQGYRLLQEYFALPARFLFVEFSGLNRALRRCHGEELELVVLFGKHDQRLEGTVDAEQLVPFCTPAINLFPRRCDRIHLSDRVNEHHVIVDRTRPLDFEVHSLQQVSGHGSGPEQPFQPFYAVRDPARYGREQAYFRVRREPRVLSSKQRRKGPRSTYVGSETFVALVDANQAPYRHDLRQLGIAALCTNRDLPLFMPIGAHKSDFTLEDSAPVMQVRCLAGPSRPRASRAHDASAWRLISQLSLNYLSLAERGQGAAALRELLRLYGDSGDPALQLQIEGLREVSSKPCTRRLPMPGPIVFGRGLEITLDFDENAFRGTGVFLLGAVFERFLARYVSINSFTETVLRTGERGEVMRWQAKPGSRPNL
VIMSS56737 1 392 0.116391071428571 PF00487.24:FA_desaturase:11:214,PF01610.17:DDE_Tnp_ISL3:270:387 probable fatty acid desaturase (NCBI) 392 322 10 326 3 Pseudomonas aeruginosa PAO1 VIMSS56737 1 MicrobesOnline MWFNGFLDLSPWQLVAVTLVLTHITIVSVTVYLHRYSAHRALDLHPALQHFFRFWLWLTTGMNTREWTAIHRKHHAKCETVEDPHSPVHKGLFTVLRAGAELYKAEAKNQDTLRIYGKNCPDDWIERNLYSRFPIGGVVLMALIDLALFGALGLTVWAVQMVWIPFWAAGVVNGLGHAVGYRNFECRDAATNLVPWGILIGGEELHNNHHTYPNSAKLSVKKWEFDLGWAWIKLFSFLRLARVARVAPIAHRVEGKHSLDMDTAMAILNNRFQIMAQYRKLVIAPLVKQEVAKADESVRHLFRRAKRLLSRETSLLQDRHHVRIDSMLAHSQALKVIYEKRLALQQIWAKTSANGHDMLAAIKDWVHEAEASGIQSLKEFAAQLKTYSLRPA
VIMSS56758 1 203 0.167642857142857 hypothetical protein (NCBI) 203 0 10 180 1 Pseudomonas aeruginosa PAO1 VIMSS56758 1 MicrobesOnline MASLAPVFRVNAMTPRRKTLLVSLVGLLWAGGLLAAYWWFEIRYIRPFSEQTTLFSGDSLRLPAELAGPGAIRLVHFWDPACPCNVGNQQHLGELIERFAGKGVEFHVLQKPGSQGRLPDNLAALRALAGLPGSEQLPASPAVAIWDRDGRLAYFGPYSEGAVCTSSNSFIEPILEALLQGRPVDATHTLAVGCYCPWTPEKG
VIMSS5691529 1 50 0.015294 PF01848.16:HOK_GEF:5:46 protein HokE 50 42 10 30 1 Escherichia coli K-12 substr. MG1655 ecocyc::MONOMER0-1581 0 ecocyc MLTKYALAAVIVLCLTVLGFTLLVGDSLCEFTVKERNIEFKAVLAYEPKK
VIMSS57069 1 201 0.232398009950249 PF04865.14:Baseplate_J:111:200 probable bacteriophage protein (NCBI) 295 90 10 201 0 Pseudomonas aeruginosa PAO1 VIMSS57069 1 MicrobesOnline MIIDLSQLPEPEVIENLDFETIYQELLGDFREAMAGEWTAEVESDPVLKLLQLAAYRELLLRARINDAARAVMLAYASGADLDQIGAGFNVQRLLIRPAQPEAVPPVEAQYESDKSLRNRIQLAFEQLSVAGPRNAYIAHALGADGRVADASATSPAPCEVLISVLGVEGNGQAPEAVLQAVRLALNAEDVRPVADRVTVR
VIMSS57078 1 68 0.179335294117647 PF05489.12:Phage_tail_X:4:61 hypothetical protein (NCBI) 68 58 10 68 0 Pseudomonas aeruginosa PAO1 VIMSS57078 1 MicrobesOnline MATTCRTADGDMLDSLCYHVYGHLLGCVEATLDANPGLADEQQPFRAGLLISFPDMPVVNVEQVRLWD
VIMSS57086 1 84 0.203832142857143 hypothetical protein (NCBI) 84 0 10 84 0 Pseudomonas aeruginosa PAO1 VIMSS57086 1 MicrobesOnline MLNGVGGSTIAEAKERLSYAEYRAWVAYLNKRGSLHPGHRLELALARIAALLGHALGADADPDAFRPHMALQPLSLHQAMDQWA
VIMSS57089 1 231 0.300325541125541 PF05100.12:Phage_tail_L:24:228 probable bacteriophage protein (NCBI) 231 205 10 231 0 Pseudomonas aeruginosa PAO1 VIMSS57089 1 MicrobesOnline MTITADDQTLEPGALVRLFDLDCTGFGGEMLRFHGHLQQGPIHWQGNAYQAWPLEARGFEQRGDGRASSPTLSVGNIDGSISALCLFFDGLVGARLIVRETYAHYLDAANFAEGNPQADPSQERLNIWFLEQKTAENSVQVTWELSAPPDFQGQQIPARQITSLCHWCITNEYRGQDCNYTGTAMFDADGNPVDDPALDRCGGRVSDCKLRFGADNPLSHGGFAGAGLVRM
VIMSS57094 1 363 0.251379614325069 hypothetical protein (NCBI) 363 0 10 363 0 Pseudomonas aeruginosa PAO1 VIMSS57094 1 MicrobesOnline MAWHSKGSVSVTLNSEAVLGNATDFIANVRTGDAFRGPDGRWYEITNVTSATVISIKPNYQGATASGQVYAVVPIHGYSKNLADQFRDINNQWGATLAGIKPWAVSSTGQQAQADMGISAVGRALNNASTPANALSYLGGVAPNQMGWAGNAMNTADLDSLTVSGLYAHGTAVPSPVNNAQGYVLHMQHGNPDFAVQQWYQLNSATGQYMRIKAGGNWSRWVLQYSQFNLVGLASFDASNNPSGAIIQRGGTVGFNEYVRYADGTQICWGNTTTNVGATMAYQPAGTLSFYITPVAYSWGFPVSFSRPPSVMVNPMRAAGNNASRPWGSTMSVTETLFSWYGYDTASVASGMAASYVAMGRWN 1
VIMSS57121 1 471 0.218178343949045 PF00817.20:IMS:24:150 hypothetical protein (NCBI) 471 127 10 471 0 Pseudomonas aeruginosa PAO1 VIMSS57121 1 MicrobesOnline MLWACILLPQLAMDSALRQRNNPDAPLALLGGPAQRRQLQAVNPAARALGLRPGQSLIAAQALSRDFATAEYDLAAVERWQAFLAAWAYGFSSQVSLHYPRALLLEVQSSLALFGPWPRFEARLRKELTALGFRHRLCLAPNPVAARVLANSHDGLAIEDETALQRQLSALPLDRLGLSRELAVALGRMGLRYLRQVLELPRAALARRFPAELLLHIDRLCGRSPLALEHYRPPDTFDLRIELNFDVESHQALLFPLRRLSADLAAFLAGRDSGVQRFTLHLEHHGRADSQVTVGLLSAEREAAMLFELTRGRLEQLQLPAPVHAVRLEARELPPFTPQHRELFDERPQQYLGWEQLRERLRARLGDEAVQGLSAVAEHRPERSWRPHSCDRAQSPAACGLRPGWLLAEPRPLGEALPTVLAGPERIESGWWDGEDIRRDYYLVETRSGQRAWVYREVGSEGPLWLHGWFA
VIMSS57122 1 183 0.186449180327869 hypothetical protein (NCBI) 183 0 10 183 0 Pseudomonas aeruginosa PAO1 VIMSS57122 1 MicrobesOnline MPAGASLPTGHARLDALLPGGGWPEHALSEILHARDGVGELQLLMPTLARLTQAQRRVVLVGAPYVPYPQAWLAAAVDLRHLVLLQASGRDALWAAEQCLRSGSCAAVLCWPPQADDRALRRLQVAAESGQALGFAYRPLREAVNPSPAALRLCIETRPPRLRILKCRGGLAPATVLPASAWQ
VIMSS57156 118 299 0.177325824175824 dTDP-L-Rha: LPS α-1,6-L-rhamnosyltransferase (MigA;PA0705) (EC 2.4.1.-) 299 0 10 182 0 Pseudomonas aeruginosa PAO1 CAZy::AAG04094.1 1 CAZy AYLDQHPEVGCVGALVIYIDSEGQETKRQNGNRIRQLDFDYLLGNAYVCGAPVSLYRMEALRAAGFYDPEIKVQDFQMTLRIASQGYQIHKLPVLVTRYRRHPDNLSRRYKVLLDADLRTIAPYQSHPAYERGRTELVNKALKYAVVADKRHAWQLLRSLPLRQWNRTTFRRLKRFLLHHES
VIMSS57176 1 118 0.00631016949152542 PF10734.9:DUF2523:35:111 hypothetical protein of bacteriophage Pf1 (NCBI) 118 77 10 95 1 Pseudomonas aeruginosa PAO1 VIMSS57176 1 MicrobesOnline MEWLSGFLDQIIAFFQWIWDFFAQGIYDFVRDGLVVATKASMYAALQTLILLIDVSYTAARELIDSLGVPQMIRSMYAALPGPIAAGLAFFGVPQALNIIMVAAATRFCMRFVPFIGR
VIMSS57739 1 424 0.267210377358491 PF03349.16:Toluene_X:16:424 probable outer membrane protein precursor (NCBI) 424 409 10 424 0 Pseudomonas aeruginosa PAO1 VIMSS57739 1 MicrobesOnline MKTIWFKTSLALTISAVSTYTLANGIAINEQSASGAGTAYAGRASSALDASTIYGNPAGLSKLKRTEVSGGLAIVKAKDDISQAHSTAQGSNKGDSVPLAAVPFGYFSTPINEDFTFGLGIYVPYGIINDYENGFMGSSHGSYSKVQVITVQPTIAWKINDKVSVGFGPTFNRIDGQLKNTLATNGLLGSNGDTKINIKGDDTAIGYNVGVMVDLTDDTTWGLTYHSKVKYHLGGNTEVKNAPGALGLNGKYDAKLDITLPESVDTSITHKFDDKWTGYLGAVWTRWSRLEKIEVRNSGVPALGQALGFNTIGEDLNWRDTWSFSVGTSYQATPEWVLRTGFAYEPSPTSNEDRNVRIPVGDRKVFTVGAGWSPNQDLTVDVAYAYLWETTAGVNQEGSALQPAYSAKYDNSAHGLTAQVTYRF 5
VIMSS577651 1 474 0.184888396624473 hypothetical protein (NCBI) 474 0 10 474 0 Wolbachia endosymbiont of Drosophila melanogaster VIMSS577651 1 MicrobesOnline MPIETKRQAEVLKKLQDVIKHTDRDIAAGRKLAIKRWVETYIEYIKLFKDDKLEFLYNVFRDEGCWLGTRLNNTVLGQKLTEEKIGEIDNPLPRYGMASRYCITGKIGDFFNKQFVLSRGQFTSEEVDSQGNPISDQYVRNILLSSMKRNGPVFDFWIDRESGELKKYDAVEGFDSTVKLKWSEGVEYFYNQLEEKDKEKKLTEAIVALSRPQSVKRDAPILDFCVRNIGDKDTLLQKLLQKDKGVYFLLAELIESCFFDTVHDLVQCWCYKGVSAGGDCSDKIFSQQDYELFLYSLSNVMLKNPELSVQARSLIMEIWKCERFAEYRETSVNTSNYTVPIKSVLGGLIINWKREDVCKPDREIEKEEILDMISFAKGCFPEKFDLFKEVMIENLRICGREGKRKGVDYGKFAEELFLQLEKVTLPSVGDGPWNNLRSQSKVSLPLDGSGDGPQSEFEAPSVSGISGSHKKRRI
VIMSS577652 1 1166 0.286200085763293 cytoplasmic incompatibility factor CifB 1166 0 10 1166 0 Wolbachia endosymbiont of Drosophila melanogaster WP_010962722.1 1 RefSeq MDGDLDGFRQEFESFLDQCPFFLYHVSTGRFLPVFFFSMFATAHDANILKANERVYFRFDNHGIDTGGRNRNTGNLKVAVYHDGQQVVRCYSISDRLNSDGLRFSTRERNALVREIRGQNPNLREEDLNFEQYKVCMHGKGKSQGEAIATVFEVIREKDSQGRDRFAKYSASEISLLRHIERNRLNGINAPAPRSLLTVKEIGSIRLNQDQRVQLGHLVNFVQVAPGQQGIFSFMEVLASNQKINIERGINEGILPYITRIYRSYLGSLQNDIQNRSQKFESHGFFLGLLANFIHLYTIDIDLDLSPGNSYVAFLICHQAERENIPIVINVTRWRTSSDIALNRARADAKRLHVSSFISIHTESRNAVCIGLNFNLNIDPFSIDTVEFLENRFPLVQRLFECLEDEGIRENIRDFLLQHLPNEIPRNAENYNRIFDCITGFAFGNSILEEFRLVNAVQQRVRKYIFRYGDENHALTMVFHTQGSDIVILHIRDNNAVQQGAINLQDLNVDGNNVHVREVSCTLNNQLGLNIHTDNLGLYHNYQNNNANNFLGGNLVQVPNAGNVHNALNQVMNDGWQDRFQHQELFRNISAVLMPEDTHGNMIIDVNSKDKFRSILHGTFYASDNPYKVLAMYKVGQTYSLKRWQEEEGERVILTRVTEQRLGLLLLRQPTADTHPIGYVLGFADNAEEVEQEQDEARYKITELMSKQRGYLPITSGNEVVLSYAVFNRGAQRAEDFISLPQQAVYVHRLDRRGHDSRPEVLVGPESVIDENPPENLLSDQTRENFRRFYMEKRPGQNSIFLLDIDDNLHVPFSYLQGTRAQAIETLRSRIRGGGTSTAQGILQQINTILRRNNAREIEDVHNLLALDFATENQNFRYWLQTHDMFFAARQYTFHDDRSNPTNDRHDFAITSVGVDGNQNDPTGRDLLSSNIDNFKQKVDSGEKDRLTAIINVGNRHWVTLVIVHQNGNYYGYYADSLGPDSRIDNNIRGALRECDISDDNVHDVSVHQQTDGHNCGIWAYENARDINQAIDQALQGNSNFGEKGEGIIGYIRGLLSAGIGNDTRQPQRNEQYFRNRRRNISQLFQNDSLSSPRGRLIQGRPGIQHEIDPLLLQFLELQYPQRGGGGALQLGGERVISIDFGPQSVLDEIDGVNRVYDHSNGRGSR
VIMSS577769 1 433 0.369130254041571 PF00023.30:Ank:130:151,PF12796.7:Ank_2:30:155 ankyrin repeat domain protein (NCBI) 433 126 10 433 0 Wolbachia endosymbiont of Drosophila melanogaster VIMSS577769 1 MicrobesOnline MLYGGDGINTNGYRYNQTTSRQLDEPTQKLFKAIDNENPEAFKQALKEGADVNAFDKEGMTPLMSIVNVCAVSGDGQATLEKMAKLLIQNRSININAQSKQSVSTTRTRYDPSTQSEISEFITTSNMRKDTALHIACQVGAKDVVKILLTHPDIKTDIKNYEYKSPEDCIARGFERVIKLEFKKAQKANELLGALSSRNIYQAKRPLNQEFNPNCWKRSRNEEIETPLSLIIQSCLQGITSDNKEVLTKLLKHKELDFSQIKPIQAIEQNSWVKQIIEQAITERLTATINKKDLDDVKKLVEDNCFMSHAIVTAALRGVNNPIESITNYLNEKFPANTLQPLASTNDIPVGSEQVIQELKGELERTKAQLIEKERELDRVVRERTRGINKISQLEEDLRQEKSAQKTKINDLNSEVTRLNRIVYGRASDTVEI
VIMSS58346 1 424 0.121306839622642 PF00487.24:FA_desaturase:86:357 hypothetical protein 424 272 10 360 3 Pseudomonas aeruginosa PAO1 NP_250586.1 1 RefSeq MQDELFKTRYSKYGYGIDVRRTYKDLPCQPFWTWVTGKSLNDRPPRRPKDTLLKPWQLYLHISWGYAVFFLAVIYGQQLLASQQPLWLKCLLGALIMCLVVNRQRGFLHTFHYTTHGASLENKALARFTCKWILSIPILHTPRDEYVKLHVNEHHSVRTFNTEHDVDLVFMKQHGFYKGMSESAFWTRLVLAPFHPARILEHLKFRFDVSFVSAPRHERVSRALYWAALLGLVYASGYLEAFALFYLFPIFILTQYSSWIQHVSEHLWFARNEHGLPRFLHYGSLSWGRFLGRPYPADKQGLAFALAFVRWSLGVLLIDIPLRVFSFMQDLPSHDFHHRKPGVNFWRIAPERAANEARPSKFGPMTETWGMWENFLILRDHLCRGQSDPFGIVDWYRENHARLAPETDAANQPHTNQPASQATA
VIMSS58780 1 355 0.169762816901409 PF02771.16:Acyl-CoA_dh_N:14:102 hypothetical protein (NCBI) 355 89 10 355 0 Pseudomonas aeruginosa PAO1 VIMSS58780 1 MicrobesOnline MLDPTLSDWLDRQADALDRGDADPAALLPRLAAAGVLGVAVEERLGGAGGTLADAVERVAAVAARSLTAAFVFWGQRAFIEYLLQSPNQELRQRLLGDLLAGRLAGATGLSNAMKFLSGIEALQVVAHAEEAGWRLDGRLPWVTNLRSGDFVVAAAIEHGEGGKPFVLAIPEGLAGLQRSADLRLLGLQCSNTAALDLRAVAVGRDWLLHDDARQFLPRVRPAFLGLQCGMAIGLARRALDEVQRHLGGSRSLLDGELAAQRETLDGHVAALHAGLASGEFASQPARLFRIRIGLAEAAANAVQLELQASGGKAYLSEHGAGFARRWRESAFVPIVTPSLVQLRAELQRQAEAKA
VIMSS58859 1 301 0.0110594684385382 PF00950.17:ABC-3:27:286,PF01032.18:FecCD:64:283 probable permease of ABC transporter (NCBI) 301 260 10 109 8 Pseudomonas aeruginosa PAO1 VIMSS58859 0 MicrobesOnline MNDAYEQLRQAVQAWAAAGYLPETLAYGFVVNALLAGLLIGPVLGGLGTLVVVKRFAFFSEAVGHAALTGVAIGILLGEPYTGPYGSLFGYCLLFGVLLNYLRNRTGLAPDTLIGVFLSVSLALGASLLLVLAGKINVHILENVLFGSVLTVNGHDLAVLAAVAVLVPCLALPLYNRIMLASFNPQLAAVRGVAVKSLDYLFVALVTLVTVAAVKVIGAILVGALLVIPAAAARLVSQSLKGFFWLAVLIATVSTLAGILLPIMFDLPIPSGAAIILVAGLAFGLAAIARGCLPHLKGTPG
VIMSS58917 1 328 0.227428963414634 PF04773.13:FecR:117:213,PF16220.5:DUF4880:14:56 anti-sigma factor FoxR 328 140 10 328 0 Pseudomonas aeruginosa PAO1 NP_251157.1 1 RefSeq MDGTRGRVDEAVVRQAIHWLVRLRSQPADDRLQRACAAWRAEHGEHERAWQQVSALNEELQGRFKALPGGVAYGTLDSSAQRLQRRQALKLLSLLVAGGAVAWVGRDSLPWQRLSADYSTATGERRSIELVDGTRLQLNTDSAVDVRYDAGQRLILLARGEIFLASGADTQSPTHRPLRVRTAQGLFEALGTRFNVRLQDAATCLSVSEGSVRIDAFGARPLQAPVAEAGQSYRIAADGVRRLERPQMDAVAWADGLIVTRDMRLADFLAEVARYRNGYLGCAAEVADLRLSGVYRLDDTDKLLQVLARTLPVRLQRHTRWWVRVVAA
VIMSS5916111 1 286 0.0928538461538463 PF12811.7:BaxI_1:19:285,PF01027.20:Bax1-I:70:280 hypothetical protein 286 267 10 128 7 Corynebacterium glutamicum ATCC 13032 NP_600211.1 1 RefSeq MIDDEKSIERIGDSVRSSNPVFSSLKETQRPQGQNPYGGYDNFGGVYQQNVAPQKAERPMTVDDVITKTGITLAVIIVFALVTFGVWLVSPGLGMILTLVGAIGGFITVLVSTFGKKYGSAAVTLIYAVFEGLFVGGISLLLSGFTVGNANAGGLIGQAVLGTIGVFIGMLFVYKTGAIKVTPKFNRILTGMMVGVLVLVLGNVVWALFTGGASPLRDGGIIAIIFSLFCIGLAAFSFLSDFDAADRLVREGAPSKMAWGVALGLAVTLVWLYTEILRLLSYFQNR
VIMSS5916915 1 463 0.212118142548596 PF10593.9:Z1:256:447 stress-sensitive restriction system protein 2 632 192 10 463 0 Corynebacterium glutamicum ATCC 13032 NP_600982.1 1 RefSeq MSHHTHLNNYITSLSDNADLREKVTATVDAFRHTVMDDFDYISDQQVLLYGDVQSGKTSHMLGIIADCLDSTFHTIVILTSPNTRLVQQTYDRVAQAFPDTLVCDRDGYNDFRANQKSLTPRKSIVVVGKIPAVLGNWLRVFNDSGALSGHPVLIIDDEADATSLNTKVNQSDVSTINHQLTSIRDLATGCIYLQVTGTPQAVLLQSDDSNWAAEHVLHFAPGESYIGGQLFFSELNNPYLRLFANTQFDEDSRFSDAIYTYLLTAALFKLRGESLCTMLIHPSHTASSHRDFAQEARLQLTFAFERFYEPMIQHNFQRAYEQLAQTDSNLPPLRKILNILGGMEDDFSIHIVNSDNPTVEEDWADGYNIIVGGNSLGRGLTFNNLQTVFYVRESKRPQADTLWQHARMFGYKRHKDTMRVFMPATIAQTFQEVYLGNEAIKNQLDHGTHINDIRVILGDGVA
VIMSS59257 1 205 0.438924878048781 PF00127.20:Copper-bind:153:204,PF13473.6:Cupredoxin_1:54:109 hypothetical protein (NCBI) 205 108 10 205 0 Pseudomonas aeruginosa PAO1 VIMSS59257 1 MicrobesOnline MLPTASRQAQRHRIGDINPMEIPRMFPRRLLPASLIVLGVLFGASAQASPAHGQAFGKPAQAAQASRSIEVVLGDMYFKPRAIEVKAGETVRFVLKNEGKLLHEFNLGDAAMHAEHQKEMLEMQQSGMLTPTGMASMDHSQMGHGMADMDHGRMMKHDDPNSVLVEPGKSAELTWTFTKATRLEFACNIPGHYQAGMVGQLTVQP
VIMSS5927771 1 156 0.126553846153846 PF03472.15:Autoind_bind:18:154 N-acylhomoserine lactone dependent regulatory protein CepR (RefSeq) 239 137 10 156 0 Burkholderia cenocepacia J2315 VIMSS5927771 1 MicrobesOnline MELRWQDAYQQFSAAEDEQQLFQRIAAYSKRLGFEYCCYGIRVPLPVSKPAVAIFDTYPDGWMAHYQAQNYIEIDSTVRDGALNTNMIVWPDVDRIDPCPLWQDARDFGLSVGVAQSSWAARGAFGLLSIARHADRLTPAEINMLTLQTNWLANLS
VIMSS5929042 1 179 0.297327932960894 PF12306.8:PixA:6:165 hypothetical protein (RefSeq) 179 160 10 179 0 Burkholderia cenocepacia J2315 VIMSS5929042 1 MicrobesOnline MSGVRCDGLAIVDAVTLLSAYPDASRDADAPTVIDGRHIYVLRPGDAGQVGHNDNRLFAGLSPGDQLHLRETALALRAEVTVLFIRFALKDAGIVAPIEAEIRDAATPVPDGDDLLHPSCRPMKDHFWRSEVLAPGITTCTADFAVLDRDGAVSGYFRWETAIEIAGSRPDTKQPGVEP
VIMSS59490 1 109 0.386484403669725 PF05957.13:DUF883:12:109 hypothetical protein (NCBI) 109 98 10 109 0 Pseudomonas aeruginosa PAO1 VIMSS59490 1 MicrobesOnline MPRKTTANAAKDELLAEFQALVADTEKLLQSTADVAGDQAEEIRGKIHDSLKRARDTLRDTKDSLKDNVRERSQAAVDATEVYVGEHPWQSIGIAAGVGFLLGLLVSRR
VIMSS59734 1 104 0.418210576923077 hypothetical protein (NCBI) 104 0 10 104 0 Pseudomonas aeruginosa PAO1 VIMSS59734 1 MicrobesOnline MKKISLASSVVGAALLGVASVGAHAAQNPFAVQELSSGYSVAAAEKAKEGSCGEAKCGADKGKREASKAGHEGSCGADRKAKEGSCGGEKKAGEGNCGADKKKS
VIMSS59819 1 92 0.474310869565217 hypothetical protein (NCBI) 92 0 10 92 0 Pseudomonas aeruginosa PAO1 VIMSS59819 1 MicrobesOnline MSSSRNLVGTGLLSLLALVVFQNSALGDEDRTQERNGERPVVLDSNATPQWAPAAQQLVIQAPDESPRIVTVGEDERGTALVTAADEQAWTF
VIMSS60178 1 688 0.341996220930232 hypothetical protein (NCBI) 688 0 10 665 1 Pseudomonas aeruginosa PAO1 VIMSS60178 1 MicrobesOnline MDMTSLMPLLLGVGLVVLLVVGLLALFKAFYIKVPQGTALIVNDMSSTPKVHFTGALVYPVIHLKEFMRISLITLEVDRRGKDGLICRDNMRADITVAFYLRVNETQDDVLKVAKAIGVDRASDRSAVNELFNAKFSEALKTVGKQFDFVQLFENRQDFRDRIIEVIGNDLNGYVLEDVAIDYLEQTAKNSLDPSNILDAEGIRKITELTATQNVITNELERNEELAIKKKNVETREAALALERQQADAEARQKREIETIRAREEAETARVKEEERLKAEQARIQAQQEIDVRTENHQREVEVAQQNRQRAVVIEVEKVTRAKDLEIVAREREVELQKIEKEKALEEQRKNIANVIRERVAVEKTVAQEEERIKEVREVSEAERVKQVILLQAQAEAEQELVRQVKQAEADEARSKHKAVEINTMAQAELEAASKQAEAKKRLAEGIEAERAAPGLADARVLEVTAAAKEKDGLAAARVRAEQLIAEARGDEERGLADARVLEAQAAAKEKDGLAEAKVLAEKLGAQARGEEQLGAAKAKATKDQGSAEAEVLLQRLNAEAEGLGKKFGALDALSDSARQHEEFRMQLEKSFEEAMAAIAANKDIAKDQAEVLATALGKANIEIVGGEGDFFNSFAKSLSVGKAIEGVVGKSPVVQDVLARLLNGRGAAAAVMPERKSGHENEPAAEV
VIMSS60322 1 246 0.262514634146341 PF02613.15:Nitrate_red_del:39:161 respiratory nitrate reductase delta chain (NCBI) 246 123 10 246 0 Pseudomonas aeruginosa PAO1 VIMSS60322 1 MicrobesOnline MNDHSQLFRLLALLLDYPRAELREESLGLHALIRTCELPEALRDGLAALLNELCQGDLLDVQARYDGLFERGRSVSLLLFEHVHGESRDRGQAMVDLLDRYTGAGLQIDVPELPDYLPLYLEYLSLLPFAAASEGLAEVAHILGLLALRLEERGSAYAAIFEALLELGGERPDLGALRRDQAQEQRDDSLEAIDRAWEETPVSFTDPAGGCPSSSGRRPTASTEQPLQWVAQPVPQMQYRAAREGV
VIMSS60356 65 261 0.307242131979696 PF15648.6:Tox-REase-5:52:139 hypothetical protein (NCBI) 261 88 10 197 0 Pseudomonas aeruginosa PAO1 VIMSS60356 1 MicrobesOnline TGTLSRDRTREAERDCSKSQGRDKDCVECPPSRGEMAIANNGKGHSMSDLSARYQQWVTNFPFPHEWFWSGTWWDGFDEPRCTLLEAKANYAFLFVPLLGVPRPWARAKVKSDLLQKAEVHSDKARPTPPVFVEWHFLQRIVYEYCAAEYLRMGLANLKAFWNPMPGTDEHDDYQETRAKEQEEMKRFCEENPGYCA
VIMSS60358 1 779 0.377979332477535 PF00932.19:LTD:20:129,PF03372.23:Exo_endo_phos:457:760 hypothetical protein (NCBI) 779 414 10 779 0 Pseudomonas aeruginosa PAO1 VIMSS60358 1 MicrobesOnline MHPLRNAALLGGLALLGLPVANAAELIISEYVEGSGNNKALEFYNSGSQVLDLSAYRVEFYFNGASAAGRSIDLSGSLAPGKTFVLANGVADPALLALASQRVEGSWFNGNDAVLLRRRGGEILDSLGQVGFNPGTTWGSGDVQTLDRSLVRKADIRDGDSDPSDAFDPAAQWLGYPRDTFANLGQHGAGEPGGEEAGLRPIHEVQGAGAESPLVNQRVAIEGVVVGDFQNASELKGFYVQQEDATVDGDPATSEGIFVYDGGNGSDVKLGDRVRVTGQVREFNGLTELVGPLQVSVLASGVALPTPAGISLPLASADALERYEGMRVQLRQTLTVNEVYNLGRYGEVLLSSGGRQMTPTNVVAPGEQAKAMQARNDLDRILLDDGRSGQNPDPIRYPAPELSAYNSLRVGDRTSAIDGVLDYSAGSYRIQPLQTPTFEAANPRPAQPAVEGRLRVASFNVLNYFNGDGKGGGFPTSRGANTAEEFQRQKAKIVAAILASKADIVGLMEIENDGYGEFSAIADLVNGLNASLPQGQRYAFVNPNRAKLGSDEIAVGLIYRGDKVRTYRSAAVLDSSVNPEFDDTRNRPTLAQTFQEINGGERLTIAVNHLKSKGSACDGDPDTGDGQGNCNLTRARAAQALVGWLAGDPTGAKEPDRLIIGDLNSYAKEDPVNVIRSAGYTDLVARQAGAGKGYSYVFSGQSGYLDHALANASLARQVRGAVEWHINADEPRVLDYNVEFKTPRQQDSLYNAEPYRASDHDPVVIGIDLRRVAMKKHRR
VIMSS60362 1 331 0.217936555891239 PF01136.19:Peptidase_U32:75:330 probable protease (NCBI) 331 256 10 331 0 Pseudomonas aeruginosa PAO1 VIMSS60362 1 MicrobesOnline MQLVCPAGSLPALKSALRQGADAIYVGFRDDTNARHFAGLNLDERQLQEGLRRVHEAGRQLYVAVNTYSSAQGWERWQRAVDQAADLGVDALIAADVAVLGYAAKRHPRLNLHLSVQGSATNAAALALYHERYGIRRAVLPRVLSLAQVRQVAAGSPVPVEVFAFGSLCIMAEGRCHLSSYVTGESPNLCGVCSPARAVRWSEEPEGLTSRLNEVLIDRYAAGEAAGYPTLCKGRFLVNGQRFHALEEPTSLNTLDLVPQLAEIGVAAVKIEGRQRSPAYVEQVTRVWRQALDSYASAPGAYSVQPQWQRALAGLSEGHQTTLGAYHRSWQ
VIMSS60372 1 641 0.250166458658346 PF06980.11:DUF1302:31:641 hypothetical protein (NCBI) 641 611 10 618 1 Pseudomonas aeruginosa PAO1 VIMSS60372 1 MicrobesOnline MTTRNPFSRRARLPLAVSLASSLAAPAFGVTFNIGEIEGSFDSALSVGASWSTAKPNKNLIGVNNGGKGLSQTSDDSHLNFKRGETFSKVFKGIHDLELKYGDTGVFLRGKYWYDFELKDEHREFKDIDDSGRKTLAKSSGAELLDAFIYHNYAIGDLPGSVRLGKQVVNWGESTFIQNGISAINPIDVTAFRRPGSEIKEGLIPVNMFYLSQNLTDNLSAEGFYQIEWDQTVVDNCGTFFSQPDVVADGCDQNLAVLTNNKASINLLNNVLAGAGLSVTPSPWDEGILVRRGPDRDARDSGQYGFALRYFADELNTEFGAYYMNYHSRLPIFSGQGASASTMAAINDLATRLAAINPALAAQAAAAATAGNSSYFIEYPEDIRMFGLSFSTTLPNGTAWSGEVSYRPNAPVQLNTTDILFAGLDPVSIGGNRPYDNASVLNGQAGQDLHGYRRKEITQLQTTLTHFFDQVMGAERLTLVGEIGWTHVGGLESTSKARYGRDPVFGPGPLPGTISGLASCQALNVSTLGTAEANNVSRYCENDGYTTSDAWGYRARAIWDYNDVFMGVNLKPSVAWSHDVDGYSPGPGANFEEGRKAVSLGVDAEYQNTYTASLSYTNFFDGKYTTVDDRDFVALSFGMNF 5
VIMSS60753 131 394 0.16160946969697 hypothetical protein (NCBI) 394 0 10 264 0 Pseudomonas aeruginosa PAO1 VIMSS60753 1 MicrobesOnline ARQGELLTLVSARPDADGAFVALHLAKALQEQTPNQVLLLDVGQPTGEALAILGLDSAFTFSDALRNLRRLDQTLIDSAFTRLDSGLRILSLTDEPGVLERVTTAELYLLLGNLRGAFSHVVVNLTGLPEGELSNQLLVQANRVLWMVDQSVPSCKKGLERLRRLRERNLPLPSIELLIERYLPNVAPDQQALSRMFDLDLFGVLPLSPESRLRAKNLGKSLFEVAPRDPLAAKLRQLADSLCVTRGERRSLLSWLGRAKAALL
VIMSS60755 1 303 0.359263036303631 PF16976.5:RcpC:120:234,PF08666.12:SAF:57:116 hypothetical protein (NCBI) 303 175 10 280 1 Pseudomonas aeruginosa PAO1 VIMSS60755 1 MicrobesOnline MNSKVLMALAGLLLLGAVLLGYLGVSIGRAPSNAHAPSVAPASATVDASLEKLERKPVLVASRDLPALAVIGRDDLSVELLRTAPVGSYDRPEALLGKRVWVAVPAGSILSAATLEPGGPLARTIRPDERAMAIAVDEVVGGGGFVLPGDYVDVMLFVRDERDGESTPLAQLVLPGVRVLTYGERIAVGSDGQDRSNQEKDPRPPRTAVLAVPEDGVARLMLASQAGSLRLAIRSKDEELYRREQEGALLQASLGAPSAALSLDQLLERRKPTAPSVRSAAPRVPVANGVTVYRGSAMTHEAP
VIMSS60939 1 1516 0.305566424802111 PF01835.19:MG2:332:428,PF00207.22:A2M:857:944,PF07703.14:A2M_BRD:647:792 hypothetical protein 1516 331 10 1516 0 Pseudomonas aeruginosa PAO1 NP_253179.1 1 RefSeq MSNLRRFSRSLAVAALVLLPFAAVQAEDTVEPSGYTPMAGESFFLLADSSFATDEEARVRLEAPGRDYRRYRMEPYGGVDVRLYRIEQPLEFLKRQKNLHRVLAEGQFKGEGLSNTLAYLWDNWYRKSRRVMQRAFSYESRQQVTEAVPELKMGNAMTAPTPYDAQPQYAPIPGLPLVSQFRYPLWDAKPIEPPQDVKLAGSSSEFINVVPGNVYIPLGKLKPGLYLVEALVGKYRATTVVFVSNSVAVSKVAGDELLVWTARKHEGTPVPDTKVLWTDGLGVMSSGNTDADGLLRLKHASPERSYVIGEDREGGVFVSENFYYDSEIYDTKIYAFTDRPLYRPGDWVSLKMVGREFKDARQSQAAASAPVRLSVIDASGTVLQSLDLRFDAKSGANGRFQLPENAVAGGYELRFDYRGQTYSSAFRVAEYIKPHFEVALDLAKPDFKTAEPVKGEIVLLYPDGKPVANARLQLSLRAQQLSMVDNELQYLGQFPVELSSTELTTDGKGRAAIELPPAEKPSRYMLTIFASDGAAYRVKTSKEILIERGAARYRLSAPQRFSAAGEKVEFSYASEQPTPLKPSSYQWIRLEDRATDSGPVADGRFALTFERPGTYSVELRDDKGQLLGATGHSVSGEGVKSVPGTVEVVFDKPEYRTGEEASALITFPEPVEDALLSLERDKVEATALLSKGADWLRLEKLNPTQYRVWIPVREEFSPNLTFSVLYTKGGDYSFQNAGIKVGMPQVEIDIATDKERYEPGETVTVTLATRFAGKPVSSHLTVSVVDEMVYALQAEIAPGIDQFFYHPRRNNVRTSASLAFISYDVALPGSTSAPGRANRSERGVKVLERPRREDVDTAAWQPELVTDAQGKASFSFRMPDSLTRWRITARAIDDNGQVGQKKQFLRSEKPLYLKWSGPTRFRQGDQPDLGLFVFNQGEQPVKAELLSGPPGSQRSQTLELAKGVNYIPLAQQPLSDGDWSAELRQDGQVRDRLAVRFNLLADGWQVEQMQNLSLAAASNPLQLPADARDVRLRLADGPAAAYLGNLDDLLEYPYGGVEQTASQLLPLSIAYPALAGGEPRIRDRLRLIMQNSRLRLVQMAGPDAWFAWWGGDVDGDAFLTAYAYYADWYASRALEIQLPAEHWQRILEPYAKQATQTPLLQRALILAFARDMQLPVNTLLGGLLNDLANAGEGQARAEPLEADDGLVLGDPDSAVGLAAARVLAVDLARQLRVAVPAPLAAQAETATQRLREAGLPFTDALLASRSAVDGQQASALLQRLAPAQSTLERALALTWLQGALAQAPQGKLPQPPKDWQAQRGASGETYWQWRGRGIPSWVDLDEAPARPLPVALSYRSAQAPSGQLPVQISRRLLRLVPGEGAFEFKVEEVGDKPLSSDELYLDEVTLNVPEDTALRYGMLELPLPPGADVERTTWGIKISGLAGDEATTLERARNEPGELFYGVPVDSLSGEQRFRHLVRFSQKGSFNLPPARYLRLYAPEQQALEAKPALAKVKVE
VIMSS61074 155 568 0.284441787439614 PF03865.13:ShlB:54:374 hypothetical protein (NCBI) 568 321 10 414 0 Pseudomonas aeruginosa PAO1 VIMSS61074 1 MicrobesOnline GTVDGPRVRVEELLAPLTEKRPLDADTLERGLLLVGDLPGVNVQSVLSPGQALGSSNLDVALQQQRYEGFVSLDNRGSHYTDPTTLAFGATEFSRLGFYEQIDVLGAISPFSDATRYIQLRGTLPLGGMFAGDTLQIMGSYSKVNPDIPDSVFPFDSVSKSTEGSISYNHPFIRSRSQNLSGGLALQWKDTETRLRDFASDASNPGRDHVRVFQQRLTYDWVDRWMGINLAEVRNNIGLDMWGATKKDDERRSREVADGRFVYLNGNIGRLQGLGGPWSVYGELQWQYSFDPLLPSERFGVGGSGIGRGFAPGNITGDRGFALKLEPRYGQAVGYSWLRSYQAYAFYDWGKTYNLDVDDDEQQKLGSIGAGVRFNLTDQLSFNPEIARQVIGEPADQRDGKRETRVLFNVVARF 2
VIMSS61281 1 284 0.00438591549295774 PF00892.20:EamA:8:138,PF00892.20:EamA:151:279 Pseudopaline exporter CntI 284 260 10 78 10 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9HUX6 0 SwissProt MVLDLLKSGVLLAVLASFTFSVMNALVKEASATLPAAEIVFFRSAIGTLLIYLLMRQAGVALSRQGVPMLLVRGVMGALYLVCYFYAIAHIPLADASILAHMSPFFVILFSALFLGERIPRAVYWLLLVVVLGALMIVKPFSYSSYSVYAVVGLLSAVFAAGASVAIRQLSARHHTYEIVFYFLAVATLVAIPLMWNDFVVPATLREWGLLLAIGVVSLLGQVFLTRAFSHESATIVAVTRYIGIVFNAGWGWLFWSEVPDALTIAGGVLIVVACIALSRTKKG
VIMSS61291 1 464 0.298493103448276 Methyl-accepting chemotaxis protein CtpL 657 0 10 441 1 Pseudomonas aeruginosa (strain ATCC 15692 / DSM 22644 / CIP 104116 / JCM 14847 / LMG 12228 / 1C / PRS 101 / PAO1) SwissProt::Q9HUW6 1 SwissProt MRLKQLTNLNTLLLLTVCLALGITLWWSQRAMERPFQLLDQYLELSQRFDEQVARNIRQYLGSGDAVRQQAALQALESLAEALPELPPDLARTLAPSLAELREFSAGDLLAAGKLAGDPQGLLLQAERDLTGNLEQWSAYLDAAAGQPQAGAYRTPLLLASLHLTRLSLARAKLVESANPALAGDVERELANLREQAGRIEALPLLGVLDEQRSASDDFAAMMGLAGDAEAGAGNAEDRGVALRRELASLLQRYPDELRRTRDLIERRQQLSADTGARLDAVRQALATLEPQVRGERQRLQGQVRLIQGGMIALILLIALAIDSLQRRLARVLGQLVPALSAWADGDFSRPISLRTRTEDLRNLEDSLNRLRSFLAELVGAIHRRAEQVAGSSQTLAEVSSGLHAGVERQAGDTGQIRDALGDMEAAIQQVAGDASQTADASRSAGQAVEHGQRVIGESLGGLR
VIMSS61376 1 463 0.0957289416846653 PF07695.11:7TMR-DISM_7TM:231:434,PF07696.11:7TMR-DISMED2:85:219 hypothetical protein (NCBI) 680 339 10 311 7 Pseudomonas aeruginosa PAO1 VIMSS61376 1 MicrobesOnline MSNSDVFTGYCLSSAGLANCHHFATFFLYSIHRHLTRPPPEAPGRFIVRHPLALLAVIFLLLASAVSRAAPEFPAPPASGAWLNGSLDLLEDPDGNLAVEDLEQAEQAGRFVAAAGRTSVGLSRSAWWLRLDLPRREAVSGGWWLEVASASLHDLRLYLPDERGGFREHRSGEAVPFAEGRDHAYRHPLFRIPPGDGPLRVYLRSYDPGGNAFPLRLWSHDELLEYRSQGNLLFGMAYGLILALLLYNLFLFTSLRDRAYFWYVLTAASALVLTLSISGHGFEYFWPERAVPWWLDRLALLAIWGICVIRFSQNLLQSRQHARWAHHLLNACCLLFLACLAFNAAGWRWQAAGVLALTLLANLPIAIGLAVQRWRQGSATARLYLVGFGLVLGSVSLGVMRATALVQPTSANAMVFPLALTLEALLFSLALASRIQDLKQERALALDQADQEKNARLALLHSA
VIMSS61449 1 472 0.21731843220339 PF02585.17:PIG-L:183:352 hypothetical protein (NCBI) 472 170 10 442 1 Pseudomonas aeruginosa PAO1 VIMSS61449 1 MicrobesOnline MSARKQQLLKRHRRNKRIGLLVALLALLAVGLLVSPWLLPILLVALWVAHEAWFADHLFYSPGEDYRYRFAEGVESLPVRLADGRLRVDGELREGDTLVLGIGVRAGWLGRFLEPSVLLEGGAEADAQAFERGVNGLRYLNLTGLAGPLGEGRIRLRGRHCRLVGEPTLWRARHPDYRDRRVMVIAPHADDAELAAFGLYSQAREAWIVTLTAGEIETEHYRRMGLDGIAAARLKGRLRAWDSQAVPTWGGVPAERCVQLGYFCLQLPAMQANPGEVVPSREADLADIRPFRQFNRLRLASDADGLSTWNNLLADLRELILLARPEVIVLPHPHFDPHPDHVRAQEAVREALQGLDWQPQALLHYANHLHDNDRWPMGDAHMGVSLPPLTEECSPLLPWTLALERTRQVDKAMALGMMHDLQPRPPFKRRLRRRLQGWLAGRRWPAYGEDEFMRKAVRRHELFWVESLDGEA
VIMSS61659 1 109 0.215816513761468 PF09498.10:DUF2388:31:100 hypothetical protein (NCBI) 109 70 10 109 0 Pseudomonas aeruginosa PAO1 VIMSS61659 1 MicrobesOnline MRSVKLAAATLVIAALPLGSAMADTFWRNIISSGATTASTYLTFRHDHKLIVAAQDDAGSYIASDGAIRGPYLEAALQKLRNDNPDLQASDMELASAILAGGEQSAVQQ
VIMSS618512 1 277 0.401285559566787 PF05844.12:YopD:13:277 yopD; putative Yop negative regulation/targeting component (NCBI) 306 265 10 254 1 Yersinia pseudotuberculosis IP 32953 VIMSS618512 1 MicrobesOnline MTINIKTDSPIITTGSQLDAITTETVKQSGEIKKTEDTRHEAQAIKSSEASLSRSQVPELIKPSQGINVALLSKSQGDLNGTLSILLLLLELARKAREMGLQQRDIENKATITAQKEQVAEMVSGAKLMIAMAVVSGIMAATSTVASAFSIAKEVKIVKQEQILNSNIAGREQLIDTKMQQMSNIGDKAVSREDIGRIWKPEQVADQNKLALLDKEFRMTDSKANAFNAATQPLGQMANSAIQVHQGYSQAEVKEKEVNASIAANEKQKAEEAMNYN
VIMSS61975 1 284 0.326797535211268 PF04280.15:Tim44:161:282 hypothetical protein (NCBI) 284 122 10 238 2 Pseudomonas aeruginosa PAO1 VIMSS61975 1 MicrobesOnline MQRVLSIALAFCLALTVSLDANAKRFGGGKSLGSAPSHQTRQAQPATAPNATAAAPNAARPAAAASGASRWLGPLAGIAAGGLLASMFMGDGFEGIQMLDILLIALVAFIAFRFIAARRRQQNQGQPAMAGHAPMQREMPAQAPIFGGAAAAAAAPSPVINAPSWFNEERFVGAAREHFMSLQQHWDANEMDKIAEFVTPQMLQWLKQERAEIGDAYQSTYIDNLVVQLDGVDDQADKTIATLTFSGVSKTSRFDQGEAFSESWRMERAQGENQPWLVAGIRQN
VIMSS632 1 429 0.180964335664336 PF06785.11:UPF0242:31:249,PF18095.1:PAS_12:267:417 hypothetical protein (NCBI ptt file) 429 370 10 388 2 Chlamydia trachomatis D/UW-3/CX VIMSS632 1 MicrobesOnline MQYVMGRTNSMTRGFLNKRRVLEKCRTAKQKIHYCISRYFHYLPPVLAILLPIGSWPFLSEQQWWYGSFLFPVVSSLGWLFAIGRRERQLRAAAGQLLEAKIRKLTEQDEGLKNIRETIEKRQKETDRLKLHNDKLVEQLGQAREVFIQAKGRYDHMEELSRRLKEENQQLQIQLEAAVRERNEKILENQELLQELKETLAYQQELHDEYQATFVEQHSMLDKRQAYIGNLEAKVQDLMCELRNLLQLEMGAKTNLPGKPVASRDVVAQLVLEFRKIVFRVETTEAADSLTALRYTRTDPSAHNYSLACRQLFDGLREENLGMLFIYAPFAQRVLFANALFNDWTGYGLEDFLNRESDVVLEGFAQWERDLLTESRVERSGKIVIKTKAFGATPFYYCVVTLDKGPFAQHILGVLYPAKASFFTNLSYI
VIMSS6476 214 706 0.217144421906694 PF00593.24:TonB_dep_Rec:23:487 hemin receptor (hemR) (NCBI ptt file) 744 465 10 493 0 Haemophilus influenzae Rd KW20 VIMSS6476 1 MicrobesOnline KRPDNSKILFSKNNQKTGLIKLNWQISPEHLLTLSSVYGIHKGWEPFAAKRDILPKPSLSDIMRYGTDIAWKRKLVYRDQKDENYTLKYNYLPENNPWINLSTQFSYSKTTQNDMRPKEASSGLVGSLGNQSWITYSDLTFDINNTSTFNIKTTVHELLFGLQWLKNTRNTLMYDKSKVRKADYNYGYFQPYYMPSGRQYTQAFYLQDQIKWKNIIFSTGVRYDHINNIGQKNLALKYNDISAGHDYSQKNYNGWSYYLGLNYDVNHYLSLFTNFSKTWRAPVIDEQYETQFKQSSVPATSLNLEKEMINQTRVGGIITLNHLFQENDAFQFRTTYFYNRGKNEIFKTRGVNCVGNAADTNNKVCPKIIENYRNLPGYVIQGAELEAYYQSTYLFGEITYSYVKGKRDTSPRNPWGKTSTWIAEIPPRKATTALGFNVPKYYLTVGWRAEFVRRQDRSPLSGDPKASSWSLPASRGYSLHNLFLSWSPAKIKG 3
VIMSS6574975 1 79 0.887641772151899 PF10346.9:Con-6:3:36,PF10346.9:Con-6:46:79 conserved fungal protein (RefSeq) 79 68 10 79 0 Schizosaccharomyces pombe VIMSS6574975 1 MicrobesOnline MPNPGNVIGGHKAALHNPNVSEETKQREKEYLEEHEGEVGEEHQKNTGNVRGGYKAAMHNPNVSGEAKQRAQEELENLE
VIMSS6575271 1 569 0.58465992970123 Transcriptional regulator prz1; Pbp1-responsive zinc finger protein 1 681 0 10 569 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q09838 1 SwissProt MERQRSEEANRRFKDLNPSSLYDNLSKPDLGGSSELHTYMNDTSLADIPLFEDTLASEVSSSLISNPSKNNIQHLHPNTSEPFKTSSKSDEYDSYPRTGNVPTFSFTELNDTSVSGFGSQAVFENSVSPLSNPSNSPQAFDLTQGSSSTHNANDFTVNNVGSRRQSIYEFNIGIPSSNIDSSQFLPVSRAIAASEISPSSSPQLLTSFLPSGSVSNPSSPYLQGSVGALYEADAFNFVDVMSQASGTEVDSERFPSVDFEDPSLLMENQQNITGTGSFADYLQPPSSGSLGAFTNASPGESNTGIDFDTDNTNLNPSVDLLSNHSTPSFIFENSPSAEFSHQSSPYLVPNSGRTLNSENARESTIRSVNSPFSEDHADASLTTHVFDPISPTALSNSVLNYDSNNFSGTPQINVVPSSPSKSQSGPSLPANPLLQTDISITYSQSASPVSGQPAMNENSYDLQNANLCAPEMSPTYTARHRSNSAGSRFDAYEPIPQLYTHFSHSSECLSVNQDTELLGKIENDNSKSNDYLSVRNTRPRSRSLNSLVGNKSENSSSSKAKSESKSQGN
VIMSS6575354 1 216 0.335178703703704 PF07106.13:TBPIP:16:76 Homologous-pairing protein 2; Meiotic expression up-regulated protein 13 216 61 10 216 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9HGK2 1 SwissProt MAKAKEVKAKPIKGEEAEKLVYEYLRKTNRPYSATDVSANLKNVVSKQVAQKALEQLRDTGLIHGKLYGKQSVFVCLQDDLAAATPEELAEMEKQIQELKDEVSVVKTLYKEKCIELQALNNSLSPAEIREKIQSIDKEIEETSSKLESLRNGTVKQISKEAMQKTDKNYDFAKKGFSNRKKMFYDLWHLITDSLENPKQLWEKLGFETEGPIDLN
VIMSS6575412 175 355 0.434511602209945 Endonuclease III homolog; Bifunctional DNA N-glycosylase/DNA-(apurinic or apyrimidinic site) lyase; DNA glycosylase/AP lyase; EC 3.2.2.-; EC 4.2.99.18 355 0 10 181 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q09907 1 SwissProt NTKTEEQTRAALQSWLPKELWFELNHTLVGFGQTICLPRGRRCDMCTLSSKGLCPSAFKEKSGITITKRKVKTIKRVKKRPASESPPLSPLSLPTDDLYYQSIEDKSLIKLEDLDPVDSISHMNEPLKKEPAADIDVDQKPPVAFHSTTKETRSLRRSKRVAKKSSQYFSQQSLQDIEDLV
VIMSS6575699 1 426 0.30905985915493 PF04139.13:Rad9:13:300 checkpoint clamp complex protein Rad9 426 288 10 426 0 Schizosaccharomyces pombe CharProtDB::CH_125191 1 CharProtDB MEFTVSNVNLRDLARIFTNLSRIDDAVNWEINKNQIEITCLNSSRSGFSMVTLKKAFFDKYIFQPDSVLLTGLMTPTIRIRTQVKPILSVFRNKIFDFIPTVVTTNSKNGYGSESASRKDVIVENVQISISTGSECRIIFKFLCKHGVIKTYKISYEQTQTLHAVFDKSLSHNNFQINSKILKDLTEHFGQRTEELTIQPLQERVLLTSFTEEVVHNRDILKQPTQTTVSIDGKEFERVALNEGVSVTLSLREFRAAVILAEALGSSICAYYGVPGKPILLTFAKGKNSEIEAQFILATVVGSDEQEVSSMMGNRWQHSSTPASLFNSVERNNSLTAVAHNPPGSIGWQTDQSDSSRMFNSALDRSDETNGIKEPSTTNDAGQSLFLDGIPNESELAAFNNDVNDDAEFGPTQAEQSYHGIFSQED
VIMSS6575702 1 415 0.596827469879518 Kinesin-like protein 2 817 0 10 415 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9US03 1 SwissProt MEEEGHKSLTSHLPQSSSSLSQSREIAKEFTSNIPPPTIKTNSSSSNILKPRLSLQNEVNQLKPAKFPSKMLPPGSLASVKSSSLAKKARPFTASSNPRMPKSAHPISSRSVSASSHFGRPASAVSSSLNSSDDVRSMSDESMESYNDEKSVNASALRTTEDRLRSMEMAYAQLSAKVIPSPSKRPANYKFYEQRIAMLEESLEVERSRTSELQEQFSVALREKAEAEANKIVSQKGMESLEIMLNSMKSENHQRMAMLEENHARVMETAELQHQAELQDFASNIEQKANSLIMEYKNELQSAEEHFSHKIKELTSENELKISRLQEEKDSLLKKVQEGASLAMQRVQNKHDLEKKRLQSAIQPLQEENNSLKQQIEQLQRELASETVVKENLKSSLDQQSANVQKLESTNRALE
VIMSS6576348 262 848 0.487270698466781 huntingtin-interacting protein homolog 1092 0 10 587 0 Schizosaccharomyces pombe CharProtDB::CH_125845 1 CharProtDB EGDDNIPDLPKRPASIAPQPTGASTIAPQPTGTSPSPPVEMNFPDTSDITPAYSEPEPIQDFWSDPTLDQQLAAQQAAQQAAQQQAELAAQQAAAQQAQLAAQQAAEMERQRMAAQQHQQALEAIQMAQAEQQRIAQEQLAQQQFQMQTQGQLAELEQQLLATRGQLEQSNVLLNQYDARVRTLENELSQAGVNLQEQIHQNDDLIESLKNQILTWKNKYEALAKLYTQLRQEHLDLLSKYKQIQLKASSAQEAIDKKEKMEREMKNKNLELADMILERDRARHELETMHRSQRDKQESTERELRLLQEKAASLERNKSSEVSNLLSRYNTEVAHLEDALHSKDRELANLGVELKSTENRYRQLLQEKEEELEIQKAAVDESLLQLSKLQLDRNDIDQAMDTQIDELLKSQLEKLDDIVDSVLATGIQRLDTSLYELDSPMHAGNQYATPEFILSTIENASNNATDFSTAFNNYFADGPNADHSEVINGVNLFSTAIYEVANNAKGLSRTTGDDQGSDRFVGLSRDLVNMAKRFLSSLFSVNTRKMDVNVKTDLVIGENIELQRYLQQLTQYSEKFLNKESENTVGL
VIMSS6576744 1 1739 0.0746448533640025 PF13191.6:AAA_16:375:549,PF00069.25:Pkinase:110:284 Peroxide stress-activated histidine kinase mak2; His-Asp phosphorelay kinase phk1; Mcs4-associated kinase 2; EC 2.7.13.3 2310 350 10 1739 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O14002 1 SwissProt MSLYKSLDVAIDYAISQLGEFQFQPIRTQSNPSSLLSACLVRAVHVETRRKVIFKFSQQTFKLENEYFLLRQLSSHPNGRNYAIAPAYILLLNETLGALIYDDPGPNILDEWLGNPNPLDLKLFLKFALGVSYVLCFLHEKKIVHGEIRLDTFHYDLNAPIHAKLLTIGSSVSPIRFTLSSLNWKRLYQVQNICHKLQFFSPEQIGNVGRPLDSRSDIYSLGILFYVILTKQYPWGGQSMRIVQSIHMRQFPSVLPRRPDAFPALDQLIQKMTAKSMNSRISSATDLCYTIVELMQEFSTITSSPLLDQKLLSINKPQQEKLKFPKLLLTNSSDYVRIFHELVAFSSKRDLLTSAKRVDKLPKQHLFKYRPVDNEATYCQVVTVTGEKGSGKSNLLNAVADEARKFGYFAMSSFKGHHFSPYSAIFKCVSLIMQQTLREEKQLVTDYFTSLWEFLGFQLIYMGELFEYVPELNSLLSPKYNLHCKRENYFKLKKRDPQQFRSASGRLGFMVCLLEILSFTSRVRPVIIILDELHLADHPSLSLIIGMISHRLPILLILAWDEPVMFKDFSKCLHEAPYAMVTDIRMNLFDRKNITEFLDSTLESPTQALGPLVLLMQKLSKGNPLVLKSLLLIAFANNGFAFHPKSSSWTYDLPVINRSFEALSSYDIPPLLASLLDALLPARCIEFLLWAALLVEPFPFELLRLITTSMHLFIPKEEILDFPLNVLQFDNDNESCQFSETFFREGILSKISLRRAESMHAQIAKELITGTAKEFYDIRTVHHILKGLGVIKKFDNTKPYILALKESADALMQFGSYEYATELLKSCLFLLPRNFWNSKLYTRKDLISIHISLAMCYWWSKDHENAIKVLKNPKLSSSNVYDYLPAFRLLTKIEYYKYQSLRSIDKAQELLSNLGLKLKEPTDDVLREFYDRLSTKFLECDFLVKQSEPLDRKRIDAISVILSECGFVLFNFSQPYYYYFSFLLAEMYLRYGNPSLRYSVMFLASYCFVTRRKPEFLLRISQVDSDLFVIKDRSAVAHAELIYWGLKRELCSTETGSAVTLESILLQCVMFGDKIYGAYCLACLMAQRVFRGDHIHQLLLDQENSETLLLLWDCEPPFTYYLMLIRNSLLALFGLTNNDDPNNILTTKQRTQKDLHDKLTSKKVPCTFCCWYYAGIIFLNTLFHHYEYVMSIAQEVRKLVDGKLYERYYLITRSFIGVAALQLLFYKKNISEFEREKVEDVAHWAQSSLSEMAKCFHAELYKLWVCLLEGLRQRNLGNYMEALRLFEKVTSMGASVFSPIEFPFVLELIGEFYYGRGHKFLAKSYITRALSCLKNIGCYGVENKLRSRYSDLISDVESRGTTVVSIATTTGDYAEKLKLLRNQDINDFSLGLASYSDIFDKPLVTLPVKKSSAVDESENDFYDRNDEESFDIVSLVSVIKCGQLLSSKLRLGPLLTTVIKLVIEYSQAKHAAIILKDASNYTLAAHGNVEKAESFEPPVILSQSDVKIPDSLLSEVFDHCRIVSLYTVSASQDAELLRWLQEEHDMDFFAIIPLQFKESVIGALYLCLSRRAIRTGNVTFLKLLSQQIAISVSNALLFQSLRRTITDNVTLIELQRLSYQRYKAIEEKCITLLDSLPCIVWTLDSDIGEIEYTNASKRNYFGVPEDCHDSLSWKTFIHPDHHHQFQEKLLNLKTLELGDIELLLRMEDGNYHWHLCRGLSFKEDANAKKWIVVCIDIND
VIMSS6576870 442 1085 0.429221583850931 kinesin-like protein Cut7 1085 0 10 644 0 Schizosaccharomyces pombe CharProtDB::CH_125184 1 CharProtDB LDIERLKNDLNATRKKNGVYLAESTYKELMDRVQNKDLLCQEQARKLEVLDLNVKSSREQLQYVSKSNQEHKKEVEALQLQLVNSSTELESVKSENEKLKNELVLEIEKRKKYETNEAKITTVATDLSQYYRESKEYIASLYEKLDRTERNNKENENNFWNLKFNLLTMLRSFHGSFTDETNGYFTLLNDFNASMEELLNTHSNQLLISMTKITEHFQSLDEALQSARSSCAVPNSSLDLIVSELKDSKNSLLDALEHSLQDISMSSQKLGNGISSELIELQKDMKESYRQLVQELRSLYNLQHTHEESQKELMYGVRNDIDALVKTCTTSLNDADIILSDYISDQKSKFESKQQDLIANIGKIVSNFLQEQNESLYTKADILHSHLNDTNSNIRKANEIMNNRSEEFLRNAASQAEIVGANKERIQKTVENGSQLLDSKSKAIHSNSRSMYDHCLALAESQKQGVNLEVQTLDRLLQKVKEHSEDNTKEKHQQLLDLLESLVGNNDNLIDSIKTPHTELQKITDHVLKGTTSLANHTNELLGLGDESLCNLETTIEDTSLVKLETTGDTPSKRELPATPSWTRDSSLIKETTNLNLDSDKKFVRETYTSSNQTNEPDVYDKPSNSSRTSLLRSSRSAYSKMKR
VIMSS6577506 1 554 0.0392586642599278 PF13520.6:AA_permease_2:65:498,PF00324.21:AA_permease:69:493 amino acid permease, unknown 13 (RefSeq) 554 434 10 289 12 Schizosaccharomyces pombe VIMSS6577506 1 MicrobesOnline MRLFGNSKEQGICQVGESDVAVSEIKKDEALLQKMGYKPKLHRTYAFFENFASSFAACDCMSNIRGSFYIGLLTGGPSAYWITYIIAIPLQLISAATMAEVCSALPTAGSLYFWASAAGGKKYGRLIGFIVAWWVVVAWTSFVAVNCQSTTKFIFGELPVFNSGFSVSSSDVKFRAVQWAVGEAILLVCVLLNFIPPKWFRYIFRVSVAVILLDFVLNMIWLPIAVSTKYGFRDEAFMKSTNYDLGKVNNGWSWCLTFFCTARILVGYDAAGHVAEETKNASKTASRGMFYSAFSNAILSTGIIVMFLYCLPPSNVMYELIKSNSQQPFVSFYAYALGKRAHVFMNVVGILGMIFDTSLSIVASSRLVFAVARDGVLPFSGWLRKVDSHGQPTNAVTFIFLISAALLCSNLPSAVAFTSLLSAAAVPTIMAYAAVAFGRLFLSRNDFPKSEWSLGKLSKPFQLITFLWNLFTAVILFSPKAYPVTGKNFNYAPVIFGAITIFGLISWLSIPASRWSTFYDASKLDSNSFDDSSSDKKSLEKAASIAEGSITQII
VIMSS6577658 1 1011 0.35524668644906 PF00924.18:MS_channel:599:778 Mechanosensitive ion channel protein Msy1 1011 180 10 876 6 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O74839 1 SwissProt MSSPTSPTSSPGRHHWSNSKDGMPPEYTNQDPNSDQADNENSDAKAHQPQHSPQHSTENQGHTGTSDTSSLEMELSKLHPESKQRQLPHSPEHERSRSPIASVVSYRSHMTLEDENQIFNAEMAVRGSQSLQRRPTGRSVRGSMRRLSSHRSKSMRTSKSKKSGDYERTAENEEAAQEAENHLDNFGVVTFGTEAPIKAPDHPVTIFGRIFKFIQQRSFYLRSLIYIIPLGVLLLIPVFIGRFYHPQPPYRDELGHEYERHLHVGGVDLMWMAIWWEIIWLSIWAARYAAKVIPYFFAFFVSFISNNVTKWRCMAVALEFPITLFLWMLAVYVSFLPIMTRRHIGDYGVPDHVRVKLPWQQSANNVLITLFITSIMNLVEKVLMQLIAMSLHRREYESRILYNKFAINELARLYGYARQRSFDFKDAIHRAQADVFKFADHQHGKKRAAAARVAQNALNKTTYKAISAFNFATDMVNKVAGEITNREVEKSSSPKSVVLHLLKTTRGCQSLARCLFEALVNPENPDLVLDDFIPVYTDETGEVDNATLEACYSIFDRDLNGDITCEEIELACVEIGKERKSISASLRDLNDSISKLDGICMFIVAVITLFIFLYLIARNFSGVLTSAGTTLLGLSWLFSGSAQELLSSIIFVFVKHPYDVGDRVDVMINGTVTSAMVKEISIMSTEFRLLTGKVIQAPNSLLNTLWILNMRRSDGIADPVTVNLKFGTTLQQIEQLRIKIIDFLKEEKRDYKPDLLTEVTDLPDLYSMSLCVVFFHKYNFQDEVLRMRRRNMFMCALMTYMQELDIVSPIFNSPGKSKDSPMYVNFNNGSMEGVKLSGGNDGGGTENHRDSTVGGGILKNPKAYPYREPTPPGSSDSDTASVSKKRVDFSLGTRHLMPAFDDVADIGSKRLGRDSLPDAVIENAGTEAMRREAEERRRAEEEEYERSQQESSSNEENENASRTSGARFSFSSKASRLSARPSARTVPPLQHISIQDLREPNENNTSKSARL
VIMSS6579462 1 407 0.419476904176905 PF07738.13:Sad1_UNC:357:407 Spindle pole body-associated protein sad1 514 51 10 387 1 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q09825 1 SwissProt MFTNTPVGGKRERQNGAHPAWSTLGANSAQIHQNTADLASKMHKLRYTKIRSPPTRVSIESITPKQRFPAPNFEQAYHSNIRYEQEESDNEEFENVVKNGHEASTNVFYESDGDDEEFVNEEYENSIDEESDDEGYSLNEDTTATNASFRYPMNQRSTRKSQFYSSKFKPLLWFGITLFSTLLIITLLHKGQEFYSRSFSSDNSQPSNSPVPNIPPASNDTKTSLKPDIIKDFTDSPSKVGGNEEFDYSTGDLITKKEFDKILQQKVEQLKQSLKEEMSNYKSSVPFEVELNDDWKFFIESTVRKYLTDPVSMPNFALLSTGAEVLPALTSKRYVRRPSAFIPRFTSYFFDSLVVRGHEPSIALTPNNAVAMCWSFQGSEGQLGISLSRPVYVTNVTIEHVQHKIAH
VIMSS6579544 291 652 0.5998408839779 Serine/threonine-protein kinase nak1; N-rich kinase 1; EC 2.7.11.1 652 0 10 362 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::O75011 1 SwissProt IPQTLLLGEEADDGSDPDQETSDTAASDDGWEFGTIKQGQSNVSSITGTSTSTTTAATSSTTVTGTVIPKSSTVHEPPSSNDSHPLLQLFKDSKISDDDSPSNAEGASTEDSKGEVSYSQIELPSLDSSNLSSKKSTIQSKHTKQAEDYDLFVGRTRSNSKTSSDQSIKRPLPRVVQRQKTSLGKRGISMSPMKPGLRMPSSFDLQSRSISMGAFEQLSTPLEAPAHKHSAVLQPLEVNRSISIPPPKSISPSILHKPSLESASSTPKISSCSSTPKPFNSKLRAHLPPLSIGSPAVQPLANDNYDSLGVRGLNMELFNDYPGNMHNIKSVLSLEIDIVLGEMDACLKSLECNLLNRKAYNE
VIMSS6579650 1 878 0.133147266514806 PF13361.6:UvrD_C:699:773,PF00580.21:UvrD-helicase:475:529,PF13245.6:AAA_19:286:520,PF13538.6:UvrD_C_2:717:773 F-box DNA helicase protein 1; EC 3.6.4.12 878 319 10 878 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9USU3 1 SwissProt MSAQHLHSCKFYRLPLEIIPLICRFLSVQDIQSFIRVFPSFQTILDSSNDLFWKKKNYELRIRRNRLLRGSYAAGVSSSAMNGFVNGTQISSTPAEREYYSKSDEIKNICGLPPGPMKVEQIGHAIDHLVSETHTVDHLGSSIKASFFHIDDVPLEWISSICMQVQSFFSPAAREAISSLKSRTTTSNLLLSLFVGILFEDDLWYFFNTLYMLSSTSAIEFAYFLDSIFTVVRTDYEHYRDPLSQTLITSCTRIHNIVAVIESPYDEPNTKGLTSEQKMIVECQLNPGEVLKVKAFAGTGKTKALLEFAKSRPKDKILYVAFNKAAKEDAELRFPFNVKCSTMHGLAYGAILAQADLPQAKLERQLSNSTIASLLSLQVAFPKANRKNNPGTPSASLVASHIMFTLNRFMHSTDWQLGFRHISKRSLEVTKLSKEKLLAYTKKLWSLIVNFEYTHAPLIPDAYMKLLHLYEFPNIFSKYDYILFDEAQDFTPCMVDLIYRQKHARIVIVGDAHQCIYGFRGANACAFNENLYPSTKQLCLTKSFRFGNSVAKYANFLLSLKGENVKLKGVQNDHAYWSSASNPNNVSGAFRFFPHTIIFRTNKELILQSIRLSVSLPKEIPIAILGSMRKKAFQLLRSGSELAHGQRPSHPKLKDFSSWGEFEVHVKNSAEEDAELALVYDMADELFSESFLSRLDNCEKRLMDSKDDGDNGIILATAHQSKGLEWDNVQLGNDFRPKFDSVSFSRIGSSRYLQEEINILYVALTRAKKRLILNDTITKLYALECGLVRFAGGILTEDQLQPGKVALFVDWQIDKFSFFYETPAEGYNLLVEANEKSVWDIFFGVLSGAWQNYIANTSERLKRSMLFIENQLFAVHDQ
VIMSS6580425 1 220 0.138645454545455 UPF0643 protein PB2B2.08 220 0 10 220 0 Schizosaccharomyces pombe (strain 972 / ATCC 24843) (Fission yeast) SwissProt::Q9HDU7 1 SwissProt MIVQHKTAKIEEDHGLFQPILRPSDISKTTDTKFIQSSPYIEKEHWLDLGTLSVGHYFLSLALQTFVPKDSVRYAHLPYAQAFDIAEIVNLIREYSHKYHKHIPAFSAYIVAFRSVLQPEVQVSPEARHKLAEIDKGSHLEANVSGGLLKYWYGIPDDVFGQNLATCWWTSKESARLGGAGKIHREGLKAVRGWYKNWKIEEYELEVIEGGSSYIFKGLS
VIMSS6580637 1 128 0.44660859375 Uncharacterized protein YAL065C 128 0 10 105 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::O13511 1 SwissProt MNSATSETTTNTGAAETTTSTGAAETKTVVTSSISRFNHAETQTASATDVIGHSSSVVSVSETGNTKSLITSGLSTMSQQPRSTPASSIIGSSTASLEISTYVGIANGLLTNNGISVFISTVLLAIVW
VIMSS6580648 1 880 0.311119886363636 Gpb2p (RefSeq) 880 0 10 880 0 Saccharomyces cerevisiae VIMSS6580648 1 MicrobesOnline MEISSSPWNDGGYSPYERNRVAVSPFSSALEGEERIETSRSLGDHCFEPLPYVTNYLSIFALFGKEIFGDKGNVSSRNEYLLKKYYSLKKPFVLRHNGHALKNPDMPLQRNDILQTNFMVDKFLNRTVRSVNFNNFKIISDMQSKSGRGTKSGTNQNQSADAIQNICLPSIPSALPYFQYYRKLLTVNTKEWDILKLHSLWVPKLRKDFKDFSLYGDKNSLKPIDSHYDEDNTMKKNLFFERSPSRQTLDGKGCASKGYDISSGNMIIPSLFSEDKLPALTYHCSVELNGNIYIFGGLMPCYSYEEDAPMLNDFFVDGIKNLPPPLLPQVINNPSMVNNPHLYVASIPSCRFSKPKMGGYIPPPLLCVQGSKLTDRHIFFYGGFEIRTETRGDENGKYHLKKRLYVNNTGYILDIMSFKFTKIDIIVQPSKYNAYPTMSSRFGHLQISIDNPNRRASVHSSSMNEIHKMGSASMKQGSSITSGRLEKAAVLSSLPHNTVHTVIIFGGYRQTGDDRYEAMNDLWKIEIPVIRRGKKGYCKFSETANAILLTPSEKDKSDWPEERAFSAFSVHGTSLMDRSSLDMRLLNNLKNHFVLKPSYISQDRVVSPKPVFPMMVHGTHQDLFNSGSAAQESPKAGASASSASAASFDPDMDDNLENYIINPGRKSSSIPMTAIGRQRLILSQEKPVGKTVVLHGGSNGLNVLDDMWLMDLECETWTPIETFAKADSSEDGDEKLDSVNVGLVGHRMESIGRICVCIGGMVQEDVDQFYSENDDEPPRKRKVDTLPLGGNFLNTIDLSTQFWEEHKITLSKKAADEDRQDSENEDTNSNIVVGVGGTSLQCDKSIILIGGLISRRSNVKEIYLHGTITKSIFPSVNPSA
VIMSS6580692 166 420 0.236429019607843 PF08598.11:Sds3:17:236 Dep1p (RefSeq) 420 220 10 255 0 Saccharomyces cerevisiae VIMSS6580692 1 MicrobesOnline ALVMPSPIEMEEQRMTALKEITDIEYKFAQLRQKLYDNQLVRLQTELQMCLEGSHPELQVYYSKIAAIRDYKLHRAYQRQKYELSCINTETIATRTFIHQDFHKKVTDLRARLLNRTTQTWYDINKERRDMDIVIPDVNYHVPIKLDNKTLSCITGYASAAQLCYPGEPVAEDLACESIEYRYRANPVDKLEVIVDRMRLNNEISDLEGLRKYFHSFPGAPELNPLRDSEINDDFHQWAQCDRHTGPHTTSFCYS
VIMSS6580731 1 99 0.200859595959596 Uncharacterized protein YAR064W 99 0 10 99 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P39563 1 SwissProt MLIDFCCSYIAGTHGRERAPSFTGTFVSHVSGENNCRPRRSEITQPCASGTEKKHFAATEKPCTNSLEGSRKDFLSLPLGHSYLFLFCFWRMICSEPKL
VIMSS6580737 1 667 0.187439580209895 PF11603.8:Sir1:3:88,PF00270.29:DEAD:380:539 Uncharacterized helicase-like protein YBL111C 667 246 10 667 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E7Y5 1 SwissProt MKVSDRRKFEKANFDEFESALNNKNDLVHCPSITLFESIPTEVRSFYEDEKSGLIKVVKFRTGAMDRKRSFEKIVVSVMVGKNVQKFLTFVEDEPDFQGGPIPSKYLIPKKINLMVYTLFQVHTLKFNRKDYDTLSLFYLNRGYYNELSFRVLERCYEIASARPNDSSTMRTFTDFVSGTPIVRSLQKSTIRKYGYNLAPYMFLLLHVDELSIFSAYQASLPGEKKVDTERLKRDLCPRKPTEIKYFSQICNDMMNKKDRLGDVLATAQRIRRRYNKNGSSEPRLKTLDGLTSERWIQWLGLESDYHCSFSSTRNAEDVVAGEAASSDHDQKISRVTRKRPREPKSTNDILVAGRKLFGSSFEFRDLHQLRLCHEIYMADTPSVAVQAPPGYGKTELFHLPLIALASKGDVKYVSFLFVPYTVLLANCMIRLGRRGCLNVAPVRNFIEEGCDGVTDLYVGIYDDLASTNFTDRIAAWENIVECTFRTNNVKLGYLIVDEFHNFETEVYRQSQFGGITNLDFDAFEKAIFLSGTAPEAVADAALQRIGLTGLAKKSMDINELKRSEDLSRGLSSYPTRMFNLIKEKSEVPLGHVHKIWKKVESQPEEALKLLLALFEIEPESKAIVVASTTNEVEELACSWRKYFRVVWIHGKLGCCRKGVSHKGVCH
VIMSS6580760 1 459 0.0565278867102396 PF01490.18:Aa_trans:2:445 Vacuolar amino acid transporter 5 459 444 10 258 9 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38176 1 SwissProt MPSNVRSGVLTLLHTACGAGVLAMPFAFKPFGLMPGLITLTFCGICSLCGLLLQTRIAKYVPKSENASFAKLTQLINPSISVVFDFAIAVKCFGVGVSYLIIVGDLVPQIVQSIFYRNDDNMSGSQEHHMFLDRRLYITLIIVFVISPLCFKRSLNSLRYASMIAIVSVAYLSGLIIYHFVNRHQLERGQVYFMVPHGDSQSHSPLTTLPIFVFAYTCHHNMFSVINEQVDKSFKVIRRIPIFAIVLAYFLYIIIGGTGYMTFGENIVGNILTLYPNSISTTIGRLAMLLLVMLAFPLQCHPCRSSVKNIIIFIENFRKGKLYDNRASFIPLDNFNSEDPQEAPTQQNNEEPNLRSESLRHINIITLCILLFSYLLAISITSLAKVLAIVGATGSTSISFILPGLFGYKLIGSEFTGTNERVPTSIKIFKYLSLSLFIWGIAVMVASLSAIVFLGTSSH
VIMSS6580787 1 193 0.216598445595855 Uncharacterized protein YBL059W 193 0 10 152 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P34224 1 SwissProt MLLDAQRFFNRSFSINVICELKHNVNTRRKFEIKDWPTIMLVSRNDKPKISSEEVTHFIDDYKKRRKTQMTRFFGITIFTLITCRIAMKKMITAKVPLNTFQANYASRTQTITHTQKSLAGSLLAATGMTLGIFGMGITGTCWSWDVSSFQELKQRLERRANNEFVVTNMPLDKRSQQVVDSLVKTHNSSLCK
VIMSS6580817 105 376 0.475806985294118 Non-essential protein of unknown function (RefSeq) 376 0 10 272 0 Saccharomyces cerevisiae VIMSS6580817 1 MicrobesOnline ISQMAFLLDYPSTLNEPQFAVNCKDIYRKDISTPSSLVSSLPPAKFSLSLSNSPSPPPPSSSSLKHGEAIISNTSESSDIFADPNSFEKDTMPLTQELTLENLNNQLNYPDFTINAIEQDPAPSSFSSSSSSSESTVSSSRKRKPCHDSYTHSSPSSSESKKISDSRLSAEGLAKVLNLESPEEALKRERFILGIFQNELNYPLGYKTWIRDTTKEYRTKLINQLHERVKVKYPEYNQSILETIIRRGTYYMMQSRLRRERRMKLKERKRTT
VIMSS6580883 1 919 0.289710119695321 PF00172.18:Zn_clus:54:94 Eds1p (RefSeq) 919 41 10 919 0 Saccharomyces cerevisiae VIMSS6580883 1 MicrobesOnline MSHHVPNLYGTPIRDPHERKRNSASMGEVNQSVSSRNCERGSEKGTKQRKKASHACDQCRRKRIKCRFDKHTGVCQGCLEVGEKCQFIRVPLKRGPAKKRGSVVSIEKFSSDNDPLQYRPRTHSYPMNSGNNYLPSLARNSSFPSISSLFVPSITAQSQQFVKVPYDDIKRRSSLAILGSDSSISTEFGGNYRLDENLNVRQEGKDIVAKGMITPVEEMGACSSNVRRQGSQSLPIQEQRASPYINPFISGRSRLSSLSYTSEATTSEGNTQGKNQCMLTPNSVRSIEKERLNSLTAGFPNKKLGTDGRSDKWDKNSTWKPVYRSSNPSHPSTEKNVSLNQEASAKPLMLGTYRQFDATSFYKVLGIYYNFFHINFPVIPINKSKFTDMLDPEKPNVIDEIRQINNEIIQCFKTALEVLVFCKIKQRRSSKSTKSWSRDSLCDFQKGLYYIQNFNKCIADCFQSLITIKPVLKQNSSVIPSRIKFIYFSTIIVLNFILILAGEESSLLLGPSVGVFNEFQAHKLFLPFQNTSPMLLLNSNEESGDEILDYAVLFKRLYILLNILDTLQSFRLGQPKLINLNFGSAIETYFSDKTGHNQVVEKAPVALDNILRNLKLGEFITYFVLNRKSLQVNVPHHLLFTNQTDYGEFAVEKGEHDNIAGKFETLLKKKEILIRKLLNIEQKNDHILENCCNSDAEMKNIGELVCSMITLVSGILDSITNMNAENSVDLDSKPLPNAYFAQDSEEELMSPTQSITSNLASEENTRCTTKDLMGTVSIFMLPMVEECYNIISLIGPIPTTLISLYIRNGNMAKGINDRIMTLSTALNELVQITALFNTLEPFRKNAHDRAKRYYVSATSSTGCYESVMKSMYSGKCAASNASNVAPSEEENKKILKKFADIGWKLMDDSELGCCCCFFN
VIMSS6580905 1 358 0.188890502793296 PF08450.12:SGL:27:318 Uncharacterized protein YBR053C 358 292 10 358 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38235 1 SwissProt MSSVGDFEEIILHDLKPYYHVPGAIHSEGITFVKETGTLLWVDIFKGEVHKVEDIEQPESSHSFFSISRANYGKNASIEYPPNPDELKESVGCIFPILDGASQNEIKQVLFGSKFGIGKLDFSKSEWEYVILYSECPELSTDRAYKLRSNDGNVSPDGKYIYVGLMSDFPFDLEPIGCLLRVDLLAHKIELVWNCLLIPNAIHWDESDQKTMYVTDSLNFTIWKCPGGDLLKRDELIDVKNSNNQSFESPEPDGSAIWFSKDGKHSGFLFITVWSTSKVQMFDLTNGKLLKEFILPEQTPRVSCCCFVGKDLFVTTANAEINDAVRTNTDKNGGCIYKIPNVLDGNVPLESTKRQPLH
VIMSS6580943 1 85 0.267390588235294 Uncharacterized protein YBR085C-A 85 0 10 85 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::O43137 1 SwissProt MSSALYKQSTNFTHSTGSFLQSAPVELTTVSGYQEFLKKQEKKNYEIQTVLSEDKSHGYVLKDGEVIANIIGEAKDYLLDLAGQA
VIMSS6580952 167 753 0.210400340715503 PF03133.15:TTL:223:565 Pby1p (RefSeq) 753 343 10 587 0 Saccharomyces cerevisiae VIMSS6580952 1 MicrobesOnline IAISWAYFNGLKNVSPLLMEKASKRSLDVIKHLVKNWDPKTDLYSINIPLVESLSDDTKVYYAPIWENRWIPIFNGPHINLENSFAEIEDGNESSSISFNWAPKFGAHKDSIHYMDEYKDRTVLTDAEVIESEMISVTPMKATFKGVNHLLGELKLTEEENNLSKTNNLIVVSIDPMEYIYKPLTHALKKYLPQVEIVSNLPEFDNGGCEKEMKVFHYGDYEQLDMDKLMELPNNYFTNSYIYRKALIRKHFLSHTIQTYTAKNPESILKKAYLESFTIDLDYREFLDDALDENWELRQELENESQDKWWIVKPSMSDKGQGIRVFKTIEDLQAIFDSFDDEDSEAEESGNDDDADDVNGEFMDNNKVNISQLRHFIIQEYLTNPLLLASMDNRKFHIRCYVVCRGDLQVFVYDRMLALFAAKPFVPLDPYAYSVTDLKDLECHLTNTCLQSKKKDKDSSVLEFDSIEEIPNERKSNIKEQIHSITNDVFLAAVNVNRLNFQPLPNAFETYGVDFLIDSNYEVKLLEINAFPDFKQTGKDLKNLIDELFDDTVKYCVTPIFNENRNKTDDETDPNFVKVIDYTSNGW
VIMSS6581002 1 897 0.202961649944258 PF04082.18:Fungal_trans:390:649,PF00172.18:Zn_clus:105:139 Uncharacterized transcriptional regulatory protein TBS1; Thiabendazole sensitive protein 1 1094 295 10 897 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38114 1 SwissProt MNMDSGITSSHGSMDKTQKQSSEWAANQKHNQRVENTRVLMGPAVPAMPPVPSNFPPVPTGTIMSPQLSPFPDHRLRHHPLAHMMPADKNFLAYNMESFKSRVTKACDYCRKRKIRCTEIEPISGKCRNCIKYNKDCTFHFHEELKRRREEALNNKGNGKSVKKPRLDKENKFKDENFDIAVRSRNTSSTDSSPKLHTNLSQEYIGVSAGKSASDKEDTWPDFVPIDRTVLEKIELNHTKVAGKVFVLEEICKNMKGTIEKLAEKSKIDVIDKEYMKRPKRKQYSKALLTKQKMFHFRQNVLSHLTDEEFLSPINEMFTTTFKYSILQTKLVLDFSFRSASSPSSDNILYPLPRLAIAKRLLKNIKCPSLASLLHIVDVDQCLQFADVHFDPAKGRLTSSQAFLLNICLCLGATVTNFEEKQELVDEDNHETYYFEKFELWRLRSFTFLNSVYYYHKLSVARADMTALKALLLLAKFAQQKISASSAVKVLSVAIKVALDLRLNLHSTYEDLELDEIIKRRRLWCYCFSTDKFFSVVLSRPPFLKEENTDVLTDESYVELFRDKILPNLSIKYDDSKLEGVKDIVSVVNLLANHLEYVPYIQSYFLSRLSLIESQIYYSCFSIRTTLDDTLDEIIENVLENQKALDRMRDDLPTILSLENYKENMRILSLDSSKLDFEVSCCTTILLHLRWYHQKITLSLFVISIIGDNLDQRESSRHDIAEIIRRSRLDFKRNCIEVLNILKDFEYYPTVQNEFLYFSLTTVFSMFLYLSEIMVNDEHAMETGYIIGLLRDTHTRMLGSEERCLSVHNLKWQTSLFFYTFFLRSTMEKFNLTSKYAKFYAFDSNYYEGVLNRLVKHTRESKDDMVELLKTSFINKEKMAAFGSFVTEDQEKMEVSF
VIMSS6581187 1 745 0.217422953020134 PF00400.32:WD40:660:687,PF00400.32:WD40:691:741 Glucose-induced degradation protein 7 745 79 10 745 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25569 1 SwissProt MSHTNKIAYVLNNDTEETASPSSVGCFDKKQLTKLLIHTLKELGYDSAANQLLLESGGYQNESNHIQTFFKLIKTGQFHLINWQIVCSLPLAHSSPLRSEWLQRLLIPTPTPATTSLFDHMLLQLQYLQQLMSSVNSSTCSDAEIATLRNYVEIMILVNRQIFLEFFHPVTNSASHKGPHTALPVLYLRKILKNFIEIWDSLLVSNDQFLNEENIFNPETTLRELSTYLTNPKLTAQLNLERDHLIDAISKYIDPNELVPKGRLLHLLKQAIKYQQSQDIFNIIDPDDDASFSSPPHRINLLQDNFSHDLTVTFQEWKTIQDTTDEIWFLTFSPNGKYLASATSESSRGYFITVYDVEQDFKIYKTCVSLSQSVLYLMFSPDSRYLVACPFSEDVTIYDMNATSLPDASATDSFLLYPSTRLSPMDSFKLDTTTYPDDTESSASSSSRPANANSNQSRVWCCDAFHTAERAGWMVVGSPDREAIVHSLTTKESLFSLKGRTCIALGHDENISGRKSIDPAKVLYKPTSSNGNWQYVEDDETFPRVHDVKISYDDKYVLLMTHQGVIDVYDFSGFPSKEELSKQTVDPKNFLIPRIARLDVGKNMTCISLPLNTTHQGFHRQQISESQHLVLVSLQDNELQMWDYKENILIQKYFGQKQQHFIIRSCFAYGNKLVMSGSEDGKIYIWDRIRGNLVSVLSGHSTVMSNSTKPMGKNCNVVASNPADKEMFASGGDDGKIKIWKISRN
VIMSS6581212 1 1636 0.406699633251834 PF12015.8:DUF3507:28:205 Bud site selection protein 3 1636 178 10 1636 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P25558 1 SwissProt MEKDLSSLYSEKKDKENDETLFNIKLSKSVVETTPLNGHSLFDDDKSLSDWTDNVFTQSVFYHGSDDLIWGKFFVCVYKSPNSNKLNAIIFDKLGTSCFESVDISSNSQYYPAIENLSPSDQESNVKKCIAVILLQRYPLLSPSDLSQILSNKSENCDYDPPYAGDLASSCQLITAVPPEDLGKRFFTSGLLQNRFVSSTLLDVIYENNESTIELNNRLVFHLGEQLEQLFNPVTEYSPEQTEYGYKAPEDELPTESDDDLVKAICNELLQLQTNFTFNLVEFLQKFLIALRVRVLNEEINGLSTTKLNRLFPPTIDEVTRINCIFLDSLKTAIPYGSLEVLKACSITIPYFYKAYTRHEAATKNFSKDIKLFIRHFSNVIPEREVYTEMKIESIIKGPQEKLLKLKLIIERLWKSKKWRPKNQEMAKKCYNNIIDVIDSFGKLDSPLHSYSTRVFTPSGKILTELAKCWPVELQYKWLKRRVVGVYDVVDLNDENKRNLLVIFSDYVVFINILEAESYYTSDGSNRPLISDILMNSLINEVPLPSKIPKLKVERHCYIDEVLVSILDKSTLRFDRLKGKDSFSMVCKLSSAFISSSSVADLITKARILEKDTAFHLFKASRSHFTLYSTAHELCAYDSEKIKSKFALFLNIPPSKEILEVNNLHLAFFARFCSNDGRDNIVILDVLTKHDDKHIEVTSDNIVFTIINQLAIEIPICFSSLNSSMAKDLLCVNENLIKNLEHQLEEVKHPSTDEHRAVNSKLSGASDFDATHEKKRSYGTITTFRSYTSDLKDSPSGDNSNVTKETKEILPVKPTKKSSKKPREIQKKTKTNASKAEHIEKKKPNKGKGFFGVLKNVFGSKSKSKPSPVQRVPKKISQRHPKSPVKKPMTSEKKSSPKRAVVSSPKIKKKSTSFSTKESQTAKSSLRAVEFKSDDLIGKPPDVGNGAHPQENTRISSVVRDTKYVSYNPSQPVTENTSNEKNVEPKADQSTKQDNISNFADVEVSASSYPEKLDAETDDQIIGKATNSSSVHGNKELPDLAEVTTANRVSTTSAGDQRIDTQSEFLRAADVENLSDDDEHRQNESRVFNDDLFGDFIPKHYRNKQENINSSSNLFPEGKVPQEKGVSNENTNISLKTNEDASTLTQKLSPQASKVLTENSNELKDTNNEGKDAKDIKLGDDYSDKETAKEITKPKNFVEGITERKEIFPTIPRLAPPASKINFQRSPSYIELFQGMRVVLDKHDAHYNWKRLASQVSLSEGLKVNTEEDAAIINKSQDDAKAERMTQISEVIEYEMQQPIPTYLPKAHLDDSGIEKSDDKFFEIEEELKEELKGSKTGNEDVGNNNPSNSIPKIEKPPAFKVIRTSPVRIIGRTFEDTRKYENGSPSDISFTYDTHNNDEPDKRLMELKFPSQDEIPDDRFYTPAEEPTAEFPVEELPNTPRSINVTTSNNKSTDDKLSSGNIDQKPTELLDDLEFSSFNIAFGNTSMSTDNMKISSDLSSNKTVLGNAQKVQESPSGPLIYVLPQSSTKHEKEGFLRKKQKDEPIWVSPSKIDFADLSRRTKALTPERNTVPLKNNDSRKYKYTGEGSIGNMTNMLLTKDASYAYLKDFVALSDDEDEDGKQNCAVGGPEKLKFY
VIMSS6581252 1 611 0.145479541734861 PF07690.16:MFS_1:24:528,PF00083.24:Sugar_tr:36:172 Putative protein of unknown function; YCR023C is not an essential gene (RefSeq) 611 505 10 384 10 Saccharomyces cerevisiae VIMSS6581252 1 MicrobesOnline MARQKLTFKEQMDGFPWVQLVVVSLVRFSEPIAFSSLFPYVYFMVRDFNIAPNDAQVSKYSGYLSSSFALCQVISAYHWGRFSEKHGRKITLTCGLIGTSVSLLILGFSRNFYQALVARSLMGLLNGNVGVIRTIIGEIATERKHQALAFSTMPLLFQFGAVVGPMIGGFLVFRDGTMNEVPLWFPHFAKRIIRSYPYALPNVVVCMFLMFGLTNATLFLEETHPAFKDRRDYGLEVGDFIKKNIFGIQPKRRPWQKRIQDDSENIHHRNENVNSIRGQDSEEDENSPLVNTTNDDDTESIQSIDPILTRRQSVGLIRTYSLHEPTDAVHANIDTAPDGCKESSIFHHVFHTKVFYPISVNFIMALHLIVYNEFLPVFLAYDLAVDPENPKKLASKFPWKISGGIGYEPEQTGTLLSTTGIFGCFVVIFIFPIVDRNFDCLTIFRTLVKLYPIMYVMVPYVVFLQNERIPSWYTVVYLYIITGIKTFCGALTSPQIMLLIHNSSPLSCRSVINGATISISASARFIGPLVWGYIMSWSQQNDVAWVSWWSLSLFCMVALYQSYKIAPIDDNENELHGQGSEDAYNSQSQSSDLRMAHRSSLSSLSNQRCTT
VIMSS6581327 1 182 0.10679010989011 PF15902.5:Sortilin-Vps10:57:164 Putative protein of unknown function; localizes to the membrane fraction; YCR101C is not an essential gene (RefSeq) 182 108 10 182 0 Saccharomyces cerevisiae VIMSS6581327 1 MicrobesOnline MILLHAIYTLWVIILLPLLNAEKFVPKVTEAPIETSFNLVSFDDSNTSIRLDGWGVVWISFDAGENWETVKEIEERIFRFTVDPFHGQERGFAFICESPKFYITDDRGESWRALTIPSSEEYLDGDCFITTHPRNKELLIANCYSYMIDADVLYDPSEIYLSNDGNPFLKLNLPWKRKKTTI
VIMSS6581364 1 1092 0.161808058608059 PF00208.21:ELFV_dehydrog:714:986,PF05088.12:Bac_GDH:390:815 NAD-specific glutamate dehydrogenase; NAD-GDH; EC 1.4.1.2 1092 597 10 1092 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33327 1 SwissProt MLFDNKNRGALNSLNTPDIASLSISSMSDYHVFDFPGKDLQREEVIDLLDQQGFIPDDLIEQEVDWFYNSLGIDDLFFSRESPQLISNIIHSLYASKLDFFAKSKFNGIQPRLFSIKNKIITNDNHAIFMESNTGVSISDSQQKNFKFASDAVGNDTLEHGKDTIKKNRIEMDDSCPPYELDSEIDDLFLDNKSQKNCRLVSFWAPESELKLTFVYESVYPNDDPAGVDISSQDLLKGDIESISDKTMYKVSSNENKKLYGLLLKLVKEREGPVIKTTRSVENKDEIRLLVAYKRFTTKRYYSALNSLFHYYKLKPSKFYLESFNVKDDDIIIFSVYLNENQQLEDVLLHDVEAALKQVEREASLLYAIPNNSFHEVYQRRQFSPKEAIYAHIGAIFINHFVNRLGSDYQNLLSQITIKRNDTTLLEIVENLKRKLRNETLTQQTIINIMSKHYTIISKLYKNFAQIHYYHNSTKDMEKTLSFQRLEKVEPFKNDQEFEAYLNKFIPNDSPDLLILKTLNIFNKSILKTNFFITRKVAISFRLDPSLVMTKFEYPETPYGIFFVVGNTFKGFHIRFRDIARGGIRIVCSRNQDIYDLNSKNVIDENYQLASTQQRKNKDIPEGGSKGVILLNPGLVEHDQTFVAFSQYVDAMIDILINDPLKENYVNLLPKEEILFFGPDEGTAGFVDWATNHARVRNCPWWKSFLTGKSPSLGGIPHDEYGMTSLGVRAYVNKIYETLNLTNSTVYKFQTGGPDGDLGSNEILLSSPNECYLAILDGSGVLCDPKGLDKDELCRLAHERKMISDFDTSKLSNNGFFVSVDAMDIMLPNGTIVANGTTFRNTFHTQIFKFVDHVDIFVPCGGRPNSITLNNLHYFVDEKTGKCKIPYIVEGANLFITQPAKNALEEHGCILFKDASANKGGVTSSSMEVLASLALNDNDFVHKFIGDVSGERSALYKSYVVEVQSRIQKNAELEFGQLWNLNQLNGTHISEISNQLSFTINKLNDDLVASQELWLNDLKLRNYLLLDKIIPKILIDVAGPQSVLENIPESYLKVLLSSYLSSTFVYQNGIDVNIGKFLEFIGGLKREAEASA
VIMSS6581534 1 115 0.273413043478261 Pheromone-regulated protein, predicted to have one transmembrane segment; promoter contains Gcn4p binding elements (RefSeq) 115 0 10 115 0 Saccharomyces cerevisiae VIMSS6581534 1 MicrobesOnline MTSPASTSTISNVQSTASVMNHSIEDNISAAASLESVSGTSTKDYSSQSSAIHYTNSFTTTTTNAFITSKHSIAAVSTGAITSSASISLIMEGSANIEAVGKLVWLAAALPLAFI
VIMSS6581543 1 426 0.62471220657277 Dual-specificity kinase required for spindle pole body (SPB) duplication and spindle checkpoint function; substrates include SPB proteins Spc42p, Spc110p, and Spc98p, mitotic exit network protein Mob1p, and checkpoint protein Mad1p (RefSeq) 764 0 10 426 0 Saccharomyces cerevisiae VIMSS6581543 1 MicrobesOnline MSTNSFHDYVDLKSRTNTRQFSDDEEFTTPPKLSNFGSALLSHTEKTSASEILSSHNNDKIANRLEEMDRSSSRSHPPPSMGNLTSGHTSTSSHSTLFGRYLRNNHQTSMTTMNTSDIEINVGNSLDKSFERIRNLRQNMKEDITAKYAERRSKRFLISNRTTKLGPAKRAMTLTNIFDEDVPNSPNQPINARETVELPLEDSHQTNFKERRENTDYDSIDFGDLNPIQYIKKHNLPTSDLPLISQIYFDKQREENRQAALRKHSSRELLYKSRSSSSSLSSNNLLANKDNSITSNNGSQPRRKVSTGSSSSKSSIEIRRALKENIDTSNNSNFNSPIHKIYKGISRNKDSDSEKREVLRNISINANHADNLLQQENKRLKRSLDDAITNENINSKNLEVFYHRPAPKPPVTKKVEIVEPAKSASL
VIMSS6581552 1 225 0.225656 PF01105.24:EMP24_GP25L:24:218 Protein ERP3 225 195 10 202 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12403 1 SwissProt MSNLCVLFFQFFFLAQFFAEASPLTFELNKGRKECLYTLTPEIDCTISYYFAVQQGESNDFDVNYEIFAPDDKNKPIIERSGERQGEWSFIGQHKGEYAICFYGGKAHDKIVDLDFKYNCERQDDIRNERRKARKAQRNLRDSKTDPLQDSVENSIDTIERQLHVLERNIQYYKSRNTRNHHTVCSTEHRIVMFSIYGILLIIGMSCAQIAILEFIFRESRKHNV
VIMSS6581569 1 430 0.309803953488372 PF02582.14:DUF155:205:377 Sporulation protein RMD1; Required for meiotic nuclear division protein 1 430 173 10 407 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03441 1 SwissProt MVDSNDDQPERHALLHNDIQTSNNSRPSLVQKKYLPTPQDTRKSRASYTGSAMINPTSKQSRTGSGAQRTSRTAQKLKLLPEEPFQRDSERLTDLKNQEVYSQVNRIKDKPARRDAEKLGKAHRHLLPRSTAYCTASSYNMKELVRWLKDCRKLHHTHPKLFDECLYTPFIYNDWRGDKRFEDEDVIRLDDEGGEIIVSDKHPDLFIFEYGVVVMWGFTEREEKAFLNDIEKFEKEKLAEEDIQVEEFNYYVTKSYQPRIYNDFITLRDGSNYMVKLSISHAIAQSVKISLFEELVDNTIEDTQDIPQEIAYSGKVSMSKEDIMKSIGELFILRININLHGSVLDSPEIMWSEPQLEPIYQATRGYLEINQRVSLLNQRLEVISDLLQMLKEQLGHSHEEYLEFIVILLVGVEVLISVINIVVDMLASQH
VIMSS6581628 1 205 0.304532682926829 Endoplasmic reticulum membrane protein complex subunit 10 205 0 10 205 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12025 1 SwissProt MLVRLLRVILLASMVFCADILQLSYSDDAKDAIPLGTFEIDSTSDGNVTVTTVNIQDVEVSGEYCLNAQIEGKLDMPCFSYMKLRTPLKYDLIVDVDEDNEVKQVSLSYDETNDAITATVRYPEAGPTAPVTKLKKKTKTYADKKASKNKDGSTAQFEEDEEVKEVSWFQKNWKMLLLGLLIYNFVAGSAKKQQQGGAGADQKTE
VIMSS6581754 1 162 0.165548148148148 COP9 signalosome complex subunit 9 162 0 10 162 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03981 1 SwissProt MVMREETIKSLEDPYKYHYKEEWLNTKDPDEQQLFEIFAFGNIKDLPENIILTSLMRSKLEKLTLVTLSEIYNELSYELIKEECQIEDDGIIESHLIQLQNIFKAEMDSVSKSMKFSRRFDCRDVYCHEKELTIIKNPRVTKEYLVQNLRSWETKLKQNILE
VIMSS6581779 1 724 0.132684392265193 PF01545.21:Cation_efflux:390:585 Probable zinc transporter MSC2; Meiotic sister chromatid recombination protein 2 724 196 10 440 13 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03455 1 SwissProt MNLQELLAKVPLLLSYPTIILSSNLIVPSHNDLISRAASTSAAEYADEKLIFFSTDHAIRLIFLPTFVASSFNLFAHYFNFINYSSRRKYYVLFTAIYFLSILTAIFHPIQSTCITLLIIKLLTTADESSPKIALNFKTILKTFVPFITLTLVILRWDPSFDASSGDVNKISTSLAAYALLILTLRYASPLILSTLSSSIGVVSKDTSVAQHSISRNKRFPLILVLPIFSFVLLYLMTIVNKTYNIQLLMVFVFFGCLSIFFLSLKDLFTEDGNQKKGGQEDEYCRMFDIKYMISYLWLTRFTILLTGIMAIVVHFLSFNEITSSIKTDLLSLLFVVVAEYVSSFSNKQPDSHSHNHAHHHSHLTDSLPLENESMFKQMALNKDTRSIFSFLLLNTAFMFVQLLYSFRSKSLGLLSDSLHMALDCTSLLLGLIAGVLTKKPASDKFPFGLNYLGTLAGFTNGVLLLGIVCGIFVEAIERIFNPIHLHATNELLVVATLGLLVNLVGLFAFDHGAHDHGGTDNENMKGIFLHILADTLGSVGVVISTLLIKLTHWPIFDPIASLLIGSLILLSALPLLKSTSANILLRLDDKKHNLVKSALNQISTTPGITGYTTPRFWPTESGSSGHSHAHTHSHAENHSHEHHHDQKNGSQEHPSLVGYIHVQYVDGENSTIIKKRVEKIFENVSIKAWVQVEPQNSTCWCRATSMNTISANPNSLPLQPIAN
VIMSS6581797 137 634 0.302131927710844 Glucosidase 2 subunit beta; Alpha-glucosidase 2 subunit beta; Alpha-glucosidase II subunit beta; Glucosidase II subunit beta 702 0 10 498 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04924 1 SwissProt YELFDAGSNCSQLKNEFDIMASKELSSYREGKEALEELERKYGTKEEAITRGNCLEEDKEKASAEIKVLSNRLSENRAKLEQLRGEYFNQLSHDPILYQFEQLNSTRLGSDILTSFTMVSRVSKGYQDIFKILSDLSEAYTPSLNDKVVNDNIKKFRKVRRRAEKAKINADSKIDDEQADNLYLYFTEEVPQIFLKRESENTLRYVIGKSNFVQALVEGKINYTNDILEYIREFRLIMDDISQNYNVNFQDAGVKSAVDSYKNYLGEYGELAELEPAHPSESLLRSLSEVTSFVNENAPKVLPPDAVESEQDTNSDHIGTSGDLRNKLKEILSKLNIFSSRKDLVSLEKRFRSCESQVSLLENELKQKMDYKKLLDETEDEGTNSTAGNLTELLELMGSQSYCLDDILDNYVYTICFQRPMTEGVIYQAEDKVDGKKVLIGRFKTSGFNVDLNMEKYAEHLKATYDEKSDLISNLAAIQDDDGNMQHYVFGNLNELNN
VIMSS6581891 56 223 0.610979761904762 E3 ubiquitin-protein ligase PIB1; Phosphatidylinositol 3-phosphate-binding protein 1; RING-type E3 ubiquitin transferase PIB1; EC 2.3.2.27 286 0 10 168 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06651 1 SwissProt NYNKKRVHALQKKNSDVESPPYRTCNECYDNLLHLNLLVSSTNRDVRLSQTSVPPNALALSAPDSNTDEDAEILEDSVDQSGTACRSEESSQNEEDHFCPICNSDLTQFPDEEETRKHVEDCIQRAENAQQHTNTSDAADDSVKESPAFQNRMLVYKISPNTTDNAIK
VIMSS6582056 1 224 0.0776392857142857 Uncharacterized protein YDR476C 224 0 10 224 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03362 1 SwissProt MWDSLIVSINDTHKLGLEDCLAVFGHVPITKAVKHVRLTEIDTQTSTFTLKFLHTETGQNIEKIIYFIDNDTGNDTRTATGIKQIFNKMFRIAAEKRKLSLIQIDTVEYPCTLVDLLILVGVALPPLCYLYRPALHAIFFLVPNPVGSTLEAWLDSDLVLRLIIVAEFLTHALETLIFVVPRLKYYRVPGEFVPEWLLLGLLEGYGPARRLDTKARTLGEGSVN
VIMSS6582100 1 502 0.20136235059761 Sporulation-specific protein 2 502 0 10 502 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P08459 1 SwissProt MPIWKTQTFFTSISVIQIVNKETKVSTKKEKDSMLNQLNTILRFLFLFLQLIKSSAAVEPNGGPNILDHNIMLVNTNATIPKKEQTDFEVISPTKQTQVDEDCKKGLYHIENAGNLIELQAKCWKVVGNIEISSNYSGSLIDLGLIREIEGDLIIKNNKHIFRIQGYNLESLGKLELDSLTSFVSLDFPALKEVETVDWRVLPILSSVVINGNIKKIKNIIISDTALTSIDYFNNVKKVDIFNINNNRFLENLFASLESVTKQLTVHSNAKELELDLSNLHTVENMTIKDVSEIKLAKLSSVNSSLEFIENQFSSLELPLLAKVQGTLGLIDNKNLKKLNFSNATDIQGGLMIANNTELAKIDFFPKLRQIGGAIYFEGSFDKIDLPELKLVKGSAYIKSSSEELNCEEFTSPKAGRSIIRGGKIECTSGMKSKMLNVDEEGNVLGKQETDNDNGKKEKGKNGAKSQGSSKKMENSAPKNIFIDAFKMSVYAVFTVLFSIIF 2
VIMSS6582232 1 923 0.353313542795232 PF00755.20:Carn_acyltransf:23:732 Carnitine O-acetyltransferase YAT2; EC 2.3.1.7 923 710 10 923 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40017 1 SwissProt MSSGSTIVSSDKSGRTFKHEEELPKLPLPKLCDTLQRLKESLEPLYYADGYYQHPLDPEQIEKLSSIIRDFEENPVSEKLQSKLQSYHDTRDCYLDELHLDINNQTSTREIQDDVLPRNPFLVLADDALPNITQADRSAVLVHSAARFISALKQDLLPPDINATNGKPLSMAPFLNLFGTTRSPVFQRGEVENFDLNKPYTASDLEDPDYSSDEDDNDEPTQKDFDDRKRKHEEDIFTGNGITIKRHPDSKHILIISRGQYYTLEVLDSTNKIIYTAAELTTIFNHIIKDSSGIEKSTALGSLTSHSFRNWKYARKRLQKRYPNELHRIDSALFVLVLDESQEETTNDGDDTADISQMFNRTITERDKKCTSANCKRVFYGTSIINSKGHQVGSCVSRWYDKLQLVVTADAKATVIWDSFTCDGSVVLRFTSEIYTESVLRLARDVNAGDPQFSLWPNVTQMDPETKKLMTATISADGGGPSEIDPKLVVNKIDWSFSNILNTHVHLSETKLADLISKYDIVRASIPLGRRSAQRLGVKPDSMVQVALQIAHYALYGRMVFGLEPVSTRGFKNSRSSFINIQSQALLELCQLFISSSIDGTDKLDKFIQTCETHNNMVKHAKSGVGYEKHFNALKYLFKFHDHFGIHLSGDESSAAKDLFENPLVLPFSQPELIVANCGNAATTTFGITPAVPHGFGIGYIIKDDQVDLTVTSQFRQGDRLMFMLSWVLGEIRSYWRMSRGTSHNKTGVKISPVVDKLYEMDNAVNNPPKRNGHTVNGSRKTSSSSQVNLNRYGGFFDLEGHIDSRNISKTPSMKNLQKTFNGLTMSADNDHSSSAVSVPTEKEKLNTGHEILQIQPREVASNGLEADDETDIEIVAGNADGTSSSASSATSLNSKKRNVINSRFDIDFDRSRVGRKVATLDQ
VIMSS6582247 42 464 0.179954846335698 PF08691.10:Nse5:1:411 DNA repair protein KRE29; Killer toxin-resistance protein 29 464 411 10 423 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40026 1 SwissProt DEKVHPNFISDPENDSLNSDEEFSSLENSDLNLSGAKAESGDDFDPILKRTIISKRKAPSNNEDEEIVKTPRKLVNYVPLKIFNLGDSFDDTITTTVAKLQDLKKEILDSPRSNKSIVITSNTVAKSELQKSIKFSGSIPEIYLDVVTKETISDKYKDWHFISKNCHYEQLMDLEMKDTAYSFLFGSSRSQGKVPEFVHLKCPSITNLLVLFGVNQEKCNSLKINYEKKENSRYDNLCTIFPVNKMLKFLMYFYSDDDNDDVREFFLKAFICLILDRKVFNAMESDHRLCFKVLELFNEAHFINSYFEIVDKNDFFLHYRLLQIFPHLQSALLRRRFSEKQGRTETIQQNIIKEFNEFFDCKNYKNLLYFILTMYGSKFIPFGPKCQVTEYFKDCILDISNETTNDVEISILKGILNLFSKIR
VIMSS6582313 1 125 0.4312816 PF17335.2:IES5:11:123 Ino eighty subunit 5 125 113 10 125 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40060 1 SwissProt MPSKDPESVIDKEIRKISARNDELIKQDGTLKREYTTLLRKVSSVITVLNSIDDADTGSAETELPRLISQATVEKVPELKWYNDQISLITEKLEDDEDIEVPEELMDAYTLYKETPLLYNDTHTP
VIMSS6582330 72 799 0.670024313186812 Transcriptional activator FLO8; Protein PDH5 799 0 10 728 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40068 1 SwissProt NCKNTLNEYIFDFLTKSSLKNTAAAFAQDAHLDRDKGQNPVDGPKSKENNGNQNTFSKVVDTPQGFLYEWWQIFWDIFNTSSSRGGSEFAQQYYQLVLQEQRQEQIYRSLAVHAARLQHDAERRGEYSNEDIDPMHLAAMMLGNPMAPAVQMRNVNMNPIPIPMVGNPIVNNFSIPPYNNANPTTGATAVAPTAPPSGDFTNVGPTQNRSQNVTGWPVYNYPMQPTTENPVGNPCNNNTTNNTTNNKSPVNQPKSLKTMHSTDKPNNVPTSKSTRSRSATSKAKGKVKAGLVAKRRRKNNTATVSAGSTNACSPNITTPGSTTSEPAMVGSRVNKTPRSDIATNFRNQAIIFGEEDIYSNSKSSPSLDGASPSALASKQPTKVRKNTKKASTSAFPVESTNKLGGNSVVTGKKRSPPNTRVSRRKSTPSVILNADATKDENNMLRTFSNTIAPNIHSAPPTKTANSLPFPGINLGSFNKPAVSSPLSSVTESCFDPESGKIAGKNGPKRAVNSKVSASSPLSIATPRSGDAQKQRSSKVPGNVVIKPPHGFSTTNLNITLKNSKIITSQNNTVSQELPNGGNILEAQVGNDSRSSKGNRNTLSTPEEKKPSSNNQGYDFDALKNSSSLLFPNQAYASNNRTPNENSNVADETSASTNSGDNDNTLIQPSSNVGTTLGPQQTSTNENQNVHSQNLKFGNIGMVEDQGPDYDLNLLDTNENDFNFINWEG
VIMSS6582365 1 1755 0.412779715099714 PF01021.19:TYA:17:114,PF07727.14:RVT_2:1281:1493,PF00665.26:rve:663:781 Retrotransposon TYA Gag and TYB Pol genes; transcribed/translated as one unit; polyprotein is processed to make a nucleocapsid-like protein (Gag), reverse transcriptase (RT), protease (PR), and integrase (IN); similar to retroviral genes (RefSeq) 1755 430 10 1755 0 Saccharomyces cerevisiae VIMSS6582365 1 MicrobesOnline MESQQLSQHSPISHGSACASVTSKEVHTNQDPLDVSASKTEECEKASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWSFYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADSDMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHSDDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQDNTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWVSKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNESDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKSAPSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSEYTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFSAIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGIPGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLTASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTEDSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAPMSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKRIIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPDPFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSLEPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLLKMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDSGMQSNTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLKKSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIPKLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISDASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSYLIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH
VIMSS6582393 1 440 0.576566136363637 PF01021.19:TYA:17:114 Transposon Ty1-DR5 Gag polyprotein; Gag-p49; Transposon Ty1 protein A; TY1A; TYA; p58 440 98 10 440 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P0CX65 1 SwissProt MESQQLSNYPHISHGSACASVTSKEVHTNQDPLDVSASKIQEYDKASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWSFYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADSDMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY
VIMSS6582444 1 858 0.396301748251747 PF01544.18:CorA:455:792 Magnesium transporter ALR2; Aluminum resistance protein 2 858 338 10 812 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43553 1 SwissProt MSSLSTSFDSSSDLPRSKSVDNTAASMKTGKYPKLENYRQYSDAQPIRHEALALKVDETKDSRHKFSSSNGENSGVENGGYVEKTNISTSGRMDFEGEAEAEAVKRYQLRSFALLSSNARPSRLAKSETHQKQIHVESIAPSLPKNAALERGHDTALPAGTSSNRCNLEASSSARTFTSARKASLVSAIFETSAESEHGTHPKQAKLKRRTYSTISTHSSVNPTTLLTRTASQKSDMGNDTRRIKPLRMDSRVSFHSEISQASRDSQETEEDVCFPMFRLLHTRVNGVDFDELEEYAQISNAERNLSLANHQRHSERTYNHTDQDTGFTNSASTSGSSAALKYTPEISRTLEKNCSVNEMYVSENNESVREDDKPDLHPDVTFGRNKIEGEKEGNDSSYSRAYYTLQNTEYQIPSRFSFFRSESDETVHASDIPSLISEGQTFYELFKGGDPTWWLDCSCPTDDEMRCIAKTFGIHPLTAEDIRMQETREKVELFKSYYFVCFHTFENDKESENYLEPINVYIVVFRSGVLTFHFDPISHCANVRRRVRQLRDYVSVNSDWLCYALIDDITDSFAPVIQSIEYEADSIDDSVFMTRDMDFAAMLQRIGESRRKTMTLMRLLSGKADVIKMFAKRCQDETNGIGPVLKSQTNMVNLQAEQENVNQNNSNNQISLSNSYMQTTSQPRGDIALYLGDIQDHLLTMFQNLLAYEKIFSRSHANYLAQLQVESFNSNNKVTEMLGKVTMLGTMLVPLNVITGLFGMNVKVPGRNGSIAWWYGILGVLLLLAVISWFLASYWIKKIDPPATLNEAAGSGAKSVISSFLPKRDKRFNDDSKNGNARVGVRRKSTVSLPSRYSRYN
VIMSS6582474 1 122 0.137418852459016 PF00660.17:SRP1_TIP1:25:116 seripauperin PAU5 122 92 10 122 0 Saccharomyces cerevisiae S288C NP_116633.1 1 RefSeq MVKLTSIAAGVAAIAAGASAAATTTLSQSDERVNLVELGVYVSDIRAHLAEYYSFQAAHPTETYPVEIAEAVFNYGDFTTMLTGIPADQVTRVITGVPWYSSRLKPAISSALSADGIYTIAN
VIMSS6582511 1 202 0.0192153465346535 PF06687.12:SUR7:6:160 Protein DCV1; Demands CDC28 kinase activity for viability protein 1 202 155 10 110 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P43595 1 SwissProt MLNYKLILLFSSFLQLISFSGFMICCLTSPIIRNWGLAQAAGVSYGTFGYCKTLNSFSCSRVRLIYNTSKEILPGPSLERWWLSPKARHTIGGLLISIPVATCLTFISFALPLVIIFLFQTGGTNVSLITSNAILHILTLLSTIFACTVILLLCMHRDPVTISSLYDLVWLANCSLFPLLVIGVHFLSFRFDTSAQSDRKHS
VIMSS6582564 1 175 0.170293714285714 PF17276.2:DUF5341:2:122 Putative protein of unknown function; null mutant displays elevated sensitivity to expression of a mutant huntingtin fragment or of alpha-synuclein; YGL262W is not an essential gene (RefSeq) 175 121 10 175 0 Saccharomyces cerevisiae VIMSS6582564 1 MicrobesOnline MRNNVTELVNSIIGVQTPGSLPDTLSGAHSLQRRISYFDVNWISWNWDNVNVDLNKEVKKSRPLLGEEDDQCMFGWFANNPGWKYYWSVTDNPDPGYKENYSDIGDENAVHGELYFNTYGGLMASVMTTKMVLNAKRQLVVIDTIVVKAICDYVMKYWKKKVNLTTISLYLMLKL
VIMSS6582601 1 123 0.164710569105691 PF02936.14:COX4:32:104 Maintenance of telomere capping protein 3, mitochondrial 123 73 10 100 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53077 1 SwissProt MMGRNGIRLALKRSFSTYQPPVVEITNITKLWPTLRPEVRDEIKEYLRWRMQEDWRHIPLEETKAAYFLSYGPCGGRSKGNEWNVGYTGMRIVFNLVLFGGAATAFYNWKQDKKLEEQLRDLV
VIMSS6582680 1 685 0.215908613138686 PF05057.14:DUF676:185:379 putative lipase ROG1 685 195 10 685 0 Saccharomyces cerevisiae S288C NP_011371.1 1 RefSeq MSLTPTNEILFHYKSSVKVGELERYVITYHLYDGEEIPPDLNLNSLWLKVRNMNPLSYRAAYLMGPFMLYCDVKTAQYHHSQKIVASVDYPKFEPNVQTQQDFVAELSVHNIRQKYVWIADVMSQILFTTNTNVTYEVTIGTSKESVENPHDLPSHLGSYSPKLTVNRLTTLDLWNLPVQITTPQKKKHLVVLTHGLHSNVSTDLVYIMEQIYKAQKNYPHEQIVVKGYRGNVCQTEKGVKYLGTRLAEYIIQDLYDESIRKISFVGHSLGGLIQAFAIAYIYEVYPWFFKKVNPINFITLASPLLGIVTDNPAYIKVLLSFGVIGKTGQDLGLENDVEVGKPLLYLLSGLPLIEILRRFKRRTVYANAINDGIVPLYTASLLFLDYNDILEQLQKLKENSKKSPLINDASTPVNQDFFNKTFISPLTKMLSILAPQKFPTENGSEIPKVSFFESASSILLPPLPERAYIMDPDSRDPVIIHDKIYNEDDIPQSEFDIEDGFFGKKNILLQAFFAGKKERAKYRNLEETIARRWHEGMAWRKVVVALKPDAHNNIIVRRKFANAYGWPVIDHLIDVHFNGDDDDDNDENDDINSTQVVEPIQSVTEGKKKYRKAENIPQEYGWLNKVETNGVFDEGPTGMISTVGEIVEALAKRGFSAVIDRRNASEDPNDEVLRFEEMNSDLVQ
VIMSS6582770 1 237 0.185463291139241 PF00674.18:DUP:76:171 Pheromone-regulated membrane protein 8; DUP240 protein PRM8; Protein DUP1 237 96 10 191 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53174 1 SwissProt MQTPSENTNAKSDSLDEPGAYLIEENVALPKDIFHSYLSYWIYEAAHCTPVMLLSLVIGVLISIIILFHDNENCVGVSVGFLLIFSGILVIVLILRFGPQISDEDFKCKLLMEIITRKPTVKGKEWRTITYKMNQYLFDNDLWNTPYYFYRDEDCHRYFLSLIKGRTFKKQKESSASNVKDAQSNDETAGTPNEAAESSSFSAGPNFIKLLTKAAEIEQQFQKEYWRQEYPGVDEFF
VIMSS6582771 1 234 0.163454273504274 PF00674.18:DUP:82:171 Multicopy suppressor of SEC21 protein 27; DUP240 protein MST27; Protein DUP2 234 90 10 188 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53176 1 SwissProt MQTPLESTDVKLDTLNEPSAHLIEKNVALPKDIFRSYLSYWIYEIARYTPVMILSLVIGVLVLLIIFFNDNEACVFNSAYYAYLSLVVLLIILGDGNPKLVSRRNFRTELLVDVITRKPAVEGKEWRIITYNMNQYLFNHGQWHTPYYFYSDEDCYRYFLRLVEGVTPKKQTATSIGNSPVTAKPEDAIESASPSSRLNYRNFLLKAAEIERQAQENYWRRRHPNIDALLKKTE
VIMSS6582869 1 547 0.513564899451554 Bud site selection protein 9 547 0 10 501 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53226 1 SwissProt MTKITRDVSITTENSKSTSGSATASSASLPENDHPIFHQPRARIRSGSLFIEGSDSFPSSEVKSYNVYIDDSKYSEILKGDTNSSSTDGKQVFEDARDDNFHQESHRDLEDSILDLVRRDPEVAAFPLPPPNSNERNRNSSNGSSAETNLNGHSSSGTISTSVLLNMGSAEKHAGTTRGDHMESSSMKSFEKLGTRPSSLFYPPPEGTAPYQGPRATVSGNKSTRQTQGTYSFPSMRYGVDLVSPVEGAVDVAKSRVPNSTLNGTFPDKAFIPHEFQIPKKAWNRIPANKSTSLKTPRNHSLLIDILKPFEAADLANDQRSSSAVLKNTVHSNGQYNPTNETSGTRMQDQRQKNTNEIDLEKIPNPQVPLGIAMDTMRSPNQLHEKEYESNIEAGLASGVGKGDNSIKQHQYKKIPQEIDRDQQLSFQMETMPIQRIDSSSIRSFDSRIYGFSEIYSIPRVITTLCICLFVPPLFFFFSINGNNGVSNYRLMRMIMNYEHRIGLLKGFEWDIDVQWFRTLCFVLGCIEMLAIFASIGIGFGVGIIRE
VIMSS6582870 1 271 0.425849815498155 PF10382.9:DUF2439:5:94 Putative protein of unknown function; green fluorescent protein (GFP)-fusion protein localizes to both the cytoplasm and the nucleus (RefSeq) 271 90 10 271 0 Saccharomyces cerevisiae VIMSS6582870 1 MicrobesOnline MLSHIVEYECQYTDQLYKKRKIWHDGRLKYFQLNNRFMLYTEKDNVLLASEFKINSKELKAILNPEGFDIEEHRIFSQFLVIISNIIEEYDRDIQVAATHVRAYPSNLSVQKQRPLISDNAPSLNHISTAREVHSNIKVTTPNRKQTEDNATKGGFNISKLTLKVNKPFKKPKRILSTNVVNESNRPSIRSQKIQEVTPQLHETNTSTKVQTAGKVALNNDNIAQGNYATITEEAKVRDGSDRKKDMANLSKSGKRRVGGIRRIVHEPLGI
VIMSS6583076 1 95 0.268293684210526 Stationary phase gene 1 protein 95 0 10 76 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P50088 1 SwissProt MKLDSGIYSEAQRVVRTPKFRYIMLGLVGAAVVPTAYMRRGYTVPAHSLDNINGVDTTKASVMGTEQRAAMTKGKSLQEMMDDDEVTYLMFSSIM
VIMSS6583115 1 305 0.192671475409836 PF01467.26:CTP_transf_like:147:288 Phosphopantetheine adenylyltransferase; PPAT; Coenzyme A biosynthesis protein 4; Dephospho-CoA pyrophosphorylase; Pantetheine-phosphate adenylyltransferase; EC 2.7.7.3 305 142 10 305 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53332 1 SwissProt MVEENSRVLIVLPYTPPSATLQRIIGQTIPFLRECQSQLDIVIVPEFKTSFQLDSALGKMYSITRDVLLGYGMINSGINIIFNNIHFVESNLQWKVVLLPQESTFETWKLELGQGQYHSIEHYALHDNIMEEIEGPKDANKFHVTALGGTFDHIHDGHKILLSVSTFITSQRLICGITCDELLQNKKYKELIEPYDTRCRHVHQFIKLLKPDLSVELVPLRDVCGPTGKVPEIECLVVSRETVSGAETVNKTRIEKGMSPLAVHVVNVLGGREEDGWSEKLSSTEIRRLLKSSASPTCTPQNPCV
VIMSS6583118 1 138 0.395229710144927 PF01585.23:G-patch:25:70 Protein PXR1; G-patch nucleolar protein; PinX1-related protein 1 271 46 10 138 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53335 1 SwissProt MGLAATRTKQRFGLDPRNTAWSNDTSRFGHQFLEKFGWKPGMGLGLSPMNSNTSHIKVSIKDDNVGLGAKLKRKDKKDEFDNGECAGLDVFQRILGRLNGKESKISEELDTQRKQKIIDGKWGIHFVKGEVLASTWDP
VIMSS6583164 1 532 0.119587593984962 PF06814.13:Lung_7-TM_R:151:444 Uncharacterized membrane protein YHL071W 532 294 10 371 7 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38745 1 SwissProt MDRTWLLLTWLLLLCGVVQGNQESINQKYHDVCSGMYSKEDFNGKVDPYISFTLEELSLADEDDDGEGVSVAVFDFQDYEHIGVRLPNGEIQYICDDYALDLGLCEDSSEGQFIIQETAIDPFTSKEHKLTSQILTFTQQELGTNDKVYSINKTGYYCVTTSSFISSSSKFKATVNFRNAYGQLDASEAYKMPIYAFLAVAYAVCTLVYSWLCWKHRHELLPLQRYILVFCIFLTADTIFVWMYYIIENQKGNSSVALHVYMVFISIFSAGKMTFTLLLALLISLGYGIVYPKLDRTLLRRCQIFAIFTFAVCVAFLVQKYSQNSESLSNLILITAIPLVLCLFAFYYLTLSSMNKTMTYLREQNQVVKLNMYRKLIILCYISLFILFLGLLVSTFAYVGMDTVDMIEQYWKTEFLITDTWPSFVYFLVFVIFAFFWRPTSTSYLLACSHQLPTDMENVSEFDLDDINSLSDEALPNREPRNDYQEHDHNMDIDLASDFEEVPSVNANTNANNDVLFDVDYDRDAKNDRSTT
VIMSS6583282 1 329 0.155574164133739 PF10806.8:SAM35:5:133 Sorting assembly machinery 35 kDa subunit; Mitochondrial 38 kDa outer membrane protein; TOB complex 38 kDa subunit 329 129 10 329 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P14693 1 SwissProt MVSSFSVPMPVKRIFDTFPLQTYAAQTDKDEAVALEIQRRSYTFTERGGGSSELTVEGTYKLGVYNVFLEANTGAALATDPWCLFVQLALCQKNGLVLPTHSQEQTPSHTCNHEMLVLSRLSNPDEALPILVEGYKKRIIRSTVAISEIMRSRILDDAEQLMYYTLLDTVLYDCWITQIIFCASDAQFMELYSCQKLSGSIVTPLDVENSLLQKLSAKSLKISLTKRNKFQFRHREIVKSMQGVYHNHHNSVNQEQVLNVLFENSKQVLLGLKDMLKSDGQPTYLHLKIASYILCITNVKEPIKLKTFVENECKELVQFAQDTLKNFVQ
VIMSS6583284 1 334 0.262544311377246 PF12333.8:Ipi1_N:127:217 Pre-rRNA-processing protein IPI1; Involved in processing IST2 protein 1 334 91 10 334 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38803 1 SwissProt MTKSRKQKQKKQDFLRKKLKVGKPKEKARNATDTSFVSKTISIRNQHLDQNPHDLTKRLTLLKHHNINVRKETLTTFQKSIPSIIKSRLMTPLLTQSIPLICDESQQVRQGLIDLVDEIGSHDAEILKLHCNIFVLYINMAMTHIVTQIQADSTKFLSHLLKYCGDEVVRKSWVKLLNGVFGVLGWGQVGKNDSASIVQTKKRNAKYVTIHLNALYTLVEYGCQDERARSDGDTAETTEDSGTLRNPYLIPDYPQPFEHLKLFTRELKVQDATSSGVNATLLSLATQDIDTRKAVFIEQFLPIVRKKIEVIIKEGGECGKSANKLKTLLAKIFD
VIMSS6583301 1 852 0.419503286384976 PF17076.5:SBE2:14:852 Protein SBE22 852 839 10 852 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38814 1 SwissProt MTSIQERGTSAHLHSLKEGEASDRSSEMLPKQRSIIGSHVQRPPSQTTLGRSRAGSNTMNKVSGLDIARRPSENLLSNMNCSDNGNGGNMLNSFVNSALPPPKVNPAQTRRERPASNSSIGTKTTEVFSSTSASSSLGDTSDEGEGSDADKSKINTFPSILMEKATQGRGADGNGMRSASNNTIVEATTDGSKMALQKSMSFDDTAAEKTMNKSRHSYQEQFSSKKSQSSLLNSKQRSRAKSQTCSSTGYNNSSILKTFGISSKISNSSDRIEASSLEFNVPSQKPLNCKPLTPSQKYRLRKEQSEMNLRNTIKRKEKFYDSQEQILELQEGDVDDSLIWNVPMASLSTNSFLASAKPDDMNNLAGKNDLSEYTGGLVNDNSEISYTKQNHRYSNISFASTTSNASLLDFNEMPTSPIPGLNKVTDFQFIQDTTKSLASVYLHSSNRLSRSKLSERTKSSDFLPIELKEAQNQGMEDLILVSENKLDVVSHSRPSWLPPKDRQEKKLHERQINKSMSVASLDQLGKNKDREEKLIRDETNRQKYVLLLDRDITRNSSLQSLSKMVWDTPFSDETRSTIYSEILQSKTRFITKNYIQPFHELQELLTKMGDFPKNKEIEISQLIETSLRRKVSGLHDICPDLMLLLKIKSISSQGIVTGDELLFHHFLVSESFQNLGLNEIWNIVNLVQMTCFNDLCKEKFDAKVLERKGVVAGYLSQNEEFKDEFNTECINSTTWWNILERIDHKLFMWIMDIIVVNNSQSYKNSPINEDEFVNKDWEYYRSKKVVINYKILISFALNVLLNYHFGFTDLRSLCNVNDQRFCIPVFINDEFVDADTVNAVFIKKWAHYYKKF 2
VIMSS6583349 1 734 0.619455994550408 PF08693.10:SKG6:63:100 Protein SKG6; Suppressor of lethality of KEX2-GAS1 double null mutant 6 734 38 10 711 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32900 1 SwissProt MYHTHMHESLISVTSTVSVSDASYAYARLTRRDDSDSSSSSASSTKNSKSAECTGSKQQCQLPTDSSHSTSVTVGVAVAVPVGVIIIVLAVILCIVYRRSKKEAEEDNDPDFEGDSEFLPTMKDYSPGINHLYSSDSQQDFMEKTLQQPPSDPFVGSMHSSKYNVRSATPPAIGRSWYVDPFQLPQESNDSNSLRDFAMRVQEDGLGGYKVAAESRNASQTSLHPDNFSNCTPIRASSRFQESESFRSHGSPIHNNQLSRGSATEGANKQFTFPNEDNDSSSVSEEAEVLNESNESASNDAFEFELDNSSEKTHERNLRFGKDDDNYELQDIREAEHMNDRSSSKSQDDDYYVSLLSPNEEEDIKRMKSIYQVYLDRAKTMKKEEDKADNANDISQEENRVDNIVQNPLPSIKINNNDNIDNNEVPEAKHLVKEALPLNNTNLAEYGPEMAQSQKQYPVQDTLTVNDTEAAPSNRIASSIYSEAIQPLNYQDQYQQQEQSPVYNGHTQYPGNGYSGNPQQQGYTAQFVQNPQWYGVPTPQQQQHNHPQTLETIGELPTPAYLAQSASSHSLTSFKRPNKQQLLQLQTARLNGTALNPVDHPEMFYSPTNDAYYAPQQQGQYMKFNENGAVPSPYQLRQSVVMTNPSDLTAKPSYKPAGSFRSVSATNSRNNSLTTQNNIYLQQQQQQLYNSRVSGILEETDVVQPPSVGGILPHSGSQDDLRKQLGSSHNYTVN
VIMSS6583351 1 526 0.140367490494297 Maintenance of telomere capping protein 6 526 0 10 503 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38849 1 SwissProt MWILIYLFIIWSSLRTWVTAVDSTTTVGDDLNETVSASVWPTMSPQMTVAFRSQRDVMGNLTIDQLPYVGLNLRRVLLNNETSMVNEGNNTRLLTLFKSMLSSEANAFVLDLEQYNNDLRVVDTTLLFSDVLTALQSFIFSTQNNLYANIIVLLLNISAPELDSTEYRHQNQTLNTTYILDKNLGNSFIYKPTDLQSDRAKNNTWNIYGKSSIDGWPTLGSVLYEQKKRLVIGELTDFFNETTAPYIFPHDVFHYEQGNSTLDCPSTVEGLTDLSSIHWRFLDSLFNSVDIKEYISCGLSPIISNSAYVNNVTQLADIIHEGSVWSWDSDQPSVTQSTSKSGSSSGTLEAYNCVLLYYFANNETVTWRVGNCYNSNIGLCRYENMAFRWLVRSNKATYFDFDSYQGSKCPDQYSFNIPRSPLEQRSFIAYMRNSSFSDTQIWIDLNSISVSNCWVSGGPYASCPYEKVISRRNFVTMMVPASVCSFALLCIVVYLSVLRVPIYDNRKNWRRVINKISKSELEGVPS
VIMSS6583396 1 579 0.122783592400691 PF08118.11:MDM31_MDM32:74:575 Mitochondrial distribution and morphology protein 31 579 502 10 536 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38880 1 SwissProt MSLFTRPFLRSPRQFSVARYVYWARSPALRSNLRIPSIAAASLRAYSNESKTGRDAPPDKKSKKLSNLKYITERDSLLVQTNNIFTKLKINIRWFLKKSTRPFNSDDISAFISWILVSNIFIFIFWTTTFVSLILYLINTVFAQEYLASKIGKFITKNESLSIVFESAIVPDWSSGKISFQKVFVSRRPKVSRGFTKGSQQDALQRAKLALSERILVNQQDFDNGNYTQFDLTIDQVDISLNFRKWINGKGILDEVTINGLRGVIDRTHVVWKKDDDPKNYLNVYQPGDFEISKFTMNDVLCTLYQPNGFRPFQVSIFNCDLPQLRKHWLFYDILNANNINGTYDNSMFTIHKKFRTDDQHQDPTLLWKQMTRFRVDNLDIDHLNAGIEGPFGWINEGRVNMIGDVLLPDDDAASDSLQLTEILTEIGDRLIKKAKRYTSSLPLVGPGFSPAVDEIDPNDYFIMDFSLRLYNVKAEVPLFTSGLTYINSALIRPIVGYINSHRTYIPIKCRIVKKKSDFEGSWTIYDSYLMRDLSAEAYDAFADYVADDEKRTLRLRRVGFWSLQLILQVILMSLGAIA
VIMSS6583400 1 321 0.243080996884735 PF16035.5:Chalcone_2:113:312 Altered inheritance of mitochondria protein 18, mitochondrial; Found in mitochondrial proteome protein 22 321 200 10 321 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38884 1 SwissProt MDRGRCANMLKSLQRTLAKCQKSPSTNHWQCFKRNFTSIRATKYPGRSNSTFHYWPWFAASTLLATSLYYRDRPVQNDDKTDAFPSHTESIQVDSSVSDFPLTITALNFPVSTTFKLLGYGQRHVTFLRFKVYALGLYLAENDENLVSDTLNETYLHKYFLDVDDSKTPKENLARLLKRDDSKSVMMIDDLLDSGMRMLAKITPVRNTDFKHLKEGLVKTISKHPDVANNKDTLAKGLSELNDAFSRKGSVRKNDDLIIELLANGALQFSYHDSKNNEFEVMGVVNNQLVGKFLFSQYLCGEKSPSPQAKKTAIDKLITLL
VIMSS6583401 1 310 0.228727419354839 PF16035.5:Chalcone_2:97:303 Altered inheritance of mitochondria protein 46, mitochondrial; Found in mitochondrial proteome protein 34 310 207 10 310 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P38885 1 SwissProt MRLISKVLVKTNCLEVGMRRAPQWYSHYSTTAGNARVNKKGSKVVPVLTGLALASIFAKKWYDDSQIKKADATSVAVDASISAFPKKMGPPQWPFSTQYELIGKGVRCVSSITFKAYGLGIYVAAEDKHLVSEVLDSKFLSQAFIDTAAPPSPENSHQDNLRAALNDPAKAPILINNLLDSGIRLMSKNTPIKAGSFKLLMDGTKKSVLKNPDSQSQDKDRLEAGFQELHDCFRSVKGLVARDDDFFIELNKDCSMNLSYYARKKDEFVILGTVKEPLIGKLLFAHYLAAVDPPSPEARKEVIDALVSLS
VIMSS6583511 1 336 0.328399107142857 PF10354.9:DUF2431:76:292 25S rRNA (uridine(2634)-N(3))-methyltransferase; Base methyltransferase of 25S RNA 5; EC 2.1.1.313 336 217 10 336 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40493 1 SwissProt MARKLKGKIGSKGLKGALLRHKAKVKLVRNIESKQKHELRKKNSSANNKTVKRNQEFQKLNQGKVMPFEKDETLMLCGEGDFSFARSIVEQNYIESDNLIITSYDNSVNELKLKYPHTFEENYQYLKDLNIPIFFQIDVTKLVKSFKISKNNTWFKIINRLSDHRWGNKPLQNIVFNFPHNGKGIKDQERNIREHQDLIFNFFQNSLQLFNLINTKIQNDTLRYTQGYDLNEDTPQAKKLTAEGYGNIILSLFDGEPYDSWQIKLLAKKNGLTLSRSSKFQWENFPGYHHRRTNSEQDTTKPAKERDARFYIFSKYVSNSSKHNRKSKKDTDSDSD
VIMSS6583562 1 538 0.419150743494424 PF03370.13:CBM_21:388:507,PF16760.5:CBM53:416:509 GSY2-interacting protein PIG2 538 122 10 538 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40187 1 SwissProt MATTTQPQNILMDEPLNLPNNSAHNNNYGNINANIRTFAGMSMHMHPARLNSLEFLHKPRRLSNVKLHRLPQDELQRNTDMNKGMYFNGKQVHAHHPFINSGANFNAHHQDVSKLGEEEDEISPLSHDNFQYESEENGNPSPPIYKKSGELVKSSLKRRSKSLPITPKSIFNKTGSKSKHVNLDHVDTRLLQRSKSVHFDRVLPIKLFNENEKPIDVGKQMVQQDVLNFKHKPLTRLSALNGGSDSVPIEDLLSENNQNEYGDTWLQNPKGVFLFGTNSNNRRNKKKKFKLSDDDSDIENDNDSDDAINRLVRQQDKDQAHLAHGLKNLLINDDDDYLETRTNSAKSGANLFIGNSKRIVGLYNKNFPILSDRNRKSLKLNIFLNLSRGRPVFLQEITLLTGFHNMVIIGKVFVKNIYFDKKIIVRYTWDAWRTFHESECVYFSNANGILPGSNMDIFKFSIDDIHNPNDKDSNISQLEFCIQYLTWGVDRSRKEYWDNNDSANYKIDVVTNETRTGPTTDVNDNYEMKHSLFRNPFH
VIMSS6583687 1 586 0.169188395904437 PF11051.8:Mannosyl_trans3:150:433 α-mannosyltransferase (Mnn5;YJL186w;J0409) (EC 2.4.1.-) 586 284 10 563 1 Saccharomyces cerevisiae CAZy::CAA89481.1 1 CAZy MLIRLKKRKILQVIVSAVVLILFFCSVHNDVSSSWLYGKKLRLPVLTRSNLKNNFYTTLVQAIVENKPADSSPDLSKLHGAEGCSFANNVAAHDSGHDSDLSYESLSKCYNLNKTVQESLREVHSKFTDTLSGKLNFSIPQREALFSGSEGIVTIGGGKYSVLAYTMIKKLRDTGTTLPIEVIIPPQDEGEDDFCKNWLPKFNGKCIYFSDIVPSKPLSDLKLTHFQLKVFGLIISSFKRIIFLDADNYAVKNLDLAFNTTSFNDTGLILWPDFWRRVTPPAFYNIIGSSINIGKRVRFVSDDISPVSRYDPFVSNSNDYTPKERQEHFLKHVPLHDLDGTMPDLSSESGQMVIDKIRHFNTLLLALYYNVYGPTWYYKMISQGTAGEGDKDTFFAAAHALNMPYYQVRTNFEFDGFFYQKDDYKGLALLQHDFEQDYKQYQKAQQKVKANIEEFSKLDPDYTLDNGFLKTLMVNDDGSDLDIMFIHASFYKADPWTLYHENRFIGPNGEQVRGFRKPHRYGMDFELFLFNDMRGSFCTTPKSQVIKFKYFTDKVNTPEWDAMCEYLTNHVNYLESTHKEAMGEKN
VIMSS6583710 1 180 0.163565555555555 Mitochondrial membrane protein FMP33 180 0 10 180 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P46998 1 SwissProt MLYTRLLRHNSQFTKFSGTSPNLGSKPLFSKGNLYTSLLVTTLYGTGLACLYLESNSLNKSKEQEDPHAIAEDDIVNIVHDAPNRIFKPALDTYQEKELDLQKSDLHKVLHSLTYSDVSQFSIVWGFLIQLSSLIGNSTLGKKSILYKGSVVSVLGFPPLIYMALKLRMKQLEKAGVRFE
VIMSS6583749 146 417 0.368458088235295 PF10310.9:DUF5427:1:271 Maintenance of telomere capping protein 1 478 271 10 272 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47018 1 SwissProt DPIASISNWWSSSGSAKVSSIWNKTAEQASQIKNRLAQEQLDLTSKINTSTITEIARNLQKIVVGETEEVLRIHLVHDLVNYPSLQYNIESKFDQVLSSQVEGGIRIFVDEWGHPNNNGITPVEKKPSVADGELGNSKKKLQFNLFDGKVTDGEKLAFANLENAVKLFNTAHEEYQKQQKEADATPDDDRSSISSNSNKISDLFISILPIAIPQKQKDADGDFQVTDSNTPGNFNFTLVLKDITNDITTITRSQGFPVKWVNWLEGSVEKTG
VIMSS6583764 1 383 0.036501044386423 PF06738.12:ThrE:1:200,PF12821.7:ThrE_2:224:368 Pheromone-regulated protein, predicted to have 5 transmembrane segments (RefSeq) 383 345 10 171 10 Saccharomyces cerevisiae VIMSS6583764 1 MicrobesOnline MIVSFGDATTRTSEVQLVRCTQGLNLWKLHQVHAVYKRVVHDTLGADEGNALLDQILADTNLYPPWMCVLLYAFCSAMVTPYAFGGDWVNLAISFFMGLCVGSLQFILSQKSYMYSNVFEISASIVVSFCGRAFGSIPRSHICFGAVTQGSLALILPGYIILCGALELQSRSLVAGAVRMFYAIIYSLFLGFGITLGSALFGWMYHNATNEISCPQLISPWFRFLFVPAFTISISLLNQAHISQLPVMVFISCTGYVVTYWAGKHFANSTEFTAALAAFVIGVLGNLYSRIWKGLAVSAMLPAIFVQVPSGIASQNSLLSGLQSANTIVNANETITTSTSDPSSSMSFGMTMIQVCVGISVGLFASSLFVYPFGKKKTGLFSL
VIMSS6583795 333 780 0.524520758928571 Cell wall protein PRY3; Pathogenesis-related protein 3 881 0 10 448 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47033 1 SwissProt PLSSTLTTSTASSRSVTSNSVNSVKFANTTVFSAQTTSSVSASLSSSVAADDIQGSTSKEATSSVSEHTSIVTSATNAAQYATRLGSSSRSSSGAVSSSAVSQSVLNSVIAVNTDVSVTSVSSTAHTTKDTATTSVTASESITSETAQASSSTEKNISNSAATSSSIYSNSASVSGHGVTYAAEYAITSEQSSALATSVPATNCSSIVKTTTLENSSTTTITAITKSTTTLATTANNSTRAATAVTIDPTLDPTDNSASPTDNAKHTSTYGSSSTGASLDSLRTTTSISVSSNTTQLVSTCTSESDYSDSPSFAISTATTTESNLITNTITASCSTDSNFPTSAASSTDETAFTRTISTSCSTLNGASTQTSELTTSPMKTNTVVPASSFPSTTTTCLENDDTAFSSIYTEVNAATIINPGETSSLASDFATSEKPNEPTSVKSTSNE
VIMSS6583807 1 167 0.540319760479042 PF00808.23:CBFD_NFYB_HMF:19:70 Protein DLS1; DPB3-like subunit of ISW2 complex 1 167 52 10 167 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40366 1 SwissProt MNNETSGKETASAPLCSPKLPVEKVQRIAKNDPEYMDTSDDAFVATAFATEFFVQVLTHESLHRQQQQQQQQVPPLPDELTLSYDDISAAIVHSSDGHLQFLNDVIPTTKNLRLLVEENRVRYTTSVMPPNEVYSAYVVNDTAPKPNIVEIDLDNDEDDDEDVTDQE
VIMSS6583825 1 396 0.356188888888889 PF00789.20:UBX:187:265 UBX domain-containing protein 6 396 79 10 396 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47049 1 SwissProt MYEMSGIDSLFHDRVVHDYSHTSEQVIVVYISSAAGDNSWLHQWFKPGNLSDEERENILWVRLVNGTKECLLFKSIFPSSSAPSINILQNGLLECSIQGNSLSREQDPWETFINGLQSVFKGQVTKRKLFSKSNEEYQRVKRMIQNDKLERKYVFQNTNDPQRKPQKWKQLTVTDNVSYKSQKGFLAQNYCTLQLKLPNGYTISNTFPPQTKLHKVRMWLDYNCYDDGTPYLFHRNIPRVTLTRNDELKSLQELDLLPRSTLILEPLEANNKTFDYMEQSSLLHKVYSGLTSFWAKEPEVDASSSRLGYQRLGTNVSNSANYSLQKLSSLDMVSDGGGGGGGDSMTPSAYTTPRMYPSNGTSQLRQNVSELNLSSNNSASNTKVRTLGYSNNNGNN
VIMSS6583902 1 1755 0.413857037037037 PF01021.19:TYA:17:114,PF07727.14:RVT_2:1281:1493,PF00665.26:rve:663:781 Retrotransposon TYA Gag and TYB Pol genes; transcribed/translated as one unit; polyprotein is processed to make a nucleocapsid-like protein (Gag), reverse transcriptase (RT), protease (PR), and integrase (IN); similar to retroviral genes (RefSeq) 1755 430 10 1755 0 Saccharomyces cerevisiae VIMSS6583902 1 MicrobesOnline MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECEKASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWSFYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADSDMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQELTESTVNHTNHSDDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQDNTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVQYGDFYWVSKRYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNESDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKSAPSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSEYTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFSAIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGIPGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLTASYQSFIASNEIQESNDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTEDSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAPMSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKRIIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPDPFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSLEPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLLKMKTWDTDEYYDRKEIDPKRVINSMFIFNKKRDGTHKARFVARGDIQHPDTYDTGMQSNTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLKKSHYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIPKLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISDASYGNQPYYKSQIGNIFLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSYLIQELNKKPIIKGLLTDSRSTISIIKSTNEEKFRNRFFGTKAMRLRDEVSGNNLYVYYIETKKNIADVMTKPLPIKTFKLLTNKWIH
VIMSS6583903 1 440 0.577144545454545 PF01021.19:TYA:17:114 Transposon Ty1-JR1 Gag polyprotein; Gag-p49; Transposon Ty1 protein A; TY1A; TYA; p58 440 98 10 440 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P0CX74 1 SwissProt MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECEKASTKANSQQTTTPASSAVPENPHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWSFYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADSDMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSRNSKPNYRRNLSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPGTY
VIMSS6583905 1 440 0.584628863636364 PF01021.19:TYA:17:114 Transposon Ty1-JR2 Gag polyprotein; Gag-p49; Transposon Ty1 protein A; TY1A; TYA; p58 440 98 10 440 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47099 1 SwissProt MESQQLSQHSHISHGSACASVTSKEVHTNQDPLDVSASKTEECEKASTKANSQQTTTPASSAVPENPHHASPQTAQSHSPQNGPYPQQCMMTQNQANPSGWSFYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADSDMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLRPETY
VIMSS6583961 1 309 0.707503236245955 Assembly-complementing factor 4 309 0 10 309 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47129 1 SwissProt MSEDQRVISQPIELHKLSIVDKHSQGQQQQPHQKQHEVQPESKSPRVTTPLKPKRLAIPISSPQRSTTNQSPVSDHASPISTDQDLIYKLAAKHREINELSFKLEVAQKELKQLELQFKDTLPRNGQQKLGNQNPSEYLSTFTKRIQQTFVDVNNSPNMLKGKKSINDFFSKPNNNVNSNINNTLPNRKPNPPPNRSQRMQNIAPSRSSESTPTSGPPLLPPRNTMKNANTTATAGENTPFLQRILNKFNQMNMEEDEFDDLLEKRKSKKDHYYIKENLGYEYDEVRSEDEDDEEFEPMGDIPVHLFKR
VIMSS6584013 1 707 0.515648939179632 PF12325.8:TMF_TATA_bd:587:699,PF12329.8:TMF_DNA_bd:158:229 Protein SGM1; Slow growth on galactose and mannose protein 1 707 185 10 707 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P47166 1 SwissProt MSKKLSLEERLSLATKKGRKKNKRSTSNLSSPSPVVLSNNEQESARTSIDDAAAGVVSIDNAENIDDPAVRSESTVEGDTGKADSIAVDDVVHPDHNRTDCFDDTMVSLPTWLPKNYTEFTVEELVKEISPEYLRLNKQIDDLTNELNRKSQIETTDSSFFKLIKEKDDLIDQLRKEGAKLAETELRQSNQIKALRTKVKDLEYEVSELNDSSAQSVENYNELQSLYHNIQGQLAEATNKLKDADKQKESLETLEKNIKEKDDLITILQQSLDNMRTLLEKEKSEFQTEKKALQEATVDQVTTLETKLEQLRIELDSSTQNLDAKSNRDFVDDQQSYEEKQHASFQYNRLKEQLESSKANWDSIEYALNTKIVNLENRFESTMKEKNDIEEKYQTALRSSETLGKQLEKEKENHSKAVLEVKDLERRAETLKSSLQSISDDYNLLKKKYEIQRSQLEQKENELKPHQENSNEKIIDKIPVELTDSLNSMEGNIEDEWTLPQENSMLSLSMSKLGELESDPSLKPIYNESHETICSEESQHFDRKNVDFSIDDIPEEAAALQAIREGESMNSLNNTSIPYRRASVQLSNSNGHISAHLVNKLSTELKRLEGELSASKELYDNLLKEKTKANDEILRLLEENDKFNEVNKQKDDLLKRVEQMQSKLETSLQLLGEKTEQVEELENDVSDLKEMMHQQVQQMVEMQGKMR
VIMSS6584041 1 123 0.135730894308943 PF00660.17:SRP1_TIP1:26:117 Pau16p (RefSeq) 123 92 10 123 0 Saccharomyces cerevisiae VIMSS6584041 1 MicrobesOnline MVKLTSIAAGVAAIAAGVAAAPATTTLSPSDERVNLVELGVYVSDIRAHLAQYYLFQAAHPSETYPVEIAEAVFNYGDFTTMLTGIPAEQVTRVITGVPWYSTRLRPAISSALSKDGIYTIAN
VIMSS6584097 1 137 0.293597080291971 PF05047.16:L51_S25_CI-B8:48:105 54S ribosomal protein MRP49, mitochondrial; Mitochondrial large ribosomal subunit protein mL61 137 58 10 137 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32388 1 SwissProt MSKVAQQLKFLNKISATTRLPQILVDPKKYSGLRLTFQTKNHNGHMGARVFWHNYLPTLQFYNPRMKFDVIRIKNEDKQKSVPCKLEILSHEGSVVETIDMRNKMHEDIMKDLLDKIEHVPLPENEIIRVGPQESII
VIMSS6584230 1 1038 0.132613680154142 TEL2-interacting protein 1 1038 0 10 1038 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36097 1 SwissProt MNSDTNAFKDIRISCVELSRIAFLPTESFDPNSLTLLACLKKVEEKLSAYEDDSLSPKFADYVFVPIASLLKQPALGESQTEYVLLIIFHLLRTCWSSNGKFSEQLGQQLFPLITFLVSSDKDNQKLITRSDEFKYAGCLVLHQFFKSVRSQRYHKEFFSNSKPNLLPALGHSVTILLKILEQSPQNNELQFKALASLEVLFQDIISDGEMLSFILPGNVSVFAKILTKPGRQIHYKVCVRTLEVLAKLLVLVYDDFSLDIKVNKLTDIRELSDTKLKHEINQSFMFNGPIVLLRTDGKTHRDTSWLTATSGQINIALEAFIPKLLKRNNESIDEALATFVSILLTRCENSLNNCEKVLVSTLVHLERDPMSKLPSHLVKLKEVVNEDLHKLSDIIRFENADRLSSLSFAITILEKNNERDTMINEVVRCLFESLNESIEPPSLINHKERIIEQSSQLTTTVNFENLESTNALIALPRLSEDMSLKLKKFTYHMGSLLLERHILNDVVTELISEQVDSPRTQKIVALWLSTNFIKAMEKQPKEEEVYLQFESDANYSSSMVEEVCLIVLEFCNELSQDISMEIEGKGIKKSDEFAVCTVLFSIETICAVMREEFQPELIDYIYTVVDALASPSEAIRYVSQSCALRIADTLYHGSIPNMILSNVDYLVESISSRLNSGMTERVSQILMVICQLAGYETIENFKDVIETIFKLLDYYHGYSDLCLQFFQLFKIIILEMKKKYINDDEMILKIANQHISQSTFSPWGMTDFQQVLNILDKETQVKDDITDENDVDFLKDDNEPSNFQEYFDSKLREPDSDDDEEEREEEVEGSSKEYTDQWTSPIPSDSYKILLQILGYGERLLTHPSKRLRVQILIVMRLIFPLLSTQHNLLIREVASTWDSIIQCVLCSDYSIVQPACSCVEQMIKYSGDFVAKRFIELWQKLCQDSFILKELRIDPTVHNHEKKSISKHVKFPPVTENALVSMVHMVLEGVKITEYLISEAVLEQIIYCCIQVVPVEKISSMSLIVGDIVWKIRNIN
VIMSS6584288 1 530 0.548859622641509 PF06221.13:zf-C2HC5:174:227 Uncharacterized protein YKR023W 530 54 10 530 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36119 1 SwissProt MTRKQAIDYAIKQVPQILPLEESDVKALCEQVLSTSSDDPEQIASKFLEFLGHEDLSFEFVMKFNELLNQNDKKEEKKTKNVHLEHTAPTSWKNESKQPTNNYINKKGDEKPKKLKDEKKSSTTRPTVQPSNQSTQSNPIKEKKEHRSKGKLQSLQEIDEAIKMLELRDSGSSKNCNCQGTRHPVFDIAPNCLHCGKVVCVIEGLNKGKCGHCHEQLISDNERTQMVEILNQEKNELNGSSSSLSNASNGANVPKKKTKTYKITSGMGKNLFAEQDKLFDFIERKRERERKRNEVLKLQEEKEESEAKERQASEHDHKAEENPELLAAQERLDRLLYFQDTSAERTKIIDNASDFDMNQEVGLWGSARERALALKKQQRNLRKWEKVEKERNGRREKYVVSMNIGSNGKVTMTEVPKDTENVIAGSDDDISDISDEEDISDLKHIHALKSEINTTKSLENLHLQSKAWDYERDKKQFDRPTYVKKNSDTAQQNRKTEEKAHDMQAYDLKSRVQVDQNADASVEQNILAVL
VIMSS6584405 1 1017 0.0859069813176011 PF01663.22:Phosphodiest:218:309 GPI ethanolamine phosphate transferase 3; Glycosylphosphatidylinositol-anchor biosynthesis protein 13; EC 2.-.-.- 1017 92 10 727 13 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07830 1 SwissProt MDEKTIKKSILSSSNDEKIIYKSRIKKFQKNHKFYIILLVFIAILQFISIAFFTRGFLLSRHVLDNISSQNETSKLPPRFNKAVILVIDALRFDFAIPVNESHSNYNLNYHNNILSLYDSFASDKDASSLLLKFIADPPTTTLQRLKGLTTGSLPTFIDAGSNFDGTVIEEDNFLKQLHLANKTVKFAGDDTWMALFHPFLSNDSFPLESLNVWDLDTVDNGVMDYFHDHLQQDKEWDVMIGHMLGIDHVGHKYGPDHFTMREKQIQVDQFIDWILKSIDDDTLLVILGDHGMDHTGNHGGDSIDELESTLFLYSKKPDMWRLKETSNYNIDNLGHDYRSVRQIDLVSSLALLMGQPIPFNNLGWPIDEIARNDREWSQFVNSAISQLQLYKDTMQIHHGNDEILEPLAKNISNTPPTSDPEKFVKLGHKYQKVFLQTCEELWAKFDYYSIATGITLLATSLVLLISITKLIPSIVVNQMVPEFVPGIIIMVLVTNLCFHGIFYVYQQPSFVDQFWGTLLATAIGIIIGCYITIFDRYNFIWIAMRLGETLADYWSRIAVMFMIIHALLFTSNSFTIWEDRIVAFLLSTFGMLTLYEFVFLPKRQSTTALLTATISEKEGTTSGVNPSTANSNYLPLTRFARLLGGYHSAVLIIFTRLASMITICREEQGEYCIPTFNNQNNSSWWVLGLCFLMIFILPACITGYYNLTSSYQAAAPIWINVFLKGILGLNFVYWSLTSLENNSAVIAIPFLRDVTIFKFTLARIIAGFSLIASNVGWLMGPLCIKLNIHNTDVKSHEATILGYTNIYGSEFFLLVINVLISILLFNKPLAQLSYFLMCNQLLSILEIIDLLKLKENIIGPIALGLLSYQHFFTTGHQATIPSVQWDIGFMLSEKVTFPFTQIAIILNTFGPHILVSLSVALLTLWSQPPDVLKPQTLLGRIVSNCGILLTYNTILCLSSFIWVTHFRRHLMVWKIFCPRFIFASLSLIVTQLVVTFGTIAFASGRLIKHINDIFWK
VIMSS6584443 1 336 0.116102083333333 PF07574.13:SMC_Nse1:19:258,PF08746.11:zf-RING-like:271:324 Non-structural maintenance of chromosomes element 1; Non-SMC element 1; EC 2.3.2.27 336 294 10 336 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07913 1 SwissProt MEVHEEQVSAPVTGDATAKYLLQYILSARGICHENALILALMRLETDASTLNTEWSIQQWVDKLNDYINAINVKLNLLGYKIIRINHGIGRNAVTLKAKQNFESFEDNTAIRAHNNDYAVLQSIVLPESNRFFVYVNLASTEETKLATRFNQNEIEFMKWAIEQFMISGETIVEGPALETSIIVKEVNRILVAATGDSNLAKWRKFSTFTVGSTNLFQFQELTATDIEDLLLRLCELKWFYRTQEGKFGIDLRCIAELEEYLTSMYNLNTCQNCHKLAIQGVRCGNESCREENEETGENSLSQIWHVDCFKHYITHVSKNCDRCGSSLITEGVYVI
VIMSS6584446 1 160 0.07446375 Telomere length regulation protein TEN1; Protein telomeric pathways with STN1 160 0 10 160 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q07921 1 SwissProt MSQLVLDLKCLKDKIATNYDIHNNVYGGNGMEPNIIHPSKRFRIVVRLVDFLFCKSDEEFIKGFFCQMIVRNLHCLNSTNGAEEMRLYMSERLFSAHKDDLRLINGQVLDVRIGVWYGIHQSPPIFEIIDFKILSRNDVRDFCEFVKSPLGEKFLNISNS
VIMSS6584488 1 428 0.245425 Uncharacterized protein YLR049C 428 0 10 428 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12110 1 SwissProt MVNNIMHEYVPPSQRLFHSRHRITRNDLKEEALHSGTTDWTTILDTTIDKDTNLISYAVPIIDNFAIPRANSQGSPVAPSPNHRSTMYSSSSSSASSVFSDGLFTPNNNRNSSGSSSLVIRPQKNLSVDSLIQENKRKINSEKESLSLIANNNDETLCTHTDPSIQNLIKSETKRNILNLKFQNRNLFRRELKLEKFWSNLRSCHTSGDETDLLLVISKHNLYWFGIPNDFRLPIYKRCLYHYSELDEAGFFSQYANNSLYLAIRKCCNNEEQETLSRSIFINLTKNVTWLNSRFDDNKDNKNSYTVTEGKFYQDFPNLYYHLKDKLKLNVIMDFIKPVIRNFMTNALNKHKLDGIGLELLDILIVTTYYGPNKINAFLMDTFILNLLKQCHYKFFVSNISELVIQISKIDCDLVILLEDLRSRIDLD
VIMSS6584505 1 965 0.107870259067358 Pentatricopeptide repeat-containing protein PET309, mitochondrial 965 0 10 965 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32522 1 SwissProt MKRCAPAVLRNYNYKKGIWSTGVPDHIRKLLRDKSTSPLCSQDERNLVSYFMARGSVPLKSVGSGLTKKATTSITSNSATTTFERQYLIKYLYRHQAYGNVIKIAQKFLYTTIGSQRLLKQDASLPELKKFLLSLLILQRGIQLDQAISDIIQRFLLTQKTMVIDLINSIFSRMVIMNMHEEAVYKWVKWMKLVNGHCEFTNYMENKIVLRNFLSFMRQSNVRPDYLSYLKAIQLTQGPAIASQFATTLLFLLTYIRKFSSAEAVWNYKCEHNLPIVSSDLTCILKTYCHMQKFNLVSSTYWKYPDAQHDQNQFDYLLVAHSRLHNWDALQQQFNALFGIGKLPSIQHYGILMYTMARIGELDSVNKLYTQLLRRGMIPTYAVLQSLLYAHYKVGDFAACFSHFELFKKYDITPSTATHTIMLKVYRGLNDLDGAFRILKRLSEDPSVEITEGHFALLIQMCCKTTNHLIAQELFNLMTEHYNIQHTGKSISALMDVYIESNRPTEAIALFEKHSKNLSWRDGLISVYNKAIKAYIGLRNANKCEELFDKITTSKLAVNSEFYKMMIKFLVTLNEDCETALSIIDQLIKHSVIKVDATHFEIIMEAYDKEGYRDGIINLYKTMSQNKVPANSKILYYILKAVAKKSLQNNEEIKETINMVEDIMENAANGTLDVTYNKLHPSVMAWPMRMIVKHDSPQRALELYNRYNELFFKKHDWISNNNKFVMMRSLLVLLAQIEQWKDFETLFAKYMDRIENIENLPSSTTPNIKLRSIFSGLFPYKVSQLIAMNKIDELPLLWKKLREKGFILDNISWNSAVEALFKDPRTLSYGMKIVDDTLIHGYNLIHKFRLLTKLSEDKTQSSDKSWPTLKMKEKEPNKFQPRLYLKSDTYNSIMRQLDTYLDGIDDLKTLEDQVRDFISNYKYFMKDYLLMPRSKINKWEQIEMRHLSYFKELRKSKRVLPVSKF
VIMSS6584542 1 255 0.329529803921569 tRNA-splicing endonuclease subunit SEN2; Splicing endonuclease protein 2; tRNA-intron endonuclease SEN2; EC 4.6.1.16 377 0 10 255 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P16658 1 SwissProt MSKGRVNQKRYKYPLPIHPVDDLPELILHNPLSWLYWAYRYYKSTNALNDKVHVDFIGDTTLHITVQDDKQMLYLWNNGFFGTGQFSRSEPTWKARTEARLGLNDTPLHNRGGTKSNTETEMTLEKVTQQRRLQRLEFKKERAKLERELLELRKKGGHIDEENILLEKQRESLRKFKLKQTEDVGIVAQQQDISESNLRDEDNNLLDENGDLLPLESLELMPVEAMFLTFALPVLDISPACLAGKLFQFDAKYKD
VIMSS6584552 1 543 0.245520810313076 PF09794.9:Avl9:9:401 Late secretory pathway protein AVL9; APL2 VPS1 synthetic lethal protein 9 764 393 10 543 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12500 1 SwissProt MDEHEEAVIFGICLVDFHHKRGPEIEYWYGLPEGTQSAELWPNLPFQALPDGSHSFEETFTYFTLLYDERRQRSPPNGATDLSDDSINDNTTLFAISCSRQIKSDELVTKDKDVTRSTVQKAIVVISRQPIFGQIKDKLSIVTNAFFLQHDFGDRKIIQSLYENLKSIYTPASLVRNAENRLYIGLCLRKILHDFKRNALVLLKAIMLEKKIIVYGNDVEALCNLQFGLISLIPDLMSNLQDSGSPQLFQDISKLNVVDSFKSSNRESVLRFLGFPLPIFEKGGLFSPYTPLQQMNDIRSERTLFFMIGSSNTLLAEQKEELCHIFVNTDNSTVDILDKTLNPVLQLSSHDKKWIESISGIVSDTWNENDDETPKNSQFEGSEDFIRWQFEDYLTGLLSSVKLSDYLDLHKENDQALKTIPEDMLNSNPVHLFNLNWVQSWKETQNFLIFNSRTDDRLFDLFPPKHIYNGADTLSLLQQRFLATFHNLKRSSSNSSSNKNGHQSEEDIKDQESIESKKSVSQISVNPGKNTDKPAANLWNSWK
VIMSS6584587 1 340 0.148520882352941 PF00293.28:NUDIX:38:193 Peroxisomal coenzyme A diphosphatase 1, peroxisomal; EC 3.6.1.55 340 156 10 340 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12524 1 SwissProt MILSQRRMLSSKQLIENLIRYKFHKTPYTRSSIWPFKRNSAVIILLFIGMKGELRVLLTKRSRTLRSFSGDVSFPGGKADYFQETFESVARREAEEEIGLPHDPEVLHKEFGMKLDNLVMDMPCYLSRTFLSVKPMVCFLYKDKLEKHEDKYKVPLDIRKFFGKLNPGETSSLFSVPLNDLVIHLLPEADEDVKSYQAEYFERKEYKLNWGGIKWLIMHYHFHVANNNEMPWLQTIEDLSSSDEDGVDGGIFRFRDLWGLTCKILFDVSCIANGLMDEKLKGELGHEDLIVGLHDYGNQMQPNGRSEWEIGMINGDRNLKYSDVIPEYYMKHLLECRSLW
VIMSS6584719 1 197 0.0478441624365482 PF04117.12:Mpv17_PMP22:116:176 Protein SYM1; Stress-inducible yeast MPV17 protein 1 197 61 10 197 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06563 1 SwissProt MKLLHLYEASLKRRPKTTNAIMTGALFGIGDVSAQLLFPTSKVNKGYDYKRTARAVIYGSLIFSFIGDKWYKILNNKIYMRNRPQYHWSNMVLRVAVDQLAFAPLGLPFYFTCMSIMEGRSFDVAKLKIKEQWWPTLLTNWAVWPLFQAINFSVVPLQHRLLAVNVVAIFWNTYLSYKNSKVMEKDKVPVHYPPVVE
VIMSS6584758 1 277 0.257973646209386 PF01370.21:Epimerase:6:79 MIOREX complex component 2; Mitochondrial organization of gene expression protein 2 277 74 10 277 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q05892 1 SwissProt MIPKLIVFGGNGFLGKRICQEAVTSGYQVVSVSRSGKAPHSNELNDKQWMQEVQWTAADIFKPDSYHELLNNATNVVHSLGILLENENYKQTLSKSPTYDSKSRLLSFGAGPNPLKKSSPYFTYEMMNKQSAIILADTFKQKILKKSKKEQEKANQRSFTYISADKGFPLIPSGYINSKREAEIELEKMQRYFRPIIVRPGFMFDEHRNAIGPRSFIHTALELLYCGNKFLLRNKLQLLNDLIRPTVSTQQVSKSVLKNIENPDFKGVVTLEEILKA
VIMSS6584860 1 518 0.256532046332046 Arrestin-related trafficking adapter 10 518 0 10 518 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P18634 1 SwissProt MAPKISISLNPPYNGEFYSSNDQMSGIVSLQLTKALSIRKISVILKGFSETLTKIDQEYMFQQNGMMMPGQDNKSFHTLMKFEQRVFPPDNVWNALDGSSKPFKVKPGSYNYSFQFDKFPRKPECLKNHTAKTVAFVTRSNARLPPTFNSHWQEFNKIDNLDLYFYSFGKVIYMVQVQLELGKSSSWFKPFHKLIREIETFEFIPEPKDLIIEPDEDDNEELNAFSNNSRGNSMVTNNEFFNSSNLKVPSKDVKVVNGVGYIKSDRNFSQANSILIENGDIRSRPVSSVTSTRQSTRLVNGMKVFPSTYKMGLPDGESNMRIEVRSRDLKQIYRKDYLFRSGSQNFDKVYVVMEGNIASLSKMQITPLKLQLNLLETTTYLSQGIANGNYSSLKLIEIDLNQLKSNKPLLDLNEIRENFDGSMFECELRLKDHPILRKLVFNEEDYRHRGNRLYSFKTCTIKRTFSLQLLIEWGINGIRKQSEVNIDPVQIFCQVREHVEAEALPRYVPPPTYTEMAS
VIMSS6584913 1 448 0.232715178571429 PF06687.12:SUR7:29:308 Protein ECM7 448 280 10 356 4 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) Q06200 1 SwissProt/TReMBL MVMSRIRDTIARPFQNLTALEKVVQWLRLGTTLLIISFGLALTVGPLSSPRTLYMSRLDTYSADITTGLFTVLRESMEQSTSTEENNGVGLTTSELYILTAYTESQIKNVPQYITVSLYGRCDSTYTMVEVFDSEGNMHSVKNSTTKSTCSSIGTDYLFDYREVLESLGLDIILDYAYNKIGSQQAESSAYTTYMRSLKHKKANVLHLLYAVISFQVCMLFFMIWYYYIKGRFMNALKERALVHINSLLSLVVFIGGLISSISLAWVNYTIQSRINTELEAFGFSYHLGVTWFALLWCFAGLISVSCLAWSGLEWCISDNGTSYGGGIDDKFLGYQAGVFTDADLDDETSYSQRYPQRQSTSGEAELMRNSDTMATIRKTSDVDLNSENDANTSLDHGNPTANISNGGKHEPFATREEFELQDIRFRSSNDSEESMQRVIKPSSALQF 1
VIMSS6584967 1 468 0.246637820512821 PF00400.32:WD40:11:43,PF00400.32:WD40:64:99,PF00400.32:WD40:145:173,PF00400.32:WD40:372:396,PF12894.7:ANAPC4_WD40:133:186 Chromatin assembly factor 1 subunit p60; CAF-1 60 kDa subunit 468 148 10 468 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04199 1 SwissProt MEASHLQIYWHDSQPVYSLTFQKNSANDKLFTAGGDNKVRIWKLNRDENGQNGGVRKIESLDFLGSLTHHEQAINVIRFNSKGDVLASAGDDGQVLLWKQEDPNTQQESVVRPFGMDAETSEADENKEKWVVWKRLRGGSGATAAAEIYDLAWSPDNRNIVVACMDNSIRLFDVGAGMLVCGQSDHGHYVQGVAWDPLNQFILSQSADRSLHVYGVILSSAGVVTGLKLRSKIAKAELPCPGDVLRTNYLFHNETLPSFFRRCSISPCGGLVVIPSGVYKVAGDEVANCVYVYTRSGILNSAGGVKNRPAIRIPSLKKPALMAAFSPVFYETCQKSVLKLPYKLVFAIATTNEVLVYDTDVLEPLCVVGNIHYSPITDLAWSEDGSTLLISSTDGFCSYVSIDTETQFGSRIEPPAMHAEPLDTDESAVAAKNQREAGGIVNMLPVKKIPCNSSDSKKRRIHPTPVDL
VIMSS6585028 1 1755 0.415087635327635 PF01021.19:TYA:17:114,PF07727.14:RVT_2:1281:1493,PF00665.26:rve:663:781 Retrotransposon TYA Gag and TYB Pol genes; transcribed/translated as one unit; polyprotein is processed to make a nucleocapsid-like protein (Gag), reverse transcriptase (RT), protease (PR), and integrase (IN); similar to retroviral genes (RefSeq) 1755 430 10 1755 0 Saccharomyces cerevisiae VIMSS6585028 1 MicrobesOnline MESQQLSNYPNISHGSACASVTSKEVHTNQDPLDVSASKIQEYDKASTKANSQQTTTPASSAVPENLHHASPQPASVPPPQNGPYPQQCMMTQNQANPSGWSFYGHPSMIPYTPYQMSPMYFPPGPQSQFPQYPSSVGTPLSTPSPESGNTFTDSSSADSDMTSTKKYVRPPPMLTSPNDFPNWVKTYIKFLQNSNLGGIIPTVNGKPVRQITDDELTFLYNTFQIFAPSQFLPTWVKDILSVDYTDIMKILSKSIEKMQSDTQEANDIVTLANLQYNGSTPADAFETKVTNIIDRLNNNGIHINNKVACQLIMRGLSGEYKFLRYTRHRHLNMTVAELFLDIHAIYEEQQGSRNSKPNYRRNPSDEKNDSRSYTNTTKPKVIARNPQKTNNSKSKTARAHNVSTSNNSPSTDNDSISKSTTEPIQLNNKHDLHLGQKLTESTVNHTNHSDDELPGHLLLDSGASRTLIRSAHHIHSASSNPDINVVDAQKRNIPINAIGDLQFHFQDNTKTSIKVLHTPNIAYDLLSLNELAAVDITACFTKNVLERSDGTVLAPIVKYGDFYWVSKKYLLPSNISVPTINNVHTSESTRKYPYPFIHRMLAHANAQTIRYSLKNNTITYFNESDVDWSSAIDYQCPDCLIGKSTKHRHIKGSRLKYQNSYEPFQYLHTDIFGPVHNLPKSAPSYFISFTDETTKFRWVYPLHDRREDSILDVFTTILAFIKNQFQASVLVIQMDRGSEYTNRTLHKFLEKNGITPCYTTTADSRAHGVAERLNRTLLDDCRTQLQCSGLPNHLWFSAIEFSTIVRNSLASPKSKKSARQHAGLAGLDISTLLPFGQPVIVNDHNPNSKIHPRGIPGYALHPSRNSYGYIIYLPSLKKTVDTTNYVILQGKESRLDQFNYDALTFDEDLNRLTASYQSFIASNEIQQSDDLNIESDHDFQSDIELHPEQPRNVLSKAVSPTDSTPPSTHTEDSKRVSKTNIRAPREVDPNISESNILPSKKRSSTPQISNIESTGSGGMHKLNVPLLAPMSQSNTHESSHASKSKDFRHSDSYSENETNHTNVPISSTGGTNNKTVPQISDQETEKRIIHRSPSIDASPPENNSSHNIVPIKTPTTVSEQNTEESIIADLPLPDLPPESPTEFPDPFKELPPINSHQTNSSLGGIGDSNAYTTINSKKRSLEDNETEIKVSRDTWNTKNMRSLEPPRSKKRIHLIAAVKAVKSIKPIRTTLRYDEAITYNKDIKEKEKYIEAYHKEVNQLLKMNTWDTDKYYDRKEIDPKRVINSMFIFNRKRDGTHKARFVARGDIQHPDTYDSGMQSNTVHHYALMTSLSLALDNNYYITQLDISSAYLYADIKEELYIRPPPHLGMNDKLIRLKKSLYGLKQSGANWYETIKSYLIKQCGMEEVRGWSCVFKNSQVTICLFVDDMILFSKDLNANKKIITTLKKQYDTKIINLGESDNEIQYDILGLEIKYQRGKYMKLGMENSLTEKIPKLNVPLNPKGRKLSAPGQPGLYIDQDELEIDEDEYKEKVHEMQKLIGLASYVGYKFRFDLLYYINTLAQHILFPSRQVLDMTYELIQFMWDTRDKQLIWHKNKPTEPDNKLVAISDASYGNQPYYKSQIGNIYLLNGKVIGGKSTKASLTCTSTTEAEIHAISESVPLLNNLSHLVQELNKKPITKGLLTDSKSTISIIISNNEEKFRNRFFGTKAMRLRDEVSGNHLHVCYIETKKNIADVMTKPLPIKTFKLLTNKWIH
VIMSS6585050 1 556 0.0868767985611512 PF08691.10:Nse5:1:516 Non-structural maintenance of chromosome element 5; Non-SMC element 5 556 516 10 556 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03718 1 SwissProt MDGALINSVLYVSPRNGAHYFVELTEKHLLAFEMLNSMCLLENYDHVLLFLECQFGKSHNLAVIPFDIILVLFTLSTLSEYYKEPILRANDPYNTSRETLSRRALKLLQKYLAILKEFDSEQYNLYDLELLRCQFFLAIDTLTPKKQKWGFDRFRRTKSESGVTYRQNASVDPELDQAKTFKNPYRSYISCLEQRNTILGNRLLNLKLNEPGEFINMILWTLSNSLQESTPLFLSSHEIWMPLLEILIDLFSCRQDYFIQHEVAQNVSKSLFVQRLSESPLAVFFESLNTRNFANRFSEYVFLNCDYKLPSDNYATPVHPVYNGENTIVDTYIPTIKCSPLYKSQKSLALRRKLIGSCFKLLLRVPDGHRLITPRIVADDVIQGISRTLASFNDILQFKKFFMTENLSQESYFIPLLAEGTLSEILKDTQECVVILTLVENLSDGVSFCNEVIGLVKSKCFAFTEQCSQASYEEAVLNIEKCDVCLLVLLRYLLHLIGTEAILDAKEQLEMLHAIEKNDSGRRQWAKALNLGNDPPLLYPIVSQMFGVHDKSVIIE
VIMSS6585055 1 393 0.0758381679389313 Uncharacterized vacuolar membrane protein YML018C 393 0 10 215 8 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03730 1 SwissProt MVSKDQTSFNKRWTLGLLMLGLVIILWVLSSFLINLIFEDDSYRKPFFITYTNTAAFIFYLFPTAKAVVVNYKDTGRANVHRELIMEEEGTGSDSNRSVDMTSPLLTNLEAGTHANQKKRLTLYETIKLSAEFCILWFTANLVTNASLAFTSVASQTILSTTSSFFTLFIGAICHVESLSKSKVLGSFISFVGIIMVTKSDSHQRYQRHIADVSGDDNDAVQVLIGNLLALAGAVLYGVYSTLLKREVGDETRVNMKIFFGFVGLFNLLFLWPSLIVLDFFGWEPFSLPKDPKVVVIIFVNCLITFVSDFCWAKAMLLTSPLTVTVGLSITIPLAMFGDVIFKHKTMSALYLFGATLILGSFFIINKSSEEEHFENSITASNYESVEVPAANN
VIMSS6585058 1 346 0.3689274566474 PF04719.14:TAFII28:126:198 Transcription initiation factor TFIID subunit 11; TAFII-40; TAFII40; TBP-associated factor 11; TBP-associated factor 40 kDa; P40 346 73 10 346 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04226 1 SwissProt MTEPQGPLDTIPKVNYPPILTIANYFSTKQMIDQVISEDQDYVTWKLQNLRTGGTSINNQLNKYPKYKYQKTRINQQDPDSINKVPENLIFPQDILQQQTQNSNYEDTNTNEDENEKLAQDEQFKLLVTNLDKDQTNRFEVFHRTSLNKTQVKKLASTVANQTISENIRVFLQAVGKIYAGEIIELAMIVKNKWLTSQMCIEFDKRTKIGYKLKKYLKKLTFSIIENQQYKQDYQSDSVPEDEPDFYFDDEEVDKRETTLGNSLLQSKSLQQSDHNSQDLKLQLIEQYNKLVLQFNKLDVSIEKYNNSPLLPEHIREAWRLYRLQSDTLPNAYWRTQGEGQGSMFR
VIMSS6585078 1 198 0.257911616161616 PF02037.27:SAP:69:103 Altered inheritance of mitochondria protein 34, mitochondrial 198 35 10 198 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03673 1 SwissProt MSISLLGRIVSQQFSGIRAAEPGRSLYLPFTLLLKQPGAYKVSLHRYVHSTQTKSHLSFLMNNNDITPFQKFTVKVLKEQCKSRGLKLSGRKSDLLQRLITHDSCSNKKSSVKINEPKKKRILINDPIKITKKLVSDKTFRTIEKNISSLQNTPVIETPCDVHSHLQPRDRIFLLGFFMLSCLWWNLEPQESKPTIDH
VIMSS6585169 1 338 0.561089349112426 PF17058.5:MBR1:3:178 Increasing suppression factor 1; Mitochondrial biogenesis regulation protein 3 338 176 10 338 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32488 1 SwissProt MIASEIFERGVQDPFCQDCDYEDETDVQSFLGSNDLNDFVNSKLASFSFQNSSKSNNSHHSSSTNAGNTSRHIGNHTIGHHLRKIKTAPHHLYGFVPANSTNNSNEPIRPSPRRIRANSSTLIHQLSRQSTRQSSLGDAADSCFDHKCIKPRSRHSSCYGIPTHLYGLEKYVSSELDSLAVANDQSNDLTSPLTSVSTPASNSNSYLNLNSSSAAYPSSYLSNEKNNRLKLISHGKISSNNVPGHSGNLNHYHRERTPSNLRRESFSLLSNGSSSSPLQTRNNSYSNSLVKSPSNSSLNTSVASSNEESSPHTSNCLEERNPRRKSFIKLSLASSFSN
VIMSS6585175 1 562 0.0463660142348754 PF07690.16:MFS_1:42:464,PF00083.24:Sugar_tr:45:203 Vacuolar basic amino acid transporter 1 562 423 10 292 12 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04301 1 SwissProt MQTLDETSNLLPPPEEAEAPPLEQKFHEYNLALPKFPILFSLWLGSFLSSLDSTIVANIMNRVAEEFSESSKKQWIATSFLLTNTAFQPLYGKLSDITGRKSALLTAQFFFGLGCLLTCFARNVTEFSIARAICGIGAGGLNAISSIAVSDICTARERGVYQGYANIVFGFGQLLGAPLGGVFIETIGWRALFGIQVPVIMLCSVLAIKNINIKLFHVPPMKERYTLKNLSRIDIFGSLSLVATISGVLFLCSSQLNKLYLALFTIGSFIVFILVERYYATEKILPFELLTRSFCLSSAVTVISSFVVFGEIFRSPIYLQLLQNISVTKTGLFLIFPSISVAVGSLVTGWVLRNTKINLAHCAYQIIFGGMIMQLLGLGLGYFLLSHLNPDYTIYDMLESITFRSNSIWWKLIYVFASVLVSFGYACLLVATLVSIVFTVEKSQQGTMTGVFYLWRSIGNVLGASLTLVSYENSLSSMLWNYMFKTKRDDEYHFTKKQYYSLINDSSYLRGPNFPTDIFVRILDVYKKAFLISYIPNIALAAVGIVLSLYLVKHTYKRSSSS
VIMSS6585185 1 612 0.222583660130719 PF13929.6:mRNA_stabil:303:594,PF02410.15:RsfS:106:246 ATPase synthesis protein 25, mitochondrial; OLI1 mRNA stabilization factor 612 433 10 612 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03153 1 SwissProt MNKFCLLPFHGKRIGVANIPFTILFKKGPYFLHSHITAVYYSTKGKNDSHEQSRVSKKSTFTPLETPWYLRIVDNEKELMEGKKNNHHTMNKELEIPKTSPNSLRKIADLLTGKLGLDDFLVFDLRKKSPNSVSAVNKLGDFMVICTARSTKHCHKSFLELNKFLKHEFCSSAYVEGNFNERQESRRKRRLARKSNLSKLLGRSSECSAKDLNSEAWYMIDCRVDGIFVNILTQRRRNELNLEELYAPENEKSKFQNIDSGNVPTISGVNEISSNNNILLGLRRLAQQRRRYSTINPNGLSNLRYFLQKEDFKGANKIIQSSSGTETHNIRTLEHVKNTLKDLVGQERKVDVVQWKSLFDEHSTFLTINQSAAYWPLRLEYAILLNKADPQFYSDRVFLKDYLLLKKSLGQELIREDLIALLEMVLKTQHSSHSYFNLVKQNRVIIRALNLFKGLQTEDDGSVVYDEVVISLLLNSMVADERVKLRSLYETIDHIFQTFGDKLTSGMIVSILQNLAKIKDWNKLLQVWEAITPTEGEGQDKRPWNEFINVINQSGDSHVISKIVNNGHLLWIRRLNVNVTPELCNSIKALLKTAGMENSTLEEFLVRGTNNQ
VIMSS6585291 1 127 0.387623622047244 Interacting with cytoskeleton protein 1 127 0 10 127 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04329 1 SwissProt MSSNYATPLDDEVFPLSFANYQFTEHVSLGEHYSLNTSEDAKYNNLNGPFVVPRDTGKFDLNTSSASDETVFSLDNPQENNYKHQAMNNVQDCRMAVAAKTTQSCDKLTDLYANAAQQNYRLWLSSF
VIMSS6585306 1 457 0.1292352297593 PF07942.12:N2227:196:456 Uncharacterized protein YMR209C 457 261 10 437 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03648 1 SwissProt MVSSLASNIILALVVVLMTLLRQNKPLQRWFVSYVEKLLSRKSNLAQKVSVLSPSLKLVDVEKSISADQSNLSKINYQIPTLPDLTGNLIRLHEYRARGEGYNGLLFRRARQLNGISEDQLQKLGYFTKLMKNNEGIRENARVIDKIIEFTLGKLIHSNEHDEEFTEEIEKICAEHGYKIKDGHLIQLNPDFVFPIVSSRGSQSVVHEALAHLCRDFSSYYSVERDPLQNFIISRINHHVISAGDMKEKILIVTPGAGVGGLSHTLATTFPKIQVDSIELSALMYICNLFALEYKHDVKIRPFVQQYSCQTVFDNQLRSLSADLSKVGHRSNLDPLWGDFTRYSPISKDYDKIIICSAYFIDTAENMFEYLSSIEALKKYCKELHWVNVGPLKYGTKPLVQFTGDELSRLRKIRGWKDLVEEYEVDSSKGLNGYLTDYESMYQGYYGLLKFHSVFES
VIMSS6585340 1 314 0.216253184713376 PF00153.27:Mito_carr:22:90,PF00153.27:Mito_carr:110:198,PF00153.27:Mito_carr:213:303 Citrate/oxoglutarate carrier protein; Coc1p; Mitochondrial DNA replication protein YHM2 314 249 10 314 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q04013 1 SwissProt MPSTTNTAAANVIEKKPVSFSNILLGACLNLSEVTTLGQPLEVVKTTMAANRNFTFLESVKHVWSRGGILGYYQGLIPWAWIEASTKGAVLLFVSAEAEYRFKSLGLNNFASGILGGVTGGVTQAYLTMGFCTCMKTVEITRHKSASAGGVPQSSWSVFKNIYKKEGIRGINKGVNAVAIRQMTNWGSRFGLSRLVEDGIRKITGKTNKDDKLNPFEKIGASALGGGLSAWNQPIEVIRVEMQSKKEDPNRPKNLTVGKTFKYIYQSNGLKGLYRGVTPRIGLGIWQTVFMVGFGDMAKEFVARMTGETPVAKH
VIMSS6585385 1 580 0.121941896551724 PF12921.7:ATP13:318:426 ATPase expression protein 2, mitochondrial 580 109 10 580 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P22136 1 SwissProt MWINRLVKHPSYSVLRFYTKRLCTVSVKSLREFGVLPNSTICHSVYPRRTYVMGRAVINDILIKKSYSTHTVCAIDRSKDENNGSAYDKFEAKGIPIDVHTLKRIISSSGMDESEFSKSISYLFAKTVDPEPKDVLSLEDLSFLLSKLYTQRFQIRRICRDINAKYSEFWFKLFSLYAEKVDAKRNQVNLRNTKLDACEIFDANLMIKNFIELGQLGKAQKILSFILDRNPDILLSPKNADISTIVHFLQLRCGALAPYWKIPDNSEQKQGFLRKMVRLGAKNTSIRLSSTYKAMDHQTLLKIADLALQEKKLLNSEDLLSTLIQSFGHLGQTQILERCIEHIWQISPQEFPSHVVIKHRGCYPSSKILVSILVSFYFNDHDLHRGLSILDSFIKHYPDVKLDALFWRRLFQLSHFAWTPANDKKATSVVRCWHLMKQWYASKRLRPSVDYETLRQLYDIMKKTGNFPLGIDVLRSFKPGIERTRAENAGKVNNIIIKYQKCIIKELVNRGRFSAVREFIDSYGFDRKMTKDLNIFCANRMFLRSKKMKNKIENKKEREKVRLDSFDDDEDDGMIIGSLW
VIMSS6585398 1 373 0.420095978552279 PF04912.14:Dynamitin:172:363 Nuclear migration protein JNM1 373 192 10 373 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P36224 1 SwissProt MNVIDLSDPAINVDYDSLIGIDNEESQEIFENEVKEDGQQEEQEEASSRKDGLIVEPGRDVESLRRAIRDQLLFKIHRQNQSDCADARKLSNDEEDESRQQKLERIREELEELKIENLTSEMQTEIKELCEIQSKLATESSSRLTNLRKKLLETYEGQDTVILPNIILDTSNIKRLQKLDQKISLMERFVGIPEALEAEEDRKSVHSKVNELYRSIQLLQGDDKAEGKLQKFRDRLVELNEEFENSLLGKKIQQDLRLKDDTVSKLVMPENKVKEINSMYSMFKQYQDSLPLLAERMKSLNKMNNRVIEVYETTKGLDSQITSIQEQGKVWLKALNELDKKFDEQEVKIRENMEQIRRKIDTLEDEALQRNSK
VIMSS6585439 1 1041 0.358097118155619 Egt2p (RefSeq) 1041 0 10 1041 0 Saccharomyces cerevisiae VIMSS6585439 1 MicrobesOnline MNKLLLHLVRVISILGLANALTQTQPILKDIQITDSYTKTKECTDPDHWFIIEGQLSIPKGSQQNITFQVPDAFSSFPQEPFSIKHNSNSVATISRPDKSTNNFTISIPEKSSEDITTTFNFLAQLTSDAKSKVTEPKSIVYSFYSENTMFNDVIDYVAKNTSAITTDGGIYKTNNTAWFTVDLPMRTFRNPVYLTSQTSSSSDYVFDTSLTKFEVVTAVDSFNEPINAIPYTTVHDYSTEDEIRCLFNSTISGGLYFRVTYFTKKLSTSSISNTVELTYPDEGTSVRLLGKRDTSTTLASELYSESAANIDSTTSDDTTSSDAAITPTYSNSTLSSYTSQSSAIPEVAVTASLSSGILSSTVDGASTSADASMSAVSTVSSSSEQASSSSISLSAPSSSNSTFTTPSSSLSATETYSIISSASISVTQASYIDNSTTTAVTQSTSTIAVSSAEKLSSTLSYTSNVTISVSSATQHTTTPSYVSNSTTLSSSSVLESVISSPYLANTTVSGASSASQSTNPPYVSNSTTSSATQLATIAPFAINITGTSISSSITNTSSVSSTTSSLSSGPFVSNTAVASGSYILTTTTESAQLTEIGSLIPISTITTSTTTSGTDKTGSNKVASSTEIAQSIVNNSSLSVSTINTNAATAAANARNATFTHATHSGSLQPSYHSSSLLSSTIDTKVTTATTSTSRDGSSSLAFTTGLNQSVVTGTDKSDTYSVISSTESAQVTEYDSLLPISTLKPTVVTGTSRNSTFSMVSSTKLTEATATDKGDAYSVISSTQSAQVTEYGSMLPISTLETPTVIMSTDESGYFTLTTCTESGQATEYGSLIPISTLDGSVIYTFTGESVVVGYSTTVGAAQYAQHTSLVPVSTIKGSKTSLSTEESVVAGYSTTVGAAQYAQHTSLVPVSTIKGSKTSLSTEESVVAGYSTTVDSAQYAEHTNLVAIDTLKTSTFQKATATEVCVTCTALSSPHSATLDAGTTISLPTSSSTSLSTIITWYSSSTIKPPSISTYSGAAGQLTIRIGSLLLGLISFLL
VIMSS6585498 1 131 0.120093129770992 Bsc4p 131 0 10 131 0 Saccharomyces cerevisiae S288C NP_014130.1 1 RefSeq MSIVLRKSNKKNKNCITSKFYTIHIIKISTPVFRAPIAIGESPYVEWSCLQVVFRKDMVTKKTTFAQLITRLNHFLCQALKRRDSKTYILCRTAVFGAMTPFSPRKSHINNKLPMQPRKKKIVIIYVVRFH
VIMSS6585565 1 298 0.503106375838926 Sporulation-specific with a leucine zipper motif protein 1 298 0 10 298 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40167 1 SwissProt MMNKVDQIIGYKKYEVKLPKDRQVKKNKSKGGNVDQIDTKREKDKMRAFGEERKKFLDKMAKNKKKNTSRKDREKPKEVEKENYKREDKRLKEQKKLSLAKEFRFKEPNSEAINQNTAAENGKPKPQTGLDFDIDHQTVSKIMIDQAIQTSSPLNVQLTELFNDNVLDVSKDSQFVLQDMEFTSWERRWSNCSTTSNATTVSSVPDPKYNINYNDITSFNSVALITEDLNISASSNGLHERGKKLLQQEMEYSNKVKNVTIGLERLCMDEKPVPDAGITMQQASRWSEFPTCCDQAAI
VIMSS6585585 1 366 0.585387158469945 PF16561.5:AMPK1_CBM:8:87 Signal transduction protein MDG1; Multicopy suppressor of defective G-protein 1 366 80 10 366 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53885 1 SwissProt MQSSLPQFTFKWPKGPEAIILTGTFDDWKGTLPMVKDPSGAFEITLPVTFDSPSSKFYFKFIVDGQWLPSKDYKVNIDEGVENNFITEEDVIKQRENGSSTLVPESAGLAVSKNAPLIEPEAEKRAKKLRKFKIKRVIKTNKQTGERSIFSQEVVELPDSEDETQQVNKTGKNADGLSGTTTIIENNVGVNEEKAIKPYEENHPKVNLVKSEGYVTDGLGKTQSSESRLYELSAEDLEKEEEEEDEDKGGGKDTSTSADAEASEDQNKEPLSKSAKFEKPEEKVPVSSITSHAKETSVKPTGKVATETQTYETKQGAPTAAAKKIEAKKATRPSKPKGTKETPNKGVQKNPAKNGGFFKKLAQLLK
VIMSS6585613 1 537 0.425114525139665 Putative protein of unknown function; the authentic, non-tagged protein is detected in highly purified mitochondria in high-throughput studies; YNL144C is not an essential gene (RefSeq) 740 0 10 537 0 Saccharomyces cerevisiae VIMSS6585613 1 MicrobesOnline MSSSIFEMTIDHDGMDSTGLKLSQTASSISMGDEFLCSSTTSNSILDSPLPKVTFNHIDSITDINTNIMNEIVEPQSGVDVDVADKNVLYCIDPYPVEPPCYDFANPSKVIRYPIYEHCRPCLTSVKPPSYTPSVEHYTVVSMKMEKLSPFENASSRLWNNFILQINSTQINFYSIDDSLTRHIKNYRGGDMFDHSHHSKTASDRHHSARSLLNAFTTKSTYQFDKYDKERICGEIARDEHKFLSDERLFKSYSLQCAKVGLPIDYSSRDFVLRMRCEGQQFLVQFSHVDELIYWAMYLNMGISLSLDLELREMPTYRSVPRRRHPRARRFKQHNKNKNKNKSRQNSDRNDSRSHSLLLRRSHTSSVVTKVATGNERPTNKSRSRSLSLLPPSVSDASHDSNGPNDSGSLAGESSQTDFCGLFTSKLRNFFKTDSSSRKNSNMDIGQKMRSKELNSVQEEIDDNGSTTNTNTSVLSSTFSPTAHSVLTAQTSIHENFRSRSNSNPIDPLRCDRSVLKINNFEPVYEGTGRSTISNSA
VIMSS6585623 1 173 0.51250289017341 PF10187.9:Nefa_Nip30_N:29:106 Protein FYV6; Function required for yeast viability protein 6 173 78 10 173 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53913 1 SwissProt MSSTSDNNANSAREKKPLKFVSEGVGNVEAQRIREQVEQKKYEAEYKRKTRKSLRDQLRSNAISKQKQYNGLVRDRESFTRLSKEDLEFYQKSKNELLKKEKELNNYLDVKAINFEKKKKALLMENDSTTNTEKYLETGTSLGSKTQIKGVKTSSPKPKIKVSIKKLGRKLEN
VIMSS6585631 1 953 0.289997376705141 PF07923.13:N1221:219:487,PF11882.8:DUF3402:585:795,PF11882.8:DUF3402:812:930 Factor arrest protein 11 953 599 10 953 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53917 1 SwissProt MNASGRSHSKGPIIRSVSLEDLKRNSSFKGNLKYKDEVTSHKEPQVGTLSNEELLKDLDNMLRGKLNMGRNSFHADKRNKSDGNISALTFKARSGLEGDIRTIDIQQDSSDENDNFKFSDDGVNKDRNNEKDNNTDNAVEFQDDAEEAEEENEDESFANVDELDGFDLNKVSDGKHVPINEKGEVDYNMPVDKEFQKSLDQCAASLEERSSAPYALQRAVDWELKMFYSLEDELSEWFCSSDYMHFGQTQTLFKQKITQPQLFFDDENYAASVVECLIEDIPNSLASNLLALSYISMGCFAFTNSKSEHTKIIRRNNLMLVPHIQEIVHAFKKIAISCRDDNRNLKKQTILLFHSSTILYFICSICIEGRGENPEAVNVVIDAFEKTDLLEFLTKYIENWRWNSRLAMRIRNMISLLFKLIVLQFGDSSVYKQTKSSIYNLHGLTYPSKHPEKLSISPLHYQAFREDITSRFPDYNMPSSGLPKDVDKSESLSQFLEIPRPKSKNPLNMALIVPEKHIATPAPSPPSSPQLMHLGEGPRPRKSFQTNMAYPCLYPSDNEGSEDDTLEDRIDLNIERKPDNDIVIPFSTEEAARILSESLEIKLSTKQLWYERDLFMITERGWKQQLENEPYDYAALNHDANSSKEEKSAICIMQRIDKYYKSCLSSFNSLVFVLLQTMESSLTNNFHRKSEVSDKNLLNMLTPQLEIVRAKELSLKSAAGILHALLKWFKLSHILKFEHLAVVIHDSRYINTCASILSKYSEVYPERVFNKYVQTPNSFWKECSLSNESYRESYSVDDSGEVDTEIMPSFAYLLRILRKVTGNKTQRLKELPLSIGILFKRYYRLFNLDMYHPILKITRELTPFKNKRWKSEHMELISGVYLYEKLELTDNWVTGKDISGELSDACGQEIALRALLQFYNFQHYEISMEDLGYGHRNSSSQDLLNKESEYLNI
VIMSS6585633 1 673 0.188834026745914 PF07690.16:MFS_1:216:547,PF07690.16:MFS_1:436:561 Uncharacterized transporter ESBP6 673 346 10 429 11 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53918 1 SwissProt MSTHSNDYFSASSGMVSETSSEVSSINSSQPVSFSKASIAAPVPCSDLHSTKSNDASRKLSISRTLTNRLNDIKKAVDDDNLQTEENSADVNKILESRFDVADAIRLQHNESVQSKLNIPVTHTTTAGASLSAPSSSAFSASSIQNDTTEHKASMDSKLMRNRLYPASTKHSGKDLEAQGITEFEPDEPTVKKVFTNKSTGQLELPPDGGYGWVVTFCVFLTMFSTWGCNASFGVDLAYYLNHDTYPGASKYDYALIAGLTVFLGQLLSPLVMALMRIIGLRTTMLFGDAVMLAAYLLASFTTKLWQLYVTQGFMVGCSISLIFVPATTVLPGWFLKKRAVAMGVSLLGTGAGGVVYGLATNKMLSDFGNTRWCLRIIGISCSISVLVAIALLKERNPTPAIGLKSPRAMFEQLKAMFSLKVITKPFVVLIALWFMFALFAYNMMVFTLSSYAISKGLSSHDASTLTAILNGSQSIGRPLMGLAGDKFGRANVTIVLTTLLTIYMFAFWIPAHTFVQLIFFSILVGSCVGVANVMNTVLIADMVKPEEFLPAWAFVNYCGAPFLLVCEVIAQALTVEKDKSNPYLHAQIFCGCCFIAALILISILREYSIRMKLTERQAMTNEKLKEWKASEYDTDSADEDWGKLKERKTKYDLLLGPGIKKYFLRMVYPMKV
VIMSS6585669 1 102 0.304424509803922 Biogenesis of lysosome-related organelles complex 1 subunit SNN1; BLOC-1 subunit SNN1; SNAPIN-like protein 1 102 0 10 102 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P48232 1 SwissProt MAGDSISADGTGVHPVELSVYSVLSTDLDGLYQSINELRESQALLILMLRKVRDKLRREGQVLYDPEPFKPTMDKLADLSARVRILSQRYEELQGNARALNN
VIMSS6585711 1 172 0.237459302325581 Uncharacterized endoplasmic reticulum membrane protein YNL046W 172 0 10 126 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53956 1 SwissProt MEHVSKRSIGQFFKRKTSTVDGSKSQKCGTTNQLRKLLHKRRVQKQAVPVESQYRIPGDFRDNQSVRVKNSMYNSSPSVTPSTHHINERYVRYDINTRPLVVVLAISIVFFGCLLVLKDIIIQSSENILSVSKWKIIGASFMGTPYTGLLTGLVGPLLSPFSAVSSWLSFIF
VIMSS6585811 1 210 0.706091904761905 PF14475.6:Mso1_Sec1_bdg:29:70 Protein MSO1 210 42 10 210 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53604 1 SwissProt MMSQVSHSQEGSGRFWNKFKSSTKSLSTSLAHLSIKAEKDGDTVNTTLVHKGLVKFYENQHPFQGFPGWLGEKEDLPNERKILDTQVKHDMKKQNSRHFSPSFSNRRKASSEDPMGTPSSNGNTPEYTPASKSFQDIYNNHTSSSSATPRRASSRPTRPSAGQEFRASLGRSKTSNSFNTSSTPTPPPDASSGVMAMKDRLKRRNNDYGF
VIMSS6585817 1 316 0.52740411392405 Pre-rRNA-processing protein ESF2; 18S rRNA factor 2 316 0 10 316 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P53743 1 SwissProt MSEKVNSDFEDFSSDEETDQHNVLIQTKKKISSKDDIFSKKVEDIESENESDIEEEQKQEEKEDVEQPDKENGEKLDREVEEQASSTTSLDLKTEKLRQLVKSKAAKKSKHKTGVVYFSSIPPYMKPAKMRQILTRFGEVDRLFLKKEDDQKYKQRVKGGGNKKNKYEEGWAEFIRKRDAKLCAETLNGNIIGGKKGTFYHDDILNVKYLPGFKWADLTEQIARENDIRQAKLEMEISQANKLNAEFIRNVEQSKMIQNIKNSRKRAGKEGESADSHPHREFKQRRVETSRANAPSDIKQQSSGSKDLGNVLTNLL
VIMSS6585827 47 607 0.169496256684492 PF04082.18:Fungal_trans:167:372 Putative zinc-cluster protein of unknown function (RefSeq) 607 206 10 561 0 Saccharomyces cerevisiae VIMSS6585827 1 MicrobesOnline NIDKRKDKRKIKNGSKVFLFKNNTIDNGNNSILENKGLNEDLSSHIYEKEAPKFDSDIDISRFGTNDAVIFNNDGWDTSLPIDFDFDEFNTETTDFDDFLKLLGDNSPSKEQKSLSYSPTATGLSGVVKETESEDNAPTRSRLIDVLFENKLHSVPGISKWHLYELESQYPNLECTEGNSDEKFLLSTVLCLGSLTIRKRELLNHSNIDNRPLLPENSISKLTTDAFKYYNAAKTLVPDLLSHPTIDGFCGLVLMANFMTMMISLEHQLYLSINALQLAVALNLNNNTKCKELLESNSDGIGVILLFWNIWCSSCMLATIHGKNPFITLEQITTPLPCEISPRNKTNKLLIDFMQIRIKLATLQSKIFQRLYTSSTANEVPFVNLEREFEEVSLQITRLKGFPIFEEHLFYRSRVLMLELSCLRAQASFLLYRPYLITGESLQAVTMAKSIIHEIWSQYTKQFPDNEKERHERLDWNFCYPLRTASLTLCISCIILLRYKQVVQFLKGTELFEYILALEILQDLVQVLPIEQNLIDIIKYPISPVQLSGDSFVEFWGRILY
VIMSS6585829 1 594 0.205227272727273 PF15902.5:Sortilin-Vps10:1:84,PF15902.5:Sortilin-Vps10:305:593,PF15901.5:Sortilin_C:97:259 Protein of unknown function; YNR065C is not an essential gene (RefSeq) 1116 536 10 594 0 Saccharomyces cerevisiae VIMSS6585829 1 MicrobesOnline MLMTGSVGDGSEFDWEDQKTFISRDGGLTWRFVHNSSGLYATGDLGNIIVYIPYDPEEDGDFQSEFYYSLDQGRTWNEYELTNAISSVHPYKLINPTPDGSGSKFIFKGTFATTDSETNSITSLKGVEYIIDFSAAFDSRTCEEEDFEDWDLADGKCVNGAKYKYRRRKQDAQCLVKKAFKDLSLDETPCNSCGESDYECSFEFVRDANGLCIPDYNLIAFSNICDKSKDKSVLVEPLQLIKGDECKTPMKIEPVDIPCDEIPEEGSSDREIVTTENKFDFEIKFYQYFDTVADESLVMLNSIGDAYISHDGGQTIKRFDTNGEKIVEVVFNPYFNSSAYLFGSKGNIFSTHDRGHSFMIAKLPEARQLGMPLDFSAKAQDTFIYYGGKNCESILSPECHAVAYLTKDGGETFTEMLDNAIHCEFAGTLFEYPSNEEMVMCQVKKKSSETRSLVSSIDFFQGDNKIIFENIIGYLSTGGYIIVAVPHEDNELRAYVTIDGTEFAEAKFPYGQDVSKQEAFTILGSEKGSIFLHLATNLESGHDFGNLLKSNSNGTSFVTLEHAVNRNTFGYVDFEKVQGLEGIIITNIVSNREK
VIMSS6585830 1 436 0.196332798165138 PF15902.5:Sortilin-Vps10:55:429 Putative membrane-localized protein of unknown function (RefSeq) 436 375 10 436 0 Saccharomyces cerevisiae VIMSS6585830 1 MicrobesOnline MILLQVICTIWTCLFIPLLNAEEFVPKVTETLSEYSFSLESFDDSNSLIRLDNQVVWISSDSGENWEAVKEIEGHILELIVDPLHGQDRAFVSIHLSPKFYVTDDRGKSWRALTIPVSENCRLGTSCSIATHPTDKKYLIADCPCFINDNGYIQIQNETYFTNDGESFYNIEPSLKKKEDDHITSSSCNFVKSSKDSDIEGNDASILCLFSNHGYDSDRHLSAAYTQLALSTDGGKTFKKFDEFNDKIIYQYKILKSHIIVSTQDDRYNEMSPMDIWISNDASTFQKARLPAQVRHVHMYGIYEDSIGRIIIPISTIFTDEKNDQPAPSEILISDSQGLKFLPVEWTINPHFGYIDIASPHFLEGTIIGSFHPSFDYSHNKGKYNKKIARYETKISVDNGLTWSNLKVVDEENADSFPCDITRPERCSLQNPFYSI
VIMSS6585839 1 374 0.0809393048128342 PF00674.18:DUP:61:158,PF00674.18:DUP:262:346 Protein COS10 374 183 10 328 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P52924 1 SwissProt MGEEIRLLSSKGLETHSSFCLPEDIFRNRLTWLCSEIRNSGFWIWSLSWLPLAVWWGMASTWFYPLLTSVVLILALIAILPVVQIQYSKYTLSNQLTQLSKEIIKSAPGAYSADWDAVAIHFNSYLYENKAWKTAHFFFNGTDCQEAFRKTILEPAVLRRQNEDPRFSSIEILVPYTEDAVQVYFTKVNAQWRLIHGKKECKLTMLENVKLPKETYRCKLAWSCQRIRISFSPLDFLPDMSDFFICANLSPACLVSYWLIDIFFRMIDDFQNIRPKSMKVDDKMQYLSDIINEQGASPEKWDTIARKTNTRLFEKRVWKNEEFFFDGTDCQAFFERNFSSLLFSKKSASPRSLNVELWKYIQEAQLSCNYEPLP
VIMSS6585877 1 108 0.488125925925926 Uncharacterized protein YOL131W 108 0 10 108 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08270 1 SwissProt MNTNKIAQDEVQDKVLQRAELAHSVWNLRFNLSKVAKRIRMETKVFPEIKINDAQSQLERSRCRIFSPDLEEEHVPLIQGFKCLDSPPPVPPSSSQGEDEENTVDSQY
VIMSS6585891 1 382 0.551062827225131 PF12550.8:GCR1_C:278:356 Protein MSN1; Multicopy suppressor of SNF1 protein 1 382 79 10 382 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P22148 1 SwissProt MASNQHIGASNLNENEAILTNRVAELERRMSMFEGIFHALSNRLDLHFKKYDVVVNSQQQQINELTAFLSTLLNDQQRHAEILSEKLSGTLHGVSATSISLSQTLDPQGFTDGTTAPGAPRNYTSVPMNNDQTAHPQNEGAVSNETLFEDILNGNSQENDKSQQQTNSSNSISQENNSTNPSVDTRFNKPQNYNSNLVPSLEEYSANPPNNDGGQSQGLYISSNSSQSRQSPNLQKVSPNHENAVESNAQESVPTFEEEQYETKTGLKRKRIVCTRPFEFIKSPHSVMEVWKEYTEGVNGQPSIRKMEALYQTAWRRDPAVNKRYSRRKVLWKAIQTGLNRGYSLNYVVEILENSRYVNDKQKVKQPIGWLCHSSHIPETLK
VIMSS6585901 1 342 0.0472514619883041 PF08551.10:DUF1751:72:170 Transmembrane protein 115 homolog 342 99 10 296 2 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12239 1 SwissProt MQYSSRFLELNIPDSFLNINKIPDATKFITVTYICLTATLFCIRRSLYNKLVLEDPNLDYNLITSPLLQMVPSQIWRYPTSLVLSNFIDTKAWKVVVNLLNLIIGGSFIERNWNSSKEMFKFIIVLGSLTNVLIIMLTLLVSFFSNKVRLDIPLDGNYTILIGFPIIYRQLLPETTIIHLKTPQFLAKNFRFKLLPIFVMFTMTVTQIIWFHHFAQLFSIWVTFFASWSYLRFFQKLAPLNCPSLPTTNSQGGQEILVGDASDTFQLIYFFPDLIKPILRPIFNFIYNVVVVKFKVIKPFHDIDIDIGNTIAESRGAKKIMTVEERRRQLALQVLEERMVNP
VIMSS6585989 1 172 0.233003488372093 Putative protein of unknown function, predicted to have thiol-disulfide oxidoreductase active site (RefSeq) 172 0 10 172 0 Saccharomyces cerevisiae VIMSS6585989 1 MicrobesOnline MSKLSSYPHAADFINMEEPPKSKEFFDDLCAVPNLLKRRFPNSRRSTHYCEALNYSRKKLPVVLSKMTLQELRHNMSTFFLQEKDQINIYDTCKVIDMGDRVLLETMPPQPRDLFEKLHASKTNLVVQTAALDEPLLTVKAELQSSSFPQKSSLFLYEDYKKFIYQQLDMFS
VIMSS6586010 1 207 0.117936231884058 PF03364.20:Polyketide_cyc:52:185 Coenzyme Q-binding protein COQ10, mitochondrial 207 134 10 207 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08058 1 SwissProt MVLIIRPSQTLILFRKAMLKPIGRYPLKRNFFGLSGTNHTIREQRYVLRKAINAPPSTVYAAVSEVAQYKEFIPYCVDSFVDKRNPVDNKPLIAGLRVGFKQYDEEFICNVTCKDTDHTYTVVAETISHNLFHLLISKWTIMPHPNRPNAAMVELLLRFKFKSRIYNSVSLIFAKTVTELVMNAFAKRAYHLVRLAMLKPSSKEGSP
VIMSS6586029 1 133 0.179756390977444 PF00660.17:SRP1_TIP1:13:113 Cold shock-induced protein TIR2; Serine-rich protein 2; TIP1-related protein 2 251 101 10 133 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P33890 1 SwissProt MAYIKIALLAAIAALASAQTQEEIDELNVILNDVKSNLQEYISLAEDSSSGFSLSSLPSGVLDIGLALASATDDSYTTLYSEVDFAAVSKMLTMVPWYSSRLLPELESLLGTSTTAASSTEASSAATSSAVAS
VIMSS6586050 1 434 0.557576497695852 PF00010.26:HLH:268:342 Probable transcription factor HMS1; High-copy MEP suppressor protein 1 434 75 10 434 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12398 1 SwissProt MPNFQKPFSGSSDGNSVMNDLGNKVAIKVFDCRSAQDGSEEQNVNVTTNQMYLMFQSNNYNVPPPNYNTEDLGSQGPPTHAYYAPFQHPIHLQPPVPPVYKNNTYSATDQYSDSSFPNTSGHTPVIDSNYYNDALASIPTTTTGSTTMTTDNGNTIDSEEYIDNMEVFSSEENENIDNVKQTDLKSEKDSSLLSAASIVKKEQLSGFENFLPLSKTESPLVTADEIKSSLNLENIDNADSMSFKLKTSPIRKHFHVKPKRITRVRTGRVSHNIIEKKYRSNINDKIEQLRRTVPTLRVAYKKCNDLPITSRDLADLDGLEPATKLNKASILTKSIEYICHLERKCLQLSLANQHLSNDTRDSFVHLTEPSQPLSDNSSSEQVQKQTRSCQRQRQRQPRQQQPLHNIQYNIPHQNGLMSGTNNSHDMDFNNAGDF
VIMSS6586164 1 622 0.166697749196142 PF08118.11:MDM31_MDM32:90:165,PF08118.11:MDM31_MDM32:224:434 Mitochondrial distribution and morphology protein 32 622 287 10 599 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12171 1 SwissProt MLITRLRVPTIKRPLLPITSHLVRHCIRTYVATNHGNVRPFITPYKSSLPVRCLIAQRHIRTFPSNDKFTTKASNIETILLRKNNEREFKQSLLADAKNFQERFKINLKWILIKNNRPFSLNEISIIASWLILSQILWLILSTTTFISFYLFVINSVFSQEYIHEKKIYERLLKWLLKDHKCSNQDLEITFSPEDKASMLVLSPDWESNSILIKRLNVRDEILDLDLKFHHINLNVSLKNWLLGRGLITNVSIYGIRGCLNLSNFINLVNSFQGDQKTENFLKTLNNVEITDSEILLKQSLSAQETPSLKFSIYNLSLPRLRLNHFISDILSAKTFSGSINNSLFNLFKRQQKLTAVIENNNKNRMASSKFDFTDNNQENYRTVTHQDDPNYVTTLRLNFININDLKFNGDGKFNWLKDGQVEILADIMLTNSTSHLSSESKYAVVDLKVTCRDLKTTFPQEPPVLSTGDSIVSLDELKPIITFINSYEGMANPILKDFSENERLTNSIIWNSPNVSINRQRKSYPLTTKVTSNSTKEIIKFHNQPNTNANEIVLRCKMVKNLSDLQLININQILDQITMELYVDLTKIVEDWEFKNKNDWMKQWGTTFASQLLLFGFGAMV
VIMSS6586224 1 556 0.220678597122303 PF01926.23:MMR_HSR1:218:308 Genetic interactor of prohibitins 3, mitochondrial; Altered inheritance of mitochondria protein 40; Found in mitochondrial proteome protein 38 556 91 10 556 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08622 1 SwissProt MLNLCHALRGVRQFSCSVIVKVKCASCSIKLQDQDPSKPGYYTKPKSLPDSKLNPDLQDLKYLLFSQDIQLSKQATQNDPDLKTKRDLLLRVICKRCSNALHHNNYNPEEFPESTLNDILNYVPRGSNVMHIVPFVEFPLHLDPNVLKRNDLDTTLVLTKSDQVFKDKNAVSKKVPIFMKQFLKNTLRIDSNKTFAISALKNWNISMFYNYFKNYTYLLGNPNVGKSTLINTLLQKYLGYKVKIDSTGKINSPSEEVMQEAFTNPKNFFKIQAAGVSHIPNLTRSVQAYQVGGKILFDLPGYSTSTSRLRLEELIDERWLQRLRKTDLFNRKHIKQKTYESMKGTSQGGCYTVGGIFYLVPPKGSINQIVKYIPGPSKTFKNIEKGIDVFNSCNSSSGTHPLSRYCGIKSVICEKSQYKRYAIPPFIGSIEIVLKDIGYILLRTTGRYEFKGLHEIWIPRGIQVGIREPLENLIESGYQRYIETNGKESSCPRDRPIISSLYEMAPDEADTLNAVKKSYLEKTEKDLSARRFVDDDPYDLVQHLEKKKNPYWYYQW
VIMSS6586232 100 248 0.262124832214765 Something about silencing protein 5 248 0 10 149 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q99314 1 SwissProt FSIEHDLTFEDDAYAVDYTVDVPHEFSHLNSELSKYFDLPWKVVSPEEEMSLRIADLPWIKSLALIDEDMMTDVVQMILNDPAVQRAIENHPRREQFFMFITQLPDDLLMKIQAFLKLPNKNSTKQERTNFGSDAIHKDEPVKAHNKLK
VIMSS6586467 1 334 0.0945802395209581 PF00535.26:Glycos_transf_2:76:251 Dolichyl-phosphate beta-glucosyltransferase; DolP-glucosyltransferase; Asparagine-linked glycosylation protein 5; EC 2.4.1.117 334 176 10 311 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P40350 1 SwissProt MRALRFLIENRNTVFFTLLVALVLSLYLLVYLFSHTPRPPYPEELKYIAIDEKGHEVSRALPNLNEHQDDEEIFLSVVIPSYNETGRILLMLTDAISFLKEKYGSRWEIVIVDDGSTDNTTQYCLKICKEQFKLNYEQFRIIKFSQNRGKGGAVRQGFLHIRGKYGLFADADGASKFSDVEKLIDAISKIETSSTDLKTTKPAVAIGSRAHMVNTEAVIKRSMIRNCLMYGFHTLVFIFGIRSIKDTQCGFKLFNRAAILKIFPYLHTEGWIFDVEILILAIRKRIQIEEIPISWHEVDGSKMALAIDSIKMAKDLVIIRMAYLLGIYRDNKKC
VIMSS6586499 1 236 0.594148305084745 Ribosome assembly 1 protein 381 0 10 236 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q08932 1 SwissProt MNYNNFENSKGDGHSRLPKPTYSGTLSDGYDESKIKRQKTDSAFNAAYSPHMYPNSPYYEGSWNTGYTPQLHHVAPHNQYFHPIQPSTQYNYTSPPNYTENYIPPVHQNISYAPALNLQKWPSSYCENTQALKNDKDYQTSISYEDVAIPTVKEIQLIEKNRGKDTFMNEISPVPSSKDQASAEPTEIPRKDPELANSNAEDDHNNLGLEDDDRDEQLESEGLGKVVLVPGTSIAL
VIMSS6586528 1 373 0.078049329758713 PF00856.28:SET:23:337 Potential protein lysine methyltransferase SET6; SET domain-containing protein 6; EC 2.1.1.- 373 315 10 373 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q12529 1 SwissProt MTIDGDVHEISPFFQVRQTKWGGRACFSNGNIPKGTTVLQVSNFTGTSISYEFRKEVCHNCFAYANAKTMKYKLNYDYLRDLVCNAHYQINPKKFLGAGLWFCSEHCRTSYLQIPNIIELIECYEILLHHFPSMLKRYNYTSEQEEKLNSILISENVIQSSWDEIESKWIPRINNMKSAKRINQLPPTCEDEYCCIRFVCESLFNLKYMDPQCITYRAFNMLQSNELSKISKFPVLLHFQKLVFQTLYILLPSHLHRMLSIPLLRHILGTEYGNAFGLWQEGEASDSREYFGYWVFPEASYFNHSCNPNITKYRKGNSMLFTMNRDIKKDEQICIDYSGVLDLPTVKRRAFLADSWFFDCACERCKSELQSVH
VIMSS6586555 1 460 0.215586304347826 Transcriptional regulatory protein UME1; WD repeat-containing transcriptional modulator 3 460 0 10 460 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03010 1 SwissProt MSTLDIAEDNKIKNEEFKIWKKSIPSLYQHISSLKPIFGSGVDESPSTLRSIVFTNDSSCNKSKGVLSVPLLYSQGSEIFEVDCIVPLGLHYKKPESISEPLVQPDYTMESQKVEQTVLIPKWEFKGETIAKMIYVDNSEINVKVIALSTNGSLAWFREGVKSPVYTMMEPSTSLSSASSGNQNKPCVDFAISNDSKTLTVTKEKHLDNENATIKLIDNSGKIGEVLRTIPVPGIKNIQEIKFLNNQIFATCSDDGIIRFWGNEIGKKPLWILNDSLDGKTTCFAASPFVDTLFMTGTSGGALKVWDIRAVIALGDADAELNINQGHNKVNELFKVHHFYSEQVSKIEFSSISPMEVVTIGGLGNVYHWNFEPVFAIYNEIHEDFQGIISDELEAESMAFYHTEGCRREIGENNKVNTVAYHKYIEDLVATVDSDGLLTVYKPFTGKVLDGSREVGAAKS
VIMSS6586557 1 1276 0.413871394984326 GLC7-interacting protein 3 1276 0 10 1276 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q03016 1 SwissProt MITNTEFDVPVDWLYKGKSRRKTNTKPSRPSTSPASSSSTSSSKNGDNSTSGNRSSNDKPRARSSSVSNAALCNTEKPDLKRNDGNTSASDTDNIPLLTPINSGNRSDSADIDNPATVDAIDLIDNDDNGSSTQFVRKKRSTSISNAVVSSKPRLASSAINATASSSVGKGKHPPISSPSNATLKRSNSTSGEKTKRSIFGSLFSKRSTSSSASTAKKPLPVVNTSTTENESGGIKAVATPDPRVKEISSPMRGVAPTASKPQTPILPSPALAVKDLSTVSLKRVSFAVDKFESDPPQQLPSRTPKKGNILIPDDMISEVPSISVGISSSNQSAKSTNSNIKGPLYTKKSKEYILALENQKLALREAAKHQQEAHFAANRIAFEVANFKTASDAGGKLTEKSSEGTITKQREEVSPPNVEADRELENNKLAENLSKAGIDKPIHMHEHYFKEPDQDKYQDGHSIENNEVTLDVIYTRCCHLREILPIPSTLRQVKDKTAPLQILKFLNPKPTLIDILSFCDFITIAPIHTIVFDNVALNQDMFRIIISALVNSTVLDKLSLRNVRIDQDGWKLLCKFLLLNKSLNKLDISQTKIKSDLAESLYRHNMDWNLFTDVLSQRSHKPIEELLFNGIQFSKIPYSCFARLLTSFATQKNFPESGIRLGLAGATTSNISQDCLKFIFNWMSQYNVQGVDLAFNDLSTMIKPMVGKLSALSYDNLRYFILNSTNISTSYDLALLLKYLSKLPNLIFLDLSNLSQCFPDILPYMYKYLPRFPNLKRIHLDSNNLTLKELAVVCNILIKCKSLSHVSMTNQNVENFYLMNGTDSPVQQTNTDGDLDSSSTLDVKGQFAKNSFSSTLYAFARDSPNLIGLDFDYDLISEEIQSRIALCLMRNMKRTMDSTFQLDELDSQDDLLFDGSLVTMTAESVLEKLNLLSDKSTKVKKDTTKRYLLKKYIEKFHILHHNVQHTIDTMFEKRKSGELPLQEKENLVRLLLLEQNLCNILELFSHNPNLNDVLGSSRDDSKESVDSSEDSKLPALKHVESGYHVPEEKIQPENDVITARPHLMATDSGKTIDVFTGKPLVFKHTSSSTSVGCKKQEEEEGELHKWGFFVQQQRSLYPENESTRQTPFASGDTPINTETAGKSTSSPSVSTSNNETATTSLFSPANPKILPKIPSGAVLRSAIMKAKGIDSIDDLIQNVNSNNIELENIYGESIQNSASTFTPGVDSDVSAPNTDKGSVETLPAVSTDDPNCEVKVTATYDKLLNNLSMERSIRL
VIMSS6586572 1 222 0.353573873873874 PF10376.9:Mei5:15:222 Meiosis protein 5 222 208 10 222 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::P32489 1 SwissProt MHNQEEWLDKDKTLVNEEENTCINHSYTKKDTNNYRVGKSGIKDLKKPTNQKEIAIKNRELTKQLTLLRQENNHLQQACKILSENKIIENRKSIEKWRTICEMELSFILNSTLIKINRMGGYKDFLEKEMEAKKRRLEYQIDNGMEDQICEIKESDDFRQLSEVEKQEWESQMNEQLKELEKKKIAELEKLNKVLHDSEGKDFGMAELCTRLKLDYSLIFPQ
VIMSS6586730 1 67 0.360859701492537 Sporulation protein 24 67 0 10 67 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q3E752 1 SwissProt MVAFLELTSDVSQPFVIPSLSPVSQPSSRKNSDANVDDLNLAIANAALLDASASSRSHSRKNSLSLL
VIMSS6586837 1 304 0.0970805921052631 PF10230.9:LIDHydrolase:30:292 Uncharacterized protein YPR147C 304 263 10 281 1 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06522 1 SwissProt MTVKEYTKSKLPCSILNIKPTVTKSGEDAPLLVWIPGNPGLLYYYQEMLHHLHLKHPDWEILGISHAGMTLNAHSNTPIFSLQDQVDHQVEVINNFSCKNRKIIIMGHSVGAYIVQKVCLSNKLVGSVQKVGLVTPTVMDIHTSEMGIKMTAALRYIPPLAHVVSLFSYIFFYWILSEGFSRFIIDKFMGCGSTGYQAVLSTRIFLTHRQFVRQSLGLAAQEMEEITTNWEFQDRFINYCEENGISIWFLFSSNDHWVSGKTRSHLSDYYKDKVKQERLKIDVTDKIPHSFVVKHAEYAINAFF
VIMSS6586884 63 163 0.390474257425743 Myosin light chain 2; Calmodulin-like myosin light chain MLC2; MYO1 light chain 2; Myosin-1 light chain 163 0 10 101 0 Saccharomyces cerevisiae (strain ATCC 204508 / S288c) (Baker's yeast) SwissProt::Q06580 1 SwissProt NDTSTAEVGEEGVSFPIFLSIMGKNLSQFPEREELEESLKAIGRGHDLNVPLNEVIDSLKEAGFENPEEEFAKLFKLFTTNQQATEERTFRGKLFLDSITD
VIMSS659709 1 344 0.31495523255814 PF07602.11:DUF1565:65:310,PF13229.6:Beta_helix:165:278 putative lipoprotein (NCBI) 344 246 10 344 0 Leptospira interrogans serovar Copenhageni str. Fiocruz L1-130 VIMSS659709 1 MicrobesOnline MTRFLLLCLSIILLLGGCTNEKEGKESDLALLSGIIDPTNLYISNPDQNDSRSLTIRIPIYVDNVIGNDNWPGSNKGAPLRTITKAIALAGGRHDVHVAPGEYSEQTGEKFPIRIPDGATLIGDELGKGLGFVLFYDKSGNHPGPKPTTIQFHADDLKETILLGENSTIAGFNLQNPIALRAYGSKIRNNTISQGIGIFPANTSNVPSGGHTISGNFIGSKKAPNYNYGVGIFVDRTTYENKIENNTIYRNRYGVVIRPLGKADLGGGSQGSVGNNIISCSLEMDLTFLFDINTRLYAQNIKLDHNPPTYESSQNFPKGVDIYRPGPYGTIDISGYSLAPNPCN
VIMSS661805 1 306 0.29032908496732 conserved hypothetical protein (NCBI) 306 0 10 306 0 Leptospira interrogans serovar Copenhageni str. Fiocruz L1-130 VIMSS661805 1 MicrobesOnline MSIMKVMKSIFILLAVLGLNLSVLAQQNNQGGNQQANESVEKIDELLKGELVPEDDDKNLTEEQKRRKKAIQEQEALWKNPDFKGYDKNFQELHQLSKAFANNKFRLALSNYQSGVNTILKMREAIEQYRKEEAEKKRLDEKWYWQKVDRKAREDRVVSRDKLVAKQQALNYFTKAINHLDEIKNPDLRERPEFKRLLSDTYRSWILTEYDLQNLPQCIPILELYIEIDENEKEYPAHKYLASCYAFEENMIKKNGGASEDQMFKYRYKKNVHLLRATELKYGKDSPEYKHIVNLVNKDEVISVRP
VIMSS685 1 149 0.161234899328859 PF09613.10:HrpB1_HrpK:14:90 hypothetical protein (NCBI ptt file) 149 77 10 149 0 Chlamydia trachomatis D/UW-3/CX VIMSS685 1 MicrobesOnline MADLDVFKEDFALLFEAGMVAIKQGDEASAKALFQALQVLDPEHTAHELGSGLLHLHKMELTKAEVLFRAIVEKDPENWSAKAFLSLTLMMIVLQQGSSFEVRRESLERCLQLADQVLESCEVESTRALAKSVLDWHDGLVAKSGGPLN
VIMSS7 1 189 0.0603809523809524 PF17459.2:DUF5422:5:157 hypothetical protein (NCBI ptt file) 189 153 10 120 3 Chlamydia trachomatis D/UW-3/CX VIMSS7 0 MicrobesOnline MPSTVAPIKGQDHFLNLVFPERVAAAYMSPLAQKYPKAALSIASLAGFLLGILKLITFPVLCAAGLFVFPIRGLISCLFHKSFQGCSGYVLATFLSLFSLALTIVGIVSCITWAPGFIFPMISVSIAFATVETCFQIYTHLFPALEHKPSSSLKIEIAAAKLPRSSSAPDLNYPSLPTQSASPSQRFSA
VIMSS72369 1 295 0.0255047457627119 PF00892.20:EamA:148:277 L-threonine/L-homoserine exporter 295 130 10 81 10 Escherichia coli K-12 substr. MG1655 ecocyc::EG12134-MONOMER 0 ecocyc MPGSLRKMPVWLPIVILLVAMASIQGGASLAKSLFPLVGAPGVTALRLALGTLILIAFFKPWRLRFAKEQRLPLLFYGVSLGGMNYLFYLSIQTVPLGIAVALEFTGPLAVALFSSRRPVDFVWVVLAVLGLWFLLPLGQDVSHVDLTGCALALGAGACWAIYILSGQRAGAEHGPATVAIGSLIAALIFVPIGALQAGEALWHWSVIPLGLAVAILSTALPYSLEMIALTRLPTRTFGTLMSMEPALAAVSGMIFLGETLTPIQLLALGAIIAASMGSTLTVRKESKIKELDIN
VIMSS74406 1 344 0.193052906976744 PF00419.20:Fimbrial:184:343 putative fimbrial protein (NCBI ptt file) 344 160 10 344 0 Escherichia coli O157:H7 EDL933 VIMSS74406 1 MicrobesOnline MMFRNRILLIFILWANFTWAGCRTTASLNITDGINVGEILANETSFSKSVVFTGISCDTSTDKIVYKNIQSDWVEVGPFGNGEKLKVKIESLGKTSDTIGKSSNAQAVLPYVVKIARGTPDFTGERKSTWFISDTVIANIGGESSSSIDFWLGICKALKFNWCVNYLTSKLAGDTFTLGLNISYYPKNTTCKPENTVIKVDDIALFQLRNQGKIAANSKEGTITLKCDNLFGDKKQASRNMVVYLSSSDLVKGSNTILRGKTDNGVGFVLDLTEPPKGTEAAIKISANGDQGAATSLWKTDKPGVSLNSNIINIPVMASYYVYDEKKVKSGALEATALINVKYD
VIMSS744964 1 579 0.486131088082901 PF09937.9:DUF2169:22:107,PF09937.9:DUF2169:123:240 putative exported protein (NCBI) 880 204 10 579 0 Burkholderia pseudomallei K96243 VIMSS744964 1 MicrobesOnline MKIVKPETLALMCRTLRIERADRLSIGALACFALRAHAPDGPGDLAPEATLWQIAQQWLGAHAPLDEGWPKPAGEFLVYGDACAPAGREHAGGAPFAVRARIGAACKARLVDARDPADRVLADFRALPPSHPQRVRDLGPFDARWLAERWPHLPSGTRAEHFHTAPRDQRIAGFWRGDEDIELVNLHAQHPIVAGALPRVRARCFVERSAGGATRVDACPMRAETVWLFPGAACGIVLYRGLATIDDEDGDDVLRVIAGWEDAAAPPLPADAYLGRPASGGAGSRPTPAPDAAPVASVVPAALTAEEAHADEHAPGGSASASQAHSPAAPEFPEAPHAPDLSALEREAAALAGQTDALLAGLGITEADIARLLPARDAPAELNLDQLATLAAELDAQTAQWQAQQAAAAVERGDAASATPAAPATPDAEAAHEASLADLLRQADAQMRALVEQHGLSRARMEAAARTLPELAPLAGSLDALDALDAPLDVDALTAGLAAAGGDAAAEPDTPAEPSPPAPANEFAAAVPAPASSTAAPPVDDAPPGPLTREQVIERHARGLGFAGLDLSGLDLSSAALER
VIMSS744969 1 465 0.280535053763441 PF05936.12:T6SS_VasE:16:461 hypothetical protein (NCBI) 465 446 10 465 0 Burkholderia pseudomallei K96243 VIMSS744969 1 MicrobesOnline MDNVYWHQGMLLQPQHFQLAELHQQFRFEPWLACGPPHFWGVGALSLAQAAIDRRVVEIRSARLLFADRSYVEYPGNAVVAARAFDPAWLDEGRALVAHVALRRLARGANNVTVAAAPDALPDAPTRYATLPSAEEVADLHSDHPGAPVRTLKHVLKIVFEHELDALAAHETIPIARIVRDGERLRLDDDFAPPCYALSGSRTLLERVRCIRDELAGRARQLQQYKNPREMQRAEFDASYAAFLLALRSLNRFGPLLFHLAECDGQHPWTVYGVLRQLVGELSVFSERFDMLGETPDARGGLPPYDHRDLGGCFSRAHALIGHLLDEIAVGPDCVATFEPDGPQQPAQRSAQLPPDVFADRHQIYLAIRSAHDPDTLAQRFALGGRIAATDEMPQLTALALPGVELTRLPGPPPRLPRRGDARYFRIEQAGRPWDAIRRDGRVSLRWADAPDDLHAELVAVRHTQ
VIMSS745006 1 213 0.159541784037559 PF12833.7:HTH_18:133:212 putative AraC-family regulator of type III secretion system (NCBI) 213 80 10 213 0 Burkholderia pseudomallei K96243 VIMSS745006 1 MicrobesOnline MSFGAMSHAVSIDKNKSALVIGIGQFKIASREGAWRFHDVPLFEAAKLLAFLESCGAPDGAGREYRAGAPDGAVIDAPGDLSIWKFDRWLIARVMGAPHGADPLFAFLRAQESYGLVRFLLRERANPQPIAALAARYGVSEPHFRRLCRQALGRGLKRELRQWRAAQAVLEVVESRDSMTEVAMSNGFASSSHFSREIKDLFGISPCRFRRRT
VIMSS756636 1 188 0.173085106382979 PF00646.33:F-box:12:56,PF12937.7:F-box-like:13:51 Hypothetical protein (NCBI) 188 45 10 142 2 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS756636 1 MicrobesOnline MKAKYDPTKPGLQKLPPEIKVMILEFLDAKSKLALSQTNYGWRDLILDRPEYTKEITNTLFRLDKKRHRQAIAQMMSGRVTASSMAKLFEELLCFSIPSSYVFLIFFASQKSVALIEVLTVILVFAAITSLAHDLVDYFIESDTKAEKQHAHRRAFQFFAQPSQSAAQQNLEEENLSADPKACQCEPL
VIMSS756840 1 1429 0.199706298110567 SdhA, GRIP coiled-coil protein GCC185 (NCBI) 1429 0 10 1429 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS756840 1 MicrobesOnline MISEKIKLLESFKQNQFSKLIEKINSKILATLKSGHFNPRLAPYLEKMNKDGVPFTNFDLDPENISQIKKLINALYHARLAFLDLENIDIGNIKRTIPDLKLLYSNTIHQAYQAGYLITNLDVDLQEMFKEEIDLILPVIGKLQAFAGEHQECTKRLAESWKDFPISYKAGEVTGIALEQMQPRTGDWDYQFLTQFSAVLPGYIEKLTQYIQQYSSQIKEKEPTLNNEKLEELQNASLKLLNDLEHLKGNDFFISLKVLKYIHIIRNIITLATSSVEQMGHFSDSSQDVIRDNLAQLKYVVLPTLFGLVDKIEDNAMLKPGTLSIPLMEKIKPLYQLLIYYASKPVNFKEKGEELLSIEDSRFLALRLERTYQRIDEANKALFKIQKAQEALDNFYKILDTPPYNKQPIHQLPKEIKNQLITYYKIISPYMMDVDPDLNILLIDSFQGPESWSSYLKKPWRWLRGTLPADHASFVLAQKEALQTLISKKKATQQFHIELNRDLIESVHKQTNLVLFPYSEKTNVFLLDETKALNPANGATDKLKFRPEKGHNILVNPEDLTSEQALDLYQWYRNKREKFRVASKAYNEFITLLKKQTKTIAETEGRILHLNNLDKETKTRCRNLYNIFQPYFIDGIPPELRASAISFDKFLVHSFSNESSTYDAPAVDLFEKLDEHFQIYFTEIDLQWGKKSNQYLKWAQEKFASENNSTELEHDEKLESRAHHLIKHTNFSKCIHEFRTELNQVISFFNNAMRAQLKQQPNGIPYPELQDHNKELAQSEQVVAIKRIFNSLYHVEKIVVELEKLDTRSYESIYVYHLIQAYDHINEIKKLALKLAVDPHFKLIASELLEKAQTLIATIQEHSDAYQVSPLEVPYNQDIKYNALWYTLNAFYISPKHIRSLRNTNYLTTEELNDLHLKAKKATVTVENIIKSSNSYFKLFLQTPAMYSLYREMTNKLNEFISTSHDAVMNNLDQFRSKIFTPMLMEADAWEDKLGLVPGTISGPLKTITDEYYKGLLHPLALHSKAHIRMICDKTPIDQRIKTTNKKLENATQHLDKIEKNYKHIIKLYEIIKTKGGKTTDDELIETYKKALYKLVKLQKRLPQNTDPDPKDYELDTLLNSELKEYEPKLTLIKPLIISSYHHYEGLKATYLMKANTAREKLTYLKELRSTQEQEDLLYIEEYTTESFNKQLDAFCNRHIGLQYTDKEYRTKLREYLLTFKESIIQRSKSAEDINLTIKNLLKEKIKNFERDNFEKYYHLDAVRVALAQFKNYFSLSTAAIEQNNSTFESEETLAKKTELINKLVDISEDGTLTPKERVDQISFHVKNPNFERIIMDYKQESYFSFTYLKQCILSLLEALCLYTPERRKLFNNLESSVKTQPKINELTKRFGLFAGNESAISSESRAITPVSSVENNGTIELNQLAPSA
VIMSS757951 1 343 0.35310612244898 Hypothetical protein (NCBI) 343 0 10 343 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS757951 1 MicrobesOnline MRRSKAIQMPKKRTQILTISESSKEDLDVVPEYSEEMDVSPSKETSKTNSKKLESTARKEQIKKLEGKLYSHIQDAIELEEESFAEFSINTGRPSNYLFRFVSEKEYLAILENLNQGKRIFGNKKKVFEEEDEKISTKAKGETFFAFDLKYAQKYFNKGTRRNGVMLAIELHEDADSKLLSNTEIVRRIGDDPGMAGGRWAKCKKVKPGERGVVVAKCEGVRAGCKYPYTLGFREGEEGVSPFDTLFELIRSVKVLGNNGSCKTIYHCSVPAPKEKELTSIVKDAESGIDEIVSDEILSVDSSSPTSRFGFFTNKELSGIPRLVNKAPEHEILPSDDKTSLHH
VIMSS759288 1 546 0.106557142857143 Hypothetical protein (NCBI) 546 0 10 546 0 Legionella pneumophila subsp. pneumophila str. Philadelphia 1 VIMSS759288 1 MicrobesOnline MTNKTQELQRVIADMAVLIEQDAEPDPQLYAFFFQHPEISLELIHLINNLSEELVHDGPPIYSACVFALDICIAQLQAAAEANNKNATKLLSQLMNHLAEVIDTHKHSLSFWLPVLNAFYEVHVELTDDLKEAYYGLASQDDDAVEVDEQFNHLDSIKDLIHELSDLTLFDIAESFFAQSYAMPPDFFADLIVDLYSIEEGKDIALLVLLHPNIEVREIALSTIDQLINTITLTPASLSRLQIIKYWYPTHYHGMFDRWIKTQRKKGVTFETEASQAKITIKATEVDGSGSQGVFIHARKNKKNRLCGLLLKYDLGIKDAWITPEISAAEVTDYYHKAFEDSVTLREVDFSYFQTIVEHFLAITVEKSDIPNLHFLEILEFLGIRLKPDKLDLDCVFEQLSVQIIPFTQEKIAESLKRSKMWLKNKQFTESWYIENPTIDKIVNHNSSFVEGVKVCRLADAIDAVFSEEMELHRDKWQFHFLWIALWVKAKHKKNEKVWQDSFLIAYAIHQGYPLKDIPVMKEICYQTVVNSIETMQERRTHLSQE
VIMSS76073 1 176 0.0074625 PF01311.20:Bac_export_1:11:164 escT (NCBI ptt file) 258 154 10 107 3 Escherichia coli O157:H7 EDL933 VIMSS76073 0 MicrobesOnline MNEIMTVIVSSFYCILRPLGMFIILPIFSTGVLLSNFIRNSIMIAFTLPIIVENYTFSEKLPSGIFQLTGIALKEISIGFFIGLSFTILFWAIDAAGQIIDTLRGSTISSIFNPSISDSSSITGVILYQFISVIFVIHGGIQSILDKLYLSYEILPLQADIAFNRALIDFLFSLWD
VIMSS765120 1 904 0.229920132743363 protein p102 (NCBI) 904 0 10 881 1 Mycoplasma hyopneumoniae 232 VIMSS765120 1 MicrobesOnline MKLAKLLKKPFWLITTIAGISLSLSAAVGTVVGINSYNKSYYSYLNQIPSQLKVAKNAKISQEKFDSIVLNLKIKDNFKKWSAKTVLTAAKSDLYRYNLVSAFDLSELINNDYLVSFDLENAVVDQNSIKNVVIYAKSDKDQITYSKQIVLKGFGNTEQARTNFDFSQIDSSKSFVDLSRANLTLMEFQILLAQNFENERGSNWFSRLERALVASKASLSLYNSLGEPVFLGPDYQLDPVLDRKKLLTLLNKDGKLVLGLNLVQISTKKTMNLNLEVRGAISNQEISKILKSWLETNLQGKLKTKDDLQMALVKDKISLSDYWYGSPNSKVNTSQILTKSKEFKDLFDLSETNFFLNTKIGTVYLSIIPKLLDPSQISVVDKKKLVENQKIRFEITASLKRKAIDKKFIIQDLPVFVDLKVDFNKYQAAVAQMFGTIKAVKEFSMPEDQDAKTLSSNEIKQRVDRLFELAKTVTNLENPSEEVLKSIYLLNTGKYLVDQDQEKVKQELKTVIEGLKSKANTQKTEKNSPTQPKKPEVSLAKTTENSAKTVKVSTFAEEAKGQSQSQQTQPVSTSSPQTSQNSLPNSTSSSNSVLENEKFGTSIWTAFNFANIYNLENTKSEYEISTLGNKLFFDFKLVDKTNQNLILAQSKISLNNIINSNKSAYDIIKKFNPDVFLDGTINYQNQGKDKKEFILKDLSDNKLIFKSEDAIQTDQGLELKKPLKLQSKSSNPEKEISTSLYTGAIYLVFDAKNISDGNWINLLADRKGKGLVIKVQNSNNNVPKTKEIVENGTYLYEILAGKDSIKVNSYFFPTKYPKRVKRLKFEINPKDTLPNFFTLEWFHLDWYQIGPGEQNKKPQQNAKKEPTIILKTLAIFNDKSFAEKGSLTKRSELINGLIRNYVKK
VIMSS7754214 90 190 0.203870297029703 PF05067.12:Mn_catalase:10:95 putative spore-coat protein (RefSeq) 190 86 10 101 0 Clostridium difficile R20291 VIMSS7754214 1 MicrobesOnline AAGLGSNYAQNGYGIYPTDSNGVPFDVRPIAVMSNPVTDLHEDMAAEQKALATYYQLINLTDDVDVIDVLKFLGQREIIHYQRFGEALMDAYELEESQKMF
VIMSS7754436 1 497 0.264186317907445 PF09547.10:Spore_IV_A:8:497 stage IV sporulation protein A (RefSeq) 497 490 10 497 0 Clostridium difficile R20291 VIMSS7754436 1 MicrobesOnline MYRRNNMNNNIYEDISKRTQGDIYIGVVGPVRTGKSTFIRKFMEKLVIPNIDNEFKKDRTRDEIPQSGSGKTIMTVEPKFVPADGVEIKIKDTVSLKVRMVDCVGYIVEGALGHEEGGKQRLVSTPWSQEAMTFEKAAEIGTKKVIKDHSTIGIVVLTDGSVTGIDRKSYVEPEERVIQELKNLKKPFAVVLNTLSPKSEETSMLRSELEEKYEVPVLPMNVVEMEEEDIEEVMEAVLYDFPLTEIRINLPKWVEGLERNHWIKSSIITTLKQSIIDIGKIRDIEGIIQGFSELEFLEDTGVDNVELGEGVINIDLQTKQDLFYNVLEEKSGFKIEGDYQLLSLITRLSKVKNEYDKIESALIDAKIKGYGVVAPSLEELSLEEPEIMKQGKQYGIKLKANAPSLHIIKADISTEVSPIVGNQNQGEEMIKYLMEVFEEQPADLWESNMFGKSLHDLVKEQLQSKLYTMPEEIRVKMQKTLQKIVNEGSSNIITILL
VIMSS801 1 349 0.20899340974212 PF13899.6:Thioredoxin_7:42:137 predicted disulfide bond isomerase (NCBI ptt file) 349 96 10 349 0 Chlamydia trachomatis D/UW-3/CX VIMSS801 1 MicrobesOnline MSSLRIARSCSFKQKTLLAALVFFGLGASQVCATPSNSREIAWYVDYQEARDESREKDSPMLLFFSGSDWNGSCMKIRDEVLSSSDFISAVADQFVCVVVDFPRHTELRDPLINEQNEDLKNRLHVNTFPSLVLLSPEERAIYKIESFGNENGSNLGESLCRVIANDQELEQVFPLIPTLSSVELRKYYQLAEELSRKDFMATALEQGVLCDDSFFLSEKFRQLVEAGRMDSEECRAVKNRLLELDPENEQFTHFTVALIEFQELAKRSQGQSHVNVAEVIAPLAEYLTQFGEQDKENQWRLEMIISQYYLDAGLPNSALEHAKIAFESAPQDIQSSISRSLVHIEHQS
VIMSS806 1 166 0.186439156626506 PF14237.6:GYF_2:102:159 [leader (60) peptide-periplasmic] (NCBI ptt file) 166 58 10 123 2 Chlamydia trachomatis D/UW-3/CX VIMSS806 1 MicrobesOnline MNSGMFPFTFFLLYICLGMLTAYLANKKNRNLIGWFLAGMFFGIFAIIFLLILPPLPSSTQDNRSMDQQDSEEFLLQNTLEDSEIISIPDTMNQIAIDTEKWFYLNKDYTNVGPISIVQLTAFLKECKHSPEKGIDPQELWVWKKGMPNWEKVKNIPELSGTVKDE
VIMSS820197 1 90 0.505196666666667 hypothetical protein 90 0 10 90 0 Neisseria gonorrhoeae FA 1090 YP_209073.1 1 RefSeq MRKTFLILTVSAALLSGCAWETYQDGNGKTAVRQKYPAGTPVYYQDGSYSKNMNYNQYRPERRAVLPDQTGNNADEEHRQHWQKPKFQNR
VIMSS82448 1 104 0.195833653846154 hypothetical protein (NCBI ptt file) 104 0 10 104 0 Mycobacterium leprae TN VIMSS82448 1 MicrobesOnline MNEPHHAILTDLLNTFRFSEVLQHIDEYQLRQSIAGQKVTPLARELYRKIDCYSEEDLVEIAAKSLRATIALHSAVGQPQTSSGIIDITIPRGLWTPQIKIPIR
VIMSS833 1 133 0.293755639097744 hypothetical protein 133 0 10 110 1 Chlamydia trachomatis D/UW-3/CX NP_220334.1 1 RefSeq MQQPPTLWSQTCRYSPMRMALDKKKRLTLFVEKRMFRSQKPKKNKCCLWLRGVLFGGFLATLLTSLFLPKSGMQIRKKLLRVKTSGTKKGRALLKNSKHHTREFAEQTKLLAKNISKEIQDFTQSIIDESRRD
VIMSS845232 1 510 0.229879411764706 PF13486.6:Dehalogenase:48:356,PF13484.6:Fer4_16:369:444 reductive dehalogenase, putative (NCBI) 510 385 10 487 1 Dehalococcoides ethenogenes 195 VIMSS845232 1 MicrobesOnline MSKFHSAVTRRDFMKGLGLAGAGIGTAAAVSPLYRDLDEMIGSKTAQWKRPWWVKELELEEPTTEIDWDMVERFDARYSAHSPAEVCRFVGLDEYNRVRALSNAKQDMLDNKPGSTLRDNALNIGAGTGQYMSGYKTDYVFPAAGTCCGSFSVYWTGQKGVATPESMGVPKWSGTPEENTQMVRAAMRFFGATDVSVGELNERTKKFVSTYPQGGDVKYLDNWPPPDTYIKKIVFEDVDQGYSTDTKYVIPNKPLYCITYTVPMSKDLFRTGPGSQLRSAANISRYRLRAAIDTCTKGFLTALGYQGLEEPYPCFPSQAGAVLDGLAKMGRNSNVCISPEYGSVHGYFDIITDLPMAPTHPIDAGIFRFCHTCHKCADECPAKCIDQGSEPTWDFPASMYKPEMPVDYHAPGKRLFWNDPIACQMYSNSVAGACGVCMATCTFNTNGASMIHDVVKATLAKTSLLNGFLWNADKAFGYGLVEGDEKEKFWEIGLPAYGFDTTVGSTVGGY
VIMSS891 1 1034 0.290429400386847 PF07548.11:ChlamPMP_M:489:658,PF03797.19:Autotransporter:775:1014 outer membrane protein PmpF 1034 410 10 1034 0 Chlamydia trachomatis D/UW-3/CX NP_220392.1 1 RefSeq MIKRTSLSFACLSFFYLSTISILQANETDTLQFRRFTFSDREIQFVLDPASLITAQNIVLSNLQSNGTGACTISGNTQTQIFSNSVNTTADSGGAFDMVTTSFTASDNANLLFCNNYCTHNKGGGAIRSGGPIRFLNNQDVLFYNNISAGAKYVGTGDHNEKNRGGALYATTITLTGNRTLAFINNMSGDCGGAISADTQISITDTVKGILFENNHTLNHIPYTQAENMARGGAICSRRDLCSISNNSGPIVFNYNQGGKGGAISATRCVIDNNKERIIFSNNSSLGWSQSSSASNGGAIQTTQGFTLRNNKGSIYFDSNTATHAGGAINCGYIDIRDNGPVYFLNNSAAWGAAFNLSKPRSATNYIHTGTGDIVFNNNVVFTLDGNLLGKRKLFHINNNEITPYTLSLGAKKDTRIYFYDLFQWERVKENTSNNPPSPTSRNTITVNPETEFSGAVVFSYNQMSSDIRTLMGKEHNYIKEAPTTLKFGTLAIEDDAELEIFNIPFTQNPTSLLALGSGATLTVGKHGKLNITNLGVILPIILKEGKSPPCIRVNPQDMTQNTGTGQTPSSTSSISTPMIIFNGRLSIVDENYESVYDSMDLSRGKAEQLILSIETTNDGQLDSNWQSSLNTSLLSPPHYGYQGLWTPNWITTTYTITLNNNSSAPTSATSIAEQKKTSETFTPSNTTTASIPNIKASAGSGSGSASNSGEVTITKHTLVVNWAPVGYIVDPIRRGDLIANSLVHSGRNMTMGLRSLLPDNSWFALQGAATTLFTKQQKRLSYHGYSSASKGYTVSSQASGAHGHKFLLSFSQSSDKMKEKETNNRLSSRYYLSALCFEHPMFDRIALIGAAACNYGTHNMRSFYGTKKSSKGKFHSTTLGASLRCELRDSMPLRSIMLTPFAQALFSRTEPASIRESGDLARLFTLEQAHTAVVSPIGIKGAYSSDTWPTLSWEMELAYQPTLYWKRPLLNTLLIQNNGSWVTTNTPLAKHSFYGRGSHSLKFSHLKLFANYQAEVATSTVSHYINAGGALVF
VIMSS911680 1 322 0.290919875776398 PF13531.6:SBP_bac_11:34:276,PF13343.6:SBP_bac_6:102:279,PF13416.6:SBP_bac_8:97:294,PF01547.25:SBP_bac_1:41:271 iron compound ABC transporter, iron compound-binding protein, putative (NCBI) 322 261 10 322 0 Staphylococcus aureus subsp. aureus COL VIMSS911680 1 MicrobesOnline MKSKIYILLLFLIFLSACANTRHSESDKNVLTVYSPYQSNLIRPILNEFEKQEHVKIEIKHGSTQVLLSNLHNEDFSERGDVFMGGVLSETIDHPEDFVPYQDTSVTQQLEDYRSNNKYVTSFLLMPTVIVVNSDLQGDIKIRGYQDLLQPILKGKIAYSNPNTTTTGYQHMRAIYSMHHRVSDVHQFQNHAMQLSKTSKVIEDVAKGKYYAGLSYEQDARTWKNKGYPVSIVYPIEGTMLNVDGIALVKNAHPHPKRKKLVQYLTSRSVQQRLVAEFDAKSIRKDVSEQSDQSIENLKNIPLIPKSKLPDIPHHKFLEMIQ
VIMSS913344 1 121 0.382233884297521 hypothetical protein (NCBI) 121 0 10 103 1 Staphylococcus aureus subsp. aureus COL VIMSS913344 1 MicrobesOnline MKASRILFGIGVGVAAGFVVALQGRDDKSVKNNTIDRTAPTGSKSELQREFETIKQSFNDILNYGVQIKNESAEFGSSIGGEIKSLLGNFKSDINPNIERLQSHIENLQNRGEDIGNEISK
VIMSS914003 1 144 0.235301388888889 PF01047.22:MarR:34:91,PF12802.7:MarR_2:33:90,PF13463.6:HTH_27:38:100,PF01978.19:TrmB:31:94,PF13601.6:HTH_34:38:106,PF13412.6:HTH_24:34:81,PF03965.16:Penicillinase_R:38:107 MarR family transcriptional regulator 144 77 10 144 0 Staphylococcus aureus subsp. aureus USA300_TCH1516 WP_000376235.1 1 RefSeq MDRTKQSLNVFVGMNRALDTLEQITKEDVKRYGLNITEFAVLELLYNKGPQPIQRIRDRVLIASSSISYVVSQLEDKGWITREKDKDDKRVYMACLTEKGQSQMADIFPKHAETLTKAFDVLTKDELTILQQAFKKLSAQSTEV
VIMSS92319 1 232 0.32639224137931 PF14891.6:Peptidase_M91:40:206 hypothetical protein (NCBI ptt file) 232 167 10 232 0 Escherichia coli O157:H7 str. Sakai VIMSS92319 1 MicrobesOnline MRPTSLNLVLHQSSRSSSMSDTDIESLVKASSVQWIKNNPQLRFQGTDHNIYQQIEAALDKIGSTETGRVLLNAIESISRLKSETVVIHLNSSRLGVMAHRDIDAENHRGTGSDFHCNLNAVEYPCGEGISVVDFHATIVFHELLHVFHNLNGERLKVESSRAESQKYSPLLLEEARTVGLGAFSEEVLSENKFHEEIGMPRRTSYPHDSALIHDDNTVSLGFQQVRLHPLL
VIMSS92517 1 220 0.260446363636364 PF09829.9:DUF2057:22:213 DUF2057 domain-containing protein YccT 220 192 10 220 0 Escherichia coli K-12 substr. MG1655 ecocyc::G6498-MONOMER 1 ecocyc MKTGIVTTLIALCLPVSVFATTLRLSTDVDLLVLDGKKVSSSLLRGADSIELDNGPHQLVFRVEKTIHLSNSEERLYISPPLVVSFNTQLINQVNFRLPRLENEREANHFDAAPRLELLDGDATPIPVKLDILAITSTAKTIDYEVEVERYNKSAKRASLPQFATMMADDSTLLSGVSELDAIPPQSQVLTEQRLKYWFKLADPQTRNTFLQWAEKQPSS
VIMSS93037 1 453 0.272355408388521 hypothetical protein (NCBI ptt file) 453 0 10 453 0 Escherichia coli O157:H7 str. Sakai VIMSS93037 1 MicrobesOnline MLPTSQLRPTGTFCSYSAETSADIKSEITPIQIEEARASGRLYIKDCDIEYLPQLPNEITSVTIENCNNLTTLTGLPVNTQNLSVINCEKLQITDMPSTVKNLHIELTDSPFIHFISEGIECLTVCHCYISGVPESVRYLEIKGSATDSIKNVPNGLSSLSINSYNPENQARIDNLISPSLKTLSLTGCSNIILPEKLPESVTSVTIHAEQKTTWNIGVEGMPDGLDLDLQNVLLSPDVVKAKNITFQGNALDVALHFREGDIVYGLSSPREKLVNSIKLVNDFSKKDIITQNTLTNAVWDPRTPRKYKQDPLIKRALNEHERGIKFKQHLKNHNNYNVTMADLSVYNRDKLWAKTSKAGLEFQTLTRNKTVIFCADELVNSLKLIANKSEGYGQSITASELRWIYRNKDNNQIMKNIKFYLHGKEIPAERILDTPEWKDYRPKYSGSTYKYS
VIMSS95000 1 149 0.00998456375838926 PF06610.13:AlaE:4:141 L-alanine exporter 149 138 10 60 4 Escherichia coli K-12 substr. MG1655 ecocyc::G7399-MONOMER 0 ecocyc MFSPQSRLRHAVADTFAMVVYCSVVNMCIEVFLSGMSFEQSFYSRLVAIPVNILIAWPYGMYRDLFMRAARKVSPSGWIKNLADILAYVTFQSPVYVAILLVVGADWHQIMAAVSSNIVVSMLMGAVYGYFLDYCRRLFKVSRYQQVKA
WP_000240920.1 1 342 0.150657309941521 PF04464.14:Glyphos_transf:199:337 Teichoic acid ribitol-phosphate polymerase TarL; Poly(ribitol phosphate) polymerase TarL; Ribitol-phosphate polymerase TarL; Tar polymerase TarL; EC 2.7.8.14 562 139 10 342 0 Staphylococcus aureus (strain NCTC 8325) SwissProt::Q2G1B8 1 SwissProt MVKSKIYIDKIYWERVQLFVEGHSENLDLEDSNFVLRNLTETRTMKANDVKIDGNQFVCRFNVAILDNGYYLPEDKYLLVNEQELDYIAQLNPDVINDAYQNLKPEQEEEYNELETQNGKINFLLQTYLKEFRKGGISKKTVYTVTPEISSDVNEFVLDVVVTTPEVKSIYIVRKYKELRKYFRKQSFNTRQFIFKAIFNTTKFFHLKKGNTVLFTSDSRPTMSGNFEYIYNEMLRQNLDKKYDIHTVFKANITDRRGIIDKFRLPYLLGKADYIFVDDFHPLIYTVRFRRSQEVIQVWHAVGAFKTVGFSRTGKKGGPFIDSLNHRSYTKAYVSSETDIPF
WP_000278558.1 1 389 0.00988277634961439 PF07690.16:MFS_1:15:342 NarK/NasA family nitrate transporter 389 328 10 130 12 Staphylococcus aureus WP_000278558.1 0 RefSeq MYKTKGGFQLTLQTLSLVVGFMAWSIIAPLMPFIKQDVNVTEGQISIILAIPVILGSVLRVPFGYLTNIVGAKWVFFTSFIVLLFPIFFLSQAQTPGMLMASGFFLGVGGAIFSVGVTSVPKYFPKEKVGLANGIYGMGNIGTAVSSFLAPPIAGIIGWQTTVRSYLIIIALFALIMFIFGDTQERKIKVPLMAQMKTLSKNYKLYYLSYWYFITFGAFVAFGIFLPNYLVNHFGIDKVDAGIRSGVFIALATFLRPIGGILGDKFNAVKVLMIDFVVMIIGAIILGISDHIALFTVGCLTISICAGIGNGLIFKLVPSYFLNEAGSANGIVSMMGGLGGFFPPLVITYVANLTGSSHLAFIFLAVFGCIALFTMRHLYQKEYGSLKNG
WP_000388323.1 1 195 0.247369230769231 PF05802.11:EspB:38:118,PF05802.11:EspB:117:194 Secreted effector protein SseD; Secretion system effector D 195 157 10 195 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::Q9R803 1 SwissProt MEASNVALVLPAPSLLTPSSTPSPSGEGMGTESMLLLFDDIWMKLMELAKKLRDIMRSYNVEKQRLAWELQVNVLQTQMKTIDEAFRASMITAGGAMLSGVLTIGLGAVGGETGLIAGQAVGHTAGGVMGLGAGVAQRQSDQDKAIADLQQNGAQSYNKSLTEIMEKATEIMQQIIGVGSSLVTVLAEILRALTR
WP_000509668.1 1 104 0.354589423076923 PF06013.12:WXG100:7:92 Type VII secretion system extracellular protein B; Ess extracellular protein B 104 86 10 104 0 Staphylococcus aureus (strain USA300) SwissProt::A0A0H2XIE9 1 SwissProt MGGYKGIKADGGKVDQAKQLAAKTAKDIEACQKQTQQLAEYIEGSDWEGQFANKVKDVLLIMAKFQEELVQPMADHQKAIDNLSQNLAKYDTLSIKQGLDRVNP
WP_001019920.1 1 204 0.0711 PF07274.12:DUF1440:26:181 inner membrane protein that contributes to acid resistance 204 156 10 135 3 Escherichia coli K-12 substr. MG1655 ecocyc::G6158-MONOMER 1 ecocyc MNIFEQTPPNRRRYGLAAFIGLIAGVVSAFVKWGAEVPLPPRSPVDMFNAACGPESLIRAAGQIDCSRNFLNPPYIFLRDWLGLTDPNAAVYTFAGHVFNWVGVTHIIFSIVFAVGYCVVAEVFPKIKLWQGLLAGALAQLFVHMISFPLMGLTPPLFDLPWYENVSEIFGHLVWFWSIEIIRRDLRNRITHEPDPEIPLGSNR
WP_001068910.1 1 317 0.280991798107256 PF04773.13:FecR:110:202,PF16220.5:DUF4880:15:56 regulator for fec operon, periplasmic 317 135 10 317 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10292-MONOMER 1 ecocyc MNPLLTDSRRQALRSASHWYAVLSGERVSPQQEARWQQWYEQDQDNQWAWQQVENLRNQLGGVPGDVASRALHDTRLTRRHVMKGLLLLLGAGGGWQLWQSETGEGLRADYRTAKGTVSRQQLEDGSLLTLNTQSAADVRFDAHQRTVRLWYGEIAITTAKDALQRPFRVLTRQGQLTALGTEFTVRQQDNFTQLDVQQHAVEVLLASAPAQKRIVNAGESLQFSASEFGAVKPLDDESTSWTKDILSFSDKPLGEVIATLTRYRNGVLRCDPAVAGLRLSGTFPLKNTDAILNVIAQTLPVKIQSITRYWINISPL
WP_001097542.1 1 467 0.173008779443255 PF06277.11:EutA:5:465 ethanolamine ammonia-lyase reactivase EutA 467 461 10 467 0 Escherichia coli K-12 substr. MG1655 ecocyc::G7281-MONOMER 1 ecocyc MNTRQLLSVGIDIGTTTTQVIFSRLELVNRAAVSQVPRYEFIKREISWQSPVFFTPVDKQGGLKEAELKTLILEQYHAAGIEPESVDSGAIIITGESAKTRNARPAVMALSQSLGDFVVASAGPHLESVIAGHGAGAQTLSEQRLCRVLNIDIGGGTANYALFDAGKISGTACLNVGGRLLETDSHGRVVYAHKPGQMIVDECFGAGTDARSLTGAQLVQVTRRMAELIVEVIDGTLSPLAQALMQTGLLPAGVTPEIITLSGGVGECYRHQPADPFCFADIGPLLATALHDHPRLREMNVQFPAQTVRATVIGAGAHTLSLSGSTIWLEGVQLPLRNLPVAIPIDETDLVGAWQQALIQLDLDPKTDAYVLALPASLPVRYAAVLTVINALVDFVARFPNPHPLLVVAGQDFGKALGMLLRPQLQQLPLAVIDEVIVRAGDYIDIGTPLFGGSVVPVTVKSLAFPS
WP_001105696.1 1 187 0.00441711229946524 PF04647.15:AgrB:9:177 accessory gene regulator ArgB-like protein 187 169 10 98 4 Staphylococcus aureus 04-02981 WP_001105696.1 0 RefSeq MNYFDNKIDQFATYLQKRNNLDHIQFLQVRLGMQIIVGNFFKILVTYSISIFLSVFLFTLVTHLSYMLIRYNAHGAHAKSSILCYIQSILTFVFVPYFLINIDINFTYLLALSIIGLISVVIYAPAATKKQPIPIKLVKRKKYLSIIMYLLVLILSLIIHPFYAQFMLLGILVESITLLPIFFPKED
WP_001116926.1 1 457 0.288393216630197 Secreted effector kinase SteC; Salmonella translocated effector C; EC 2.7.-.- 457 0 10 457 0 Salmonella typhimurium (strain 14028s / SGSC 2262) SwissProt::D0ZIB5 1 SwissProt MPFTFQIGNHSCQISERYLRDIIDNKREHVFSTCEKFIDFFRNIFTRRSLISDYREIYNLLCQKKEHPDIKGPFSPGPFSKRDEDCTRWRPLLGYIKLIDASRPETIDKYTVEVLAHQENMLLLQMFYDGVLVTETECSERCVDFLKETMFNYNNGEITLAALGNDNLPPSEAGSNGIYEAFEQRLIDFLTTPATASGYESGAIDQTDASQPAAIEAFINSPEFQKNIRMRDIEKNKIGSGSYGTVYRLHDDFVVKIPVNERGIKVDVNSPEHRNCHPDRVSKYLNMANDDKNFSRSAIMNINGKDVTVLVSKYIQGQEFDVEDEDNYRMAEALLKSRGVYMHDINILGNILVKEGVLFFVDGDQIVLSQESRQQRSVSLATRQLEEQIKAHHMIKLKRAETEGNTEDVEYYKSLITDLDALIGEEEQTPAPGRRFKLAAPEEGTLVAKVLKDELKK
WP_001738219.1 1 108 0.397071296296296 Type III secretion system chaperone SseA; TTSS chaperone SseA; Secretion system effector A 108 0 10 108 0 Salmonella typhimurium (strain LT2 / SGSC1412 / ATCC 700720) SwissProt::O84944 1 SwissProt MMIKKKAAFSEYRDLEQSYMQLNHCLKKFHQIRAKVSQQLAERAESPKNSRETESILHNLFPQGVAGVNQEAEKDLKKIVSLFKQLEVRLKQLNAQAPVEIPSGKTKR
WP_002851294.1 162 638 0.185332704402516 PF00593.24:TonB_dep_Rec:59:472 TonB-dependent receptor 709 414 10 477 0 Campylobacter jejuni subsp. jejuni IA3902 WP_002851294.1 1 RefSeq QKSDAFAINLKSSAYDHGGLGGNLGINGAKQINENLAFSFDIQSFNLDGYQEGYNEKGYFINTKTYIDINDNSDLTLGYNYFKSKNTSSGYLTKAQAQSDPTQKGKSDNITQINRPEISLDYHYYFDDIWEFNLEAFWQNQKINYLKDVSTMSYMNMSLPVYQNGSGFEDTLTGISLKNKLNYANNSYFIFGYEFANHDAKRKSLVYYSVPSVINYHRMTTLIDMTKQSHSIFALDSHNFNEFFTLSGGARYEFSSYNTDRSYRNEMSMNGRPPSPTIIDSTTLFDTNKNANNFAFEITPNFKYSDTGKLYIKYERGFVSPSPAQFVNKDKNSQKYYSANLNPEIFDTFELGIDDFWWDFYGFNLTLFYTLSKDEISYLGNPHSTSGSWWKYYNIDQTRRLGVELSLSQNFLDDDLIFRESLTYLDAKISKGVNDGMRIPYVSKIKATAGLEYAWNKNFSNFIDLTYFSRAKDGGTI 3
WP_002857976.1 1 253 0.227179841897233 PF08241.12:Methyltransf_11:45:135,PF13649.6:Methyltransf_25:43:132,PF01209.18:Ubie_methyltran:37:146,PF08242.12:Methyltransf_12:45:134,PF13489.6:Methyltransf_23:28:137,PF13847.6:Methyltransf_31:38:136 methyltransferase domain-containing protein 253 119 10 253 0 Campylobacter jejuni subsp. jejuni IA3902 WP_002857976.1 1 RefSeq MQKLVEQVWDYTKHAKFYSYRPNYAPKTIDMLISLVGKKDIKVADIGAGTGNLSIMLLERGCKVVSVEPNDAMREIGIERTKDQKIDWVRATGLNSTLQNSEFDWVTFGSSFNVMDRNEALEEAHRLLKSEGYFSCMWNHRDLNDPVQKIAEDTIVEFVPNYTRGTRREDQRPIIESRKDLFDNIVYIEEDFYFHQSIENYINAWKSVKNPYWDLETDEGNELFNKISNKISQRLPKEFSIKYTTRCWSAKKI
WP_003721820.1 1 330 0.211638787878788 PF02154.15:FliM:38:232,PF01052.20:FliMN_C:252:319 flagellar motor switch protein FliM 330 263 10 330 0 Listeria monocytogenes 6179 WP_003721820.1 1 RefSeq MSDKLSQEQIDALLSQMSEGKVVDESTEIGDFGRFHPYDFHKPEKFGAEHLESLKTIASAFTKKSMEFVSQRIRIPIHTEATLADQVSFASGYIETMPNDSYIFCIIDLGNPELGQIIIELDLAYIIYIHECLSGGNPKRKLSERRLLSVFEELTLKSILEKFCEALKDSFKSVHPISPEIVNIETNPALLRVTSPNDMMALVSVDIKSEFWISTMRIGVPFFSVEEIMNKLENVVEYTFDKRRNFDAEVEQELHQVEKEARIRVGEIKTTWKELNKLEVGDVLLTETHIRDTLKGYVTEKWKFECYMGKSGNQKAVKFMRHTGRTEQER
WP_005873455.1 1 481 0.275502494802495 PF00648.21:Peptidase_C2:305:475 thiol protease 481 171 10 481 0 Porphyromonas gingivalis W83 WP_005873455.1 1 RefSeq MEKKLVPQSISKERLQKLEAQATLTPQQEEAKARKIEREKARLKELNIPTESKESKDCSPAGMINPYALTEVILERPLDWSNPRTTDIVERVLGSSMQDLSKGDSVLRAGRDQNAEVKIVDSVLTKTQRGQDGLERILESFNDYDMPPEEKEEAAPKAKKAAQKLDIDDLREQALSSTTITKEISKIILPTKNLRDDNNTVHQYREVGFQSNGAHNLWDTVVQGIAGDCYMLAALSAIAWVWPALLNMDVDIMSNQDEWRLYRYFIGRSKQTYARPSGSGTSTNEILQEGYYKVPIFARSRYWFNGEYWPALFEQAYANWKFPNDSKYNAILQIGGGWPEEALCELSGDSWFTSSGKLMLSSFTDLSLLNFMKSMCYSWKTIKPMVIVTPCWEPLPPMMPGIAAYHAYTVLGYTVSNGAYYLIIRNPWGVTEPTGDGVLSKRDWVIHFDNMKWFNLSKDDGIFALRLDKVRENFWYIAYMY
WP_005874718.1 261 907 0.222307882534776 PF00593.24:TonB_dep_Rec:194:637 TonB-dependent receptor 1017 444 10 647 0 Porphyromonas gingivalis WP_005874718.1 1 RefSeq TGDELLDFQVKAGFWGNNQTVQKVKDMILAGAEDLYGNYDSLKDEYGKTLFPVDFNHDADWLKALFKTAPTSQGDISFSGGSQGTSYYASIGYFDQEGMAREPANFKRYSGRLNFESRINEWLKVGANLSGAIANRRSADYFGKYYMGSGTFGVLTMPRYYNPFDVNGDLADVYYMYGATRPSMTEPYFAKMRPFSSESHQANVNGFAQITPIKGLTLKAQAGVDITNTRTSSKRMPNNPYDSTPLGERRERAYRDVSKSFTNTAEYKFSIDEKHDLTALMGHEYIEYEGDVIGASSKGFESDKLMLLSQGKTGNSLSLPEHRVAEYAYLSFFSRFNYGFDKWMYIDFSVRNDQSSRFGSNNRSAWFYSVGGMFDIYNKFIQESNWLSDLRLKMSYGTTGNSEIGNYNHQALVTVNNYTEDAMGLSISTAGNPDLSWEKQSQFNFGLAAGAFNNRLSAEVDFYVRTTNDMLIDVPMPYISGFFSQYQNVGSMKNTGVDLSLKGTIYQNKDWNVYASANFNYNRQEITKLFFGLNKYMLPNTGTIWEIGYPNSFYMAEYAGIDKKTGKQLWYVPGQVDADGNKVTTSQYSADLETRIDKSVTPPITGGFSLGASWKGLSLDADFAYIVGKWMINNDRYFTENGGGLMQ 1
WP_005881678.1 196 418 0.0453152466367713 PF07690.16:MFS_1:19:157,PF07690.16:MFS_1:50:208 Oxalate:formate antiporter; OFA; Oxalate:formate antiport protein; Oxalate:formate exchange protein 418 190 10 88 6 Oxalobacter formigenes SwissProt::Q51330 0 SwissProt GQQGAKKQIVVTDKDFNSGEMLRTPQFWVLWTAFFSVNFGGLLLVANSVPYGRSLGLAAGVLTIGVSIQNLFNGGCRPFWGFVSDKIGRYKTMSVVFGINAVVLALFPTIAALGDVAFIAMLAIAFFTWGGSYALFPSTNSDIFGTAYSARNYGFFWAAKATASIFGGGLGAAIATNFGWNTAFLITAITSFIAFALATFVIPRMGRPVKKMVKLSPEEKAVH
WP_009991361.1 86 308 0.107604035874439 ORC1-type DNA replication protein 2 413 0 10 223 0 Saccharolobus solfataricus (strain ATCC 35092 / DSM 1617 / JCM 11322 / P2) (Sulfolobus solfataricus) SwissProt::Q9UXF8 1 SwissProt IAEREYGVKVKYVHINCYRHRTLYLISQEIANALKLPIPSRGLSAQEVFKMIHEYLDRRNIHLIVALDEFGHFLNTANTEEIYFLVRLYDEISAIIKRISYIFIVNESHSIYKLDRSIRDHIARRLIEFPPYKSMELYDILKYRVDEAFNDNAVDDEVLQFISNTYGYDKGGNGNARIAIETLSLAGEIAEKEGSPVVLLDHAKKANSTINPEIQEIIDSLSY
WP_010934515.1 1 987 0.289647416413373 PF03699.13:UPF0182:22:830 UPF0182 family protein 987 809 10 826 7 Corynebacterium diphtheriae NCTC 13129 WP_010934515.1 1 RefSeq MATGFTRPAAAPKRPQRRLTWLIPLLMILGALVPTVVDLYTDWLWFGEVDFRGVFNKVIATRIGLFVGFGLLAGIVTFLAGWFTYRGRPDELEFFDPDSPVVQYRAAVEKGVHRFLVVLPVVIGIAAGFLGQQAWQTVQLFFNRQDFGVSDQQFGMDYGFYAFTLPALRLVVSTFSVLLVVAFLIALVGHYLLGGIRAGNQAAGVKGSITNYAKVQLAVTGGLYLLVRMASYWLDRYSLLNNSHETFTGGSYTDINAVLPAKIVLLVISAVVAISFFSVIVTKDLRIPAISTVLMIVSSLAIGNAWPIMMERFSVSPNRAEKESEYISRNIEATRYAYGITDDAVTYKDNWGAKGASSEKVASDSATVSNIRLLDPEIISPTFTQQQQLRNFYGFPKSLAMDRYVIDGELRDFVVAARELDPNALKENQRDWINRHTVYTHGNGIVAAQANQVDEVARDVGSARGGYPVYTVSDLQTTDKEAQELGIVVKEPRIYYGPVIASATDGADYAVVGSENDSSVEYDTDSSTYTYQGKGGVNIGNVINRAAFAMRYQELNLILSDRVNGNSKILYDRDPRERVHNVAPWLTTDSTTYPAVIDGRVKWIVDGYTTLTSLPYAERTSLSEATNDTTAQVGNSAQRLVTDNVGYIRNSVKAVVDSYDGSVDLYEFDENDPVLKAWKGVFPGTVKAKSEISEELMNHLRYPEDMFKVQRKMLARYHVDDARDFFTNDRFWSVPSDPSATEGQKDVAQPAYYVVAADPDTGKPSFQLITPFRGLQREYLAAHMSVSSDPDNYGKITVRVLPTDTLTQGPKQAQDTMMSSDQIASDRTLWKDTNDLFNGNLLTLPVGDGDILYVEPLYSQRKNQASAFPKLLRVLVSYQGKVGYAPTIAEALSQVGIDPKEAQDLGEAKGLKPESQNRDKPEDKEGKAPSTPSAPASGSGTTGEAIGKINDALNKLQSAKNGSNEEYGRALDELDKAVEEYRKVAGQ
WP_011090619.1 1 323 0.217949226006192 PF02915.17:Rubrerythrin:11:147 membrane protein 323 137 10 211 5 Bradyrhizobium diazoefficiens WP_011090619.1 1 RefSeq MKNFADLTEREVLAVAISSEEEDSRIYMTFAEDLRERYPDSAKIFEQMAEEERGHRHMLLETYEQRFGPHLPPIRREDVKGFLRRRPVWLTKNLSLDTIRREVETMELQAERFYVKAAEQAQDVGVRRLLGDLAEAEKGHEEMAAKLSDQILSPDVRAEEDRTNRRMFVLQYVQPGLAGLMDGSVSTLAPLFAAAFATHQNWQTFLVGLAASIGAGISMGFAEALSDDGSLTGRGSPWLRGLTCGLMTTLGGLGHTAPYLVPDSWANAFWIATAIAGVVVFFELWAIAFIRSRYMDTPFLQAVFQIVLGGAIVLAVGILIGAA
WP_011256630.1 1 240 0.18667875 PF01617.17:Surface_Ag_2:69:103,PF01617.17:Surface_Ag_2:114:240,PF13505.6:OMP_b-brl:10:198 P44/Msp2 family outer membrane protein 240 231 10 240 0 Wolbachia endosymbiont strain TRS of Brugia malayi WP_011256630.1 1 RefSeq MHYKKFFSATALVMLLSLSNSAFSDPVGPIADEETSYYIRLQYNSEFSPLNTKVDGITGAQKDSKDTNDLYKPSFMAGGSAFGYRMDDIRVDIEGLYSQLSKSTLSRAPTPDIVDNLTAISGLVNVYYDVVIEDIPITPYVGVGLGVAYISNPAKAQVIADQKHGFGFAYQAKAGISYDVTPEIKLFAGARYFGSYGANFDKSEEVNKGTSEDKETKVTAGAYKVLYSTIGAEAGIAFNF
WP_013035363.1 86 623 0.0551949814126394 PF02516.14:STT3:35:455 Dolichyl-monophosphooligosaccharide--protein glycotransferase AglB; Archaeal glycosylation protein B; Dolichyl-phosphooligosaccharide-protein glycotransferase; Oligosaccharyl transferase; OST; OTase; Oligosaccharyl transferase AglB; EC 2.4.99.21 1054 421 10 265 12 Haloferax volcanii (strain ATCC 29605 / DSM 3757 / JCM 8879 / NBRC 14742 / NCIMB 2012 / VKM B-1768 / DS2) (Halobacterium volcanii) SwissProt::D4GYH4 1 SwissProt RTAGQFGTIYDQLVATAALVVGLGSPSSDLVAKSLLVAPAVFGALTVIPTYLIGKRLGGRLGGLFGAVILMLLPGTFLQRGLVGFADHNIVEPFFMGFAVLAIMIALTVADREKPVWELVAARDLDALREPLKWSVLAGVATAIYMWSWPPGILLVGIFGLFLVLKMASDYVRGRSPEHTAFVGAISMTVTGLLMFIPIEEPGFGVTDFGFLQPLFSLGVALGAVFLAALARWWESNDVDERYYPAVVGGTMLVGIVLFSLVLPSVFDSIARNFLRTVGFSAGAATRTISEAQPFLAANVLQSNGQTAVGRIMSEYGFTFFTGALAAVWLVAKPLVKGGNSRKIGYAVGSLALIGVLFLIPALPAGIGSALGVEPSLVSLTIVTALIVGAVMQADYESERLFVLVWAAIITSAAFTQVRFNYYLAVVVAVMNAYLLREALGIDFVGLANVERFDDISYGQVAAVVIAVLLILTPVLIIPIQLGNGGVSQTAMQASQTGPGTVTQWDGSLTWMQNNTPAEGEFGGESNRMEYYGTYEYT
XP_001347304.1 1 107 0.265199065420561 PF09716.10:ETRAMP:1:74 early transcribed membrane protein 10.1 107 74 10 63 2 Plasmodium falciparum 3D7 XP_001347304.1 0 RefSeq MKISKILFFFVAIIAVKLFIPGYVLGGSSGSGGVKKLTDAQKKKKNIIIFSSVASVLAALIGAGVGFGIYYKNHKSDNKDEGNDKKGSNDSKNKSQEGSTPLLTAKA
XP_001347634.1 1 712 0.585601544943818 probable protein, unknown function 712 0 10 712 0 Plasmodium falciparum 3D7 XP_001347634.1 1 RefSeq MKYILSISLFLILLNLYKCASISCEIDYTPSTNITSNLNSNLRSCSSNLVLSSNIDSTKLEGTYGENLNDSVSLTNNINDHTTNESNISNVSNISNESNISNESSITNQSNLSSETNISSETNISNESSVPNESSVNEVPQLEVPKDAVENHTESKDVLLNEKENFANGVETHVDLGSQEQYFGSSYDMNMDTEGGIKKFKNVFQSYFNQSKGNSGTEGDGSSVFGSIFGSLLTPIDSLLEKFIGSNNTNSDSNVKNTSMGNGQNKYDNNIYLDEEDALSDAEHYNDGSISLGEEDELSDAEHYNDGSISLDEEDELSDAEHYNDGGICLGEEDELSDAEHYNDGGISLDEEDVLSDAEHYNDGDISLDEDELSDTENYYDGGISLDESDDLSDPESKTKEDNYHLYYWDDFYHEYKPTYLNYHMHYTLYEPNNFYDTTNEETHNFYNTTNEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYTPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYNPTHEESHNFYTPTHDEFNVPLNYNHDYDYNYFENDNYNIQNVKDNLVKKVNDFMESDNLLVNTFKGIAGGVTSFFGY
XP_001349743.1 1 345 0.213020289855073 PF02009.16:RIFIN:40:345 rifin 345 306 10 322 1 Plasmodium falciparum 3D7 XP_001349743.1 1 RefSeq MKLHCSKILLFLLPLNILVTSLSNVHSKNKPYITSRHTATTISRVLSECDIRSSIYDNDEDIKSVKECFDRQTSQRFEEYEERIQEKRQKRKEERDKNIKKIIEKDKMDKSLAEKVEKGCLRCGCALGGVAASVGLFGGLGIYGWKTAALATAIAEGAAKGAVAAEAARIAEGIKAVIKGIETKFGVSTDGLQGFKSFFTANTYNNVKNIARAINNQYEPSSCPITVPVDSKPICTWVRANFFAAKDSPGNFTSTYEVVETAVTSIVSDAEPVATAAAQQATEEAIKASTDAVESAYAACQTAIIASVVAILVIVLVMIIIYLVLRYRRKKKMKKKAQYTKLLNE
XP_001350973.1 1 791 0.0969268015170671 conserved Plasmodium membrane protein, unknown function 791 0 10 676 5 Plasmodium falciparum 3D7 XP_001350973.1 1 RefSeq MVDFNDLSVELKKTELIKEDLRNLSHIINNEFSYFCQNENKNVSFNNNISSYYNDDIFSKSTLNNLYTSWKLEDFSHFDFSSILDILKRNQYVMCSIYFLLIFSCIYFLTLLLYTKCIKTTLKKWFCRYCSENINENNSNHNEQRTVLQNVINKSCYFITYSSIICLLLFLLLSGITYMHYFIKTKKGIHSNICNIYTRLDKFLLNKCLDPKKVDTSCYSAEHILNDLSSILEEYKKVKQQAKDDTLLDENTPFPLLERYITTFNKLNVLKNNINKNNTTLENEYFHTYPALKGISETLTTIISEGNKNFGNARNVIKEVKSTIKYSFHTVDETIRNVFKDSVPKITGLITQAGKSIKGINNKYKIKERIPKYTNIILLTNIILLLPPFLILLGIIIFMIFILMGYIQKNNNFFIKLFGHFSAYFGLLTIIILSFGILFLSTSVIGGTSCILSERILKNELRFDILNNTLIDYCIKNESAPLIDDDITTSFVAKINSFDTGHIDHNINEYEKHFTILKESFFHKSLKFMDYIWIVIMKRENNTFLNRIRTEQVKKSLLITGIINENIKYENMEAIGIRSYLTTLNKIIFPENNGKICFNDIICEKENNTYNITENSKTTDQKYRNIRDGMDEHLRNDLDAIVQLFVYKARILKENIFDINDLDSNEKNKIGWSEYTPRNINGTQKKSIINTFLVNVIESINFSEIINFFDKMRDQFNVLKDLILLKIDTLTENTKCNKLVKELINVRKDYCNNVVLNLSTLSVYLIIFSITSFLLWYLFLFLWFYYNIKPS
XP_001352218.1 1 599 0.146858430717863 gametocyte development protein 1 599 0 10 599 0 Plasmodium falciparum 3D7 XP_001352218.1 1 RefSeq MNYKKETCVKLKVKGNSRRRNSASRKMFESWYLYLDNHTKGLFYLNTNDSDTNDAGCEDLVHIYKMKKNELCRHVISLNSEEYAIKKEEEAKNNYINIEEDVYYNSDIEQYVDDRKGNSVDLLSSPYIYDRNINNSIGKCFCFEMKKSFNSLMYLDNHLSYFYGNKKEEMGNFLQEYNKKRFEDVCNRGLLNNFKSDIYEIGIYEENIVSFKLLDCPNRHLIKNTYYMNADLIFNEIHNLLNKMYPLKHIMNNHHLVKKYQKKVPEHLKMEKALKFGIVLDFDYVRENFENNLKKTMTLLDFLIILDKIWEIFRQNCVIMFLHVCIFKDNDTNKDINNHRKRYSYMYEDLKNTLDLLKQKGIPVVMRINPFQSFRLFNHNEFSNPFIQDVNDLYMNERVDHIILVTNDSDVISYSYHFDHTIIYCIKDKYKNVTNVPNINSDINVDTITHPETTNNISNHYKNHNTIMFKKPVILICSLNNLPLKNNKIHDDIILDDFCYMTYIIKTLYFRFQSRSIRNMLKSNLNNTTNFVINRITQMNNTNRLLKNKINVLLLDDIIYKIRPRKENKNVSIHHLLQKAYSPFYYPIHYYIKEKCTYK
XP_001614567.1 1 2817 0.409940610578631 reticulocyte-binding protein 1 (RBP1), putative 2817 0 10 2817 0 Plasmodium vivax XP_001614567.1 1 RefSeq MKRGICLAALLCLFNYLGAGHGENAEEDIRNSEGKVNFFSLDSNLKKNKKSKHNRVKRRNAKISNFLSQKAYVKETDNASGKDAEGSRPSHDSSFVNLNGHVDGKSLSYSVHVKESTPHSTTRESTEKGKENEKIQGVLSSFVQSQEGGESDDLEGMYNSIVDMSEKLKENDKYNLVFDMEIDFVDLQFFNLILELIPKDSQYHTYYEETLKQQVTEYTNSLKTLMDSCISEKDQMIILEYEINYAKRKSIETETLGDKETKLSAVSQAYAKHLESYKGVLKPKLNDIKNNAFSVLKDSYCKDNCGEYVQKYNTMRKNFISSSDQYKMEAYMYIPKSINDYTVLDKILSESNELGIDIQETVNSLKLLGEEISEVSHLYVINSTLIDDAAKKLESINEEDESAEIDLQKFEDNSKALANNYCIFQYIKTLNEPIKKAYESKVIKSNELLSTIIDTLGKSATALQESTFNQEECNKIKTEAEKVKDDAEDIYEKNEQIYYEIPESEDETIADKINDLQYLIDQMNEYKDEIVNNSEFISNRYKNIYENLKETYETELNDIGQLENDTSKVNFYLMQIRKINTEKTKIDEKKIYELKIEFEKSVTEINRLQDGESARDLHEEQIKEILDKMAKKVHYLKELLSLKGKSSVYFTEMNELLNTASYDNMEGFSAKKEKADNDINALYNSVYREDINALIEEVEKFVTENKESTLEMLKDEEMEEKLQDAKETFAKLNFVSDDKLTDVYTKMSAEVTNAEGIKKEIAQKQFENVHKKMKEFSDAFSTKFEALQNSMQQYNQEGDAIEKHKQNRSEKEEEYFKNESVEEDLSREETEEQEYTKHKNNFSRRKGEISAEITNMREVINKIESQLNYYGVIEKYFSLIGDQNEVSTAKALKEKIVSDSLRDKIDQYETEFKEKTSAVENTVSTIQSLSKAIDSLKRLNGSINNCKKYNTDIDLLRSKIKTLREEVQKEIAETEGDKVVGENTTALLLKSLRDKMGKINEKLNDGRLNSLDTKKEDLLKFYSESKSKIHLSKDQKGPQDPLNRIDEWEDIKREVDELNVNYQVISENKVTLFKNNSVTYIEAMHSHINTVAHGITSNKNEILKSVKEVEDKLNLVEQNEDYKKVKNPENEKQLEAIRGSMSKLKEVINKHVSEMTQLESTANTLKSNAKGKENEHDLEELNKTKGQMRDIYEKLKKIAEELKEGTVNELKDANEKANKVELEFERNIIGHVLERITVEKDKAGKVVEEMNSLKTKIEKLIQETSDDSQNELVTTSITKHLENAKGYEDVIKRNEEDSIQLREKAKSLETLDEMKKLVQQVNMNLQSAIQGNAGISKELNELKGVIELLISTNYSSILEYVKKNSSESVRFSQLANGEFTKAEGEEKNASARFAEAEKLKEQIVKDLDYSDIDDKVKKIEGIKREILKMKESALTFWEESEKFKQMCSSHMENAKEGKKKIEYLKNNGDGGKANITDSQMEEVGNYVSKAEHAFHTVEAQVDKTKAFYESIVAYVTKMDNLFNESLMKEVKVKCEKKNDEAEQIFGQIKTVDGRIKARVSENERKISELKEKAKVEKKESSQLNDVSTKSLLQIDNCRQQLDSVLSNIGRVKQNALQYFDSADKSMKSVLPISELGAEKSLDKVKAAKESYEKNLETVQNEMSRINVEEGSLTDIDKKITDIENDLLKMKKQYEEGLLQKIKENADKRKSNFELVRSEINALLDPSTSIFIKLKLKEYDMTGDLKNYAVKMNEIHGEFTKSYNLIETHLSNATDYSVTFEKAQSLRELAEKEEEHLRRREEEAIKLLNDIKKVESLKLLKEMMKKVSAEYEGMKRDHTSVSQLVQDMKTIVDELKTLNDISECSSVLNNVVSIVKKVKESKHADYKRDANSMYESMVTLANYFLSDEAKISSGMEFNAEMKSNFKTDLELEIFSVISNSNELLKKIEQDSNDVIQKERESEQLAKDATDIYNVIKLKNEFNEKLEEAKNKEEVVSEKVREALKRLSQVEGIRCHFENFHRLLDNTEELENLKKMVTIYRDKKSEAPKESGLQEMENEMNTYSNSITQLEGIVVSAGESKEDIEKLERSNEEMRNISEKISTIDSKVIEMNSTIDELYKLGKNCQAHWISLISYTANMKTSKKLIMINKEKENTEKCVDYIKDNSSSTDGYVETLKGFYGSKLTFSSASEIVQNADTYSVNFAKHEKESLNAIRDIKKELYLFHQNSDISIVEGGVQNVLALYDKLNEEKREMDELYRNISETKLKQMEHSTDVFKPMIELHKGMNETNNKSLLEKEKKLKSVNDNMHSMEAEMIKNGLKYTPESVQNINNIYSVIEAEVKTLEEIDRDYGDNYQIVEEHKKQFSILIDRTNALMDDIEIFKKENNYNLMEVNTETIHRVNDYIEKITNKLVQAKTEYEQILENIKQNDDMLQNIFLKKVSIIEYFENVKKKKESILNDLYEQERLLKIGEHLDEIKRNVTETLSSYEIDQKMEMMSKNLLEKKSKMMNYTSIYELEREANEINRDAKQIKDDDTILNSVLEAAIQKRGDMDAIFSQMSADRNPNEYKSAEKYMNEANEIIRQLEVKLREIGQLVQDSESILSEMNSKKSAIEKEKTARALRTSENNRREEEERARVQEMSMNNDPTQSETTHSEGSIGEGKESDSDETGLTHDAGADEDSTSSAKGAHELEEEETTAPMEETEMNDNTLLGYDTTRSDEPDMHTENTQDGTYQDTSNSSDEADILNGKFNFNNVKYAGAFVLLCTSAVIGAIIAHKKDDQEELNNGVEDDRVFEVKKSMNPENKEEIIDVSFVDIEY
XP_001691834.1 1 815 0.263056073619632 Cilia- and flagella-associated protein 251; Flagellar-associated protein 251 815 0 10 815 0 Chlamydomonas reinhardtii (Chlamydomonas smithii) SwissProt::A8IRK7 1 SwissProt MAEEQTALSLSWVFGASAHVKHGVVNLSDGYTDKICYLAANTAVIYDKRLRRQLFLQGHTSPITCIVTTEDRSHVVTADTGPEALLVVWNVRTGLPTRTVQQPHRHGVSTMDMSADGQWLATVSAADPESGEQEVSLWSMAALLTPPEAAPPGQGPLRPLVTTLVPAGDVQHSIRFSPNNPAELISNGRRRVYFWSWAPGSPRFQYYSPPLRSRDFKQSVGDFVSSVFVPGTTQALTATTDGDLVVWDEQGIAAQVGTSATDRRAIKLMRIHNCPITLLATVGDFIVSGGEDGYVRFFDPLLRIVAWFEDLAAGPVTSVAFSAVLPDRLAHADAADTLNRFMVPDFVVATRNSRIVSVQSASFEEYDADRRRGSSVLDSLLADVVDLAAHPTRAEFAVLGRDGGLQRWDSIAHCLLGGRAFERQVGACLTYSRDGSLLVVGFGSGHLHILNADDCSDLYVMRNTAAGLVRVAVSNTGKHIAAADENHQLLLYAYLPYKHTMRWEYVGRCRSHHGPIASVVFGESPSGQTRLLSVGGDGRVVEYDLAASSVAAGVQVASFYDFPPGGGAPTSLSFAPPLAYFQAFAADTHLLVSGADGTVASWDINTAPLERSATAAEGAGGEARWAAVLGDPDLLREMRDYFVYAQIKTQGEDALEPRDVPGTVPVDLVPDLMRSAGFYPSESDIDNLLHHVQYMAHSRNMESLEVVTLADLLCLYINHRPLFNVTHADIVAAFRELGGRGDPGSCVPKLSREQLLSLLQSTGEPMSGEELTAALAALTGAHTPEKSMPVSVAAEQFSADVLGFDTTEAGAEAAT
XP_001693032.1 173 524 0.36614375 Dynein regulatory complex subunit 3; Flagellar-associated protein 134 524 0 10 352 0 Chlamydomonas reinhardtii (Chlamydomonas smithii) SwissProt::A8IVX2 1 SwissProt SHDYRSYVLSHIKDLIYLDYRRVNPADVQAAREQHQDEMIELQEREEQQSQEEKLNAERESHEKLMKQANLEGVETLIDDMVKEDLEWPRLSQVPSLLDPWNEIRDKFNTYTDEFKVAILEQHNKKKAEYEEWLGVVRSYLDEKDAEARKLIVEYEKAKKRTARVVVDQPLMAESQIDNLKVKLMALKDQLMAIEMEAVEVLDGLVQEFDRAYSELAEINKGQYNGYFTQVRDLQNSFFNQLTSVAMTVFEKYNQENSDIESLPEEARTLLQDKDSLMNALQASHDAHMGKIDSLEDRLVSNELRSANDLTSSNATWATKRNRDRISEIINYLERNVLELEELAGEEEGGEM
XP_001699103.1 1 412 0.348231310679612 PF14772.6:NYD-SP28:1:79,PF14775.6:NYD-SP28_assoc:345:391 flagellar associated protein 412 126 10 412 0 Chlamydomonas reinhardtii XP_001699103.1 1 RefSeq LRERQLREQRYAHLNGIKIHNQWRKIMRMAKVEELRREIEILSQNHEREVDRKDAIMQMLDRDLEEAEEQYSLAVRSHMLVVDNLLDLQYQRMRALEAEFAADLKALEDEFETERTEIVNAHTRQRKDMGDMIAAMEGEFADAEAELRQEYEAQREEIKNRNSEEYNVLKIQLEGIIEELEKSFELAHRAYLESTEHRTNTFRTLTKDDAKAALKIERQMRKLVRLQEALQHWRTKIATNGREWEERNRALRNEKEIMARHYAKLKSSMDAFRAGQAERLKQLSLASSGAMETLRGKLAVAENVLKLAELARKYETEQEKVLPFWNPAQAPKFSSYGLDPSSGSEVDEWDYLNCFFRRYNKALLDKTAIDKEKSRLERENADLRSILKQYLDGISVNDDVLNNPVNPLLVVN
XP_002379945.1 1 438 0.234446575342466 aflS/ pathway regulator 438 0 10 438 0 Aspergillus flavus NRRL3357 XP_002379945.1 1 RefSeq MTLTDLETCAEEIATAARTLARDGHSGGYSAGLPDHLRPVQRTLIANASQVLALASQPADLVRQLALYNQLLACLRWLGEFQVLACIPLDESVPFEDVADIAGVPECRLRRLVRPLFTIGFLCEPSPGHVAHSVMSKQFVTQPALLDAILFMSETLAPSASAMGTQTRRFGASEQAEDSAWNMAVGSDSPFAECLQQRPKVKRQLGAYLSYVSSSIDAGVEDTLTRMNWQNLGMATVVHVGAQSPSLVVALAPQFPSLRFLVQTEAKAESGGHQPCLDNHGISALKLASIPLHLRARITWGTRLSTATQPVLDAAVYLISIPFPSPQSPAMEITMRVAQALKAHVEVLRNNSDARLILTLPMSSATRSMDAAARAAVSLSDLSLLQLTNGGSLNMGEIRDLLRSRSDGLVVMREVRSPTNAVIAFEIQYRVDNDDNRY
XP_002561699.1 1 427 0.432670023419204 PF13489.6:Methyltransf_23:186:340,PF13649.6:Methyltransf_25:192:281,PF08242.12:Methyltransf_12:193:283,PF08241.12:Methyltransf_11:193:283 Secondary metabolism regulator laeA; Methyltransferase laeA; Velvet complex subunit laeA; EC 2.1.1.- 427 155 10 427 0 Penicillium rubens (strain ATCC 28089 / DSM 1075 / NRRL 1951 / Wisconsin 54-1255) (Penicillium chrysogenum) SwissProt::B6H9U8 1 SwissProt MSYRESSGSFPAPDRTSLPKMFTNGDSRLRHLPPISSPPPPKRYKSESTPGSDAGHSRYYSHSVASDRTRSRQPSSAMDLYTLIDRDPVDKDPRRNARFTSNGSVATQASHASNASQISRSSPIIISDRKIPEKYPNHKENGRMYHGYRKGIYPLPCDEEEQDRLDIFHKLFTVARAEDGLIYAPHPPGSRILDLGCGTGIWSIEVANKFPGSFVVGVDLAPIQPTNTPKNCDFYAPFDFEAPWTMGEDSWDIIHMQMGCGSVASWPSLYRRVFQHLKPGGWFEQVEIDFRPRVEDKDGEPGRAMANWYSTLKHATEATMRPLAHSSNETIRNLQEAGFTEIDHQIVGLPMNPWHPDSHEQKVARWYNLAISESVQPMCLAPFSRVLSWTREQIDRIAFDVKQEAFDKRIKTYNLLHIYQARKPVEE
XP_002808847.1 1 373 0.119822252010724 PF00240.23:ubiquitin:82:134 ubiquitin 373 53 10 291 4 Plasmodium falciparum 3D7 XP_002808847.1 1 RefSeq MIKKVHICLFIIFFYVIFLIHICKGIRLQNYKNERINNRHMLNTIRNNVSIYQNKHISNNNTKENKCNIMINYYDKSNIFCKSFLLSMEEKDNIKNIKKKIEEIYGIPLTLQEILYDNKKLENNITIQNIIKDKQIKILNLRLITILPHLFLQKDDDNNTNKRNDVSSSSSSSSLYNNEYIKSNKRITYLKNKLTYYGYLTLLNEYKKLSHILENKKYILKNDDILESFKSFDQEFEKTLKNNNINLHKIKKEINKLKHIDKKKLLLRLEVDYPLMSNNLTKRIKQLFQYYYMGDITTIIKFSIFFYILYKYADYPNHIKKFFLYLSILFLISPFKPFYKFSHFLFFSVPNNILFSGFTNILSASYQQILMCQ
XP_002809125.1 1 609 0.228535303776683 PF09687.10:PRESAN:447:570 Plasmodium exported protein (PHISTb), unknown function 609 124 10 589 1 Plasmodium falciparum 3D7 XP_002809125.1 1 RefSeq MDTKDFLKRLFLRYSVGIFLGLFYIVLLNICKYDGKARLSKSFMSRCPRSLLGLEDSKEYFADDMNDDMVYESSVYLTDDTLKHIMYDNYARLSNYVNSEDTHLDDELNEMSYDENVETSKGEYDELYDLDELADNLNEENNMKSKMDETEIYDVGKLKETFIDYYNDRKKADSKLIYVGKSLRHLLFENYMDVPKNVDKLLIEEESNDNISTKIDEEEKNRFNDVIILKEEEKPLLRGISNNEVQNDIYEKNKKYKKESEDIELFRQDDFSELLDDILLLQLDEENDSNWKKILKDYMNDTVQIDVETIFGDDSFRKDDEDKINISYVKEYKPKDDNVKKILDDILSYKNIKDINKLINYFRKYSGVSNKLIVECVNYLLYHLNTEEANNKSLDVLIEELMQCDENNIFKKNKKLFKYIKNEGIKKEYELDFNIEKNDKETNVYSNEKDFSINEDISSLKSYNMCVKKCSDLWLNVMKNERGKFNHIITDLYRFYRTLIKKYKVSGNFAFNEWTEVNSNIRLYMKNAEIYLNTLFNQWINNNRLNIGEFKMLVMINRFLWRKIKKDLYDTNKKNISKPFQDKINEENTKKKKVTDKYKKIYQEKNKNI
XP_002896893.1 1 208 0.194233653846154 RxLR effector protein Avr1; Avirulence protein 1 208 0 10 208 0 Phytophthora infestans (strain T30-4) (Potato late blight fungus) SwissProt::D0NVB5 1 SwissProt MGLMHRVLLLATFALLCMHAKAAGFDHDKVPRTVERGGGARQLRTATMSDDEARVSKLPSFIESFVKNRKIESWIQNKVTDDFVLSELKLVRLPGTSLADDPNFKLFQKFKIGGWLEEKATTTKAWENLGLDSLPFDQVSKIDEFKTYTQYVTVLNKKASKLDIDQWHGLLSGGSPEELMAKAMILRTLGRDVLERRVMLGGHVVVPF
XP_002904419.1 1 287 0.264999651567944 RxLR effector protein Avr4; Avirulence protein 4 287 0 10 287 0 Phytophthora infestans (strain T30-4) (Potato late blight fungus) SwissProt::B1NNT7 1 SwissProt MRSLHILLVFTASLLASLTESAKADSLARTVSVVDNVKVKSRFLRAQTDEKNEERATITLGDRVVSDKAATKDLLQQLLALGTPLEKVQKQFLNIPQMKTFAELSKHPNWKALDKYERMQWQKLKEGETLTFMRLGDRLYSKEKAQEQLLRWVAQKKPVESVYDDLQVAGFAHNTVAARQNWRAYIMYDKWFTAASQMQRNPQQYAKFGTGYHSEQKTTELFEKWAMEGTHIKSVITTLKLNGKSASEMANNENFPALLKYVKLYLDFKPVRDLNAKSRLQARRPIS
XP_003749488.1 1 124 0.412789516129032 PF11109.8:RFamide_26RFa:9:124 Orexigenic neuropeptide QRFP; P518 124 116 10 124 0 Rattus norvegicus (Rat) SwissProt::P83860 1 SwissProt MRCLCSWLCLLLPLSACFPLLDRRGPTDIGDIGARMSWVQLTEGHTPRSVQSPRPQALLVVAKEQQASRREHTGFRLGRQDSGSEATGFLPTDSEKASGPLGTLAEELSSYSRRKGGFSFRFGR
XP_005161905.1 151 390 0.683181666666667 WW domain-containing transcription regulator protein 1 isoform X1 390 0 10 240 0 Danio rerio XP_005161905.1 1 RefSeq PSVAQLSLHNQVSNTASIQQRSMALSQPNLVLNQQAHQQQQQHLQQQQQQVPVQVPVQAPQQQSSQPMMNLSAQQHQQKMRLQRIQMERERIQRRQEELMRQVALRQLPMDSDNLPPVAPAIGSPAMSAGNMPNNSADPFLNSGPYHSREQSTDSGLGLGCYSIPTTPEDFLNNMEDMDTGENMVPVSMNVPPQSRFPDFLDSMPGTNVDLGTLEGTDLMPILNDVESVLNKSEPFLTWL
XP_005244863.1 1 343 0.53506413994169 PF12037.8:DUF3523:41:286 ATPase family AAA domain-containing protein 3B isoform X1 586 246 10 343 0 Homo sapiens XP_005244863.1 1 RefSeq MSWLFGVNKGPKGEGAGPPPPLPPAQPGAEGGGDRGLGDRPAPKDKWSNFDPTGLERAAKAARELEHSRYAKEALNLAQMQEQTLQLEQQSKLKEYEAAVEQLKSEQIRAQAEERRKTLSEETRQHQARAQYQDKLARQRYEDQLKQQQLLNEENLRKQEESVQKQEAMRRATVEREMELRHKNEMLRVETEARARAKAERENADIIREQIRLKASEHRQTVLESIRTAGTLFGEGFRAFVTDRDKVTATVAGLTLLAVGVYSAKNATAVTGRFIEARLGKPSLVRETSRITVLEALRHPIQVSRRLLSRPQDVLEGVVLSPSLEARVRDIAIATRNTKKNRG
XP_005252301.1 1 1439 0.741213134120916 PF12443.8:AKNA:598:696 Microtubule organization protein AKNA; AT-hook-containing transcription factor 1439 99 10 1439 0 Homo sapiens (Human) SwissProt::Q7Z591 1 SwissProt MASSETEIRWAEPGLGKGPQRRRWAWAEDKRDVDRSSSQSWEEERLFPNATSPELLEDFRLAQQHLPPLEWDPHPQPDGHQDSESGETSGEEAEAEDVDSPASSHEPLAWLPQQGRQLDMTEEEPDGTLGSLEVEEAGESSSRLGYEAGLSLEGHGNTSPMALGHGQARGWVASGEQASGDKLSEHSEVNPSVELSPARSWSSGTVSLDHPSDSLDSTWEGETDGPQPTALAETLPEGPSHHLLSPDGRTGGSVARATPMEFQDSSAPPAQSPQHATDRWRRETTRFFCPQPKEHIWKQTKTSPKPLPSRFIGSISPLNPQPRPTRQGRPLPRQGATLAGRSSSNAPKYGRGQLNYPLPDFSKVGPRVRFPKDESYRPPKSRSHNRKPQAPARPLIFKSPAEIVQEVLLSSGEAALAKDTPPAHPITRVPQEFQTPEQATELVHQLQEDYHRLLTKYAEAENTIDQLRLGAKVNLFSDPPQPNHSIHTGMVPQGTKVLSFTIPQPRSAEWWPGPAEDPQASAASGWPSARGDLSPSSLTSMPTLGWLPENRDISEDQSSAEQTQALASQASQFLAKVESFERLIQAGRLMPQDQVKGFQRLKAAHAALEEEYLKACREQHPAQPLAGSKGTPGRFDPRRELEAEIYRLGSCLEELKEHIDQTQQEPEPPGSDSALDSTPALPCLHQPTHLPAPSGQAPMPAIKTSCPEPATTTAAASTGPCPLHVNVEVSSGNSEVEDRPQDPLARLRHKELQMEQVYHGLMERYLSVKSLPEAMRMEEEEEGEEEEEEEGGGDSLEVDGVAATPGKAEATRVLPRQCPVQAEKSHGAPLEEATEKMVSMKPPGFQASLARDGHMSGLGKAEAAPPGPGVPPHPPGTKSAASHQSSMTSLEGSGISERLPQKPLHRGGGPHLEETWMASPETDSGFVGSETSRVSPLTQTPEHRLSHISTAGTLAQPFAASVPRDGASYPKARGSLIPRRATEPSTPRSQAQRYLSSPSGPLRQRAPNFSLERTLAAEMAVPGSEFEGHKRISEQPLPNKTISPPPAPAPAAAPLPCGPTETIPSFLLTRAGRDQAICELQEEVSRLRLRLEDSLHQPLQGSPTRPASAFDRPARTRGRPADSPATWGSHYGSKSTERLPGEPRGEEQIVPPGRQRARSSSVPREVLRLSLSSESELPSLPLFSEKSKTTKDSPQAARDGKRGVGSAGWPDRVTFRGQYTGHEYHVLSPKAVPKGNGTVSCPHCRPIRTQDAGGAVTGDPLGPPPADTLQCPLCGQVGSPPEADGPGSATSGAEKATTRRKASSTPSPKQRSKQAGSSPRPPPGLWYLATAPPAPAPPAFAYISSVPIMPYPPAAVYYAPAGPTSAQPAAKWPPTASPPPARRHRHSIQLDLGDLEELNKALSRAVQAAESVRSTTRQMRSSLSADLRQAHSLRGSCLF
XP_005262470.1 1 609 0.157048440065681 PF13716.6:CRAL_TRIO_2:68:191 proto-oncogene DBL isoform X2 1044 124 10 609 0 Homo sapiens XP_005262470.1 1 RefSeq MAMALQRVRIAEKYGKNYLCLLQAVFVAETDLLLRIKDISHFLMQDIAFLSGGRGKDNAWIITFPENCNFRCIPEEVIAKVLTYLTSIARQNGSDSRFTIILDRRLDTWSSLKISLQKISASFPGNLHLVLVLRPTSFLQRTFTDIGFWFSQEDFMLKLPVVMLSSVSDLLTYIDDKQLTPELGGTLQYCHSEWIIFRNAIENFALTVKEMAQMLQSFGTELAETELPDDIPSIEEILAIRAERYHLLKNDITAVTKEGKILLTNLEVPDTEGAVSSRLECHRQISGDWQTINKLLTQVHDMETAFDGFWEKHQLKMEQYLQLWKFEQDFQQLVTEVEFLLNQQAELADVTGTIAQVKQKIKKLENLDENSQELLSKAQFVILHGHKLAANHHYALDLICQRCNELRYLSDILVNEIKAKRIQLSRTFKMHKLLQQARQCCDEGECLLANQEIDKFQSKEDAQKALQDIENFLEMALPFINYEPETLQYEFDVILSPELKVQMKTIQLKLENIRSIFENQQAGFRNLADKHVRPIQFVVPTPENLVTSGTPFFSSKQVGVGYSFFQACKLFSKGKKTWRQNQSNLKIEVVPDCQEKRSSGPSSSLDNGN
XP_005267805.1 1 412 0.114604611650485 PF00083.24:Sugar_tr:106:271 solute carrier family 22 member 17 isoform X3 412 166 10 254 7 Homo sapiens XP_005267805.1 1 RefSeq MASDPIFTLAPPLHCHYGAFPPNASGWEQPPNASGVSVASAALAASAASRVATSTDPSCSGFAPPDFNHCLKDWDYNGLPVLTTNAIGQWDLVCDLGWQVILEQILFILGFASGYLFLGYPADRFGRRGIVLLTLGLVGPCGVGGAAAGSSTGVMALRFLLGFLLAGVDLGVYLMRLELCDPTQRLRVALAGELVGVGGHFLFLGLALVSKDWRFLQRMITAPCILFLFYGWPGLFLESARWLIVKRQIEEAQSVLRILAERNRPHGQMLGEEAQEALQDLNEAAITTFSVLGLFSSQAAAILSTLLAAEVIPTTVRGRGLGLIMALGALGGLSGPAQRLHMGHGAFLQHVVLAACALLCILSIMLLPETKRKLLPEVLRDGELCRRPSLLRQPPPTRCDHVPLLATPNPAL
XP_005272165.1 1 333 0.308747147147147 PF09757.9:Arb2:19:268 cotranscriptional regulator FAM172A isoform X11 333 250 10 333 0 Homo sapiens XP_005272165.1 1 RefSeq MNRLLIFLIPWKDLNMLLMKIITKYVYELLEKDCNLKKVSIPVDATESEPKSFIFMSEDALTNPQKLMVLIHGSGVVRAGQWARRLIINEDLDSGTQIPFIKRAVAEGYGVIVLNPNENYIEVEKPKIHVQSSSDSSDEPAEKRERKDKVSKETKKRRDFYEKYRNPQREKEMMQLYIRENGSPEEHAIYVWDHFIAQAAAENVFFVAHSYGGLAFVELMIQREADVKNKVTAVALTDSVHNVWHQEAGKTIREWMRENCCNWVSSSEPLDTSVESMLPDCPRVSAGTDRHELTSWKSFPSIFKFFTEASEAKTSSLKPAVTRRSHRIKHEEL
XP_005667062.1 1 302 0.779923178807947 PF00865.18:Osteopontin:21:302 osteopontin isoform X2 302 282 10 302 0 Sus scrofa XP_005667062.1 1 RefSeq MRIAVIAFCLWGFASALPVKQTNSGSSEEKLLSNKYTDAVATLLKPDPSQKQTFLAPQNTISSEETDDFKQETLPSKSNESPEQTDDVDDDDDEDHVDSRDTDSEEADHADDADRSDESHHSDESDELVTDFPTDTPATDVTPAVPTGDPNDGRGDSVVYGLRSKSKKFRRSEAQLDATEEDLTSHVESEETDGTPKAILVAQRLHVASDLDSQEKDSQETSQPDDRSVETRSQEQSKEYTIKTYDGSNEHSNVIESQENPKVSQEFHSHEDKLVPDSKSEEDKHLKLRVSHELESASSEIN
XP_006235130.1 1 411 0.119212652068127 PF00860.20:Xan_ur_permease:102:410 solute carrier family 23 member 2 isoform X1 647 309 10 279 6 Rattus norvegicus XP_006235130.1 1 RefSeq MMGVGKNTSKSVEVGGSTEGKYEEEAKRPDFFTLPVVINGGATSSGEQDNEDTELMAIYTTENGIAEKSSLAETLDSTGSLDPQRSDMIYTIEDVPPWYLCIFLGLQHYLTCFSGTIAVPFLLADAMCVGDDQWATSQLIGTIFFCVGITTLLQTTFGCRLPLFQASAFAFLAPARAILSLDKWKCNTTEITVANGTAELLEHIWHPRIQEIQGAIIMSSLIEVVIGLLGLPGALLRYIGPLTITPTVALIGLSGFQAAGERAGKHWGIAMLTIFLVLLFSQYARNVKFPLPIYKSKKGWTAYKLQLFKMFPIILAILVSWLLCFIFTVTDVFPSNSTDYGYYARTDARKGVLLVAPWFKVPYPFQWGMPTVSAAGVIGMLSAVVASIIESIGDYYACARLSCAPPPPIHA
XP_006236188.1 126 370 0.057665306122449 PF00001.21:7tm_1:7:213 Probable G-protein coupled receptor 85; Super conserved receptor expressed in brain 2 370 207 10 153 4 Homo sapiens (Human) SwissProt::P60893 1 SwissProt HRFYTKRLTFWTCLAVICMVWTLSVAMAFPPVLDVGTYSFIREEDQCTFQHRSFRANDSLGFMLLLALILLATQLVYLKLIFFVHDRRKMKPVQFVAAVSQNWTFHGPGASGQAAANWLAGFGRGPTPPTLLGIRQNANTTGRRRLLVLDEFKMEKRISRMFYIMTFLFLTLWGPYLVACYWRVFARGPVVPGGFLTAAVWMSFAQAGINPFVCIFSNRELRRCFSTTLLYCRKSRLPREPYCVI
XP_006252500.1 148 314 0.056025748502994 PF05210.13:Sprouty:35:143 protein sprouty homolog 2 isoform X1 314 109 10 167 0 Rattus norvegicus XP_006252500.1 1 RefSeq IIRVQPKSELKSGELKPLSRDDLGLHAYRCEDCGKCKCKECTYPRPLPSDWICDKQCLCSAQNVIDYGTCVCCVKGLFYHCSNDDEDNCADNPCSCSQSHCCTRWSAMGVMSLFLPCLWCYLPAKGCLKLCQGCYDRVNRPGCRCKNSNTVCCKVPTVPPRNFEKPT
XP_006498935.1 1 2103 0.646399952448885 microtubule-associated protein 1A isoform X1 3013 0 10 2103 0 Mus musculus XP_006498935.1 1 RefSeq MATEAGTARPGSVAMETTPELGLQSLGAPPAQNPAEPLCEAGAAVAAARWDLRKYSLLIVIGDIGTESQLRAVRAHLEQGILSWNIDLSSFDLNQQLRLFITRHLAHFSSEVKGQRTLCHQSETLETIILVNPTADSISSEVHHLLSSPSAHKLLILSGQTLEPEGDLILQSGTYSYQNFAQVLHKPEIAQLLSNRDPGIQAFLTVSCLGEGDWSHLGLSSSQETLHLRLNPEPVLPTMDGVAEFSEYVSETVDVPSPFDLLEPPTSGGFLKLSKPCCYIFPGGRGDSALFAVNGFNILVDGGSDRKSCFWKLVRHLDRIDSVLLTHIGADNLPGINGLLQRKVAELEEEQSQGSSSYSDWVKNLISPELGVVFFNVPDKLRLPDASRKAKRSIEEACLTLQHLNRLGIQAEPLYRVVSNTIEPLTLFHKMGVGRLDMYVLNPVKDSKEMQFLMQKWAGNSKAKTGIVLANGKEAEISVPYLTSITALVVWLPANPTEKIVRVLFPGNAPQNKILEGLEKLRHLDFLRYPVATQKDLAAGAVPANLKPSKIKHRADSKESLKAAPKTAMSKLAKREEVLEEGAKEARSELAKELAKSEKKAKEPSEKPPEKPSKPERVRTESSEALKAEKRKLIKDKVGKKHLKEKISKLEEKRDKEKKEIKKERKELKKEEGRKEEKKDAKKDEKRKDTKPELKKFSKPDLKPFTPEVRKTLYKAKAPGRLKVDKGRAARGEKELSSEPRTPPAQKGAAPPPAASGHRELALSSPEDLTQDFEELKREERGLLAEPRDTELGEKPLPADASEQGRPSTAIQVTQPPASVLEQEQVEREKEVVPDFPEDKGSKNRAPDSGAEVEREKETWEERKPREAELTPENIAAAREESEPEVKEDVIEKAELEEMEEVHPSDEEEEETKAESFYQKHMQEALKVIPKGREALGGRELGFQGKAPEKETASFLSSLATPAGAAEHVSYIQDETIPGYSETEQTISDEEIHDEPDERPAPPRFPTSTYDLSGPEGPGPFEASQSAESAVPASSSKTYGAPETELTYPPNMVAAPLAEEEHVSSATSITECDKLSSFATSVAEDQSVASLTAPQTEETGKSSLLLDTVTSIPSSRTEATQGLDYVPSAGTISPTSSLEEDKGFKSPPCEDFSVTGESEKKGESVGRGLTGEKAVGKEEKNVTTSEKLSSQYAAVFGAPGHALHPGEPALGEVEERCLSPDDSTVKMASPPPSGPPSAAHTPFHQSPVEEKSEPQDFQEDSWGDTKHAPGVSKEDAEEQTVKPGPEEAMSEEGKVPLSRSPQAQDTLGSLAGGQTGCTIQLLPEQDKAVVFETGEAGAASGAGSLPGEVRTQEPAEPQKDELLGFTDQSFSPEDAESLSVLSVVSPDTAKQEATPRSPCTPKEQQLHKDLWPMVSPEDTQSLSFSEESPSKETSLDISSKQLSPESLGTLQFGELSLGKEEKGPLVKAEDNSCHLAPVSIPEPHTATVSPPTDEAAGEAGLTDESPAGNLPGSSFSHSALSGDRKHSPGEITGPGGHFMTSDSSLTKSPESLSSPAMEDLAMEWGGKAPGSEDRATEQKEKELERKSETLQQKDQILSEKAALVQRDSVMHQKDEALDEENKPGGQQDKTSEQKGRDLDKKDTAVELGKGPEPKGKDLYLEDQGLAEKDKALEQRGAALQQTQAPEPRARAQEHRDLEQKDEHLELRDKTPEEKDKVLVLEDRAPEHIIPQPTQTDRAPEHRSKVDKEQKDEASEEKEQVLEQKDWAREKEGAALDQDNRAAGQKDGTLKEDKTQGQKSSFLEDKSTTPKEMTLDQKSPEKAKGVEQQDGAVPEKTRALGLEESPEEEGKAREQEEKYWKEQDVVQGWRETSPTRGEPVPAWEGKSPEQEVRYWRDRDITLQQDAYWKELSCERKVWFPHELDGQGARPRYSEERESTFLDEGPNEQEITPLQHTPRSPWASDFKDFQEPLPQKGLEVERWLAESPVGLPPEEEDKLTRSPFEIISPPASPPEMTGQRVPSAPGQESPVPDTKSTPPTRNEPTTPSWLAEIPPWVPKDRPLPPAPLSPAPAPPTPAPDPHAPAPFSWGIAEYDSVVAAVQEGA
XP_006500172.1 1 336 0.922692559523809 Smoothelin-like protein 1; Calponin homology-associated smooth muscle protein; CHASM 459 0 10 336 0 Mus musculus (Mouse) SwissProt::Q99LM3 1 SwissProt MEQTEGNSSEDGTTVSPTAGNLETPGSQGIAEEVAEGTVGTSDKEGPSDWAEHLCKAASKSGESGGSPGEASILDELKTDLQGEARGKDEAQGDLAEEKVGKEDTTAASQEDTGKKEETKPEPNEVREKEEAMLASEKQKVDEKETNLESKEKSDVNDKAKPEPKEDAGAEVTVNEAETESQEEADVKDQAKPELPEVDGKETGSDTKELVEPESPTEEQEQGKENESEERAAVIPSSPEEWPESPTDEGPSLSPDGLAPESTGETSPSASESSPSEVPGSPTEPQPSEKKKDRAPERRVSAPSRPRGPRAQNRKAIMDKFGGAASGPTALFRNTK
XP_006502757.1 1 198 0.61029595959596 artemin isoform X2 198 0 10 198 0 Mus musculus XP_006502757.1 1 RefSeq MELGLAEPTALSHCLRPRWQSAWWPTLAVLALLSCVTEASLDPMSRSPAARDGPSPVLAPPTDHLPGRGTHCAFVQRKNPATPASVSSARTPAAWSRAPVSSRCAPRGTRGACRNPEQPRTDHRCARLPPALAAGAGECARPRPQLRRADTFPLLQRLVPPSTLPARSQSGQPTGRWGPTVASRVPADQPALLPAHSL
XP_006504334.1 1 521 0.07898886756238 PF00854.21:PTR2:97:473 solute carrier family 15 member 4 isoform X1 521 377 10 328 9 Mus musculus XP_006504334.1 1 RefSeq MEGERAPLLGSRRPAVSAASAVFAGRRAACGAVLLAELLERAAFYGVTANLVLFLNGAPFDWEGAQASQALLLFMGLTYLGSPFGGWLADARLGRARAILLSLALYLLGLLAFPLLAAPRSRSFLCGDPRPELVRNCSAPFPNGSASCPENAARRCAPATFAGLVLVGLGVATVKANITPFGADQVKDRGPEATRRFFNWFYWSINLGAILSLGGIAYIQQNVSFFTGYLIPTVCVAIAFLVFLCGQSVFITKPPDGSAFTDMFRILTYSCCSQRGGQRRSGEGLGVFQQSSKHSLFDSCKMSRGGPFTEDKVEDVKALVKIVPVFLALIPYWTVYFQMQTTYALQSLHLKIPEISSITTTHHTLPAAWLTMFDAVLILLLIPLKDKLVDPVLRRHGLLPSSLKRIAVGMFFVMCSAFAAGILESKRLDLVKEKTINQTIGGVVYHAADLPIWWQIPQYVLIGISEIFASIAGNINSCHLHYYFFLLAAIQGATLLLFLIVSVKYDRQRARTDGGPASTRT
XP_006505958.1 1 544 0.610281433823529 transcription intermediary factor 1-alpha isoform X1 774 0 10 544 0 Mus musculus XP_006505958.1 1 RefSeq MEKTKYIKYTGNQIQNRIIEINQNQKQVEQDIKVAIFTLMVEINKKGKALLHQLESLAKDHRMKLMQQQQEVAGLSKQLEHVMHFSKWAVSSGSSTALLYSKRLITYRLRHLLRARCDASPVTNTTIQFHCDPSFWAQNIINLGSLVIEDKESQPQMPKQNPVVEQSSQPPGGLPSNQLSKFPTQISLAQLRLQHIQQQVMAQRQQVQRRPAPVGLPNPRMQGPIQQPSISHQHPPPRLINFQNHSPKPNGPVLPPYPQQLRYSPSQNVPRQTTIKPNPLQMAFLAQQAIKQWQISSVQAPPTTASSSSSTPSSPTITSAAGYDGKAFSSPMIDLSAPVGGSYNLPSLPDIDCSSTIMLDNIARKDTGVDHAQPRPPSNRTVQSPNSSVPSPGLAGPVTMTSVHPPIRSPSASSVGSRGSSGSSSKPAGADSTHKVPVVMLEPIRIKQENSGPPENYDFPVVIVKQESDEESRPQNTNYPRSILTSLLLNSSQSSASEETVLRSDAPDSTGDQPGLHQENSSNGKSEWSDASQKSPVHVGETRK
XP_006508760.1 1 176 0.337982954545454 low affinity immunoglobulin epsilon Fc receptor isoform X1 335 0 10 153 1 Mus musculus XP_006508760.1 1 RefSeq MCPSIFSMLPTGYWEPPRKRCCCARRGTQLMLVGLLSTAMWAGLLALLLLWHWETEKNLKQLGDTAIQNVSHVTKDLQKFQSNQLAQKSQVVQMSQNLQELQAEQKQMKAQDSRLSQNLTGLQEDLRNAQSQNSKLSQNLNRLQDDLVNIKSLGLNEKRTASDSLEKLQEEVAKLW
XP_006511639.1 336 993 0.693651063829787 PF15303.6:RNF111_N:1:51 E3 ubiquitin-protein ligase Arkadia isoform X1 1097 51 10 658 0 Mus musculus XP_006511639.1 1 RefSeq KREALAQRKYALLSSSSSSSENDLSSDSSSSSSTDGEEDLCASASENPSNPAAPSGSIDEDVVVIEASFTPQVTANEEINVTSTDSEVEIVTVGESYRSRSTLGHSRSHWSQGSSSHTGRPQESRNRSRISTVIQPLRQNAAEVVDLTVDEDEPTIVPTTSARMDSQTTSASINNSNPSTSEQASDTTSTVASSQPSTVSETEATLTSNSATGSSVGDDVRRTASSAVPESGPPAMPRLPSCCPQHSPCGGTSQSHHALAHPHSSCFQQHGHHFQHHHHHHHTPHPAVPVSPSFSDPACPVERPQVQAPCGANSSSGSSYHDQQALPVDLSNSALRTHGSGGFHGASAFDPCCPVTSSRAAVFGHQAAAAPTQPLSIDGYGSSMVAQPQPQPPPQPSLSSCRHYMPPPYASLTRPLHHQASACHHSHGNAPPQTQPPPQVDYVIPHPVHAFHSQISSHAASHPVAPPPPTHLGSTAAPIPQHLPPAHQPISHHIPAPAPSAQRLHPHEVMQRMEVQRRRMMQHPTGLFVFCVSRRAHERPPPHPHRMHPNYGHGHHIHVPQTMSSHPRQAPERTAWELGIEAGVTAATYTPGALHPHLAHYHAPPRLHHLQLGALPLMVPDMAGYPHIRYISSGLDGASFRGPFRGNFEELIHLEERL
XP_006513653.1 1 106 0.232667924528302 lamina-associated polypeptide 2 isoform X1 106 0 10 83 1 Mus musculus XP_006513653.1 1 RefSeq MFPYEASTPTGISASCRRPIKGAAGRPLELSDFRMEESFSSKYVPKYAPLADVKSEKTKKRRSVPMWIKMLLFALVAVFLFLVYQAMETNQGNPFTNFLQDTKISN
XP_006518727.1 1 333 0.303254954954955 PF04089.14:BRICHOS:109:200 leukocyte cell-derived chemotaxin 1 isoform X2 333 92 10 310 1 Mus musculus XP_006518727.1 1 RefSeq MTENSDKVPITMVGPEDVEFCSPPAYTTVTVKPSGSPTRLLKVGAVVLISGAVLLLFGAIGAFYFWKGNDNHIYNVHYSMSINGKLQDGSMEIDAVNNLETFKMGSGAEEAIEVNDFKNGITGIRFAGGEKCYIKAQVKARIPEVGTVTKQSISELEGKIMPANYEENSLIWVAVDQPVKDSSFLSSKILELCGDLPIFWLKPMYPKEIQRERREVVRNSAPSTTRRPHSEPRGNAGPGRLSNGTRPNVQDDAEPFNPDNPYHQEGESMTFDPRLDHEGICCIECRRSYTHCQKICEPLGGYYPWPYNYQGCRSACRVVMPCSWWVARILGMV
XP_006529535.1 287 925 0.312625195618153 PF00609.19:DAGK_acc:479:635,PF00781.24:DAGK_cat:35:148 diacylglycerol kinase delta isoform X2 1191 271 10 639 0 Mus musculus XP_006529535.1 1 RefSeq PLGLCKVSVIPPTALNSIDSDGFWKATCPPSCTSPLLVFVNSKSGDNQGVKFLRRFKQLLNPAQVFDLMNGGPHLGLRLFQKFDTFRILVCGGDGSVGWVLSEIDSLNLHKQCQLGVLPLGTGNDLARVLGWGSACDDDTQLPQILAKLERASTKMLDRWSVMAYETKLPRQASSSTVTEDFSEDSEVQQILFYEDSVAAHLSKILTSDQHSVVISSAKVLCETVKDFVARVGKAYEKTTESSQESEVMAKKCSVLKEKLDSLLKTLDDESQASSSLSNPPPTIAEEAEDGDGSGNICSSTGDHLVGSACPSRPQIFRPREQLMLRANSLKKAIRQIIEHTEKAVDEQNAQTQEQQGFVLGLSESEKKDLKTDNRVCTSSVHSESCVIAKGRSQRKASRAPCEKLVSKGLSLGSSASLPPGTGSRDSLPALNTKILYPSVRAGMSGSLPGGSVISRLLINADPFNAEPENLEYYTEKCVMNNYFGIGLDAKISLDFNNKRDEHPEKCRSRTKNMMWYGVLGTKELLHRTYRNLEQKVLLECDGRPIPLPSLQGIAVLNIPSYAGGTNFWGGTKEDDTFAAPSFDDKILEVVAVFGSMQMAVSRVIKLQHHRIAQCRTVKISILGDEGVPVQVDGEAWIQ
XP_006529550.1 114 234 0.379914049586777 erythroferrone isoform X3 234 0 10 121 0 Mus musculus XP_006529550.1 1 RefSeq FIPSEVLLKEFQLLLKGAVRQRESHLEHCTRDLTTPASGSPSRVPAAQELDSQDPGALLALLAATLAQGPRAPRVEAAFHCRLRRDVQVDRRALHELGIYYLHSPSSQERDQHDPGIVCAC
XP_006530238.1 1 484 0.0524638429752066 PF01699.24:Na_Ca_ex:104:245 mitochondrial sodium/calcium exchanger protein isoform X2 484 142 10 234 11 Mus musculus XP_006530238.1 1 RefSeq MASRWLALLWAPVFLCVALILETASGTGDPSTKAHGHIQFSAGSVNQTAMADCRAVCGLNTSDRCDFVRRNPDCRSEAGYLDYLEGIFCYFPPNLLPLAITLYVFWLLYLFLILGVTAAKFFCPNLSAISTNLKLSHNVAGVTFLAFGNGAPDIFSALVAFSDPRTAGLAIGALFGAGVLVTTVVAGGITILHPFMAASRPFLRDIAFYMVAVFLTFTALYLGRITLTWALGYLGLYVFYVVTVIICTWVYQRQRSRSLVHSISETPELLSESEEDQMSSNTNSYDYGDEYRPLLLGRETTVQILIQALNPLDYRKWRTQSISWRVLKVVKLPVEFLLLLTVPVVDPDKDDRNWKRPLNCLQLVISPLVLVLTLQSGVYGIYEIGGLLPVWAVVVIVGTALASVTFFATSNREPPRLHWLEPDGLLVWVLASALGLSLIFSLVSVPLQCFQLSKAYGLCLLLFYICFLVVVLLTEFGVIHLKKA
XP_006537616.1 1 550 0.473908545454545 E3 ubiquitin-protein ligase BRE1A isoform X1 973 0 10 550 0 Mus musculus XP_006537616.1 1 RefSeq MSGIGNKRAAGEPGTSMPPEKKTAVEDSGTTVETIKLGGVSSTEELDIRTLQSKNRKLAEMLDQRQAIEDELREHIEKLERRQATDDASLLIVNRYWSQFDENIRIILKRYDLDQGLGDLLTERKALVVPEPEPDSDSNQERKDDRERGDGQEPAFSFLATLASSSSEEMESQLQERVESSRRAVSQIVTVYDKLQEKVDLLSRKLNSGDNLIVEEAVQELNSFLAQENVRLQELTDLLQEKHHTMSQEFCKLQGKVETAESRVSVLESMIDDLQWDIDKIRKREQRLNRHLAEVLERVNSKGYKVYGAGSSLYGGTITINARKFEEMNAELEENKELAQNRHCELEKLRQDFEEVTTQNEKLKVELRSAVEEVVKETPEYRCMQSQFSVLYNESLQLKAHLDEARTLLHGTRGTHQRQVELIERDEVSLHKKLRTEVIQLEDTLAQVRKEYEMLRIEFEQTLAANEQAGPINREMRHLISSLQNHNHQLKGEVLRYKRKLREAQSDLNKTRLRSGSALLQSQSSTEDPKDEPTELKQDSEDLATHSSAL
XP_006537703.1 285 587 0.499598349834983 PF02149.19:KA1:260:302 maternal embryonic leucine zipper kinase isoform X1 587 43 10 303 0 Mus musculus XP_006537703.1 1 RefSeq TASTTPKSKNLSLEDMSTSDDNCVAGLIDYELCEDKLLAPKTPQVTKHLAESNHAASKSPAPGVRRAVANKLMDKENVCTPKSSVKNEEQFVFSEPKIPVSKNQYKREIPASPTRFPTPAKARAQCLREAPVRTPGNSAGADTLTTGVISPERRCRSMDVDLNQAHMEDTPKKKGTNVFGSLERGLDKVLTALTRNKKKGSARDGPRKRKLHYNVTTTRLVNPDQLLSEIMAILPKKNVDFVQKGYTLKCQTQSDFGKVTMQFELEVCQLQRPDVVGIRRQRLKGDAWVYKRLVEDILSGCKM
XP_006713777.1 218 1424 0.70390521955261 rho GTPase-activating protein 31 isoform X1 1424 0 10 1207 0 Homo sapiens XP_006713777.1 1 RefSeq NGAPGSLENDENRPIMKSLTLPALSLPMKLVSLEEAQARSLATNHPARKERRENSLPEIVPPMGTLFHTVLELPDNKRKLSSKSKKWKSIFNLGRSGSDSKSKLSRNGSVFVRGQRLSVEKATIRPAKSMDSLCSVPVEATKMHSTGTGSSCDLTKQEGEWGQEGMPPGAEGGFDVSSDRSHLQGAQARPPPEQLKVFRPVEDPESEQTAPKMLGMFYTSNDSPSKSVFTSSLFQMEPSPRNQRKALNISEPFAVSVPLRVSAVISTNSTPCRTPPKELQSLSSLEEFSFHGSESGGWPEEEKPLGAETSAASVPKKAGLEDAKAVPEAPGTVECSKGLSQEPGAHLEEKKTPESSLSSQHLNELEKRPNPEKVVEEGREAGEMESSTLQESPRARAEAVLLHEMDEDDLANALIWPEIQQELKIIESEEELSSLPPPALKTSPIQPILESSLGPFIPSEPPGSLPCGSFPAPVSTPLEVWTRDPANQSTQGASTAASREKPEPEQGLHPDLASLAPLEIVPFEKASPQATVEVGGPGNLSPPLPPAPPPPTPLEESTPVLLSKGGPEREDSSRKLRTDLYIDQLKSQDSPEISSLCQGEEATPRHSDKQNSKNAASEGKGCGFPSPTREVEIVSQEEEDVTHSVQEPSDCDEDDTVTDIAQHGLEMVEPWEEPQWVTSPLHSPTLKDAHKAQVQGLQGHQLEKRLSHRPSLRQSHSLDSKPTVKSQWTLEVPSSSSCANLETERNSDPLQPQAPRREITGWDEKALRSFREFSGLKGAEAPPNQKGPSGVQPNPAETSPISLAEGKELGTHLGHSSPQIRQGGVPGPESSKESSPSVQDSTSPGEHPAKLQLKSTECGPPKGKNRPSSLNLDPAIPIADLFWFENVASFSSPGMQVSEPGDPKVTWMTSSYCKADPWRVYSQDPQDLDIVAHALTGRRNSAPVSVSAVRTSFMVKMCQARAVPVIPPKIQYTQIPQPLPSQSSGENGVQPLERSQEGPSSTSGTTQKPAKDDSPSSLESSKEEKPKQDPGAIKSSPVDATAPCMCEGPTLSPEPGSSNLLSTQDAVVQCRKRMSETEPSGDNLLSSKLERPSGGSKPFHRSRPGRPQSLILFSPPFPIMDHLPPSSTVTDSKVLLSPIRSPTQTVSPGLLCGELAENTWVTPEGVTLRNKMTIPKNGQRLETSTSCFYQPQRRSVILDGRSGRQIE
XP_006719492.1 1 333 0.733342942942943 CCR4-NOT transcription complex subunit 2 isoform X2 531 0 10 333 0 Homo sapiens XP_006719492.1 1 RefSeq MLKEVAQVTNSMFGASRKKFVEGVDSDYHDENMYYSQSSMFPHRSEKDMLASPSTSGQLSQFGASLYGQQSALGLPMRGMSNNTPQLNRSLSQGTQLPSHVTPTTGVPTMSLHTPPSPSRGILPMNPRNMMNHSQVGQGIGIPSRTNSMSSSGLGSPNRSSPSIICMPKQQPSRQPFTVNSMSGFGMNRNQAFGMNNSLSSNIFNGTDGSENVTGLDLSDFPALADRNRREGSGNPTPLINPLAGRAPYVGMVTKPANEQSQDFSIHNEDFPALPGSSYKDPTSSNDDSKSNLNTSGKTTSSTDGPKFPGDKSSTTQNNNQQKKGIQVLPDGR
XP_008766998.1 1 1885 0.428576074270557 PF00094.25:VWD:1154:1324,PF06119.14:NIDO:941:1024 mucin-4 isoform X2 1885 255 10 1862 1 Rattus norvegicus XP_008766998.1 1 RefSeq MRGPHGVSWRVPWLCLSCLCSCLLLLPVNTSTTSAPKTSTALPSSTNPSQMTSQVSNPTASPYRMTKNTGQASPMVTSSSITTLPQSQHTGSMKTTRNPQTTGTTEVTTTLSASSSDHVQAETSSQTTLSPDPTTTSHAPRESSSPPSTSDMLTTTASTGSTLGDTGHTTAVTTQGSIPATTLFSPTLSSQKMSTVSTPTTSIQELSTLPQSQHTGSMEISSRPQTTSVTSTLSSSPSGSTPVQTRSQVTSSSDERTNPTSSGVSNTSPATTEVLTPTSSPESTPGNTAPRTTETSTTTTTKVLMTSLQQKLPTGSTLGTSTQELTTLPQSQHTGIMKTTSRTQTTTPTEVTTTLSASSSDQVQVETTSQTTLSPDATTTSHAPRESSSPPSTSVILTTMASTEGTSGDTGHTTAVTVQGSTPATTEISVTPSTQKMSPVSTFSTSTQEITSSQSQRTGSMKTTHNPQTTRNTEVTTTLSASSSEQVQAETTSQTTLSPDATTTSHAPRESSSPPSTSDMLTTTASTEGTSGDTGHTMAVTTQGSTPATTEISVTPSTQTLSTVSTLSTSTQELTSSQSQRTGSMGTSSKPQATTPTEVTTSTLSSFSRGSTQTQTVSWETSSSGKITAPSTSSRRTPSVATSDIFTTTDSTSGNAGHTLLTGSHSVITSRVASTTLGRLSTVAHSKSTQRSSTHSQSYLTESMGASSTSETSLLTEATTEKQFASSPGPTVTETFSRGTSSSGLTTKTDNDRSTALSATSLTLPAPSTSTASRSTVPPAPLPPDQGISLFPYGSSSEVRDKQLFARTVDFTSPIFKIQIGFPLGSSLRDSFYFTDNGQIIFPESDYDVFSYPNPPQRGFTGRERVAIVAPFWGDADFSSSRGTIFYQDYITFYDEQHQLIRKVESLINEFTSDWSFKAKWTLKVTWVNVPAYPAQGSFGTNTYQAILSTDGSRSYALFLYQSGGMRWDVTQGLYNRVLMGFSSGDGYFENSPLIFRPAVEKYRPDRFLNSKLGIRGLQVYRLHREVWPNYRLKCLQWLESQPQQPSWGWNKISCPCSWQQGRWDFRFWLINTGLWGRQLCSFSSGRGGVCCSYGTWGEFREGWRMHSPWQFDEEQEAQNWCCRWNDKPSFCVQYQLRRPRVSCAGYRPPRPAWTFGDPHITTLDNAKYTFNGLGDFLLVQAQDRNSSFLLEGRTAQTDSANATNFIAFAAQYNTSSLKSPITVQWFLEPNDTIRVVHNNQTVAFNTSDTEDLPVFNATGVLLIQNGSQVSANFDGTVTISVIALSNILHASSSLSEEYRNHTKGLLGVWNDNPEDDFRMPNGSTIPSNSSEETLFHYGMTWQINGTGLLGVRTDPLPSEFTPIFLSQLWNKSGAGEDLISGCNEDAQCKFDILATGNRDIGQSTNSILRTFRHVNGTLNQYPPPIHYSSKIQAYKGRTVAIEITSNSKDVVFSLSNKCSGFELFENGSLQWTPTSPEACTLEILARDVKTNLSSVLQPETVACFCSKEEQCLYNETSKEGNSSTEVTSCKCDGNSFGRLCEHSKDLCTEPCFPNVDCIPGKGCQACPPNMTGDGRHCVAVEISEFCQNHSCPVNYCYNHGHCDISGPPDCQPTCTCAPAFTGNRCFLAGNNFTPIIYKELPLRTITLSLREDENASNADVNASVANVLENLDMRAFLSNSLVELIRTSPGAQSLGKPIHHWKVVSHFKYRPRGPLIHYLNNQLISAVMEAFLLQARQERRKRSGEARKNVRFFPISRADVQDGMALNLSMLDEYFTCDGYKGYHLVYSPQDGVTCVSPCSEGYCHNGGQCKHLPDGPQCSCATFSIYTSWGERCEHLSVKLGAFFGILFGALGALLLLAILACVVFHFCGCSMNKFSYPLDSEL
XP_008768079.1 1 329 0.434915805471125 PF06365.12:CD34_antigen:191:326 hematopoietic progenitor cell antigen CD34 isoform X1 329 136 10 306 1 Rattus norvegicus XP_008768079.1 1 RefSeq MPVHRGARAGLLLPWGWVALCLMSLLHLNNLTSVASENSTQGVFPPVPTNESTEESITSSIPGSTSHYYLIGQDSNKTTPAISETTVNFTATPGTPSGSGTPHTFSQPQTYPTVTLPTTSDSTSTPEVEHSTWNTSLPSVNVSDYSPNNVSSEMISTTEPCAHTSSSVPSIIKGDIKCSGIRGVPFTQGICLELSEASSCEEFKKERGEELMQILCKKEETEADAGTSVCSLNLAQSEVRPECLLMVLANSTELSSKLQLMEKHQSDLRKLGIQSFNKQDLGSHQSYSRKTLIALVTSGVLLAILGTTGYFLMNRRSWSPTGERLELEP
XP_009298701.1 323 729 0.692672235872236 PF02158.15:Neuregulin:42:388 pro-neuregulin-1, membrane-bound isoform isoform X1 729 347 10 384 1 Danio rerio XP_009298701.1 1 RefSeq YKHLGIEFMAEELYQKRVLTITGICIALLVVGIMCVVAYCKTKKQRKKLHDRLRQSLRERNAAAKGPQHPHPPPENLQLVNHYMPTNPVPAHMTDKEAETSLSTNEFTSPTHPSTAITHSSSQCWSNGKAESVVSDSHAVLMKPSAENCQHGTPSHRGRLNATGGVHQLNDYLKNSREAQGSNRDSPYSERYVSAMTTPTRLSPVGLLSPVTPSSPPSEMSAPLSSLATSVPSMLTSPSGEEERPLLFRTPPILRDKSASTQGRKSGHNLRNSAHYNHGLDIPSPPPSPLHIEEEIDCLEQYKSTSVPSSAPHSPSAARTQPSGQAAPEQAVSGSNSESSSSESETEDERVGEDTPFLGLQNPLAAGSLVLDGLEGSRTNPALHLSPQHELQNRLTAVMANQDPIAV
XP_011241905.1 196 526 0.61180755287009 NUAK family SNF1-like kinase 1 isoform X1 526 0 10 331 0 Mus musculus XP_011241905.1 1 RefSeq LLARIIDWHHRSTGLQAEAEAKMKGLAKPGASEVVLERQRSLKKSKKENDFPQSGQDSVPESPSKLSSKRPKGILKKRSNSEHRSHSTGFIEGIVSPALPSPFKMEQDLCRTAIPLPSSPEADMSGKLSLKQSATMPKKGILKKTQQRESGYYSSPERSESSELLDSNDVVISGGLSSPPPDPARGTSHSLSCRRKGILKHSSRYSDGGTDPALTRPEMPTLESLSPPGVPSDGISRSYSRPSSIISDDSVLSSDSFDLLELQENRPARQRIRSCVSAENFLQLQDFETPHNRPRPQYLKRLADSSFSLLTDMDDVTQVYKKALEICSKLN
XP_011242184.1 1 162 0.613650617283951 transcription factor E2F6 isoform X3 162 0 10 162 0 Mus musculus XP_011242184.1 1 RefSeq MCKRGCTAHAERWPAREPERLRGHPGPLRAYPDPLCAWAHRCASGTAALRGWSRSAPWVERAALKGPGTRAPSLRGRREGAWGARGAGQHESAADGAETAQPAGGPGAGNGAPALPRPHQRGKPTASSQVWWPSSVMPVLGRQRQKDGLLQSCVVGCHCRHC
XP_011243787.1 1 538 0.266479739776952 PF04724.13:Glyco_transf_17:205:358 beta-1,4-mannosyl-glycoprotein 4-beta-N-acetylglucosaminyltransferase isoform X2 538 154 10 518 1 Mus musculus XP_011243787.1 1 RefSeq MKMRRYKLFLMFCMAGLCLISFLHFFKTLSYVTFPRELASLSPNLVSSFFWNNAPVTPQASPEPGGPDLLRTPLYSHSPLLQPLSPSKATEELHRVDFVLPEDTTEYFVRTKAGGVCFKPGTRMLEKPSPGRTEEKPEVSEGSSARGPARRPMRHVLSTRERLGSRGTRRKWVECVCLPGWHGPSCGVPTVVQYSNLPTKERLVPREVPRRVINAININHEFDLLDVRFHELGDVVDAFVVCESNFTAYGEPRPLKFREMLTNGTFEYIRHKVLYVFLDHFPPGGRQDGWIADDYLRTFLTQDGVSRLRNLRPDDVFIIDDADEIPARDGVLFLKLYDGWTEPFAFHMRKSLYGFFWKQPGTLEVVSGCTMDMLQAVYGLDGIRLRRRQYYTMPNFRQYENRTGHILVQWSLGSPLHFAGWHCSWCFTPEGIYFKLVSAQNGDFPRWGDYEDKRDLNYIRSLIRTGGWFDGTQQEYPPADPSEHMYAPKYLLKNYDQFRYLLENPYREPKSTEEGGRRNQGSDGRPSAVRGKLDTVEG
XP_011245177.1 338 1272 0.883019358288769 PF03546.14:Treacle:1:164,PF03546.14:Treacle:162:407 treacle protein isoform X3 1272 407 10 935 0 Mus musculus XP_011245177.1 1 RefSeq ERPEKDSETSSEDDSDSEDEMPVTVNTPQARTSGKSPRARGTSAPAKESSQKGAPAVTPGKARPVAAQAGKPEAKSSEESESDSGETPAAATLTTSPAKVKPLGKSSQVRPVSTVTPGSSGKGANLPCPGKVGSAALRVQMVKKEDVSESSSAELDSDGPGSPAKAKPALEKQMKASSRKGTPASATGASTSSHCKAGAVTSSASLSSPALAKGTQRSDVDSSSESESEGAAPSTPRVQGKSGGKGLQGKAALGQGVAPVHTQKTGPSVKAMAQEDSESLEEDSSSEEEDETPAQATPLGRLPQAKANPPPTKTPPASASGKAVAAPTKGKPPVPNSTVSARGQRSVPAAGKAGAPATQAQKGPVAGTGEDSESSSKEESDSEEETPAQIKPVGKTSQVRAASAPAKESPKKGAHPGTPGKTGSSATQAQPGKTEDSDSSSEESDSDTEMPSAQAIKSPPVSVNRNSSPAVPAPTPEGVQAVNTTKKASGTTAQSSSSESEDGDEDLIPATQPSTYALRTSVTTPAALSRAASQPSKSEQSSRMPKGKKAKAAASAQTSSAVETLPMMPPQSAPIQPKATNKLGKSKLPEKQQLAPGYPKAPRSSEDSSDTSSEDEEDAKRPQMPKSAHRLDPDPSQKETVVEETPTESSEDEMVAPSQSLLSGYMTPGLTVANSQASKATPRPDSNSLASSAPATKDNPDGKQKSKSQHAADTALPKTGRKEASSGSTPQKPKKLKKSTSSSPAPTQTLPNSITQRLLEQAWPLSEAQVQASVVKVLTELLEQERLKATEAIKESGKKSQKRKLSGDLEAGAPKNKKKKEQPVPRASAVSPEKAPMTSKAKSKLDKGSAGGKGKGSPGPQGAKEKPDGELLGIKLESGEQSDPKSKSKKKKSLKKKKDKEKKEKKKGKKSLAKDSASPIQKKKKKKKKSAEPAV
XP_011319367.1 1 339 0.177584365781711 PF06330.11:TRI5:94:324 Longiborneol synthase CLM1; Culmorin biosynthesis protein 1; Terpene cyclase CLM1; EC 4.2.3.- 339 231 10 339 0 Gibberella zeae (strain PH-1 / ATCC MYA-4620 / FGSC 9075 / NRRL 31084) (Wheat head blight fungus) (Fusarium graminearum) SwissProt::I1S104 1 SwissProt MLATPTLSNFDKPSLPSSEGGDPALAARLQPLYSRFLTDLDLQPEYRRHESEKLMEEVLKFAKSTGVPHDLNSHSYQSLMVGYTYADNCLPYHDIEVKVYVAIYTWLATICDDAEALGIIDDVQLFEQRFILGEEQPTVLLRAFADQLKLTYKLYHPLVANLILCSSLNLLTSTSLVARKGIKEKGDHPSKGGNYFAWYIRERDGVGEAYSWFTFPKRQFPNLDIPIEAIEDMTRFIAYLNDVLSFYKESLAGETHNYINHTAAYEGVDSDAALHKTAQDTIDCARRIESVLAGKGEYEKAWRLHASGYLQMHVQRGRYRLIEVGVGDAPDVHEVIKKI
XP_011513219.1 1 273 0.430979487179487 trem-like transcript 2 protein isoform X1 273 0 10 250 1 Homo sapiens XP_011513219.1 1 RefSeq MNPCGWLGAVGPTLPHFPKWAASLLEPRVLPWQAQVAHPVSGGPSPWISRPDTAQLDGTMAPAFLLLLLLWPQGCVSAPQTERNIPFTHLDNILKSGTVTTGQAPTSGPDAPFTTGVMVFTPGLITLPRLLASTRPASKTGYSFTATSTTSQGPRRTMGSQTVTASPSNARDSSAGPESISTKSGDLSTRSPTTGLCLTSRSLLNRLPSMPSIRHQDVYSTVLGVVLTLLVLMLIMVYGFWKKRHMASYSMCSDPSTRDPPGRPEPYVEVYLI
XP_011514891.1 94 755 0.381028247734139 PF00643.24:zf-B_box:72:112 E3 ubiquitin-protein ligase TRIM56; RING finger protein 109; RING-type E3 ubiquitin transferase TRIM56; Tripartite motif-containing protein 56; EC 2.3.2.27 755 41 10 662 0 Homo sapiens (Human) SwissProt::Q9BRZ2 1 SwissProt LRAGKPACALCPLVGGTSTGGPATARCLDCADDLCQACADGHRCTRQTHTHRVVDLVGYRAGWYDEEARERQAAQCPQHPGEALRFLCQPCSQLLCRECRLDPHLDHPCLPLAEAVRARRPGLEGLLAGVDNNLVELEAARRVEKEALARLREQAARVGTQVEEAAEGVLRALLAQKQEVLGQLRAHVEAAEEAARERLAELEGREQVARAAAAFARRVLSLGREAEILSLEGAIAQRLRQLQGCPWAPGPAPCLLPQLELHPGLLDKNCHLLRLSFEEQQPQKDGGKDGAGTQGGEESQSRREDEPKTERQGGVQPQAGDGAQTPKEEKAQTTREEGAQTLEEDRAQTPHEDGGPQPHRGGRPNKKKKFKGRLKSISREPSPALGPNLDGSGLLPRPIFYCSFPTRMPGDKRSPRITGLCPFGPREILVADEQNRALKRFSLNGDYKGTVPVPEGCSPCSVAALQSAVAFSASARLYLINPNGEVQWRRALSLSQASHAVAALPSGDRVAVSVAGHVEVYNMEGSLATRFIPGGKASRGLRALVFLTTSPQGHFVGSDWQQNSVVICDGLGQVVGEYKGPGLHGCQPGSVSVDKKGYIFLTLREVNKVVILDPKGSLLGDFLTAYHGLEKPRVTTMVDGRYLVVSLSNGTIHIFRVRSPDS
XP_011522803.1 94 363 0.370164074074074 tripartite motif-containing protein 65 isoform X5 363 0 10 270 0 Homo sapiens XP_011522803.1 1 RefSeq RCPRHGRPLELFCRTEGRCVCSVCTVRECRLHERALLDAERLKREAQLRASLEVTQQQATQAEGQLLELRKQSSQIQGPGHPEAPPVPAQNSACILASWVSGKFSSLLQALEIQHTTALRSIEVAKTQALAQARDEEQRLRVHLEAVARHGCRIRELLEQVDEQTFLQESQLLQPPGPLGPLTPLQWDEDQQLGDLKQLLSRLCGLLLEEGSHPGAPAKPVDLAPVDYRNLTFDPVSANRHFYLSRQDQQVESCSLKKTYSDPNPQDLWL
XP_011523685.1 87 501 0.600591325301204 PF17218.3:CBX7_C:320:351 chromobox protein homolog 2 isoform X2 501 32 10 415 0 Homo sapiens XP_011523685.1 1 RefSeq EQKATRRPVSLAKVLKTARKDLGAPASKLPPPLSAPVAGLAALKAHAKEACGGPSAMATPENLASLMKGMASSPGRGGISWQSSIVHYMNRMTQSQAQAASRLALKAQATNKCGLGLDLKVRTQKGELGMSPPGSKIPKAPSGGAVEQKVGNTGGPPHTHGASRVPAGCPGPQPAPTQELSLQVLDLQSVKNGMPGVGLLARHATATKGVPATNPAPGKGTGSGLIGASGATMPTDTSKSEKLASRAVAPPTPASKRDCVKGSATPSGQESRTAPGEARKAATLPEMSAGEESSSSDSDPDSASPPSTGQNPSVSVQTSQDWKPTRSLIEHVFVTDVTANLITVTVKESPTSVGFFNLRPLCSPLLPQETRWHLWLQVTVLNRAGFFMAALLLSLNCSSLACVTESQLWSLSCRG
XP_011524003.1 395 814 0.39920380952381 protein spire homolog 1 isoform X1 814 0 10 420 0 Homo sapiens XP_011524003.1 1 RefSeq RLAMRPLSMSYSFDLSDVTTPESTKNLVESSMVNGGLTSQTKENGLSTSQQVPAQRKKLLRAPTLAELDSSESEEETLHKSTSSSSVSPSFPEEPVLEAVSTRKKPPKFLPISSTPQPERRQPPQRRHSIEKETPTNVRQFLPPSRQSSRSLVPRITSVWPRTPFRPLFSTIQTASLLSSHPFEAAMFGVAGAMYYLCERAFTSRWKSSKEEFCYPVECLALTVEEVMHIRQVLVKAELEKYQQYKDIYTALKKGKLCFCCRTRRFSFFTWSYTCQFCKRPVCSQCCKKMRLPSKPYSTLPIFSLGPSALQRGESSMRSEKPSTAHHRPLRSIARFSSKSKSMDKSDEELQFPKELMEDWSTMEVCVDCKKFISEIISSSRRSLVLANKRARLKRKTQSFYMSSPGPSEYCPSERTISEI
XP_011525928.1 1 372 0.162182258064516 PF01762.21:Galactosyl_T:122:311 N-acetyllactosaminide beta-1,3-N-acetylglucosaminyltransferase 3; Beta-1,3-galactosyl-O-glycosyl-glycoprotein beta-1,3-N-acetylglucosaminyltransferase; Beta-1,3-galactosyltransferase 8; Beta-1,3-GalTase 8; Beta3Gal-T8; Beta3GalT8; b3Gal-T8; Beta-3-Gx-T8; Core 1 extending beta-1,3-N-acetylglucosaminyltransferase; Core1-beta3GlcNAcT; Transmembrane protein 3; UDP-Gal:beta-GlcNAc beta-1,3-galactosyltransferase 8; UDP-GlcNAc:betaGal beta-1,3-N-acetylglucosaminyltransferase 3; BGnT-3; Beta-1,3-Gn-T3; Beta-1,3-N-acetylglucosaminyltransferase 3; Beta3Gn-T3; UDP-galactose:beta-N-acetylglucosamine beta-1,3-galactosyltransferase 8; EC 2.4.1.149; EC 2.4.1.146 372 190 10 354 1 Homo sapiens (Human) SwissProt::Q9Y2A9 1 SwissProt MKYLRHRRPNATLILAIGAFTLLLFSLLVSPPTCKVQEQPPAIPEALAWPTPPTRPAPAPCHANTSMVTHPDFATQPQHVQNFLLYRHCRHFPLLQDVPPSKCAQPVFLLLVIKSSPSNYVRRELLRRTWGRERKVRGLQLRLLFLVGTASNPHEARKVNRLLELEAQTHGDILQWDFHDSFFNLTLKQVLFLQWQETRCANASFVLNGDDDVFAHTDNMVFYLQDHDPGRHLFVGQLIQNVGPIRAFWSKYYVPEVVTQNERYPPYCGGGGFLLSRFTAAALRRAAHVLDIFPIDDVFLGMCLELEGLKPASHSGIRTSGVRAPSQRLSSFDPCFYRDLLLVHRFLPYEMLLMWDALNQPNLTCGNQTQIY
XP_011529199.1 251 756 0.0993284584980239 PF07778.11:CENP-I:1:271 Centromere protein I; CENP-I; FSH primary response protein 1; Follicle-stimulating hormone primary response protein; Interphase centromere complex protein 19; Leucine-rich primary response protein 1 756 271 10 506 0 Homo sapiens (Human) SwissProt::Q92674 1 SwissProt SVSLPVRKKIYFKNSENLWKTALLAVKQRNRGPSPEPLKLMLGPANVRPLKRKWNSLSVIPVLNSSSYTKECGKKEMSLSDCLNRSGSFPLEQLQSFPQLLQNIHCLELPSQMGSVLNNSLLLHYINCVRDEPVLLRFYYWLSQTLQEECIWYKVNNYEHGKEFTNFLDTIIRAECFLQEGFYSCEAFLYKSLPLWDGLCCRSQFLQLVSWIPFSSFSEVKPLLFDHLAQLFFTSTIYFKCSVLQSLKELLQNWLLWLSMDIHMKPVTNSPLETTLGGSMNSVSKLIHYVGWLSTTAMRLESNNTFLLHFILDFYEKVCDIYINYNLPLVVLFPPGIFYSALLSLDTSILNQLCFIMHRYRKNLTAAKKNELVQKTKSEFNFSSKTYQEFNHYLTSMVGCLWTSKPFGKGIYIDPEILEKTGVAEYKNSLNVVHHPSFLSYAVSFLLQESPEERTVNVSSIRGKKWSWYLDYLFSQGLQGLKLFIRSSVHHSSIPRAEGINCNNQY
XP_011529982.1 1 710 0.144920281690141 PF00118.24:Cpn60_TCP1:288:563 Bardet-Biedl syndrome 12 protein 710 276 10 710 0 Homo sapiens (Human) SwissProt::Q6ZW61 1 SwissProt MVMACRVVNKRRHMGLQQLSSFAETGRTFLGPLKSSKFIIDEECHESVLISSTVRLLESLDLTSAVGQLLNEAVQAQNNTYRTGISTLLFLVGAWSSAVEECLHLGVPISIIVSVMSEGLNFCSEEVVSLHVPVHNIFDCMDSTKTFSQLETFSVSLCPFLQVPSDTDLIEELHGLKDVASQTLTISNLSGRPLKSYELFKPQTKVEADNNTSRTLKNSLLADTCCRQSILIHSRHFNRTDNTEGVSKPDGFQEHVTATHKTYRCNDLVELAVGLSHGDHSSMKLVEEAVQLQYQNACVQQGNCTKPFMFDISRIFTCCLPGLPETSSCVCPGYITVVSVSNNPVIKELQNQPVRIVLIEGDLTENYRHLGFNKSANIKTVLDSMRLQEDSSEELWANHVLQVLIQFKVNLVLVQGNVSERLIEKCINSKRLVIGSVNGSVMQAFAEAAGAVQVAYITQVNEDCVGDGVCVTFWRSSPLDVVDRNNRIAILLKTEGINLVTAVLTNPVTAQMQIKEDRFWTCAYRLYYALKEEKVFLGGGAVEFLCLSCLHILAEQSLKKENHACSGWLHNTSSWLASSLAIYRPTVLKFLANGWQKYLSTLLYNTANYSSEFEASTYIQHHLQNATDSGSPSSYILNEYSKLNSRIFNSDISNKLEQIPRVYDVVTPKIEAWRRALDLVLLVLQTDSEIITGHGHTQINSQELTGFLFL
XP_011533426.1 118 572 0.685437802197802 M-phase phosphoprotein 8 isoform X1 879 0 10 455 0 Homo sapiens XP_011533426.1 1 RefSeq VRKDIQRLSLNNDIFEANSDSDQQSETKEDTSPKKKKKKLRQREEKSPDDLKKKKAKAGKLKDKSKPDLESSLESLVFDLRTKKRISEAKEELKESKKPKKDEVKETKELKKVKKGEIRDLKTKTREDPKENRKTKKEKFVESQVESESSVLNDSPFPEDDSEGLHSDSREEKQNTKSARERAGQDMGLEHGFEKPLDSAMSAEEDTDVRGRRKKKTPRKAEDTRENRKLENKNAFLEKKTVPKKQRNQDRSKSAAELEKLMPVSAQTPKGRRLSGEERGLWSTDSAEEDKETKRNESKEKYQKRHDSDKEEKGRKEPKGLKTLKEIRNAFDLFKLTPEEKNDVSENNRKREEIPLDFKTIDDHKTKENKQSLKERRNTRDETDTWAYIAAEGDQEVLDSVCQADENSDGRQQILSLGMDLQLEWMKLEDFQKHLDGKDENFAATDAIPSNVLRD
XP_011536797.1 120 396 0.232556678700361 PF00001.21:7tm_1:3:251 G-protein coupled receptor 84; Inflammation-related G-protein coupled receptor EX33 396 249 10 188 4 Homo sapiens (Human) SwissProt::Q9NQS5 1 SwissProt LLIAHPKLFPQVFSAKGIVLALVSTWVVGVASFAPLWPIYILVPVVCTCSFDRIRGRPYTTILMGIYFVLGLSSVGIFYCLIHRQVKRAAQALDQYKLRQASIHSNHVARTDEAMPGRFQELDSRLASGGPSEGISSEPVSAATTQTLEGDSSEVGDQINSKRAKQMAEKSPPEASAKAQPIKGARRAPDSSSEFGKVTRMCFAVFLCFALSYIPFLLLNILDARVQAPRVVHMLAANLTWLNGCINPVLYAAMNRQFRQAYGSILKRGPRSFHRLH
XP_011537263.1 1 721 0.097895145631068 PF00612.27:IQ:30:49 ubiquitin-protein ligase E3B isoform X4 1032 20 10 721 0 Homo sapiens XP_011537263.1 1 RefSeq MFTLSQTSRAWFIDRARQAREERLVQKERERAAVVIQAHVRSFLCRSRLQRDIRREIDDFFKADDPESTKRSALCIFKIARKLLFLFRIKEDNERFEKLCRSILSSMDAENEPKVWYVSLACSKDLTLLWIQQIKNILWYCCDFLKQLKPEILQDSRLITLYLTMLVTFTDTSTWKILRGKGESLRPAMNHICANIMGHLNQHGFYSVLQILLTRGLARPRPCLSKGTLTAAFSLALRPVIAAQFSDNLIRPFLIHIMSVPALVTHLSTVTPERLTVLESHDMLRKFIIFLRDQDRCRDVCESLEGCHTLCLMGNLLHLGSLSPRVLEEETDGFVSLLTQTLCYCRKYVSQKKSNLTHWHPVLGWFSQSVDYGLNESMHLITKQLQFLWGVPLIRIFFCDILSKKLLESQEPAHAQPASPQNVLPVKSLLKRAFQKSASVRNILRPVGGKRVDSAEVQKVCNICVLYQTSLTTLTQIRLQILTGLTYLDDLLPKLWAFICELGPHGGLKLFLECLNNDTEESKQLLAMLMLFCDCSRHLITILDDIEVYEEQISFKLEELVTISSFLNSFVFKMIWDGIVENAKGETLELFQSVHGWLMVLYERDCRRRFTPEDHWLRKDLKPSVLFQELDRDRKRAQLILQYIPHVIPHKNRVLLFRTMVTKEKEKLGLVETSSASPHVTHITIRRSRMLEDGYEQLRQLSQHAMKGVIRVKFVNDLGVD
XP_011541202.1 66 342 0.459785198555957 PF08205.12:C2-set_2:13:90 cytotoxic and regulatory T-cell molecule isoform X1 342 78 10 254 1 Homo sapiens XP_011541202.1 1 RefSeq TPFKPILEASVIRKQNGEEHVVLMCSTMRSKPPPQITWLLGNSMEVSGGTLHEFETDGKKCNTTSTLIIHTYGKNSTVDCIIRHRGLQGRKLVAPFRFEDLVTDEETASDALERNSLSSQDPQQPTSTVSVTEDSSTSEIDKEEKEQTTQDPDLTTEANPQYLGLARKKSGILLLTLVSFLIFILFIIVQLFIMKLRKAHVIWKKENEVSEHTLESYRSRSNNEETSSEEKNGQSSHPMRCMNYITKLYSEAKTKRKENVQHSKLEEKHIQVPESIV
XP_011541372.1 1 262 0.0594828244274809 PF07264.11:EI24:63:224 etoposide-induced protein 2.4 homolog isoform X2 262 162 10 170 4 Homo sapiens XP_011541372.1 1 RefSeq MADSVKTFLQDLARGIKDSIWGICTISKLDARIQQKREEQRRRRASSVLAQRRAQSIERKQESEPRIVSRIFQCCAWNGGVFWFSLLLFYRVFIPVLQSVTARIIGDPSLHGDVWSWLEFFLTSIFSALWVLPLFVLSKVVNAIWFQDIADLAFEVSGRKPHPFPSVSKIIADMLFNLLLQALFLIQGMFVSLFPIHLVGQLVSLLHMSLLYSLYCFEYRWFNKVAAFSLSSFLYSLSAPMKQRPLAKHISSSCASSPWWSS
XP_011542761.1 735 1637 0.439643300110741 PF12473.8:DUF3694:205:481 kinesin-like protein KIF13B isoform X4 1783 277 10 903 0 Homo sapiens XP_011542761.1 1 RefSeq LIGVANVFLESLFYDVKLQYAVPIINQKGEVAGRLHVEVMRLSGDVGERIAGGDEVAEVSFEKETQENKLVCMVKILQATGLPQHLSHFVFCKYSFWDQQEPVIVAPEVDTSSSSVSKEPHCMVVFDHCNEFSVNITEDFIEHLSEGALAIEVYGHKINDPRKNPALWDLGIIQAKTRSLRDRWSEVTRKLEFWVQILEQNENGEYCPVEVISAKDVPTGGIFQLRQGQSRRVQVEVKSVQESGTLPLMEECILSVGIGCVKVRPLRAPRTHETFHEEEEDMDSYQDRDLERLRRKWLNALTKRQEYLDQQLQKLVSKRDKTEDDADREAQLLEMRLTLTEERNAVMVPSAGSGIPGAPAEWTPVPGMETHIPVIFLDLNADDFSSQDNLDDPEAGGWDATLTGEEEEEFFELQIVKQHDGEVKAEASWDSAVHGCPQLSRGTPVDERLFLIVRVTVQLSHPADMQLVLRKRICVNVHGRQGFAQSLLKKMSHRSSIPGCGVTFEIVSNIPEDAQGVEEREALARMAANVENPASADSEAYIEKYLRSVLAVENLLTLDRLRQEVAVKEQLTGKGKLSRRSISSPNVNRVSVWNQALCCAWDFSPLLFSWLSGSRQDLIPSYSLGSNKGRWESQQDVSQTTVSRGIAPAPALSVSPQNNHSPDPGLSNLAASYLNPVKSFVPQMPKLLKSLFPVRDEKRGKRPSPLAHQPVPRIMVQSASPDIRVTRMEEAQPEMGPDVLVQTMGAPALKICDKPAKVPSPPPVIAVTAVTPAPEAQDGPPSPLSEASSGYFSHSVSTATLSDALGPGLDAAAPPGSMPTAPEAEPEAPISHPPPPTAVPAEEPPGPQQLVSPGRERPDLEAPAPGSPFRVRRVRASELRSFSRMLAGDPGCSPGAEGNAPAP
XP_016857233.1 1 331 0.0656990936555891 PF03062.19:MBOAT:87:254 protein-cysteine N-palmitoyltransferase HHAT isoform X17 331 168 10 196 6 Homo sapiens XP_016857233.1 1 RefSeq MGEAVAGVASPWPHGSVSNGHTAGKKEKVVQDRKRVLPAAVHADRSLPVLHQLQPGALLAAAACCIDLLLLSLDAGLCLLLSSLTQWAHPQLLGVHQTGGLALAQVLFFYVKYLVLFGVPALLMRLDGLTPPALPRCVSTMFSFTGMWRYFDVGLHNFLIRYVYIPVGGSQHGLLGTLFSTAMTFAFVSYWHGGYDYLWCWAALNWLGVTVENGVRRLVETPCIQDSLARYFSPQARRRFHAALASCSTSMLILSNLVFLGGNEVGKTYWNRIFIQGGLFLFFLLNPCWETAFQGFPVFLHFLQTEVLATFVPNYFSWNICIENTSELSSY
XP_016857931.1 220 577 0.685137150837989 high affinity immunoglobulin alpha and immunoglobulin mu Fc receptor isoform X1 577 0 10 338 1 Homo sapiens XP_016857931.1 1 RefSeq ASTLPTATPAAGELTMRSYGTASPVANRWTPGTTQTLGQGTAWDTVASTPGTSKTTASAEGRRTPGATRPAAPGTGSWAEGSVKAPAPIPESPPSKSRSMSNTTEGVWEGTRSSVTNRARASKDRREMTTTKADRPREDIEGVRIALDAAKKVLGTIGPPALVSETLAWEILPQATPVSKQQSQGSIGETTPAAGMWTLGTPAADVWILGTPAADVWTSMEAASGEGSAAGDLDAATGDRGPQATLSQTPAVGPWGPPGKESSVKRTFPEDESSSRTLAPVSTMLALFMLMALVLLQRKLWRRRTSQEAERVTLIQMTHFLEVNPQADQLPHVERKMLQDDSLPAGASLTAPERNPGP
XP_016865433.1 1 184 0.150459239130435 PF03987.15:Autophagy_act_C:64:131 ubiquitin-like-conjugating enzyme ATG10 isoform X2 184 68 10 184 0 Homo sapiens XP_016865433.1 1 RefSeq MEEDEFIGEKTFQRYCAEFIKHSQQIGDSWEWRPSKEAFELPLDDCEVIETAAASEVIKYEYHVLYSCSYQVPVLYFRASFLDGRPLTLKDIWEGVHECYKMRLLQGPWDTITQQEHPILGQPFFVLHPCKTNEFMTPVLKNSQKINKNVNYITSWLSIVGPVVGLNLPLSYAKATSQDERNVP
XP_016867258.1 90 3027 0.103108543226685 ATP-binding cassette sub-family A member 13 isoform X21 3027 0 10 2938 0 Homo sapiens XP_016867258.1 1 RefSeq SMEHHFRLSRFQTAADPKKVNNLAFLKEIQDLAEEIHGMMDKAKNLKRLWVERSNTPDSSYGSSFFTMDLNKTEEVILKLESLHQQPHIWDFLLLLPRLHTSHDHVEDGMDVAVNLLQTILNSLISLEDLDWLPLNQTFSQVSELVLNVTISTLTFLQQHGVAVTEPVYHLSMQNIVWDPQKVQYDLKSQFGFDDLHTEQILNSSAELKEIPTDTSLEKMVCSVLSSTSEDEAEKWGHVGGCHPKWSEAKNYLVHAVSWLRVYQQVFVQWQQGSLLQKTLTGMGHSLEALRNQFEEESKPWKVVEALHTALLLLNDSLSADGPKDNHTFPKILQHLWKLQSLLQNLPQWPALKRFLQLDGALRNAIAQNLHFVQEVLICLETSANDFKWFELNQLKLEKDVFFWELKQMLAKNAVCPNGRFSEKEVFLPPGNSSIWGGLQGLLCYCNSSETSVLNKLLGSVEDADRILQEVITWHKNMSVLIPEEYLDWQELEMQLSEASLSCTRLFLLLGADPSPENDVFSSDCKHQLVSTVIFHTLEKTQFFLEQAYYWKAFKKFIRKTCEVAQYVNMQESFQNRLLAFPEESPCFEENMDWKMISDNYFQFLNNLLKSPTASISRALNFTKHLLMMEKKLHTLEDEQMNFLLSFVEFFEKLLLPNLFDSSIVPSFHSLPSLTEDILNISSLWTNHLKSLKRDPSATDAQKLLEFGNEVIWKMQTLGSHWIRKEPKNLLRFIELILFEINPKLLELWAYGISKGKRAKLENFFTLLNFSVPENEILSTSFNFSQLFHSDWPKSPAMNIDFVRLSEAIITSLHEFGFLEQEQISEALNTVYAIRNASDLFSALSEPQKQEVDKILTHIHLNVFQDKDSALLLQIYSSFYRYIYELLNIQSRGSSLTFLTQISKHILDIIKQFNFQNISKAFAFLFKTAEVLGGISNVSYCQQLLSIFNFLELQAQSFMSTEGQELEVIHTTLTGLKQLLIIDEDFRISLFQYMSQFFNSSVEDLLDNKCLISDNKHISSVNYSTSEESSFVFPLAQIFSNLSANVSVFNKFMSIHCTVSWLQMWTEIWETISQLFKFDMNVFTSLHHGFTQLLDELEDDVKVSKSCQGILPTHNVARLILNLFKNVTQANDFHNWEDFLDLRDFLVALGNALVSVKKLNLEQVEKSLFTMEAALHQLKTFPFNESTSREFLNSLLEVFIEFSSTSEYIVRNLDSINDFLSNNLTNYGEKFENIITELREAIVFLRNVSHDRDLFSCADIFQNVTECILEDGFLYVNTSQRMLRILDTLNSTFSSENTISSLKGCIVWLDVINHLYLLSNSSFSQGHLQNILGNFRDIENKMNSILKIVTWVLNIKKPLCSSNGSHINCVNIYLKDVTDFLNIVLTTVFEKEKKPKFEILLALLNDSTKQVRMSINNLTTDFDFASQSNWRYFTELILRPIEMSDEIPNQFQNIWLHLITLGKEFQKLVKGIYFNILENNSSSKTENLLNIFATSPKEKDVNSVGNSIYHLASYLAFSLSHDLQNSPKIIISPEIMKATGLGIQLIRDVFNSLMPVVHHTSPQNAGYMQALKKVTSVMRTLKKADIDLLVDQLEQVSVNLMDFFKNISSVGTGNLVVNLLVGLMEKFADSSHSWNVNHLLQLSRLFPKDVVDAVIDVYYVLPHAVRLLQGVPGKNITEGLKDVYSFTLLHGITISNITKEDFAIVIKILLDTIELVSDKPDIISEALACFPVVWCWNHTNSGFRQNSKIDPCNVHGLMSSSFYGKVASILDHFHLSPQGEDSPCSNESSRMEITRKVVCIIHELVDWNSILLELSEVFHVNISLVKTVQKFWHKILPFVPPSINQTRDSISELCPSGSIKQVALQIIEKLKNVNFTKVTSGENILDKLSSLNKILNINEDTETSVQNIISSNLERTVQLISEDWSLEKSTHNLLSLFMMLQNANVTGSSLEALSSFIEKSETPYNFEELWPKFQQIMKDLTQDFRIRHLLSEMNKGIKSINSMALQKITLQFAHFLEILDSPSLKTLEIIEDFLLVTKNWLQEYANEDYSRMIETLFIPVTNESSTEDIALLAKAIATFWGSLKNISRAGNFDVAFLTHLLNQEQLTNFSVVQLLFENILINLINNLAGNSQEAAWNLNDTDLQIMNFINLILNHMQSETSRKTVLSLRSIVDFTEQFLKTFFSLFLKEDSENKISLLLKYFHKDVIAEMSFVPKDKILEILKLDQFLTLMIQDRLMNIFSSLKETIYHLMKSSFILDNGEFYFDTHQGLKFMQDLFNALLRETSMKNKTENNIDFFTVVSQLFFHVNKSEDLFKLNQDLGSALHLVRECSTEMARLLDTILHSPNKDFYALYPTLQEVILANLTDLLFFINNSFPLRNRATLEITKRLVGAISRASEESHVLKPLLEMSGTLVMLLNDSADLRDLATSMDSIVKLLKLVKKVSGKMSTVFKTHFISNTKDSVKFFDTLYSIMQQSVQNLVKEIATLKKIDHFTFEKINDLLVPFLDLAFEMIGVEPYISSNSDIFSMSPSILSYMNQSKDFSDILEEIAEFLTSVKMNLEDMRSLAVAFNNETQTFSMDSVNLREEILGCLVPINNITNQMDFLYPNPISTHSGPQDIKWEIIHEVIPFLDKILSQNSTEIGSFLKMVICLTLEALWKNLKKDNWNVSNVLMTFTQHPNNLLKTIETVLEASSGIKSDYEGDLNKSLYFDTPLSQNITHHQLEKAIHNVLSRIALWRKGLLFNNSEWITSTRTLFQPLFEIFIKATTGKNVTSEKEERTKKEMIDFPYSFKPFFCLEKYLGGLFVLTKYWQQIPLTDQSVVEICEVFQQTVKPSEAMEMLQKVKMMVVRVLTIVAENPSWTKDILCATLSCKQNGIRHLILSAIQGVTLAQDHFQVTCDMLTSLPLQLSAGSVPALGCEPHGGRSFVSCVHSSQSGT
XP_016871094.1 1 261 0.361452107279693 PF15556.6:Zwint:29:210 ZW10 interactor isoform X2 261 182 10 261 0 Homo sapiens XP_016871094.1 1 RefSeq MEAAETEAEAAALEVLAEVAGILEPVGLQEEAELPAKILVEFVVDSQKKDKLLCSQLQVADFLQNILAQEDTAKGLDPLASEDTSRQKAIAAKEQWKELKATYREHVEAIKIGLTKALTQMEEAQRKRTQLREAFEQLQAKKQMAMEKRRAVQNQWQLQQEKHLQHLAEVSAEVRERKTGTQQELDRVFQKLGNLKQQAEQERDKLQRVSCCSLRLRLRQRIFQMINPSSRLDPRSRVQETPWGETLVCPSRLLVYNLLEM
XP_016874290.1 1 524 0.417279007633587 protein NEDD1 isoform X3 524 0 10 524 0 Homo sapiens XP_016874290.1 1 RefSeq MIVDIFILSPNSGRSIQFTTKHDSHCKSVRHLKYSLFKKSLLGSVSDNGIVTLWDVNSQSPYHNFDSVHKAPASGICFSPVNELLFVTIGLDKRIILYDTSSKKLVKTLVADTPLTAVDFMPDGATLAIGSSRGKIYQYDLRMLKSPVKTISAHKTSVQCIAFQYSTVLTKSSLNKGCSNKPTTVNKRSVNVNAASGGVQNSGIVREAPATSIATVLPQPMTSAMGKGTVAVQEKAGLPRSINTDTLSKETDSGKNQDFSSFDDTGKSSLGDMFSPIRDDAVVNKGSDESIGKGDGFDFLPQLNSVFPPRKNPVTSSTSVLHSSPLNVFMGSPGKEENENRDLTAESKKIYMGKQESKDSFKQLAKLVTSGAESGNLNTSPSSNQTRNSEKFEKPENEIEAQLICEPPINGSSTPNPKIASSVTAGVASSLSEKIADSIGNNRQNAPLTSIQIRFIQNMIQETLDDFREACHRDIVNLQVEMIKQFHMQLNEMHSLLERYSVNEGLVAEIERLREENKRLRAHF
XP_016874978.1 1 545 0.0729084403669724 PF03137.20:OATP:2:452,PF07690.16:MFS_1:2:256,PF07648.15:Kazal_2:292:338,PF00083.24:Sugar_tr:2:258 solute carrier organic anion transporter family member 1C1 isoform X7 545 451 10 384 7 Homo sapiens XP_016874978.1 1 RefSeq MWIYVFLGNLLRGIGETPIQPLGIAYLDDFASEDNAAFYIGCVQTVAIIGPIFGFLLGSLCAKLYVDIGFVNLDHITITPKDPQWVGAWWLGYLIAGIISLLAAVPFWYLPKSLPRSQSREDSNSSSEKSKFIIDDHTDYQTPQGENAKIMEMARDFLPSLKNLFGNPVYFLYLCTSTVQFNSLFGMVTYKPKYIEQQYGQSSSRANFVIGLINIPAVALGIFSGGIVMKKFRISVCGAAKLYLGSSVFGYLLFLSLFALGCENSDVAGLTVSYQGTKPVSYHERALFSDCNSRCKCSETKWEPMCGENGITYVSACLAGCQTSNRSGKNIIFYNCTCVGIAASKSGNSSGIVGRCQKDNGCPQMFLYFLVISVITSYTLSLGGIPGYILLLRCIKPQLKSFALGIYTLAIRVLAGIPAPVYFGVLIDTSCLKWGFKRCGSRGSCRLYDSNVFRYQIKSIPASHCYSIPDLHNATDTNKFSCHFTACKTYISGTNCDTGHSVNSPKHCSTFHFKEKLCFKTQKFYNQERKNNGVYKIPKGKLHYK
XP_016878525.1 1 676 0.222010207100592 PF05729.12:NACHT:148:313,PF17776.1:NLRC4_HD2:464:590,PF17779.1:NOD2_WH:404:462 NLR family CARD domain-containing protein 3 isoform X1 1074 352 10 676 0 Homo sapiens XP_016878525.1 1 RefSeq MRKQEVRTGREAGQGHGTGSPAEQVKALMDLLAGKGSQGSQAPQALDRTPDAPLGPCSNDLPTGLCPADSRIQRHRKALLSKVGGGPELGGPWHRLASLLLVEGLTDLQLREHDFTQVEATRGGGHPARTVALDRLFLPLSRVSVPPRVSITIGVAGMGKTTLVRHFVRLWAHGQVGKDFSLVLPLTFRDLNTHEKLCADRLICSVFPHVGEPSLAVAVPARALLILDGLDECRTPLDFSNTVACTDPKKEIPVDHLITNIIRGNLFPEVSIWITSRPSASGQIPGGLVDRMTEIRGFNEEEIKVCLEQMFPEDQALLGWMLSQVQADRALYLMCTVPAFCRLTGMALGHLWRSRTGPQDAELWPPRTLCELYSWYFRMALSGEGQEKGKASPRIEQVAHGGRKMVGTLGRLAFHGLLKKKYVFYEQDMKAFGVDLALLQGAPCSCFLQREETLASSVAYCFTHLSLQEFVAAAYYYGASRRAIFDLFTESGVSWPRLGFLTHFRSAAQRAMQAEDGRLDVFLRFLSGLLSPRVNALLAGSLLAQGEHQAYRTQVAELLQGCLRPDAAVCARAINVLHCLHELQHTELARSVEEAMESGALARLTGPAHRAALAYLLQVSDACAQEANLSLSLSQGVLQSLLPQLLYCRKLRLDTNQFQDPVMELLGSVLSGKDCR
XP_016879899.1 110 507 0.385732412060301 syntaxin-binding protein 4 isoform X1 571 0 10 398 0 Homo sapiens XP_016879899.1 1 RefSeq QKSDNIQPENLSCTSLIEASGEYGPQASTLSLFSSPPEILIPKTSSTPKTNNDILSSCELLSHTVRLIPGIQSKKIKTGYNKTVQIPITSENSTVGLSNTDVASAWTENYGLQEKISLNPSVRFKAEKLEMALNYLGIQPTKEQHQALRQQVQADSKGTVSFGDFVQVARNLFCLQLDEVNVGAHEISNILDSQLLPCDSSEADEMERLKCERDDALKEVNTLKEKLLESDKQRKQLTEELQNVKQEAKAVVEETRALRSRIHLAEAAQRQAHGMEMDYEEVIRLLEAKITELKAQLADYSDQNKESVQDLKKRIMVLDCQLRKSEMARKTFEASTEKLLHFVEAIQEVFSDNSTPLSNLSERRAVLASQTSLTPLGRNGRSIPATLALESKELVKSV
XP_016880331.1 1 360 0.584809722222222 alpha-N-acetylgalactosaminide alpha-2,6-sialyltransferase 1 isoform X1 565 0 10 337 1 Homo sapiens XP_016880331.1 1 RefSeq MRSCLWRCRHLSQGVQWSLLLAVLVFFLFALPSFIKEPQTKPSRHQRTENIKERSLQSLAKPKSQAPTRARRTTIYAEPVPENNALNTQTQPKAHTTGDRGKEANQAPPEEQDKVPHTAQRAAWKSPEKEKTMVNTLSPRGQDAGMASGRTEAQSWKSQDTKTTQGNGGQTRKLTASRTVSEKHQGKAATTAKTLIPKSQHRMLAPTGAVSTRTRQKGVTTAVIPPKEKKPQATPPPAPFQSPTTQRNQRLKAANFKSEPRWDFEEKYSFEIGGLQTTCPDSVKIKASKSLWLQKLFLPNLTLFLDSRHFNQSEWDRLEHFAPPFGFMELNYSLVQKVVTRFPPVPQQQLLLASLPAGSL
XP_016880721.1 109 265 0.659325477707007 transcription factor HES-7 isoform X1 265 0 10 157 0 Homo sapiens XP_016880721.1 1 RefSeq PPAAAAPGVPRSPVQDAEALASCYLSGFRECLLRLAAFAHDASPAARAQLFSALHGYLRPKPPRPKPVDPRPPAPRPSLDPAAPALGPALHQRPPVHQGHPSPRCAWSPSLCSPRAGDSGAPAPLTGLLPPPPPPHRQDGAPKAPLPPPPAFWRPWP
XP_016881284.1 1 743 0.109222745625841 PF09742.9:Dymeclin:1:616,PF12722.7:Hid1:346:494 dymeclin isoform X4 743 616 10 743 0 Homo sapiens XP_016881284.1 1 RefSeq MGSNSSRIGDLPKNEYLKKLSGTESISENDPFWNQLLSFSFPAPTSSELKLLEEATISVCRSLVENNPRTGNLGALIKVFLSRTKELKLSAECQNHIFIWQTHNALFIICCLLKVFICQMSEEELQLHFTYEEKSPGNYSSDSEDLLEELLCCLMQLITDIPLLDITYEISVEAISTMVVFLSCQLFHKEVLRQSISHKYLMRGPCLPYTSKLVKTLLYNFIRQEKPPPPGAHVFPQQSDGGGLLYGLASGVARLWTVFTLGGVGSKAAASPELSSPLANQSLLLLLVLANLTDASDAPNPYRQAIMSFKNTQDSSPFPSSIPHAFQINFNSLYTALCEQQTSDQATLLLYTLLHQNSNIRTYMLARTDMENLVLPILEILYHVEERNSHHVYMALIILLILTEDDGFNRSIHEVILKNITWYSERVLTEISLGSLLILVVIRTIQYNMTRTRDKYLHTNCLAALANMSAQFRSLHQYAAQRIISLFSLLSKKHNKVLEQATQSLRGSLSSNDVPLPDYAQDLNVIEEVIRMMLEIINSCLTNSLHHNPNLVYALLYKRDLFEQFRTHPSFQDIMQNIDLVISFFSSRLLQAGAELSVERVLEIIKQGVVALPKDRLKILCSNAVSRWPIVRWTESLHARPLCMQLLGSHSFMACLSEISRIEIQICGRGAARGVFYPLCLVSCLQLSSRPVLESTGHPAVHHGFRLRAGCSPTRTPPAKQPFKFFYFWVTEVDRQVTWCIFC
XP_016881633.1 149 316 0.40885119047619 SWI/SNF-related matrix-associated actin-dependent regulator of chromatin subfamily E member 1-related isoform X1 316 0 10 168 0 Homo sapiens XP_016881633.1 1 RefSeq KIQEKKIKKDSSSGLMNTLLNGHKGGDCDGFSTFDVPIFTEEFLDQNKAREAELRRLRKMNVAFEEQNAVLQRHTQSMSSARERLEQELALEERRTLALQQQLQAVRQALTASFASLPVPGTGETPTLGTLDFYMARLHGAIERDPAQHEKLIVRIKEILAQVASEHL
XP_016882814.1 1 320 0.5174071875 PF09409.10:PUB:203:286 UBX domain-containing protein 6 isoform X1 475 84 10 320 0 Homo sapiens XP_016882814.1 1 RefSeq MPCLQSRGALVALATESGRTTETRLQRTERPPWASKAPSAREARLVDCHVAGQGKAGLPAAREKAHKEKPNQPAPRPPRQGPTNEAQMAAAAALARLEQKQSRAWGPTSQDTIRNQVRKELQAEATVSGSPEAPGTNVVSEPREEGSAHLAVPGVYFTCPLTGATLRKDQRDACIKEAILLHFSTDPVAASIMKIYTFNKDQDRVKLGVDTIAKYLDNIHLHPEEEKYRKIKLQNKVFQERINCLEGTHEFFEAIGFQKVLLPAQDQEDPEEFYVLSETTLAQPQSLERHKEQLLAAEPVRAKLDRQRRVFQPSPLASQF
XP_017168218.1 1 889 0.290337345331833 type II inositol 3,4-bisphosphate 4-phosphatase isoform X4 889 0 10 889 0 Mus musculus XP_017168218.1 1 RefSeq MEIKEEGTSEEGQHFLPAAQANDPEDIQFTSIQKIPNEPQLEFILACRDLVAPVSDRKLNTVVQISVIHPVEQTLTRYSSTEIVEGTKDPLFLTGVTFPPDYPIYEETRIKLTVYDVKDKSHDTIRTSVLPEHKDPLPEVARSFLGCASFKVGELLKSKEQLLSLSLRTSDGGKVVGTIEVSLVKMGEIEDGDTDHITTDVQGQKCALMYESTAPESLSGKENLPFMNAVLRNPVCKLYRFPTSDNKWMRIREQMSESILSFHIPKELISLHIKEDLCRNQELKELGDLSPHWDNLRKNVLSHCDQMVTMYQDILTELSKETGSSFKSSSSKGEKTLEFVPVNLHLQRMQVHSPHLKDALYDVITVGAPAAHFQGFKNGGLRKLLHRFETERRNTGYQFIYYSPENTAKAKEVLSSINQLQPLIATHADLLLTSASQRSPDSLKSSLKLLSEKTELFVHAFKDQLVRSALLALYTARPGGILKKPPSPNVSTEEKSTQHDTPQLRRQDSIPHHSDYDEEEWDRVWANVGKSLNCIIAKVDKLIERDSHNEEGAGGSSSKDGEADHTLEDSITSHPREDWYEQLHPLILTLKECMGEVVNRAKQSLTFVLLQELAYSLPQCLMLTLRRDIVFSQALAGLVCGFIIKLHTSLHDPGFLQQLHTVGLIVQYEGLLSTYSDEIGMLEDMAVGISDLRKVAFKITEATSNDVLPVLTGRREHYVVEVKLPATVFESLPLQIKEGQLLHVYPVLFNVGINEQQTLAERFGDVSLQESINQENFELVQEYYSIFMEKMPPDYISHFQEQTDLKGLLDNLHQNIQAKKRKNVEIMWLAATICRKLNGIRFTCCKSAKDRTSMSVTLEQCSILRDEHQLHKDFFIRALDCMRRSTEKS
XP_017444428.1 1 330 0.509657878787879 PF16368.5:CEBP1_N:45:330 cytoplasmic polyadenylation element-binding protein 1 isoform X3 582 286 10 330 0 Rattus norvegicus XP_017444428.1 1 RefSeq MAPLKLSIRAPRAGRALSEPGEVTWLHTFDCFWSPSPEGGPDQEEESGRIKDCWDNQEVPALSTCSNANIFRRINAILDDSLDFSKVCTTPINRGIHDQLPDFQDSEEAITSRMLFPTSAQESPRGLPDANGLCLGLQSLSLTGWDRPWSTQDSDSSAQSNTQSVLSMLQNPLGNVLGKTPLSFLSLDPLGSDLDKFPAPSVRGSRLDTRPILDSRSSSPSDSDTSGFSSGSDHLSDLISSLRISPPLPFLSMTGNGPRDPLKMGVGSRMDQEQAALAAVAPSPTSAPKRWPGTSVWPSWDLLGAPKDPFSIEREARLHRQAAAVNEATC
XP_017449832.1 1 2315 0.52365028077754 PF00435.21:Spectrin:780:882 A-kinase anchor protein 6 isoform X1 2315 103 10 2315 0 Rattus norvegicus XP_017449832.1 1 RefSeq MLTMSVTLSPLRSQGPDPMATDASPMAINMTPTVEQEEGEGEEAVKAIDAEQQYGKPPPLHTAADWKIVLHLPEIETWLRMTSERVRDLTYSVQQDADSKHVDVHLVQLKDICEDISDHVEQIHALLETEFSLKLLSYSVNVIVDIHAVQLLWHQLRVSVLVLRERILQGLQDANGNYTRQTDILQAFSEETTEGRLDSLTEVDDSGQLTIKCSQDYLSLDCGITAFELSDYSPSEDLLGGLGDMTTSQAKTKSFDSWSYSEMEKEFPELIRSVGLLTVATEPVPSSCGEANEDSSQASLSDDHKGEHGEDGAPVPGQQLDSTVGMSSLDGTLANAAEHPSETAKQDSTSSPQLGTKKTQPGPCEITTPKRSIRDCFNYNEDSPTQPTLPKRGLFLKETQKNERKGSDRKGQVVDLKPELSRSTPSLVDPPDRSKLCLVLQSSYPSSPSAASQSYECLHKVGLGNLENIVRSHIKEISSSLGRLTDCHKEKLRLKKPHKTLAEVSLCRIPKQGGGSGKRSESTGSSAGPSMVSPGAPKATMRPETDSASTASGGLCHQRNRSGQLPVQSKASSSPPCSHSSESSLGSDSIKSPVPLLSKNKSQKSSPPAPCHATQNGQVVEAWYGSDEYLALPSHLKQTEVLALKLESLTKLLPQKPRGETIQDIDDWELSEMNSDSEIYPTYHIKKKHTRLGTVSPSSSSDIASSLGESIESGPLSDILSDEDLCLPLSSMKKFTDEKSERPSSSEKNESHSATRSALIQKLMHDIQHQENYEAIWERIEGFVNKLDEFIQWLNEAMETTENWTPPKAETDSLRLYLETHLSFKLNVDSHCALKEAVEEEGHQLLELVVSHKAEGLKDTLRMIASQWKELQRQIKRQHSWILRALDTIKAEILATDVSVEDEEGTGSPKAEVQLCHLETQRDAVEQMSLKLYSEQYTSGSKRKEEFANMSKAHAEGSNGLLDFDSEYQELWDWLIDMESLVMDSHDLMMSEEQQQHLYKRYSVEMSIRHLKKSELLSKVEALKKGGLSLPDDILEKVDSINEKWELLGKTLREKIQDTIAGHSGSGPRDLLSPESGSLVRQLEVRIKELKRWLRDTELFIFNSCLRQEKEGTSAEKQLQYFKSLCREIKQRRRGVASILRLCQHLLDDRDTCNLNADHQPMQLIIVNLERRWEAIVMQAVQWQTRLQKKMGKESETLNVIDPGLMDLNGMSEDALEWDETDISNKLISVHEESNDLDQDPEPMLPAVKLEETHHKDSGYEEEAGDCGGSPYTSNITAPSSPHIYQVYSLHNVELHEDSHTPFLKSSPKFTGTTQPTVLTKSLSKDSSFSSTKSLPDLLGGSGLVRPYSCHSGDLSQNSGSESGIVSEGDNEMPTNSDMSLFSMVDGSPSNPETEHPDPQMGDAATVLEQKFKDNGESIKLSSVSRASVSPVGCVNGKAGDLNSVTKHTADCLGEELQGKHDVFTFYDYSYLQGSKLKLPMIMKQPQSEKAHVEDPLLGGFYFDKKSCKAKHQASESQPDAPPHERILASAPHEMGRSAYKSSDIEKTFTGIQSARQLSLLSRSSSVESLSPGGDLFGLGIFKNGSDSLQRSTSLESWLTSYKSNEDLFSCHSSGDISVSSGSVGELSKRTLDLLNRLENIQSPSEQKIKRSVSDMTLQSSSQKMPFAGQMSLDVASSINEDSPASLTELSSSDELSLCSEDIVLHKNKIPESNASFRKRLNRSVADESDVNVSMIVNVSCTSACTDDEDDSDLLSSSTLTLTEEELCLKDEDDDSSIATDDEIYEESNLMSGLDYIKNELQTWIRPKLSLTREKKRSGVTDEIKVNKDGGGNEKANPSDTLDIEALLNGSIRCLSENNGNGKTPPRTHGSGTKGENKKSTYDVSKDPHVADMENGNIESTPEREREKPQGLPEVSENLASNVKTISESELSEYEAVMDGSEDSSVARKEFCPPNDRHPPQMGPKLQHPENQSGDCKPVQNPCPGLLSEAGVGSRQDSNGLKSLPNDAPSGARKPAGCCLLEQNETEESASISSNASCCNCKPDVFHQKDDEDCSVHDFVKEIIDMASTALKSKSQPESEVAAPTSLTQIKEKVLEHSHRPIHLRKGDFYSYLSLSSHDSDCGEVTNYIDEKSSTPLPPDAVDSGLDDKEDMDCFFEACVEDEPVNEEAGLPGALPNESAIEDGAEQKSEQKTASSPVLSDKTDLVPLSGLSPQKGADDAKEGDDVSHTSQGCAESTEPTTPSGKANAEGRSRMQGVSATPEENAASAKPKIQAFSLNAKQPKGKVAMRYPSPQTLTCKEKLVNFHEDRHSNMHR
XP_017455597.1 1 267 0.0535696629213483 PF01275.19:Myelin_PLP:3:236 neuronal membrane glycoprotein M6-a isoform X2 267 234 10 175 4 Rattus norvegicus XP_017455597.1 1 RefSeq MGCFECCIKCLGGIPYASLIATILLYAGVALFCGCGHEALSGTVNILQTYFEMARTAGDTLDVFTMIDIFKYVIYGIAAAFFVYGILLMVEGFFTTGAIKDLYGDFKITTCGRCVSAWFIMLTYLFMLAWLGVTAFTSLPVYMYFNVWTICRNTTLVEGANLCLDLRQFGIVTIGEEKKICTVSENFLRMCESTELNMTFHLFIVALAGAGAAVIAMVHYLMVLSANWAYVKDACRMQKYEDIKSKEEQELHDIHSTRSKERLNAYT
XP_017456134.1 1 122 0.424737704918033 urocortin-3 isoform X1 164 0 10 122 0 Rattus norvegicus XP_017456134.1 1 RefSeq MLMPTYFLLLLLLLLGGPRTSLSHKFYNAGPVFSCLNTALSEVKKNKLEDVPVLSKKNFGYLPTQDPSGEEEDEQKHIKNKRTFSDAVGGNGGRSIRYRYQSQAQPKGKLYPDKVKNDRGTK
XP_022712936.1 1 166 0.38755 PF06589.11:CRA:2:104 circumsporozoite-related antigen 166 103 10 124 2 Plasmodium berghei ANKA XP_022712936.1 1 RefSeq MKINIASILFIIFSLCLVNNAYGKNKHGKTGSKNVIKKPAEPVIDVQELISDMVKKEEEIVKLAKNKKSLRKANVALATALSVVSALLLGGAGLVMYNTEKGRHPFQIGKSERGTSEDSKPTNSPVDDESSLGFSSEGIQNFASTAREAMLKDDIATPLNMPNLQQ
XP_023427266.1 1 104 0.191491346153846 PF03795.14:YCII:8:91 Fusaric acid biosynthesis protein 2 104 84 10 104 0 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0DRX0 1 SwissProt MATELKEYLVIIPDLPDVLAKRQVLLKPHNQDAAPLVKAGRVPFFGSTLAHHSAEGQQVAENGTVMIIKAESEEEIKEIIRKDIFTIEGVWDFGKLSIWPFKSK
XP_023427267.1 1 267 0.192445692883895 PF03959.13:FSH1:2:250 Hydrolase FUB4; Fusaric acid biosynthesis protein 4; EC 3.1.-.- 267 249 10 267 0 Gibberella fujikuroi (strain CBS 195.34 / IMI 58289 / NRRL A-6831) (Bakanae and foot rot disease fungus) (Fusarium fujikuroi) SwissProt::S0DRW4 1 SwissProt MRFLCLHGYAFSVEVLQQQMEPITAHLPSDWEYEFLEAGMEPTELMLPNLKQVPKPNYSWYNFPYPEDVEEAYERLAAYVESDGPFDGVWGFSQGGSMAALLLLMHQAEHPDTPYPFKMAIFTSAFLPHSFDNGVVSWDLTEKNTLEPTYLPGRIDVSHGKKLDWKKDLHTSIEYDMINAVKDELDFPVDLLLRWRPSDIPEKIPVPSVHVRGLKDHYSFVDESVYELFDPDMARKMTHRGGHNFPRYNEELVHFAELIIDTVVSLY
XP_024328917.1 1 182 0.057082967032967 PF00134.23:Cyclin_N:11:96 cyclin 274 86 10 182 0 Plasmodium falciparum 3D7 XP_024328917.1 1 RefSeq MMNDIVLINKKKTPSEEKNIDKNEEIKLRIYGCQLLQEAGIILKLKAVTIVTSQILFHRFYFKKSFTDFDVNIIAPSALYLSCKLEEDFCRIYKIINTFHFLCKYENIKSKHIYFDIKNLNPEHFRINIESEEYKNMKVDIYTYELLILKEIGFLVHKINQHPHSFLLPYIHSLFNNLNTIH
XP_024329207.1 1 699 0.242322603719599 PF14418.6:OHA:334:406,PF12872.7:OST-HTH:420:494 conserved Plasmodium protein, unknown function 699 148 10 699 0 Plasmodium falciparum 3D7 XP_024329207.1 1 RefSeq MADLNNYKEMNSYAEGTYIMPNKIENVYCRNMDTTPNFGDKYYDTEYVFPNNMTMPVWNNYNMNIPNYNSAAQISEELMPNQLYSTMDDPSARNTNYGTLNVRLCNKDRVFYANNERDGNVHENNVIRYPRFDMNAAPRVNRRSDFPRNTCHARRNLRRNIMHERYNRIRREAPFNMDMYGKNQNRNYSCNCLYIYRNIYKNRYDVVDMKQVHTELIYLIIKYLYYERILPEANEIKRKINKYFPDCPILNNNFINICREDILNIFDIYKANSQEKEDKKSKNYYKKTYNNENICIYLRGIPRDYFINPNDDNENISSYIPLIFIHIIDRFRLQSNDNNHKGGRYILAETLKHTGPYIFRTMKLGRIIHILQKCIDLNILSYFNNNIIPIFTSMSISKTYVSKLHVNETPALKNHQENSINLIKSRISYLLYSFSEDKTKSKGFSLSRLPLIYKNVYKENINIDQIGYSKLTEFINNEMSDICYISTQHKFQCILLPVMEDEQKHRDKNAKLRKETELKKSLDYIKNYRWERCISYLHYSNCLKDKNIEFELEYNYCNPTLEELKKDMHFYDEEDDNEEESTQNDNPNDKTDDVPLLLPLDVFNLPSTYDMYDSYYKSSTYYSKDEKEDKAKIKFPDNSQNKFDIMCDFKKCENTNISVEESINLNHMYYNFPSSYYVFNYLFNDYVEDLSVVDQIRIQ
XP_656181.1 1 1286 0.141765940902022 Gal/GalNAc lectin heavy subunit 1286 0 10 1263 1 Entamoeba histolytica HM-1:IMSS XP_656181.1 1 RefSeq MKLLLLNILLLCCLADKLNEFSADIDYYDLGIMSRGKNAGSWYHSYTHQYDVFYYLAMQPWRHFVWTTCETTKGNKECYKYIINEDHNLNAQQLNNIKNLDKQDFCQKEYAYPIEKYEVDWDNVPVDEQQIESVDINGKTCFKYAAKRPLAYVYLNTKMTYATKTEAYDVCRMDFIGGRSITFRSFNNENKDFIDQYNTNTTSKCIIDVHKNNVNTHLAIILGITDSTVIKSLQEKLSVLSQLTTVDGVTIYYLKGDSYATDNIKLKDLKYETLVKYTAGQGQVDPLVNIAKNDLFKMISDKKIKRGTMVVLMDNALGSEFNAETEFDRKNISVHTVVLNRNKDSKITYSALKLVSLGPHYHEFTSNSEVSTTIDELFKGIRANLTERCDRDKCSGFCDAMNRCTCPMCCENDCFYTSCDVETGSCIPWPKAKPKAKKECPATCVGLYECKDLEGCVVTKYNASCEPKVKCMVPYCDDDNNLKEVCKQKANCEADQKPSSDGYCWSYTCDETTGFCKKYKHGNLCTGKTTNCQEYVCDSEQRCTVQEKVCVKTSPYIEMSCYVAKCNLNTGMCENRLSCDTYSSCGGDSTGSVCKCDASTGNQCKCNKVENGNYCDSSKHEICDYTGDKPKCIVSECTEDLVRDGCLIKRCNKTSKTTYWENVDCSNTKIEFAQDGKSETMCKPYYSATCLNGQCVVQAVGDVSNVGCGYCSMGTDNVITYHDDCDSRKSQCGNFNGKCQPNGDNSYSCVFEKDKTSSKSDNDICAECSSLTCPADTTYRTYTYDSKTGTCKATVKPTPSCSVCEKGKFVEKCKDQKLERKVTLEDGKEYQYNIPKDCVNEQCIPRTYVDCLANDDNFGEIYKFYLPCQAYVTATYHYSSLFNLTSYKLHLPQSEEFMKEADKEAYCTYEITTRECKTCSLTETKEKVEEIDLCAEETKNGGVPFKCKNNNCIIDPNFDCQPIECKIQEIVITEKDGIKTTTCKDTGKTTCDTNNKRIEDARKAFIEGKEGIEQVECASTVCQNDNSCPIIADVEKCNQNTEVDYGCKAMTGECDGTTYLCKFVQLTDDPSLDSEHFRTKSGVELNNACLKYKCVESKGSDGKITHKWEIDTERSNIDPKPRNPCETATCDQTTGETIYTKKTCTVSEEFPTITPNQGRCFYCQCSYLDGSSVLTMYGETDKEYYDLDACGNCRVWNQTDRTQQLNNHTECILAGEINNVGAIAAATTVAVVVVAVVVALIVVSIGLFKTYQLVSSAMKNAITTTNENAEYVGADNEATNAATYNG
XP_711594.1 1 271 0.265795202952029 Extent of cell elongation protein 1 271 0 10 248 1 Candida albicans (strain SC5314 / ATCC MYA-2876) (Yeast) SwissProt::Q07730 1 SwissProt MKFSKIACATVFALSSQAAIIHHAPEFNMKRDVAPAAPAAPADQAPTVPAPQEFNTAITKRSIIGIIMGILGNIPQVIQIIMSIVKAFKGNKREDIDSVVAGIIADMPFVVRAVDTAMTSVASTKRDGANDDVANAVVRLPEIVARVATGVQQSIENAKRDGVPDVGLNLVANAPRLISNVFDGVSETVQQAKRDGLEDFLDELLQRLPQLITRSAESALKDSQPVKRDAGSVALSNLIKKSIETVGIENAAQIVSERDISSLIEEYFGKA
XP_747166.1 1 292 0.218998287671233 PF12146.8:Hydrolase_4:46:267,PF12697.7:Abhydrolase_6:54:270,PF08840.11:BAAT_C:84:138 Polyketide transferase af380; Fumagillin biosynthesis acyltransferase; Fma-AT; EC 2.3.-.- 292 225 10 292 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WAY4 1 SwissProt MNREDVEFPTCDGLILRGWLYPGTIRGPAIVMNQGFNTPKEILLPDVAVWFQQQGVTVLLYDNRCIGASDGEPRNDVKPAKLVEDFHDALTFMARHPMVDEDKIILYGYSFSAMTALVAAGLDHRVGAAISVTPIANYDFREKEKNNVMALAMQDRVSTLAGNDPVYIPFVGDDGYNPAGWGNQYNMEQFRAFLGTSFFTNRTTVQSYYHVLAWQPYGAMRLIKGTPVMMVTPAEDTISSPADQRAVFDMIPEPNKEFDLVAGRGHMDVINGEGAEEVLQRQLAFMRKHLDF 2
XP_749080.1 1 583 0.108655917667239 PF00324.21:AA_permease:81:542,PF13520.6:AA_permease_2:84:498 amino acid permease (Gap1), putative 583 462 10 333 11 Aspergillus fumigatus Af293 XP_749080.1 1 RefSeq MEKDSIEPKAEPMVSDPPPIYGETETTKGSMGQRIWDSFKRDPNAHVTAHASGSNGGTFDLEQAAQKTASSPLQRSLKNRHLQMIAIGGSIGTGLFVGSGNVLSTGGPASVLIAYALIGCMLFCTVHALGEMAVLFPVAGSFAHYSTRFIDPAWGFAMGWNYALQWLIVLPLEIVAASITVDYWESNISNAAWVAIFWAVIVSINLFGVKGYGEAEFVFSLIKVIAVIGFIILGIILNCGGGPKGGYIGGKYWHSPGAFNNGFKGLCSVFVNAAFAFAGTELVGLAAAETANPRKSLPTAVKQVFWRICLFYIVSLTLVGLLVPFDDKRLLGESSADAKASPFVIAIKNAGISGLDSVMNVVIMIAVLSVGNSSVYGSSRTLAALAEQRQAPQFLAYIDRKGRPLFAILVASALGLLGFLAASSKQGEAFMWMMAISGLSSIFTWGSVCFAHIRFRKAWKVQGHSLNELAFQSQAGLVGSWIGFIFNCLVLVAQFWVGFAPIGYSEMTSGELVESWFSVYLAAPVVLVFYFGYKFYYKTSFLRAKDMDLHTGRRELDIQHLIEEERAEQAAWPVWKKVYKIFC
XP_755125.1 1 635 0.706581732283463 Cell pattern formation-associated protein stuA; Stunted protein A 635 0 10 635 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4X228 1 SwissProt MNQTQPYMDVHSSHLSSAQPYASHAATAGAMAHYPQYHQQPPVLQPASTYGPASSYSQYAYPSGVASSQTAPPPPSTSMSSQVPAQLLPLPVNSHTVTAPGYGNTTGTPMQGFVYDTTGQLAPPGAKPRVTATLWEDEGSLCYQVEAKGVCVARREDNHMINGTKLLNVAGMTRGRRDGILKSEKVRHVVKIGPMHLKGVWIPFERALEFANKEKITDLLYPLFVHNIGGLLYHPTNQTRTNMVVQESQQRRLEGPPSARTPQASQPPALHHHHSMQTSIPSQMPQPPTMSSQPGARPPLDRAHTFPTPPASASSLMGLSNQSSSYDWNNQGMNSGVPNTQPLSIDTTLSNTRSMPTTPATTPPGNNLQGMQSYQSQSGYDTSKPYYSTAPPSHPHYAPQYSLSQYGQPMPPHSYIKNEMAPPAGRAPGGQSETETSDVKPADRYSQSNGHVTAGAGESAPEHESEYVQHDNTGYGASRSSYTYTTNTSVGSLAGEHSQLTNDITGSPQQNGSGRMTPRTGGGPPPQWASGYASPRPTAASSLYNIVSDTRGSSNGAGSENYTVASNTAPTYSMGGSLGSGKRGREDDDMGRPDSQGDYESKRRRTNETTVGGPVGGVLLGLQPMKAGGAMPRRR
XP_756134.1 1 290 0.142574137931035 Festuclavine dehydrogenase easG; Ergot alkaloid biosynthetic protein G; EC 1.5.1.44 290 0 10 290 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WZ69 1 SwissProt MTILVLGGRGKTASRLSLLLDNAGVPFLVGSSSTSYVGPYKMTHFDWLNEDTWTNVFLRASLDGIDPISAVYLVGGHAPELVDPGIRFINVARAQGVNRFVLLSASNIAKGTHSMGILHAHLDSLEDVQYVVLRPTWFMENLLEDPHVSWIKKEDKIYSATGDGKIPFISADDIARVAFSVLTEWKSQRAQEYFVLGPELLSYDQVADILTTVLGRKITHVSLAEADLARLLRDDVGLPPDFAAMLASMETDVKHGTEVRNSHDVKKVTGSLPCSFLDFAEQEKARWMRH
XP_756138.1 1 519 0.156111175337187 PF00067.22:p450:236:491 Cytochrome P450 monooxygenase easM; Ergot alkaloid synthesis protein M; EC 1.-.-.- 519 256 10 519 0 Neosartorya fumigata (strain ATCC MYA-4609 / Af293 / CBS 101355 / FGSC A1100) (Aspergillus fumigatus) SwissProt::Q4WZ65 1 SwissProt MDFLQRILGILSSEDVAPALFASSISVLFLILSYQVLYPPSKYSAFPTINGTRWLALSNRRILAEFVTDAQGLMRNGLEKYDIFRIISSIGPMTILHPKYTDEIHNDRQLNFMAVLAKEMFPNYPGFDLFREGTDGSTVLQDAVKFGSSRCLGKSTQLLSDETSTLLQKLWGDEPEWHEVTAKSSVHDIIAHLSALLFYGPELCSHKEWLEVTDEYASVGFLAARQLRLWPPILRPIAQWFLPACRRLRYLASRTRGLIEPVIAARQKEKAICYSHGRQPPVYDDAIEWTERAAKGRPYDAAMSPLLFSINALHTTTDLLTQVILDLSTQPDLIVALRQEILSVKPQQNGWKNASLNQLLLMDSAIKESQRLKPTESILMRRYAMDDLTLADGNKIPKGTVLGIPIFGMRDPKIYVDPDMYDGYRFQKMRDKPGFENKCQLVSTSPWHLGFGHGIHACPGRFLAAVQVKIILCYIVAKYDFKLAGGAPPKVQSVGIELISDTEARLAVRRRQEMVIGLE
XP_966190.1 198 525 0.383832317073171 PF12947.7:EGF_3:267:302 merozoite surface protein 10 525 36 10 310 1 Plasmodium falciparum 3D7 XP_966190.1 1 RefSeq EQIKKKEEDLIEAFFPFILKKLDNESLSLDNKYDDYYNLPNDHNDTHKENSSDHNLLGYKLGNNLKSYLIEENDVSQKKTDDINESASSDSENIQEILSTDSNTSHLKERKNQKAPPGEHKPEVKNALLNSQVASPKGEDEKKSQPQHPLVNSGDQLQHPKEIDENAEKIRRTLLKESRDIKNTTAIIDETVYKFEQLIMKGRYYATAVRNFVIFKVNYICEYSKCGPNSRCYIVEKDKEQCRCRPNYIVDMSVNYFKCIPMKDMNCSKNNGGCDVNAECTIVEGAVKCQCSHLYFGDGVFCVKNSQTKQTLYILFIVILLVFQNFFI
YP_001129413.1 1 566 0.344336572438163 PF10401.9:IRF-3:399:523 Viral IRF3-like protein; VIRF-3 566 125 10 566 0 Human herpesvirus 8 type P (isolate GK18) (HHV-8) (Kaposi's sarcoma-associated herpesvirus) SwissProt::F5HIC6 1 SwissProt MAGRRLTWISEFIVGALDSDKYPLVKWLDRSTGTFLAPAARNDVIPLDSLQFFIDFKRECLSKGLHPRDLLGSPITAFGKICTTSRRLRRLPGEEYEVVQGINCRRWRLLCAEVKECWWCVHARTHLHSGSSLWEILYQHSVRLEKHRRRPRPFVGENSDSSEEDHPAFCDVPVTQTGAESEDSGDEGPSTRHSASGVQPVDDANADSPGSGDEGPSTRHSDSQPPPADETTVHTDNVEDDLTLLDKESACALMYHVGQEMDMLMRAMCDEDLFDLLGIPEDVIATSQPGGDTDASGVVTEGSIAASAVGAGVEDVYLAGALEAQNVAGEYVLEISDEEVDDGAGLPPASRRRPVVGEFLWDDGPRRHERPTTRRIRHRKLRSAYYRVARPPVMITDRLGVEVFYFGRPAMSLEVERKVFILCSQNPLADISHSCLHSRKGLRVLLPKPDDNNTGPGDVNLLAAVLRSFASGLVIVSLRSGIYVKNLCKSTVLYHGNNPPKKFGVICGLSSRAVLDVFNVAQYRIQGHEHIKKTTVFIGGDPTSAEQFDMVPLVIKLRLRSVTCDD
YP_002004534.1 1 104 0.507161538461538 PF17548.2:p6:1:76 Histone-like protein p6; Double-stranded DNA-binding protein p6; Gene product 6; gp6; Nucleoid-associated protein p6; Protein p6 104 76 10 104 0 Bacillus phage phi29 (Bacteriophage phi-29) SwissProt::P03685 1 SwissProt MAKMMQREITKTTVNVAKMVMVDGEVQVEQLPSETFVGNLTMEQAQWRMKRKYKGEPVQVVSVEPNTEVYELPVEKFLEVATVRVEKDEDQEEQTEAPEEQVAE
YP_002344602.1 1 419 0.00709880668257762 PF03772.16:Competence:158:362 competence family protein 419 205 10 219 9 Campylobacter jejuni subsp. jejuni NCTC 11168 = ATCC 700819 YP_002344602.1 1 RefSeq MSLWNSFSYSFKEFHYLFFSVVVIFIFNILLEYNNFLNFKNQKHYLIDNALLTHQYIKYNKKNKKYWVLKLQTENFTFYTTSFKDLNLSKNQFLSLRIITHNINFKDYLSKSFYAPSYDFEKLKEKEYNPIISYFLNQHTNEKIKEFYGALFFALPISLELRNDVNYYGIAHLIAISGYHIGLLFSLIFFILAPIYSFFQKRYFPYRNLRLDLSILIFALLLAYACLIGFVPSFVRSLIMAFWVFYLLCKNIKIINFVTLFCSILLCISLYPRLLFSIGFLFSILGVFYIFLYMHHFANKFNNLINIILLNIWTFFAMVLPVLYFFPLISYQQILGIILSGIFVIFYPLVLFLHLINYGDLLNFILDEFFKFKIYGTNIHIPFWIFISYLIASLISVRFKYLAFLCIFANFIPFIMIVI
YP_002517940.1 1 587 0.278201533219762 PF00989.25:PAS:321:434,PF12860.7:PAS_7:455:557,PF13188.7:PAS_8:450:482 non-motile and phage-resistance protein; EC 2.7.13.3 842 222 10 541 2 Caulobacter vibrioides CharProtDB::CH_001138 1 CharProtDB MGRHGGPAAAGPTAPSAVRAKAVNAPSQVFVRIAILAALLLLAVYTAFGVHRLQREAMAQPGGAPLAAKADLIAGRVDANLAAQRAGLSAAADLLKRDPGATMDAAETTLRAAGGEAAAVAVVSEAGVVAVAGRDDGADWKAAALAAGASGRTNWVGSVGETGRLYVATTTSLDRARAFVIASGDASRLVADPEKGESGALALPDGKLIAARGRGVQGAGALREAFALSIEDLGDGPAAVRGQAADGALLDVAVRPVAQGALLAVAAAPTRSVANLDRQVMEGAFSLLVPLGVGIALALLLMIQSRKAEVAHREFIDSERRFRLAVEAARCGIWEWDLNGDQVYLSDVTGAMFGWGGGGVVSGQDLLERISIDHRERVRQALANAAMYGAFDVSFRVPASEQGARSLWIDARGQGFGKPGSEGHARIIGVALDVTEERIAQARAQAAENRLRDAIESVSEAFVLWDRQGRLLMCNRNYRSVFSLEPKILKPGAARAEVNRFAALAIKQDHPAPDGAKGVREAEMMDGRWIQISERRTAEGGLVMTAADITAIKTQEEARRRNEEQLQNAVAGLERSQEQLAELARKY
YP_026266.1 1 340 0.195106470588235 PF12146.8:Hydrolase_4:52:312,PF00561.20:Abhydrolase_1:56:312,PF12697.7:Abhydrolase_6:70:310 lysophospholipase L2 (EC 3.1.1.5) 340 261 10 340 0 Escherichia coli K-12 substr. MG1655 ecocyc::EG10739-MONOMER 1 ecocyc MFQQQKDWETRENAFAAFTMGPLTDFWRQRDEAEFTGVDDIPVRFVRFRAQHHDRVVVICPGRIESYVKYAELAYDLFHLGFDVLIIDHRGQGRSGRLLADPHLGHVNRFNDYVDDLAAFWQQEVQPGPWRKRYILAHSMGGAISTLFLQRHPGVCDAIALTAPMFGIVIRMPSFMARQILNWAEAHPRFRDGYAIGTGRWRALPFAINVLTHSRQRYRRNLRFYADDPTIRVGGPTYHWVRESILAGEQVLAGAGDDATPTLLLQAEEERVVDNRMHDRFCELRTAAGHPVEGGRPLVIKGAYHEILFEKDAMRSVALHAIVDFFNRHNSPSGNRSTEV
YP_081522.1 1 472 0.25380593220339 PF07982.12:Herpes_UL74:47:464 Envelope glycoprotein O 472 418 10 449 1 Human cytomegalovirus (strain Merlin) (HHV-5) (Human herpesvirus 5) SwissProt::F5HGP1 1 SwissProt MGKKEMIMVKGIPKIMLLISITFLLLSLINCNVLVNSRGTRRSWPYTVLSYRGKEILKKQKEDILKRLMSTSSDGYRFLMYPSQQKFHAIVISMDKFPQDYILAGPIRNDSITHMWFDFYSTQLRKPAKYVYSEYNHTAHKITLRPPPCGTVPSMNCLSEMLNVSKRNDTGEKGCGNFTTFNPMFFNVPRWNTKLYIGSNKVNVDSQTIYFLGLTALLLRYAQRNCTRSFYLVNAMSRNLFRVPKYINGTKLKNTMRKLKRKQALVKEQPQKKNKKSQSTTTPYLSYTTSTAFNVTTNVTYSATAAVTRVATSTTGYRPDSNFMKSIMATQLRDLATWVYTTLRYRNEPFCKPDRNRTAVSEFMKNTHVLIRNETPYTIYGTLDMSSLYYNETMSVENETASDNNETTPTSPSTRFQRTFIDPLWDYLDSLLFLDKIRNFSLQLPAYGNLTPPEHRRAANLSTLNSLWWWSQ
YP_401711.1 1 312 0.0392919871794872 G-protein coupled receptor BILF1 312 0 10 154 7 Epstein-Barr virus (strain B95-8) (HHV-4) (Human herpesvirus 4) SwissProt::P03208 0 SwissProt MLSTMAPGSTVGTLVANMTSVNATEDACTKSYSAFLSGMTSLLLVLLILLTLAGILFIIFVRKLVHRMDVWLIALLIELLLWVLGKMIQEFSSTGLCLLTQNMMFLGLMCSVWTHLGMALEKTLALFSRTPKRTSHRNVCLYLMGVFCLVLLLIIILLITMGPDANLNRGPNMCREGPTKGMHTAVQGLKAGCYLLAAVLIVLLTVIIIWKLLRTKFGRKPRLICNVTFTGLICAFSWFMLSLPLLFLGEAGSLGFDCTESLVARYYPGPAACLALLLIILYAWSFSHFMDSLKNQVTVTARYFRRVPSQST
ecocyc::MONOMER0-4248 1 387 0.235277777777778 PF00665.26:rve:226:339,PF13333.6:rve_2:330:386,PF13276.6:HTH_21:152:200,PF13610.6:DDE_Tnp_IS240:229:370,PF13683.6:rve_3:315:378,PF01527.20:HTH_Tnp_1:12:78 IS3 element transposase 387 277 10 387 0 Escherichia coli K-12 substr. MG1655 ecocyc::MONOMER0-4248 1 ecocyc MTKTVSTSKKPRKQHSPEFRSEALKLAERIGVTAAARELSLYESQLYNWRSKQQNQQTSSERELEMSTEIARLKRQLAERDEELAILQKAATILREAPEMKYVFIEKHQAEFSIKAMCRVLRVARSGWYTWCQRRTRISTRQQFRQHCDSVVLAAFTRSKQRYGAPRLTDELRAQGYPFNVKTVAASLRRQGLRAKASRKFSPVSYRAHGLPVSENLLEQDFYASGPNQKWAGDITYLRTDEGWLYLAVVIDLWSRAVIGWSMSPRMTAQLACDALQMALWRRKRPRNVIVHTDRGGQYCSADYQAQLKRHNLRGSMSAKGCCYDNACVESFFHSLKVECIHGEHFISREIMRATVFNYIECDYNRWRRHSWCGGLSPEQFENKNLA
metacyc::MONOMER-17359 1 301 0.0430986710963455 PF00001.21:7tm_1:77:255 retinochrome 301 179 10 143 7 Todarodes pacificus metacyc::MONOMER-17359 0 metacyc MFGNPAMTGLHQFTMWEHYFTGSIYLVLGCVVFSLCGMCIIFLARQSPKPRRKYAILIHVLITAMAVNGGDPAHASSSIVGRWLYGSVGCQLMGFWGFFGGMSHIWMLFAFAMERYMAVCHREFYQQMPSVYYSIIVGLMYTFGTFWATMPLLGWASYGLEVHGTSCTINYSVSDESYQSYVFFLAIFSFIFPMVSGWYAISKAWSGLSAIPDAEKEKDKDILSEEQLTALAGAFILISLISWSGFGYVAIYSALTHGGAQLSHLRGHVPPIMSKTGCALFPLLIFLLTARSLPKSDTKKP